RAM2E/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_vo...

5989 lines
252 KiB
Plaintext

(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "RAM2E")
(DATE "Thu Dec 28 23:10:23 2023")
(VENDOR "Lattice")
(PROGRAM "ldbanno")
(VERSION "Diamond (64-bit) 3.12.1.454")
(DIVIDER /)
(VOLTAGE 1.26:1.20:1.14)
(PROCESS "default")
(TEMPERATURE -40:25:85)
(TIMESCALE 1ps)
(CELL
(CELLTYPE "SLICE_0")
(INSTANCE SLICE_0)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_1")
(INSTANCE SLICE_1)
(DELAY
(ABSOLUTE
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_2")
(INSTANCE SLICE_2)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_3")
(INSTANCE SLICE_3)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_4")
(INSTANCE SLICE_4)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_5")
(INSTANCE SLICE_5)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_6")
(INSTANCE SLICE_6)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_7")
(INSTANCE SLICE_7)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_8")
(INSTANCE SLICE_8)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_9")
(INSTANCE SLICE_9)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_10")
(INSTANCE SLICE_10)
(DELAY
(ABSOLUTE
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_11")
(INSTANCE SLICE_11)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_12")
(INSTANCE SLICE_12)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_13")
(INSTANCE SLICE_13)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_14")
(INSTANCE SLICE_14)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_15")
(INSTANCE SLICE_15)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_16")
(INSTANCE SLICE_16)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_17")
(INSTANCE SLICE_17)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_18")
(INSTANCE SLICE_18)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_19")
(INSTANCE SLICE_19)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_20")
(INSTANCE SLICE_20)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_21")
(INSTANCE SLICE_21)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_22")
(INSTANCE SLICE_22)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_23")
(INSTANCE SLICE_23)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_24")
(INSTANCE SLICE_24)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_25")
(INSTANCE SLICE_25)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_26")
(INSTANCE SLICE_26)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_27")
(INSTANCE SLICE_27)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_28")
(INSTANCE SLICE_28)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_29")
(INSTANCE SLICE_29)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_30")
(INSTANCE SLICE_30)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_31")
(INSTANCE SLICE_31)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_32")
(INSTANCE SLICE_32)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_33")
(INSTANCE SLICE_33)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_34")
(INSTANCE SLICE_34)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_35")
(INSTANCE SLICE_35)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_36")
(INSTANCE SLICE_36)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_37")
(INSTANCE SLICE_37)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_38")
(INSTANCE SLICE_38)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_39")
(INSTANCE ram2e_ufm\/SLICE_39)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_40")
(INSTANCE ram2e_ufm\/SLICE_40)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_41")
(INSTANCE ram2e_ufm\/SLICE_41)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_42")
(INSTANCE ram2e_ufm\/SLICE_42)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_43")
(INSTANCE ram2e_ufm\/SLICE_43)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_44")
(INSTANCE ram2e_ufm\/SLICE_44)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_45")
(INSTANCE ram2e_ufm\/SLICE_45)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_46")
(INSTANCE ram2e_ufm\/SLICE_46)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_47")
(INSTANCE ram2e_ufm\/SLICE_47)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_48")
(INSTANCE ram2e_ufm\/SLICE_48)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_49")
(INSTANCE ram2e_ufm\/SLICE_49)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_50")
(INSTANCE ram2e_ufm\/SLICE_50)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_51")
(INSTANCE ram2e_ufm\/SLICE_51)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_52")
(INSTANCE ram2e_ufm\/SLICE_52)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_53")
(INSTANCE ram2e_ufm\/SLICE_53)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_54")
(INSTANCE ram2e_ufm\/SLICE_54)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_55")
(INSTANCE ram2e_ufm\/SLICE_55)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_56")
(INSTANCE ram2e_ufm\/SLICE_56)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_57")
(INSTANCE ram2e_ufm\/SLICE_57)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_58")
(INSTANCE ram2e_ufm\/SLICE_58)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "ram2e_ufm_SUM0_i_m3_0_SLICE_59")
(INSTANCE ram2e_ufm\/SUM0_i_m3_0\/SLICE_59)
(DELAY
(ABSOLUTE
(IOPATH D1 OFX0 (457:589:721)(457:589:721))
(IOPATH B1 OFX0 (457:589:721)(457:589:721))
(IOPATH A1 OFX0 (457:589:721)(457:589:721))
(IOPATH D0 OFX0 (457:589:721)(457:589:721))
(IOPATH B0 OFX0 (457:589:721)(457:589:721))
(IOPATH A0 OFX0 (457:589:721)(457:589:721))
(IOPATH M0 OFX0 (322:349:376)(322:349:376))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60")
(INSTANCE ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60)
(DELAY
(ABSOLUTE
(IOPATH D1 OFX0 (457:589:721)(457:589:721))
(IOPATH C1 OFX0 (457:589:721)(457:589:721))
(IOPATH B1 OFX0 (457:589:721)(457:589:721))
(IOPATH A1 OFX0 (457:589:721)(457:589:721))
(IOPATH C0 OFX0 (457:589:721)(457:589:721))
(IOPATH B0 OFX0 (457:589:721)(457:589:721))
(IOPATH A0 OFX0 (457:589:721)(457:589:721))
(IOPATH M0 OFX0 (322:349:376)(322:349:376))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_CKE_7_SLICE_61")
(INSTANCE ram2e_ufm\/CKE_7\/SLICE_61)
(DELAY
(ABSOLUTE
(IOPATH D1 OFX0 (457:589:721)(457:589:721))
(IOPATH C1 OFX0 (457:589:721)(457:589:721))
(IOPATH A1 OFX0 (457:589:721)(457:589:721))
(IOPATH D0 OFX0 (457:589:721)(457:589:721))
(IOPATH B0 OFX0 (457:589:721)(457:589:721))
(IOPATH A0 OFX0 (457:589:721)(457:589:721))
(IOPATH M0 OFX0 (322:349:376)(322:349:376))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_62")
(INSTANCE ram2e_ufm\/SLICE_62)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_63")
(INSTANCE ram2e_ufm\/SLICE_63)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_64")
(INSTANCE ram2e_ufm\/SLICE_64)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_65")
(INSTANCE ram2e_ufm\/SLICE_65)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_66")
(INSTANCE ram2e_ufm\/SLICE_66)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_67")
(INSTANCE ram2e_ufm\/SLICE_67)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_68")
(INSTANCE ram2e_ufm\/SLICE_68)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_69")
(INSTANCE ram2e_ufm\/SLICE_69)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_70")
(INSTANCE ram2e_ufm\/SLICE_70)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_71")
(INSTANCE ram2e_ufm\/SLICE_71)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_72")
(INSTANCE ram2e_ufm\/SLICE_72)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_73")
(INSTANCE ram2e_ufm\/SLICE_73)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_74")
(INSTANCE ram2e_ufm\/SLICE_74)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_75")
(INSTANCE ram2e_ufm\/SLICE_75)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_76")
(INSTANCE ram2e_ufm\/SLICE_76)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_77")
(INSTANCE ram2e_ufm\/SLICE_77)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_78")
(INSTANCE ram2e_ufm\/SLICE_78)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_79")
(INSTANCE ram2e_ufm\/SLICE_79)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_80")
(INSTANCE ram2e_ufm\/SLICE_80)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_81")
(INSTANCE ram2e_ufm\/SLICE_81)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_82")
(INSTANCE ram2e_ufm\/SLICE_82)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_83")
(INSTANCE ram2e_ufm\/SLICE_83)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_84")
(INSTANCE ram2e_ufm\/SLICE_84)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_85")
(INSTANCE ram2e_ufm\/SLICE_85)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_86")
(INSTANCE ram2e_ufm\/SLICE_86)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_87")
(INSTANCE ram2e_ufm\/SLICE_87)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_88")
(INSTANCE ram2e_ufm\/SLICE_88)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_89")
(INSTANCE ram2e_ufm\/SLICE_89)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_90")
(INSTANCE ram2e_ufm\/SLICE_90)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_91")
(INSTANCE ram2e_ufm\/SLICE_91)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_92")
(INSTANCE ram2e_ufm\/SLICE_92)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_93")
(INSTANCE ram2e_ufm\/SLICE_93)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_94")
(INSTANCE ram2e_ufm\/SLICE_94)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_95")
(INSTANCE ram2e_ufm\/SLICE_95)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_96")
(INSTANCE ram2e_ufm\/SLICE_96)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_97")
(INSTANCE ram2e_ufm\/SLICE_97)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_98")
(INSTANCE ram2e_ufm\/SLICE_98)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_99")
(INSTANCE ram2e_ufm\/SLICE_99)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_100")
(INSTANCE ram2e_ufm\/SLICE_100)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_101")
(INSTANCE ram2e_ufm\/SLICE_101)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_102")
(INSTANCE ram2e_ufm\/SLICE_102)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_103")
(INSTANCE ram2e_ufm\/SLICE_103)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_104")
(INSTANCE ram2e_ufm\/SLICE_104)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_105")
(INSTANCE ram2e_ufm\/SLICE_105)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_106")
(INSTANCE ram2e_ufm\/SLICE_106)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_107")
(INSTANCE ram2e_ufm\/SLICE_107)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_108")
(INSTANCE ram2e_ufm\/SLICE_108)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_109")
(INSTANCE ram2e_ufm\/SLICE_109)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_110")
(INSTANCE ram2e_ufm\/SLICE_110)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_111")
(INSTANCE ram2e_ufm\/SLICE_111)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_112")
(INSTANCE ram2e_ufm\/SLICE_112)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_113")
(INSTANCE ram2e_ufm\/SLICE_113)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_114")
(INSTANCE ram2e_ufm\/SLICE_114)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_115")
(INSTANCE ram2e_ufm\/SLICE_115)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_116")
(INSTANCE ram2e_ufm\/SLICE_116)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_117")
(INSTANCE ram2e_ufm\/SLICE_117)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_118")
(INSTANCE ram2e_ufm\/SLICE_118)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_119")
(INSTANCE ram2e_ufm\/SLICE_119)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_120")
(INSTANCE ram2e_ufm\/SLICE_120)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_121")
(INSTANCE ram2e_ufm\/SLICE_121)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_122")
(INSTANCE ram2e_ufm\/SLICE_122)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_123")
(INSTANCE ram2e_ufm\/SLICE_123)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_124")
(INSTANCE ram2e_ufm\/SLICE_124)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_125")
(INSTANCE ram2e_ufm\/SLICE_125)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_126")
(INSTANCE ram2e_ufm\/SLICE_126)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_127")
(INSTANCE ram2e_ufm\/SLICE_127)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_128")
(INSTANCE ram2e_ufm\/SLICE_128)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_129")
(INSTANCE ram2e_ufm\/SLICE_129)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_130")
(INSTANCE ram2e_ufm\/SLICE_130)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_131")
(INSTANCE ram2e_ufm\/SLICE_131)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_132")
(INSTANCE ram2e_ufm\/SLICE_132)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_133")
(INSTANCE ram2e_ufm\/SLICE_133)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_134")
(INSTANCE ram2e_ufm\/SLICE_134)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_135")
(INSTANCE ram2e_ufm\/SLICE_135)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_136")
(INSTANCE ram2e_ufm\/SLICE_136)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_137")
(INSTANCE ram2e_ufm\/SLICE_137)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_138")
(INSTANCE SLICE_138)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_139")
(INSTANCE SLICE_139)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_140")
(INSTANCE ram2e_ufm\/SLICE_140)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_141")
(INSTANCE ram2e_ufm\/SLICE_141)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_142")
(INSTANCE ram2e_ufm\/SLICE_142)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_143")
(INSTANCE ram2e_ufm\/SLICE_143)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_144")
(INSTANCE ram2e_ufm\/SLICE_144)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_145")
(INSTANCE ram2e_ufm\/SLICE_145)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_146")
(INSTANCE ram2e_ufm\/SLICE_146)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "ram2e_ufm_SLICE_147")
(INSTANCE ram2e_ufm\/SLICE_147)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "RD_0_")
(INSTANCE RD\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD0 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD0 (3796:3902:4009)(3796:3902:4009))
(IOPATH RD0 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD0) (3330:3330:3330))
(WIDTH (negedge RD0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "LED")
(INSTANCE LED_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO LED (12306:12336:12367)(12306:12336:12367))
)
)
)
(CELL
(CELLTYPE "C14M")
(INSTANCE C14M_I)
(DELAY
(ABSOLUTE
(IOPATH C14M PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge C14M) (3330:3330:3330))
(WIDTH (negedge C14M) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_7_")
(INSTANCE RD\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD7 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD7 (3796:3902:4009)(3796:3902:4009))
(IOPATH RD7 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD7) (3330:3330:3330))
(WIDTH (negedge RD7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_6_")
(INSTANCE RD\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD6 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD6 (3796:3902:4009)(3796:3902:4009))
(IOPATH RD6 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD6) (3330:3330:3330))
(WIDTH (negedge RD6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_5_")
(INSTANCE RD\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD5 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD5 (3796:3902:4009)(3796:3902:4009))
(IOPATH RD5 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD5) (3330:3330:3330))
(WIDTH (negedge RD5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_4_")
(INSTANCE RD\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD4 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD4 (3796:3902:4009)(3796:3902:4009))
(IOPATH RD4 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD4) (3330:3330:3330))
(WIDTH (negedge RD4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_3_")
(INSTANCE RD\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD3 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD3 (3796:3902:4009)(3796:3902:4009))
(IOPATH RD3 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD3) (3330:3330:3330))
(WIDTH (negedge RD3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_2_")
(INSTANCE RD\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD2 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD2 (3796:3902:4009)(3796:3902:4009))
(IOPATH RD2 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD2) (3330:3330:3330))
(WIDTH (negedge RD2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_1_")
(INSTANCE RD\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD1 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD1 (3796:3902:4009)(3796:3902:4009))
(IOPATH RD1 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD1) (3330:3330:3330))
(WIDTH (negedge RD1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "DQMH")
(INSTANCE DQMH_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO DQMH (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "DQMH_MGIOL")
(INSTANCE DQMH_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "DQML")
(INSTANCE DQML_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO DQML (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "DQML_MGIOL")
(INSTANCE DQML_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RAout_11_")
(INSTANCE RAout\[11\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout11 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_11__MGIOL")
(INSTANCE RAout\[11\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_10_")
(INSTANCE RAout\[10\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout10 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_10__MGIOL")
(INSTANCE RAout\[10\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_9_")
(INSTANCE RAout\[9\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout9 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_9__MGIOL")
(INSTANCE RAout\[9\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_8_")
(INSTANCE RAout\[8\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout8 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_8__MGIOL")
(INSTANCE RAout\[8\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_7_")
(INSTANCE RAout\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout7 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_7__MGIOL")
(INSTANCE RAout\[7\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_6_")
(INSTANCE RAout\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout6 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_6__MGIOL")
(INSTANCE RAout\[6\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_5_")
(INSTANCE RAout\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout5 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_5__MGIOL")
(INSTANCE RAout\[5\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_4_")
(INSTANCE RAout\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout4 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_4__MGIOL")
(INSTANCE RAout\[4\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_3_")
(INSTANCE RAout\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout3 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_3__MGIOL")
(INSTANCE RAout\[3\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_2_")
(INSTANCE RAout\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout2 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_2__MGIOL")
(INSTANCE RAout\[2\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_1_")
(INSTANCE RAout\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout1 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_1__MGIOL")
(INSTANCE RAout\[1\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "RAout_0_")
(INSTANCE RAout\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RAout0 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "RAout_0__MGIOL")
(INSTANCE RAout\[0\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "BA_1_")
(INSTANCE BA\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO BA1 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "BA_1__MGIOL")
(INSTANCE BA\[1\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
(SETUPHOLD LSR (posedge CLK) (100:100:100)(-90:-90:-90))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "BA_0_")
(INSTANCE BA\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO BA0 (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "BA_0__MGIOL")
(INSTANCE BA\[0\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
(SETUPHOLD LSR (posedge CLK) (100:100:100)(-90:-90:-90))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "nRWEout")
(INSTANCE nRWEout_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO nRWEout (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "nRWEout_MGIOL")
(INSTANCE nRWEout_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "nCASout")
(INSTANCE nCASout_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO nCASout (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "nCASout_MGIOL")
(INSTANCE nCASout_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "nRASout")
(INSTANCE nRASout_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO nRASout (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "nRASout_MGIOL")
(INSTANCE nRASout_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "nCSout")
(INSTANCE nCSout_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nCSout (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "CKEout")
(INSTANCE CKEout_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO CKEout (3411:3517:3624)(3411:3517:3624))
)
)
)
(CELL
(CELLTYPE "CKEout_MGIOL")
(INSTANCE CKEout_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
)
)
(CELL
(CELLTYPE "nVOE")
(INSTANCE nVOE_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nVOE (3892:3998:4105)(3892:3998:4105))
)
)
)
(CELL
(CELLTYPE "Vout_7_")
(INSTANCE Vout\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout7 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Vout_7__MGIOL")
(INSTANCE Vout\[7\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "Vout_6_")
(INSTANCE Vout\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout6 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Vout_6__MGIOL")
(INSTANCE Vout\[6\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "Vout_5_")
(INSTANCE Vout\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout5 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Vout_5__MGIOL")
(INSTANCE Vout\[5\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "Vout_4_")
(INSTANCE Vout\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout4 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Vout_4__MGIOL")
(INSTANCE Vout\[4\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "Vout_3_")
(INSTANCE Vout\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout3 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Vout_3__MGIOL")
(INSTANCE Vout\[3\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "Vout_2_")
(INSTANCE Vout\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout2 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Vout_2__MGIOL")
(INSTANCE Vout\[2\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "Vout_1_")
(INSTANCE Vout\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout1 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Vout_1__MGIOL")
(INSTANCE Vout\[1\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "Vout_0_")
(INSTANCE Vout\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout0 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Vout_0__MGIOL")
(INSTANCE Vout\[0\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "nDOE")
(INSTANCE nDOE_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nDOE (3892:3998:4105)(3892:3998:4105))
)
)
)
(CELL
(CELLTYPE "Dout_7_")
(INSTANCE Dout\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout7 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Dout_6_")
(INSTANCE Dout\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout6 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Dout_5_")
(INSTANCE Dout\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout5 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Dout_4_")
(INSTANCE Dout\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout4 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Dout_3_")
(INSTANCE Dout\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout3 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Dout_2_")
(INSTANCE Dout\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout2 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Dout_1_")
(INSTANCE Dout\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout1 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Dout_0_")
(INSTANCE Dout\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout0 (4370:4474:4579)(4370:4474:4579))
)
)
)
(CELL
(CELLTYPE "Din_7_")
(INSTANCE Din\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din7 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din7) (3330:3330:3330))
(WIDTH (negedge Din7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_6_")
(INSTANCE Din\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din6 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din6) (3330:3330:3330))
(WIDTH (negedge Din6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_5_")
(INSTANCE Din\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din5 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din5) (3330:3330:3330))
(WIDTH (negedge Din5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_4_")
(INSTANCE Din\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din4 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din4) (3330:3330:3330))
(WIDTH (negedge Din4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_3_")
(INSTANCE Din\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din3 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din3) (3330:3330:3330))
(WIDTH (negedge Din3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_2_")
(INSTANCE Din\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din2 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din2) (3330:3330:3330))
(WIDTH (negedge Din2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_1_")
(INSTANCE Din\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din1 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din1) (3330:3330:3330))
(WIDTH (negedge Din1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_0_")
(INSTANCE Din\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din0 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din0) (3330:3330:3330))
(WIDTH (negedge Din0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_7_")
(INSTANCE Ain\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain7 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain7) (3330:3330:3330))
(WIDTH (negedge Ain7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_6_")
(INSTANCE Ain\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain6 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain6) (3330:3330:3330))
(WIDTH (negedge Ain6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_5_")
(INSTANCE Ain\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain5 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain5) (3330:3330:3330))
(WIDTH (negedge Ain5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_4_")
(INSTANCE Ain\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain4 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain4) (3330:3330:3330))
(WIDTH (negedge Ain4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_3_")
(INSTANCE Ain\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain3 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain3) (3330:3330:3330))
(WIDTH (negedge Ain3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_2_")
(INSTANCE Ain\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain2 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain2) (3330:3330:3330))
(WIDTH (negedge Ain2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_1_")
(INSTANCE Ain\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain1 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain1) (3330:3330:3330))
(WIDTH (negedge Ain1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_0_")
(INSTANCE Ain\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain0 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain0) (3330:3330:3330))
(WIDTH (negedge Ain0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nC07X")
(INSTANCE nC07X_I)
(DELAY
(ABSOLUTE
(IOPATH nC07X PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge nC07X) (3330:3330:3330))
(WIDTH (negedge nC07X) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nEN80")
(INSTANCE nEN80_I)
(DELAY
(ABSOLUTE
(IOPATH nEN80 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge nEN80) (3330:3330:3330))
(WIDTH (negedge nEN80) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nWE")
(INSTANCE nWE_I)
(DELAY
(ABSOLUTE
(IOPATH nWE PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge nWE) (3330:3330:3330))
(WIDTH (negedge nWE) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "PHI1")
(INSTANCE PHI1_I)
(DELAY
(ABSOLUTE
(IOPATH PHI1 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge PHI1) (3330:3330:3330))
(WIDTH (negedge PHI1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "PHI1_MGIOL")
(INSTANCE PHI1_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IN (577:577:577)(577:577:577))
)
)
(TIMINGCHECK
(SETUPHOLD DI (posedge CLK) (595:595:595)(223:223:223))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "EFB_Buffer_Block")
(INSTANCE ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20)
(DELAY
(ABSOLUTE
(IOPATH WBCLKIin WBDATO0out (978:3628:6278)(978:3628:6278))
(IOPATH WBCLKIin WBDATO1out (947:3204:5461)(947:3204:5461))
(IOPATH WBCLKIin WBDATO2out (955:3211:5468)(955:3211:5468))
(IOPATH WBCLKIin WBDATO3out (910:3173:5436)(910:3173:5436))
(IOPATH WBCLKIin WBDATO4out (944:3217:5491)(944:3217:5491))
(IOPATH WBCLKIin WBDATO5out (917:3672:6428)(917:3672:6428))
(IOPATH WBCLKIin WBDATO6out (926:3191:5457)(926:3191:5457))
(IOPATH WBCLKIin WBDATO7out (939:3201:5464)(939:3201:5464))
(IOPATH WBCLKIin WBACKOout (903:1623:2343)(903:1623:2343))
)
)
(TIMINGCHECK
(SETUPHOLD WBRSTIin (posedge WBCLKIin) (3138:3170:3203)(-3138:-1966:-794))
(SETUPHOLD WBCYCIin (posedge WBCLKIin) (2616:2649:2682)(-2616:-1558:-501))
(SETUPHOLD WBSTBIin (posedge WBCLKIin) (2605:2637:2670)(-2605:-1494:-384))
(SETUPHOLD WBWEIin (posedge WBCLKIin) (1409:1442:1475)(-1409:-837:-266))
(SETUPHOLD WBADRI0in (posedge WBCLKIin) (2058:2123:2188)(-2058:-1191:-324))
(SETUPHOLD WBADRI1in (posedge WBCLKIin) (2068:2104:2140)(-2068:-1234:-401))
(SETUPHOLD WBADRI2in (posedge WBCLKIin) (1928:1993:2058)(-1928:-1110:-292))
(SETUPHOLD WBADRI3in (posedge WBCLKIin) (2167:2196:2226)(-2167:-1264:-361))
(SETUPHOLD WBADRI4in (posedge WBCLKIin) (2072:2101:2130)(-2072:-1198:-324))
(SETUPHOLD WBADRI5in (posedge WBCLKIin) (1611:1640:1670)(-1611:-950:-289))
(SETUPHOLD WBADRI6in (posedge WBCLKIin) (2532:2564:2597)(-2532:-1380:-229))
(SETUPHOLD WBADRI7in (posedge WBCLKIin) (2519:2551:2584)(-2519:-1377:-235))
(SETUPHOLD WBDATI0in (posedge WBCLKIin) (1057:1262:1467)(-1037:-712:-388))
(SETUPHOLD WBDATI1in (posedge WBCLKIin) (1114:1338:1563)(-1108:-785:-462))
(SETUPHOLD WBDATI2in (posedge WBCLKIin) (916:1076:1237)(-912:-636:-360))
(SETUPHOLD WBDATI3in (posedge WBCLKIin) (1145:1359:1573)(-1115:-782:-449))
(SETUPHOLD WBDATI4in (posedge WBCLKIin) (1192:1400:1609)(-1176:-820:-464))
(SETUPHOLD WBDATI5in (posedge WBCLKIin) (1027:1222:1418)(-1000:-706:-413))
(SETUPHOLD WBDATI6in (posedge WBCLKIin) (1034:1248:1463)(-1034:-756:-479))
(SETUPHOLD WBDATI7in (posedge WBCLKIin) (528:614:701)(-528:-398:-268))
)
(TIMINGCHECK
(WIDTH (posedge WBCLKIin) (4887:4887:4887))
(WIDTH (negedge WBCLKIin) (4887:4887:4887))
)
)
(CELL
(CELLTYPE "RAM2E")
(INSTANCE )
(DELAY
(ABSOLUTE
(INTERCONNECT SLICE_0/Q1 SLICE_0/A1 (481:575:669)(481:575:669))
(INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_51/B1 (1363:1554:1746)(1363:1554:1746))
(INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_69/C1 (1291:1472:1653)(1291:1472:1653))
(INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_105/B0 (1522:1716:1910)(1522:1716:1910))
(INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_108/B0 (788:918:1049)(788:918:1049))
(INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_146/D0 (546:608:671)(546:608:671))
(INTERCONNECT SLICE_0/F1 SLICE_0/DI1 (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_0/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_1/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_2/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_2/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_3/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_3/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_4/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_4/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_5/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_5/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_6/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_6/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_7/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_7/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_8/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_8/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_9/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_10/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_11/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_12/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_12/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_13/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_14/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_15/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_16/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_17/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_18/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_18/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_19/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_20/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_20/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_21/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_21/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_22/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_22/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_23/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_23/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_24/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_24/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_25/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_25/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_26/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_26/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_27/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_27/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_28/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_28/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_29/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_29/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_30/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_30/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_31/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_32/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_33/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_33/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_34/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_34/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_35/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_36/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_37/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI SLICE_38/CLK (2666:2868:3070)(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_39/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_40/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_41/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_42/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_43/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_43/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_44/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_44/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_45/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_45/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_46/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_46/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_47/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_47/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_48/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_48/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_49/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_49/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_50/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_50/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_51/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_52/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_52/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_53/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_53/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_54/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_54/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_55/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_55/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_56/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_57/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_58/CLK (2666:2868:3070)
(2666:2868:3070))
(INTERCONNECT C14M_I/PADDI DQMH_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI DQML_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[11\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[10\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[9\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[8\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[7\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[6\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[5\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[4\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[3\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[2\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[1\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI RAout\[0\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI BA\[1\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI BA\[0\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI nRWEout_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI nCASout_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI nRASout_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI CKEout_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI Vout\[7\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI Vout\[6\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI Vout\[5\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI Vout\[4\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI Vout\[3\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI Vout\[2\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI Vout\[1\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI Vout\[0\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI PHI1_MGIOL/CLK (2813:3028:3243)(2813:3028:3243))
(INTERCONNECT C14M_I/PADDI
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBCLKIin
(2813:3028:3243)(2813:3028:3243))
(INTERCONNECT SLICE_0/FCO SLICE_8/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_1/Q0 SLICE_9/B1 (1593:1795:1997)(1593:1795:1997))
(INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_57/B1 (1675:1872:2069)(1675:1872:2069))
(INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_57/B0 (1675:1872:2069)(1675:1872:2069))
(INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_69/D1 (982:1084:1186)(982:1084:1186))
(INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_80/C1 (1808:2023:2239)(1808:2023:2239))
(INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_105/C0 (993:1150:1307)(993:1150:1307))
(INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_120/B1 (1593:1795:1997)(1593:1795:1997))
(INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_120/B0 (1593:1795:1997)(1593:1795:1997))
(INTERCONNECT SLICE_1/F0 SLICE_1/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/FCO SLICE_1/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_2/A1 (481:575:669)(481:575:669))
(INTERCONNECT SLICE_2/Q1 SLICE_23/B1 (793:924:1055)(793:924:1055))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_49/D1 (995:1098:1201)(995:1098:1201))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_49/D0 (995:1098:1201)(995:1098:1201))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_50/B0 (1607:1810:2013)(1607:1810:2013))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_51/D1 (921:1016:1111)(921:1016:1111))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_56/C1 (889:1042:1195)(889:1042:1195))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_57/D1 (926:1021:1117)(926:1021:1117))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_57/D0 (926:1021:1117)(926:1021:1117))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_66/B1 (1490:1688:1886)(1490:1688:1886))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_70/B1 (1607:1810:2013)(1607:1810:2013))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_81/D1 (995:1098:1201)(995:1098:1201))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_85/D1 (1290:1417:1544)(1290:1417:1544))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_89/A1 (1575:1775:1976)(1575:1775:1976))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_98/D1 (995:1098:1201)(995:1098:1201))
(INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_109/C1 (1376:1566:1756)(1376:1566:1756))
(INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_2/Q0 SLICE_23/B0 (786:914:1043)(786:914:1043))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_56/B0 (786:914:1043)(786:914:1043))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_58/D0 (1283:1407:1532)(1283:1407:1532))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_64/D0 (2000:2193:2387)(2000:2193:2387))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_70/D0 (2053:2244:2436)(2053:2244:2436))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_75/D0 (2053:2244:2436)(2053:2244:2436))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_76/D0 (1673:1831:1990)(1673:1831:1990))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_81/C0 (2011:2259:2508)(2011:2259:2508))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_85/B1 (1852:2079:2307)(1852:2079:2307))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_89/D1 (2063:2255:2448)(2063:2255:2448))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_97/D1 (2063:2255:2448)(2063:2255:2448))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_103/D1 (2063:2255:2448)(2063:2255:2448))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_111/C0 (1684:1897:2111)(1684:1897:2111))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_125/B1 (2669:2961:3253)(2669:2961:3253))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_128/D1 (2063:2255:2448)(2063:2255:2448))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_128/D0 (2063:2255:2448)(2063:2255:2448))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_131/C1 (2391:2672:2954)(2391:2672:2954))
(INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_131/D0 (2053:2244:2436)(2053:2244:2436))
(INTERCONNECT SLICE_2/F1 SLICE_2/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/F0 SLICE_2/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/FCO SLICE_2/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_56/D0 (803:890:978)(803:890:978))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_58/C1 (2000:2253:2506)(2000:2253:2506))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_68/D0 (2316:2549:2782)(2316:2549:2782))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_70/B0 (2226:2491:2757)(2226:2491:2757))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_75/A0 (2194:2457:2720)(2194:2457:2720))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_76/A0 (2579:2875:3172)(2579:2875:3172))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_81/D0 (2696:2962:3228)(2696:2962:3228))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_85/C1 (1947:2202:2457)(1947:2202:2457))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_90/B1 (2933:3266:3600)(2933:3266:3600))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_93/B0 (3391:3765:4140)(3391:3765:4140))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_97/A1 (3739:4144:4549)(3739:4144:4549))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_99/A0 (3739:4144:4549)(3739:4144:4549))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_103/A1 (2912:3245:3578)(2912:3245:3578))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_104/B0 (2944:3279:3615)(2944:3279:3615))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_111/D1 (2369:2600:2831)(2369:2600:2831))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_114/B0 (2611:2910:3209)(2611:2910:3209))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_124/C1 (814:956:1099)(814:956:1099))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_126/A1 (2189:2451:2714)(2189:2451:2714))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_126/A0 (2189:2451:2714)(2189:2451:2714))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_128/A1 (4066:4506:4946)(4066:4506:4946))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_128/C0 (3540:3934:4329)(3540:3934:4329))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_131/B1 (2923:3255:3588)(2923:3255:3588))
(INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_131/A0 (2564:2859:3154)(2564:2859:3154))
(INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (481:575:669)(481:575:669))
(INTERCONNECT SLICE_3/Q0 SLICE_22/B0 (770:892:1014)(770:892:1014))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_56/A0 (751:878:1006)(751:878:1006))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_64/C1 (1633:1850:2068)(1633:1850:2068))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_64/A0 (1505:1698:1891)(1505:1698:1891))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_68/A0 (2270:2529:2789)(2270:2529:2789))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_73/C0 (1701:1918:2135)(1701:1918:2135))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_75/A1 (1875:2100:2325)(1875:2100:2325))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_76/A1 (2255:2513:2771)(2255:2513:2771))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_88/A1 (2255:2513:2771)(2255:2513:2771))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_90/A1 (2255:2513:2771)(2255:2513:2771))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_93/A1 (2619:2908:3198)(2619:2908:3198))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_99/D1 (2065:2259:2454)(2065:2259:2454))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_104/C1 (1701:1918:2135)(1701:1918:2135))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_109/D0 (2060:2254:2448)(2060:2254:2448))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_110/B1 (1901:2128:2355)(1901:2128:2355))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_114/A0 (1505:1698:1891)(1505:1698:1891))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_123/C1 (1701:1918:2135)(1701:1918:2135))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_123/C0 (1701:1918:2135)(1701:1918:2135))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_125/C1 (2076:2325:2575)(2076:2325:2575))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_125/C0 (2076:2325:2575)(2076:2325:2575))
(INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_128/C1 (1701:1918:2135)(1701:1918:2135))
(INTERCONNECT SLICE_3/F1 SLICE_3/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/F0 SLICE_3/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/FCO SLICE_3/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_4/A1 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_4/Q1 SLICE_21/A1 (738:861:985)(738:861:985))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_47/D0 (1944:2145:2347)(1944:2145:2347))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_68/B0 (1510:1714:1919)(1510:1714:1919))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_73/B0 (1885:2122:2359)(1885:2122:2359))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_75/B1 (1495:1698:1901)(1495:1698:1901))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_76/B1 (1495:1698:1901)(1495:1698:1901))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_88/B1 (1495:1698:1901)(1495:1698:1901))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_90/D1 (1612:1778:1944)(1612:1778:1944))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_93/B1 (1484:1686:1888)(1484:1686:1888))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_99/B1 (1874:2110:2346)(1874:2110:2346))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_104/A1 (1822:2053:2285)(1822:2053:2285))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_110/D1 (1981:2179:2377)(1981:2179:2377))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_114/C1 (1628:1849:2071)(1628:1849:2071))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_123/D0 (1268:1404:1541)(1268:1404:1541))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_126/C1 (1279:1470:1662)(1279:1470:1662))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_126/C0 (1279:1470:1662)(1279:1470:1662))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_128/B1 (1885:2122:2359)(1885:2122:2359))
(INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_128/B0 (1885:2122:2359)(1885:2122:2359))
(INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (481:575:669)(481:575:669))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_64/B1 (1617:1822:2027)(1617:1822:2027))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_68/C1 (1703:1929:2155)(1703:1929:2155))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_73/D0 (1750:1919:2089)(1750:1919:2089))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_75/C1 (805:948:1092)(805:948:1092))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_81/B1 (1222:1392:1563)(1222:1392:1563))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_93/D1 (2452:2690:2928)(2452:2690:2928))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_104/B1 (1617:1822:2027)(1617:1822:2027))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_110/C1 (1703:1929:2155)(1703:1929:2155))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_111/B0 (1222:1392:1563)(1222:1392:1563))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_114/B1 (1617:1822:2027)(1617:1822:2027))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_123/B1 (1617:1822:2027)(1617:1822:2027))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_123/B0 (1617:1822:2027)(1617:1822:2027))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_125/D1 (2447:2683:2920)(2447:2683:2920))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_125/B0 (2362:2631:2901)(2362:2631:2901))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_126/B1 (1607:1811:2015)(1607:1811:2015))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_126/B0 (1607:1811:2015)(1607:1811:2015))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_134/B0 (1591:1793:1996)(1591:1793:1996))
(INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_145/B0 (2694:3000:3306)(2694:3000:3306))
(INTERCONNECT SLICE_4/F1 SLICE_4/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/F0 SLICE_4/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/FCO SLICE_4/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_5/A1 (481:575:669)(481:575:669))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_68/D1 (1879:2074:2269)(1879:2074:2269))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_68/C0 (1366:1555:1744)(1366:1555:1744))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_70/A1 (1012:1172:1333)(1012:1172:1333))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_73/A0 (1195:1362:1530)(1195:1362:1530))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_81/A1 (1387:1580:1773)(1387:1580:1773))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_93/C1 (1890:2141:2392)(1890:2141:2392))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_99/A1 (1533:1737:1942)(1533:1737:1942))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_104/D1 (1698:1869:2041)(1698:1869:2041))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_107/B0 (1038:1200:1363)(1038:1200:1363))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_110/A1 (1892:2126:2361)(1892:2126:2361))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_114/A1 (1897:2132:2367)(1897:2132:2367))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_123/D1 (1698:1869:2041)(1698:1869:2041))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_123/A0 (1897:2132:2367)(1897:2132:2367))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_125/A1 (2126:2383:2640)(2126:2383:2640))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_125/D0 (1323:1462:1601)(1323:1462:1601))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_126/D1 (1552:1712:1872)(1552:1712:1872))
(INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_145/C0 (1890:2141:2392)(1890:2141:2392))
(INTERCONNECT SLICE_5/Q0 SLICE_5/A0 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_5/Q0 SLICE_20/B0 (781:909:1037)(781:909:1037))
(INTERCONNECT SLICE_5/Q0 ram2e_ufm\/SLICE_108/C1 (920:1067:1214)(920:1067:1214))
(INTERCONNECT SLICE_5/Q0 ram2e_ufm\/SLICE_146/A0 (1446:1638:1831)(1446:1638:1831))
(INTERCONNECT SLICE_5/F1 SLICE_5/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/F0 SLICE_5/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/FCO SLICE_5/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_6/A1 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_134/D1 (544:604:665)(544:604:665))
(INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_134/D0 (544:604:665)(544:604:665))
(INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_146/C0 (555:670:786)(555:670:786))
(INTERCONNECT SLICE_6/Q0 SLICE_6/A0 (481:575:669)(481:575:669))
(INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_107/D0 (528:582:636)(528:582:636))
(INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_108/A1 (1184:1351:1519)(1184:1351:1519))
(INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_146/B0 (1216:1386:1556)(1216:1386:1556))
(INTERCONNECT SLICE_6/F1 SLICE_6/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/F0 SLICE_6/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/FCO SLICE_6/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_7/A1 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_7/Q1 SLICE_35/B1 (2395:2672:2950)(2395:2672:2950))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_57/A1 (1183:1347:1512)(1183:1347:1512))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_67/D0 (1783:1960:2138)(1783:1960:2138))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_71/A1 (2363:2638:2913)(2363:2638:2913))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_72/B1 (2389:2666:2943)(2389:2666:2943))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_107/D1 (2528:2770:3012)(2528:2770:3012))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_108/B1 (3097:3442:3787)(3097:3442:3787))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_122/A1 (2331:2611:2891)(2331:2611:2891))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_122/A0 (2331:2611:2891)(2331:2611:2891))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_124/D1 (1783:1960:2138)(1783:1960:2138))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_124/D0 (1783:1960:2138)(1783:1960:2138))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_129/B1 (3097:3443:3789)(3097:3443:3789))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_129/B0 (3097:3443:3789)(3097:3443:3789))
(INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_146/D1 (2528:2770:3012)(2528:2770:3012))
(INTERCONNECT SLICE_7/Q0 SLICE_7/A0 (483:579:675)(483:579:675))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_67/B1 (1164:1329:1494)(1164:1329:1494))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_67/B0 (1164:1329:1494)(1164:1329:1494))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_71/D1 (922:1019:1116)(922:1019:1116))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_107/A0 (758:888:1018)(758:888:1018))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_122/D1 (1260:1394:1528)(1260:1394:1528))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_122/D0 (1260:1394:1528)(1260:1394:1528))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_124/A1 (1502:1696:1891)(1502:1696:1891))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_124/A0 (1502:1696:1891)(1502:1696:1891))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_131/A1 (740:861:983)(740:861:983))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_134/C1 (559:678:798)(559:678:798))
(INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_134/C0 (559:678:798)(559:678:798))
(INTERCONNECT SLICE_7/F1 SLICE_7/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/F0 SLICE_7/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/FCO SLICE_7/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q1 SLICE_8/A1 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_57/C1 (989:1143:1298)(989:1143:1298))
(INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_67/C1 (1364:1551:1738)(1364:1551:1738))
(INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_122/C1 (1364:1551:1738)(1364:1551:1738))
(INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_122/C0 (1364:1551:1738)(1364:1551:1738))
(INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_134/A1 (1188:1353:1518)(1188:1353:1518))
(INTERCONNECT SLICE_8/Q0 SLICE_8/A0 (483:579:675)(483:579:675))
(INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_67/A1 (1133:1295:1458)(1133:1295:1458))
(INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_122/B1 (1165:1330:1495)(1165:1330:1495))
(INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_122/B0 (1165:1330:1495)(1165:1330:1495))
(INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_124/C0 (918:1068:1219)(918:1068:1219))
(INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_129/A0 (1497:1691:1885)(1497:1691:1885))
(INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_131/D1 (530:586:642)(530:586:642))
(INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_134/B1 (779:910:1042)(779:910:1042))
(INTERCONNECT SLICE_8/F1 SLICE_8/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/F0 SLICE_8/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_9/D1 (885:985:1086)(885:985:1086))
(INTERCONNECT SLICE_33/Q1 SLICE_9/B0 (1127:1295:1464)(1127:1295:1464))
(INTERCONNECT SLICE_33/Q1 SLICE_33/D0 (538:599:660)(538:599:660))
(INTERCONNECT SLICE_33/Q1 SLICE_35/D1 (538:599:660)(538:599:660))
(INTERCONNECT SLICE_33/Q1 SLICE_36/D1 (1276:1411:1547)(1276:1411:1547))
(INTERCONNECT SLICE_33/Q1 SLICE_37/A1 (2975:3305:3635)(2975:3305:3635))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_56/B1 (1143:1314:1485)(1143:1314:1485))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/CKE_7\/SLICE_61/B0 (1518:1721:1925)
(1518:1721:1925))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_67/A0 (1533:1730:1928)(1533:1730:1928))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_69/C0 (1661:1883:2105)(1661:1883:2105))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_72/A0 (768:899:1030)(768:899:1030))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_74/D0 (890:991:1092)(890:991:1092))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_77/B0 (1502:1703:1904)(1502:1703:1904))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_78/C1 (912:1070:1228)(912:1070:1228))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_80/B1 (1123:1292:1461)(1123:1292:1461))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_92/A1 (1148:1312:1476)(1148:1312:1476))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_95/C1 (2025:2278:2532)(2025:2278:2532))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_96/C1 (1704:1923:2142)(1704:1923:2142))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_102/C1 (949:1102:1256)(949:1102:1256))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_106/C1 (574:695:816)(574:695:816))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_112/D1 (2765:3029:3294)(2765:3029:3294))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_113/D0 (1693:1857:2021)(1693:1857:2021))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_115/C1 (1704:1923:2142)(1704:1923:2142))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_117/C1 (927:1088:1250)(927:1088:1250))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_117/C0 (927:1088:1250)(927:1088:1250))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_118/C1 (927:1088:1250)(927:1088:1250))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_118/C0 (927:1088:1250)(927:1088:1250))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_119/C1 (574:695:816)(574:695:816))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_119/C0 (574:695:816)(574:695:816))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_121/C1 (1282:1472:1662)(1282:1472:1662))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_121/C0 (1282:1472:1662)(1282:1472:1662))
(INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_127/A1 (2273:2534:2796)(2273:2534:2796))
(INTERCONNECT SLICE_33/Q1 SLICE_138/A1 (753:882:1012)(753:882:1012))
(INTERCONNECT SLICE_33/Q1 SLICE_138/B0 (1123:1292:1461)(1123:1292:1461))
(INTERCONNECT SLICE_35/F0 SLICE_9/C1 (559:681:804)(559:681:804))
(INTERCONNECT SLICE_35/F0 SLICE_9/C0 (559:681:804)(559:681:804))
(INTERCONNECT SLICE_35/F0 SLICE_35/A1 (483:582:681)(483:582:681))
(INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (7:16:25)(7:16:25))
(INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_80/A1 (740:864:989)(740:864:989))
(INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_92/C1 (929:1083:1238)(929:1083:1238))
(INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_129/C0 (1293:1479:1665)(1293:1479:1665))
(INTERCONNECT SLICE_33/Q0 SLICE_9/A1 (786:917:1048)(786:917:1048))
(INTERCONNECT SLICE_33/Q0 SLICE_9/A0 (786:917:1048)(786:917:1048))
(INTERCONNECT SLICE_33/Q0 SLICE_35/C1 (536:648:760)(536:648:760))
(INTERCONNECT SLICE_33/Q0 SLICE_36/B1 (1198:1364:1531)(1198:1364:1531))
(INTERCONNECT SLICE_33/Q0 SLICE_37/D1 (956:1054:1153)(956:1054:1153))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_56/D1 (825:916:1008)(825:916:1008))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_69/B0 (1442:1634:1826)(1442:1634:1826))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_71/C0 (1581:1792:2003)(1581:1792:2003))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_72/A1 (786:917:1048)(786:917:1048))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_74/A0 (1551:1748:1946)(1551:1748:1946))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_77/A0 (1931:2161:2392)(1931:2161:2392))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_78/A1 (1035:1192:1349)(1035:1192:1349))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_80/D1 (2096:2293:2491)(2096:2293:2491))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_92/A0 (1166:1330:1494)(1166:1330:1494))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_95/B1 (2160:2423:2686)(2160:2423:2686))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_96/B1 (1067:1226:1386)(1067:1226:1386))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_106/A1 (1551:1748:1946)(1551:1748:1946))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_107/B1 (1431:1622:1813)(1431:1622:1813))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_112/B1 (1442:1634:1826)(1442:1634:1826))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_113/A0 (1551:1748:1946)(1551:1748:1946))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_115/D0 (1689:1860:2031)(1689:1860:2031))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_117/A1 (786:917:1048)(786:917:1048))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_117/A0 (786:917:1048)(786:917:1048))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_118/B1 (1156:1326:1497)(1156:1326:1497))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_118/B0 (1156:1326:1497)(1156:1326:1497))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_119/B1 (1067:1226:1386)(1067:1226:1386))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_119/B0 (1067:1226:1386)(1067:1226:1386))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_120/A1 (786:917:1048)(786:917:1048))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_120/A0 (786:917:1048)(786:917:1048))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_121/A1 (1931:2161:2392)(1931:2161:2392))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_121/A0 (1931:2161:2392)(1931:2161:2392))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_127/C1 (1929:2179:2429)(1929:2179:2429))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_127/C0 (1929:2179:2429)(1929:2179:2429))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_129/D1 (1689:1860:2031)(1689:1860:2031))
(INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_129/D0 (1689:1860:2031)(1689:1860:2031))
(INTERCONNECT SLICE_33/Q0 SLICE_138/C1 (2107:2359:2612)(2107:2359:2612))
(INTERCONNECT SLICE_33/Q0 SLICE_138/C0 (2107:2359:2612)(2107:2359:2612))
(INTERCONNECT ram2e_ufm\/CKE_7\/SLICE_61/OFX0 SLICE_9/D0 (857:949:1042)
(857:949:1042))
(INTERCONNECT SLICE_9/F0 SLICE_9/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q0 CKEout_MGIOL/OPOS (1081:1188:1296)(1081:1188:1296))
(INTERCONNECT SLICE_9/F1 ram2e_ufm\/SLICE_56/CE (1172:1292:1412)(1172:1292:1412))
(INTERCONNECT SLICE_31/Q0 SLICE_10/D0 (795:880:965)(795:880:965))
(INTERCONNECT SLICE_31/Q0 SLICE_18/B1 (1437:1625:1813)(1437:1625:1813))
(INTERCONNECT SLICE_31/Q0 SLICE_18/B0 (1437:1625:1813)(1437:1625:1813))
(INTERCONNECT SLICE_31/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/M0
(1487:1630:1774)(1487:1630:1774))
(INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_77/B1 (1437:1625:1813)(1437:1625:1813))
(INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_79/C0 (2267:2540:2814)(2267:2540:2814))
(INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_80/D0 (1886:2072:2259)(1886:2072:2259))
(INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_83/B0 (1437:1625:1813)(1437:1625:1813))
(INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_130/C1 (1576:1783:1990)(1576:1783:1990))
(INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_130/C0 (1576:1783:1990)(1576:1783:1990))
(INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_133/A1 (2139:2388:2637)(2139:2388:2637))
(INTERCONNECT SLICE_10/Q0 SLICE_10/A0 (481:575:669)(481:575:669))
(INTERCONNECT SLICE_10/Q0 SLICE_18/C1 (552:669:786)(552:669:786))
(INTERCONNECT SLICE_10/Q0 SLICE_18/D0 (528:582:636)(528:582:636))
(INTERCONNECT SLICE_10/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/C0
(552:669:786)(552:669:786))
(INTERCONNECT SLICE_10/F0 SLICE_10/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_10/CE (882:979:1076)(882:979:1076))
(INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_18/CE (1647:1803:1960)(1647:1803:1960))
(INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_18/CE (1647:1803:1960)(1647:1803:1960))
(INTERCONNECT SLICE_10/F1 nCSout_I/PADDO (1694:1892:2090)(1694:1892:2090))
(INTERCONNECT SLICE_11/Q0 SLICE_11/B1 (796:925:1054)(796:925:1054))
(INTERCONNECT SLICE_11/Q0 SLICE_11/B0 (796:925:1054)(796:925:1054))
(INTERCONNECT SLICE_11/Q0 SLICE_26/D1 (554:615:676)(554:615:676))
(INTERCONNECT SLICE_11/Q0 SLICE_26/D0 (554:615:676)(554:615:676))
(INTERCONNECT SLICE_11/Q0 ram2e_ufm\/SLICE_91/D1 (533:592:652)(533:592:652))
(INTERCONNECT SLICE_26/Q1 SLICE_11/A1 (756:882:1009)(756:882:1009))
(INTERCONNECT SLICE_26/Q1 SLICE_11/A0 (756:882:1009)(756:882:1009))
(INTERCONNECT SLICE_26/Q1 SLICE_26/A1 (481:575:669)(481:575:669))
(INTERCONNECT SLICE_26/Q1 SLICE_26/A0 (756:882:1009)(756:882:1009))
(INTERCONNECT SLICE_26/Q1 ram2e_ufm\/SLICE_91/B1 (777:906:1036)(777:906:1036))
(INTERCONNECT SLICE_26/Q0 SLICE_11/D0 (525:582:639)(525:582:639))
(INTERCONNECT SLICE_26/Q0 SLICE_26/B1 (778:905:1032)(778:905:1032))
(INTERCONNECT SLICE_26/Q0 SLICE_26/B0 (778:905:1032)(778:905:1032))
(INTERCONNECT SLICE_26/Q0 ram2e_ufm\/CKE_7\/SLICE_61/A1 (751:878:1006)
(751:878:1006))
(INTERCONNECT SLICE_26/Q0 ram2e_ufm\/SLICE_91/C1 (879:1031:1183)(879:1031:1183))
(INTERCONNECT SLICE_11/F0 SLICE_11/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_11/CE (1245:1369:1494)(1245:1369:1494))
(INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_26/CE (1245:1369:1494)(1245:1369:1494))
(INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_26/CE (1245:1369:1494)(1245:1369:1494))
(INTERCONNECT SLICE_11/F1 ram2e_ufm\/CKE_7\/SLICE_61/C1 (541:653:766)(541:653:766))
(INTERCONNECT SLICE_13/F1 SLICE_12/D1 (520:573:626)(520:573:626))
(INTERCONNECT ram2e_ufm\/SLICE_62/F1 SLICE_12/C1 (534:645:756)(534:645:756))
(INTERCONNECT ram2e_ufm\/SLICE_62/F1 ram2e_ufm\/SLICE_62/D0 (523:579:635)
(523:579:635))
(INTERCONNECT ram2e_ufm\/SLICE_84/F1 SLICE_12/B1 (781:910:1039)(781:910:1039))
(INTERCONNECT ram2e_ufm\/SLICE_84/F1 ram2e_ufm\/SLICE_84/C0 (280:362:445)
(280:362:445))
(INTERCONNECT ram2e_ufm\/SLICE_84/F1 ram2e_ufm\/SLICE_87/B0 (1108:1272:1436)
(1108:1272:1436))
(INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_12/A1 (751:875:999)(751:875:999))
(INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_12/B0 (1110:1271:1433)(1110:1271:1433))
(INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_13/C1 (890:1040:1191)(890:1040:1191))
(INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_13/C0 (890:1040:1191)(890:1040:1191))
(INTERCONNECT SLICE_12/Q1 SLICE_12/D0 (550:615:680)(550:615:680))
(INTERCONNECT SLICE_12/Q1 SLICE_13/B1 (792:925:1058)(792:925:1058))
(INTERCONNECT SLICE_12/Q1 SLICE_13/B0 (792:925:1058)(792:925:1058))
(INTERCONNECT SLICE_12/Q1 SLICE_19/C1 (817:971:1125)(817:971:1125))
(INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_40/D1 (532:590:648)(532:590:648))
(INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/D1 (534:598:662)
(534:598:662))
(INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_62/C1 (561:681:801)(561:681:801))
(INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_62/C0 (561:681:801)(561:681:801))
(INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_65/B0 (1375:1577:1779)(1375:1577:1779))
(INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_84/B0 (776:908:1040)(776:908:1040))
(INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_87/A0 (760:890:1021)(760:890:1021))
(INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_101/B0 (776:908:1040)(776:908:1040))
(INTERCONNECT ram2e_ufm\/SLICE_63/F0 SLICE_12/C0 (541:653:766)(541:653:766))
(INTERCONNECT SLICE_13/Q0 SLICE_12/A0 (766:894:1023)(766:894:1023))
(INTERCONNECT SLICE_13/Q0 SLICE_13/A1 (766:894:1023)(766:894:1023))
(INTERCONNECT SLICE_13/Q0 SLICE_13/A0 (481:575:669)(481:575:669))
(INTERCONNECT SLICE_13/Q0 SLICE_15/B1 (1042:1199:1356)(1042:1199:1356))
(INTERCONNECT SLICE_13/Q0 SLICE_19/A1 (1754:1973:2192)(1754:1973:2192))
(INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_40/B1 (1797:2019:2242)(1797:2019:2242))
(INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_62/A1 (766:894:1023)(766:894:1023))
(INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_62/A0 (766:894:1023)(766:894:1023))
(INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_63/B1 (1760:1987:2214)(1760:1987:2214))
(INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_83/D1 (1555:1709:1864)(1555:1709:1864))
(INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_84/B1 (1760:1987:2214)(1760:1987:2214))
(INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_94/C0 (1566:1775:1985)(1566:1775:1985))
(INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_100/C0 (1191:1368:1545)(1191:1368:1545))
(INTERCONNECT SLICE_12/F1 SLICE_12/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_12/F0 SLICE_12/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_12/LSR (887:985:1084)(887:985:1084))
(INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_12/LSR (887:985:1084)(887:985:1084))
(INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_13/LSR (887:985:1084)(887:985:1084))
(INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_40/C1 (570:687:804)(570:687:804))
(INTERCONNECT SLICE_12/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/C1
(570:687:804)(570:687:804))
(INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_63/D1 (1625:1786:1947)(1625:1786:1947))
(INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_63/A0 (736:853:971)(736:853:971))
(INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_65/D1 (934:1028:1123)(934:1028:1123))
(INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_65/D0 (934:1028:1123)(934:1028:1123))
(INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_77/D1 (886:983:1080)(886:983:1080))
(INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_82/C1 (570:687:804)(570:687:804))
(INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_83/C0 (570:687:804)(570:687:804))
(INTERCONNECT ram2e_ufm\/SLICE_87/F1 SLICE_13/D1 (273:306:340)(273:306:340))
(INTERCONNECT ram2e_ufm\/SLICE_87/F1 SLICE_13/D0 (527:589:651)(527:589:651))
(INTERCONNECT ram2e_ufm\/SLICE_87/F1 ram2e_ufm\/SLICE_62/B0 (769:899:1029)
(769:899:1029))
(INTERCONNECT ram2e_ufm\/SLICE_87/F1 ram2e_ufm\/SLICE_87/C0 (284:372:461)
(284:372:461))
(INTERCONNECT SLICE_13/F0 SLICE_13/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_40/F1 SLICE_14/C1 (811:957:1103)(811:957:1103))
(INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_39/B0 (777:908:1040)
(777:908:1040))
(INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_40/C0 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_41/D0 (800:891:982)
(800:891:982))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_14/B1 (1956:2151:2346)(1956:2151:2346))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_16/A1 (1929:2122:2315)(1929:2122:2315))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_29/A1 (2251:2478:2706)(2251:2478:2706))
(INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_39/B1 (1956:2151:2346)
(1956:2151:2346))
(INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_45/B1 (2326:2553:2780)
(2326:2553:2780))
(INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_49/B1 (2690:2948:3207)
(2690:2948:3207))
(INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/A1
(2267:2497:2727)(2267:2497:2727))
(INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/D0
(1719:1846:1974)(1719:1846:1974))
(INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_100/B1 (2320:2546:2773)
(2320:2546:2773))
(INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_101/B1 (2299:2531:2764)
(2299:2531:2764))
(INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_116/B1 (1961:2156:2352)
(1961:2156:2352))
(INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_147/C0 (2052:2269:2486)
(2052:2269:2486))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_14/A1 (2246:2470:2695)(2246:2470:2695))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_16/B1 (3038:3331:3624)(3038:3331:3624))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_28/B1 (3408:3733:4058)(3408:3733:4058))
(INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_39/D1 (2733:2959:3185)
(2733:2959:3185))
(INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_44/B1 (3408:3733:4058)
(3408:3733:4058))
(INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_48/A1 (3006:3296:3587)
(3006:3296:3587))
(INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/B1
(2663:2923:3184)(2663:2923:3184))
(INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/B0
(2663:2923:3184)(2663:2923:3184))
(INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_100/C1 (2036:2249:2462)
(2036:2249:2462))
(INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_101/C1 (2759:3041:3324)
(2759:3041:3324))
(INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_116/A1 (2958:3251:3544)
(2958:3251:3544))
(INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_141/B0 (2648:2907:3166)
(2648:2907:3166))
(INTERCONNECT ram2e_ufm\/SLICE_142/F1 SLICE_14/D0 (266:290:315)(266:290:315))
(INTERCONNECT SLICE_14/F1 SLICE_14/C0 (280:362:445)(280:362:445))
(INTERCONNECT SLICE_14/F1 SLICE_17/C1 (537:645:753)(537:645:753))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_14/B0 (2210:2433:2656)(2210:2433:2656))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_15/A1 (1871:2055:2240)(1871:2055:2240))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_15/A0 (1871:2055:2240)(1871:2055:2240))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_16/D1 (1661:1780:1899)(1661:1780:1899))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_16/D0 (1661:1780:1899)(1661:1780:1899))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_17/D0 (2031:2182:2333)(2031:2182:2333))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_27/A1 (2173:2393:2613)(2173:2393:2613))
(INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_39/D0 (2401:2584:2767)
(2401:2584:2767))
(INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_41/A1 (2505:2760:3016)
(2505:2760:3016))
(INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_43/B1 (1872:2056:2240)
(1872:2056:2240))
(INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_47/A1 (2162:2380:2598)
(2162:2380:2598))
(INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/M0
(1626:1733:1841)(1626:1733:1841))
(INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_87/B1 (2537:2795:3053)
(2537:2795:3053))
(INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_100/D1 (2290:2479:2669)
(2290:2479:2669))
(INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_116/A0 (1871:2055:2240)
(1871:2055:2240))
(INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_143/D1 (2765:2979:3194)
(2765:2979:3194))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_14/A0 (2284:2506:2729)(2284:2506:2729))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_17/A1 (1915:2105:2296)(1915:2105:2296))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_28/D0 (1636:1752:1869)(1636:1752:1869))
(INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_39/A0 (2981:3270:3560)
(2981:3270:3560))
(INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_41/B1 (2316:2541:2766)
(2316:2541:2766))
(INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_42/D1 (1641:1758:1875)
(1641:1758:1875))
(INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_44/B0 (3037:3330:3623)
(3037:3330:3623))
(INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_48/C0 (2016:2219:2423)
(2016:2219:2423))
(INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_100/A1 (2210:2423:2637)
(2210:2423:2637))
(INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_116/B0 (1947:2140:2333)
(1947:2140:2333))
(INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_133/D1 (2016:2165:2315)
(2016:2165:2315))
(INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_133/D0 (2016:2165:2315)
(2016:2165:2315))
(INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_144/A1 (2981:3270:3560)
(2981:3270:3560))
(INTERCONNECT SLICE_14/F0 SLICE_14/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_14/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_15/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_16/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_17/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_27/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_27/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_28/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_28/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_29/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_29/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_30/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_30/CE (1815:2020:2225)(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_39/CE (1815:2020:2225)
(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_40/CE (1815:2020:2225)
(1815:2020:2225))
(INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_41/CE (1815:2020:2225)
(1815:2020:2225))
(INTERCONNECT SLICE_14/Q0 ram2e_ufm\/SLICE_147/D1 (520:573:626)(520:573:626))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_15/C1 (2190:2401:2613)(2190:2401:2613))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_15/C0 (2190:2401:2613)(2190:2401:2613))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_16/C1 (2517:2763:3010)(2517:2763:3010))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_16/B0 (2421:2645:2870)(2421:2645:2870))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_17/B0 (2785:3041:3297)(2785:3041:3297))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_30/B1 (2406:2629:2852)(2406:2629:2852))
(INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_41/B0 (1955:2151:2347)
(1955:2151:2347))
(INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_46/B1 (2776:3031:3286)
(2776:3031:3286))
(INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_50/B1 (3140:3426:3713)
(3140:3426:3713))
(INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/A0
(2389:2611:2833)(2389:2611:2833))
(INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_94/D1 (2534:2721:2908)
(2534:2721:2908))
(INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_100/B0 (2776:3031:3286)
(2776:3031:3286))
(INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_142/B1 (1955:2151:2347)
(1955:2151:2347))
(INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_143/D0 (2071:2230:2389)
(2071:2230:2389))
(INTERCONNECT SLICE_17/F1 SLICE_15/D0 (539:600:661)(539:600:661))
(INTERCONNECT SLICE_17/F1 SLICE_16/C0 (550:666:782)(550:666:782))
(INTERCONNECT SLICE_17/F1 SLICE_17/C0 (280:362:445)(280:362:445))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_15/B0 (1827:2026:2225)(1827:2026:2225))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_16/A0 (1795:1991:2188)(1795:1991:2188))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_17/A0 (2165:2393:2622)(2165:2393:2622))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_29/A0 (3295:3621:3948)(3295:3621:3948))
(INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_41/D1 (2678:2912:3147)
(2678:2912:3147))
(INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_45/C0 (3423:3774:4125)
(3423:3774:4125))
(INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_49/C0 (3460:3807:4155)
(3460:3807:4155))
(INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_84/D1 (2710:2938:3167)
(2710:2938:3167))
(INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_94/C1 (2711:2993:3276)
(2711:2993:3276))
(INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_100/A0 (2910:3203:3496)
(2910:3203:3496))
(INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_133/B1 (2952:3248:3545)
(2952:3248:3545))
(INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_133/B0 (2952:3248:3545)
(2952:3248:3545))
(INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_142/D1 (2678:2912:3147)
(2678:2912:3147))
(INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_142/D0 (2678:2912:3147)
(2678:2912:3147))
(INTERCONNECT SLICE_15/F0 SLICE_15/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15/Q0 ram2e_ufm\/SLICE_79/D1 (1116:1235:1355)(1116:1235:1355))
(INTERCONNECT SLICE_15/F1 ram2e_ufm\/SLICE_101/C0 (868:1015:1163)(868:1015:1163))
(INTERCONNECT SLICE_16/F0 SLICE_16/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/Q0 ram2e_ufm\/SLICE_85/A0 (740:863:986)(740:863:986))
(INTERCONNECT SLICE_16/F1 ram2e_ufm\/SLICE_84/A1 (736:854:973)(736:854:973))
(INTERCONNECT SLICE_16/F1 ram2e_ufm\/SLICE_101/A0 (1070:1231:1392)(1070:1231:1392))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_17/B1 (1956:2151:2346)(1956:2151:2346))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_27/A0 (2256:2484:2712)(2256:2484:2712))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_39/C1 (2438:2689:2941)
(2438:2689:2941))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_41/C1 (2438:2689:2941)
(2438:2689:2941))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_42/A1 (2631:2891:3152)
(2631:2891:3152))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_42/D0 (2094:2254:2414)
(2094:2254:2414))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_43/D0 (2785:3011:3238)
(2785:3011:3238))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_65/C1 (1730:1912:2095)
(1730:1912:2095))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_86/C1 (1714:1895:2076)
(1714:1895:2076))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_94/D0 (2453:2644:2835)
(2453:2644:2835))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_116/C0 (1725:1907:2089)
(1725:1907:2089))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_133/C1 (1730:1912:2095)
(1730:1912:2095))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_141/C1 (2438:2689:2941)
(2438:2689:2941))
(INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_142/C1 (2438:2689:2941)
(2438:2689:2941))
(INTERCONNECT SLICE_17/F0 SLICE_17/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_17/Q0 ram2e_ufm\/SLICE_147/B1 (765:883:1001)(765:883:1001))
(INTERCONNECT SLICE_18/Q0 SLICE_18/D1 (534:591:648)(534:591:648))
(INTERCONNECT SLICE_18/Q0 SLICE_18/A0 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_18/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/A0
(744:866:989)(744:866:989))
(INTERCONNECT SLICE_18/Q1 SLICE_18/A1 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_18/Q1 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/B0
(765:888:1011)(765:888:1011))
(INTERCONNECT SLICE_18/F1 SLICE_18/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_18/F0 SLICE_18/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_96/F1 SLICE_19/C0 (809:960:1112)(809:960:1112))
(INTERCONNECT ram2e_ufm\/SLICE_96/F1 SLICE_31/C1 (546:675:804)(546:675:804))
(INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_95/C0 (546:675:804)
(546:675:804))
(INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_96/C0 (286:377:469)
(286:377:469))
(INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_132/B1 (517:621:726)
(517:621:726))
(INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_132/A0 (485:587:689)
(485:587:689))
(INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_140/C1 (818:982:1146)
(818:982:1146))
(INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_140/C0 (818:982:1146)
(818:982:1146))
(INTERCONNECT SLICE_20/Q1 SLICE_19/A0 (1002:1154:1306)(1002:1154:1306))
(INTERCONNECT SLICE_20/Q1 RAout\[1\]_MGIOL/OPOS (1411:1555:1700)(1411:1555:1700))
(INTERCONNECT SLICE_34/Q1 SLICE_19/M0 (1132:1257:1382)(1132:1257:1382))
(INTERCONNECT SLICE_34/Q1 SLICE_34/A1 (483:579:675)(483:579:675))
(INTERCONNECT SLICE_34/Q1 SLICE_34/A0 (748:874:1001)(748:874:1001))
(INTERCONNECT SLICE_34/Q1 SLICE_35/A0 (748:874:1001)(748:874:1001))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_42/A0 (2182:2464:2746)(2182:2464:2746))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_43/A1 (1799:2030:2261)(1799:2030:2261))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_43/A0 (1799:2030:2261)(1799:2030:2261))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_44/A1 (2182:2464:2746)(2182:2464:2746))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_44/D0 (1624:1801:1979)(1624:1801:1979))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_45/A1 (1799:2030:2261)(1799:2030:2261))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_45/A0 (1799:2030:2261)(1799:2030:2261))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_46/A1 (1799:2030:2261)(1799:2030:2261))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_46/A0 (1799:2030:2261)(1799:2030:2261))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_51/D0 (1624:1801:1979)(1624:1801:1979))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_56/A1 (1439:1639:1839)(1439:1639:1839))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_69/A0 (1439:1639:1839)(1439:1639:1839))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_71/D0 (1609:1776:1944)(1609:1776:1944))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_72/B0 (772:896:1020)(772:896:1020))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_74/B0 (1851:2086:2322)(1851:2086:2322))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_77/C0 (840:994:1149)(840:994:1149))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_78/A0 (1439:1639:1839)(1439:1639:1839))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_79/A0 (2182:2464:2746)(2182:2464:2746))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_95/A1 (1819:2052:2285)(1819:2052:2285))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_96/A1 (1823:2065:2307)(1823:2065:2307))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_102/A1 (2199:2465:2731)(2199:2465:2731))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_105/A1 (1439:1639:1839)(1439:1639:1839))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_107/A1 (1409:1606:1803)(1409:1606:1803))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_113/A1 (2199:2465:2731)(2199:2465:2731))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_115/A0 (2199:2465:2731)(2199:2465:2731))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_117/B1 (2621:2923:3226)(2621:2923:3226))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_117/B0 (2621:2923:3226)(2621:2923:3226))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_118/A1 (2589:2889:3189)(2589:2889:3189))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_118/A0 (2589:2889:3189)(2589:2889:3189))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_119/A1 (1439:1639:1839)(1439:1639:1839))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_119/A0 (1439:1639:1839)(1439:1639:1839))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_120/D1 (2379:2613:2848)(2379:2613:2848))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_120/D0 (2379:2613:2848)(2379:2613:2848))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_121/B1 (1071:1238:1406)(1071:1238:1406))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_121/B0 (1071:1238:1406)(1071:1238:1406))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_127/B1 (1851:2086:2322)(1851:2086:2322))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_127/B0 (1851:2086:2322)(1851:2086:2322))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_135/D1 (1609:1776:1944)(1609:1776:1944))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_135/D0 (1609:1776:1944)(1609:1776:1944))
(INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_136/C1 (1178:1369:1561)(1178:1369:1561))
(INTERCONNECT SLICE_34/Q1 SLICE_138/B1 (774:904:1034)(774:904:1034))
(INTERCONNECT SLICE_138/F0 SLICE_19/LSR (1135:1258:1382)(1135:1258:1382))
(INTERCONNECT SLICE_19/F0 SLICE_20/C1 (800:939:1079)(800:939:1079))
(INTERCONNECT SLICE_19/Q0 ram2e_ufm\/SLICE_136/A0 (730:848:967)(730:848:967))
(INTERCONNECT SLICE_19/F1 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/B1
(775:903:1032)(775:903:1032))
(INTERCONNECT SLICE_19/F1 ram2e_ufm\/SLICE_65/A1 (736:854:973)(736:854:973))
(INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_20/D1 (897:1007:1118)(897:1007:1118))
(INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_21/B0 (774:904:1034)(774:904:1034))
(INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_22/A1 (749:884:1019)(749:884:1019))
(INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_31/A1 (485:587:689)(485:587:689))
(INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_95/B0 (517:621:726)
(517:621:726))
(INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_96/D0 (897:1007:1118)
(897:1007:1118))
(INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_132/D1 (897:1007:1118)
(897:1007:1118))
(INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_132/D0 (897:1007:1118)
(897:1007:1118))
(INTERCONNECT Ain\[1\]_I/PADDI SLICE_20/B1 (2708:2959:3210)(2708:2959:3210))
(INTERCONNECT ram2e_ufm\/SLICE_107/F0 SLICE_20/A1 (733:848:964)(733:848:964))
(INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_20/D0 (528:584:640)(528:584:640))
(INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_21/C1 (541:658:775)(541:658:775))
(INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_22/A0 (740:867:995)(740:867:995))
(INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_23/A1 (1083:1249:1415)(1083:1249:1415))
(INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_23/A0 (1083:1249:1415)(1083:1249:1415))
(INTERCONNECT ram2e_ufm\/SLICE_124/F0 SLICE_20/C0 (534:639:744)(534:639:744))
(INTERCONNECT ram2e_ufm\/SLICE_132/F1 SLICE_20/A0 (730:848:967)(730:848:967))
(INTERCONNECT SLICE_20/F1 SLICE_20/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F0 SLICE_20/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_20/CE (901:1003:1106)(901:1003:1106))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_20/CE (901:1003:1106)(901:1003:1106))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_21/CE (1265:1399:1533)(1265:1399:1533))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_21/CE (1265:1399:1533)(1265:1399:1533))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_22/CE (1265:1399:1533)(1265:1399:1533))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_22/CE (1265:1399:1533)(1265:1399:1533))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_23/CE (901:1003:1106)(901:1003:1106))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_23/CE (901:1003:1106)(901:1003:1106))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_24/CE (1618:1781:1945)(1618:1781:1945))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_24/CE (1618:1781:1945)(1618:1781:1945))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_25/CE (1242:1369:1496)(1242:1369:1496))
(INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_25/CE (1242:1369:1496)(1242:1369:1496))
(INTERCONNECT SLICE_20/Q0 SLICE_31/B0 (770:896:1022)(770:896:1022))
(INTERCONNECT SLICE_20/Q0 ram2e_ufm\/SLICE_132/A1 (733:853:974)(733:853:974))
(INTERCONNECT SLICE_20/Q0 RAout\[0\]_MGIOL/OPOS (1342:1481:1620)(1342:1481:1620))
(INTERCONNECT SLICE_31/F1 SLICE_21/B1 (772:897:1023)(772:897:1023))
(INTERCONNECT ram2e_ufm\/SLICE_140/F1 SLICE_21/D0 (266:290:315)(266:290:315))
(INTERCONNECT ram2e_ufm\/SLICE_134/F0 SLICE_21/C0 (800:939:1079)(800:939:1079))
(INTERCONNECT Ain\[2\]_I/PADDI SLICE_21/A0 (2594:2847:3101)(2594:2847:3101))
(INTERCONNECT SLICE_21/F1 SLICE_21/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_21/F0 SLICE_21/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_21/Q0 ram2e_ufm\/SLICE_140/A1 (733:853:974)(733:853:974))
(INTERCONNECT SLICE_21/Q0 RAout\[2\]_MGIOL/OPOS (1340:1473:1606)(1340:1473:1606))
(INTERCONNECT SLICE_21/Q1 SLICE_31/D1 (539:599:659)(539:599:659))
(INTERCONNECT SLICE_21/Q1 SLICE_31/D0 (539:599:659)(539:599:659))
(INTERCONNECT SLICE_21/Q1 RAout\[3\]_MGIOL/OPOS (1084:1193:1303)(1084:1193:1303))
(INTERCONNECT ram2e_ufm\/SLICE_140/F0 SLICE_22/D1 (520:573:626)(520:573:626))
(INTERCONNECT Ain\[5\]_I/PADDI SLICE_22/C1 (1530:1696:1862)(1530:1696:1862))
(INTERCONNECT ram2e_ufm\/SLICE_124/F1 SLICE_22/B1 (1136:1293:1450)(1136:1293:1450))
(INTERCONNECT ram2e_ufm\/SLICE_95/F0 SLICE_22/C0 (868:1015:1163)(868:1015:1163))
(INTERCONNECT SLICE_22/F1 SLICE_22/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/F0 SLICE_22/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/Q0 ram2e_ufm\/SLICE_95/A0 (1177:1341:1505)(1177:1341:1505))
(INTERCONNECT SLICE_22/Q0 RAout\[4\]_MGIOL/OPOS (1667:1835:2003)(1667:1835:2003))
(INTERCONNECT SLICE_22/Q1 ram2e_ufm\/SLICE_140/D0 (523:578:633)(523:578:633))
(INTERCONNECT SLICE_22/Q1 RAout\[5\]_MGIOL/OPOS (1084:1193:1303)(1084:1193:1303))
(INTERCONNECT ram2e_ufm\/SLICE_132/F0 SLICE_23/C1 (531:639:747)(531:639:747))
(INTERCONNECT ram2e_ufm\/SLICE_96/F0 SLICE_23/C0 (531:639:747)(531:639:747))
(INTERCONNECT SLICE_23/F1 SLICE_23/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_23/F0 SLICE_23/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_23/Q0 ram2e_ufm\/SLICE_96/B0 (765:888:1011)(765:888:1011))
(INTERCONNECT SLICE_23/Q0 RAout\[6\]_MGIOL/OPOS (1786:1945:2105)(1786:1945:2105))
(INTERCONNECT SLICE_23/Q1 ram2e_ufm\/SLICE_132/B0 (765:888:1011)(765:888:1011))
(INTERCONNECT SLICE_23/Q1 RAout\[7\]_MGIOL/OPOS (1411:1555:1700)(1411:1555:1700))
(INTERCONNECT ram2e_ufm\/SLICE_121/F0 SLICE_24/D1 (536:594:652)(536:594:652))
(INTERCONNECT ram2e_ufm\/SLICE_121/F0 SLICE_25/D1 (536:594:652)(536:594:652))
(INTERCONNECT ram2e_ufm\/SLICE_146/F1 SLICE_24/B1 (1136:1293:1450)(1136:1293:1450))
(INTERCONNECT SLICE_24/Q1 SLICE_24/A1 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_24/Q1 RAout\[9\]_MGIOL/OPOS (1340:1473:1606)(1340:1473:1606))
(INTERCONNECT ram2e_ufm\/SLICE_78/F0 SLICE_24/D0 (964:1060:1157)(964:1060:1157))
(INTERCONNECT SLICE_24/Q0 SLICE_24/C0 (534:644:754)(534:644:754))
(INTERCONNECT SLICE_24/Q0 RAout\[8\]_MGIOL/OPOS (1411:1555:1700)(1411:1555:1700))
(INTERCONNECT ram2e_ufm\/SLICE_127/F0 SLICE_24/B0 (772:897:1023)(772:897:1023))
(INTERCONNECT ram2e_ufm\/SLICE_115/F1 SLICE_24/A0 (733:854:976)(733:854:976))
(INTERCONNECT ram2e_ufm\/SLICE_115/F1 ram2e_ufm\/SLICE_115/C0 (280:362:445)
(280:362:445))
(INTERCONNECT SLICE_24/F1 SLICE_24/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24/F0 SLICE_24/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_25/C1 (1269:1444:1620)(1269:1444:1620))
(INTERCONNECT ram2e_ufm\/SLICE_74/F0 SLICE_25/B1 (513:611:710)(513:611:710))
(INTERCONNECT ram2e_ufm\/SLICE_74/F0 ram2e_ufm\/SLICE_74/C1 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_74/F0 ram2e_ufm\/SLICE_146/A1 (1331:1522:1713)
(1331:1522:1713))
(INTERCONNECT SLICE_25/Q1 SLICE_25/A1 (479:571:663)(479:571:663))
(INTERCONNECT SLICE_25/Q1 RAout\[11\]_MGIOL/OPOS (1775:1951:2127)(1775:1951:2127))
(INTERCONNECT ram2e_ufm\/SLICE_113/F0 SLICE_25/D0 (523:573:623)(523:573:623))
(INTERCONNECT ram2e_ufm\/SLICE_74/F1 SLICE_25/C0 (531:639:747)(531:639:747))
(INTERCONNECT ram2e_ufm\/SLICE_106/F0 SLICE_25/B0 (762:883:1004)(762:883:1004))
(INTERCONNECT ram2e_ufm\/SLICE_129/F0 SLICE_25/A0 (733:848:964)(733:848:964))
(INTERCONNECT SLICE_25/F1 SLICE_25/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/F0 SLICE_25/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q0 ram2e_ufm\/SLICE_106/B0 (765:888:1011)(765:888:1011))
(INTERCONNECT SLICE_25/Q0 RAout\[10\]_MGIOL/OPOS (1756:1937:2118)(1756:1937:2118))
(INTERCONNECT SLICE_26/F1 SLICE_26/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/F0 SLICE_26/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_43/Q1 SLICE_27/D1 (964:1060:1157)(964:1060:1157))
(INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_27/B1 (1042:1195:1349)(1042:1195:1349))
(INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_27/B0 (1042:1195:1349)(1042:1195:1349))
(INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_28/A1 (1395:1579:1764)(1395:1579:1764))
(INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_28/A0 (1395:1579:1764)(1395:1579:1764))
(INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_29/B1 (1797:2016:2235)(1797:2016:2235))
(INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_29/B0 (1797:2016:2235)(1797:2016:2235))
(INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_30/D1 (1185:1304:1423)(1185:1304:1423))
(INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_30/D0 (1185:1304:1423)(1185:1304:1423))
(INTERCONNECT ram2e_ufm\/SLICE_43/Q0 SLICE_27/C0 (541:653:766)(541:653:766))
(INTERCONNECT SLICE_27/F1 SLICE_27/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27/F0 SLICE_27/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27/Q0 ram2e_ufm\/SLICE_120/C1 (1345:1528:1712)(1345:1528:1712))
(INTERCONNECT SLICE_27/Q0 ram2e_ufm\/SLICE_120/C0 (1345:1528:1712)(1345:1528:1712))
(INTERCONNECT SLICE_27/Q1 ram2e_ufm\/SLICE_78/B0 (1031:1183:1336)(1031:1183:1336))
(INTERCONNECT ram2e_ufm\/SLICE_44/Q1 SLICE_28/C1 (541:653:766)(541:653:766))
(INTERCONNECT ram2e_ufm\/SLICE_44/Q0 SLICE_28/C0 (541:653:766)(541:653:766))
(INTERCONNECT SLICE_28/F1 SLICE_28/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_28/F0 SLICE_28/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_28/Q0 ram2e_ufm\/SLICE_146/C1 (868:1015:1163)(868:1015:1163))
(INTERCONNECT SLICE_28/Q1 ram2e_ufm\/SLICE_74/D1 (894:983:1072)(894:983:1072))
(INTERCONNECT ram2e_ufm\/SLICE_45/Q1 SLICE_29/C1 (975:1126:1278)(975:1126:1278))
(INTERCONNECT ram2e_ufm\/SLICE_45/Q0 SLICE_29/C0 (541:653:766)(541:653:766))
(INTERCONNECT SLICE_29/F1 SLICE_29/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/F0 SLICE_29/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q1 ram2e_ufm\/SLICE_72/D1 (1410:1533:1656)(1410:1533:1656))
(INTERCONNECT ram2e_ufm\/SLICE_46/Q1 SLICE_30/A1 (740:863:986)(740:863:986))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_30/B0 (1767:1946:2126)(1767:1946:2126))
(INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_40/A1 (2544:2801:3059)
(2544:2801:3059))
(INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_42/B1 (3316:3640:3964)
(3316:3640:3964))
(INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_46/B0 (2946:3238:3530)
(2946:3238:3530))
(INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_50/C0 (3449:3791:4134)
(3449:3791:4134))
(INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/D1
(3010:3267:3524)(3010:3267:3524))
(INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_62/D1 (2698:2921:3145)
(2698:2921:3145))
(INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_65/B1 (2561:2819:3078)
(2561:2819:3078))
(INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_82/D1 (2334:2526:2718)
(2334:2526:2718))
(INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_83/C1 (2345:2592:2839)
(2345:2592:2839))
(INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_144/D0 (2313:2503:2693)
(2313:2503:2693))
(INTERCONNECT ram2e_ufm\/SLICE_46/Q0 SLICE_30/A0 (740:863:986)(740:863:986))
(INTERCONNECT SLICE_30/F1 SLICE_30/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/F0 SLICE_30/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 ram2e_ufm\/SLICE_129/A1 (1174:1336:1498)(1174:1336:1498))
(INTERCONNECT SLICE_30/Q1 ram2e_ufm\/SLICE_127/A0 (999:1149:1299)(999:1149:1299))
(INTERCONNECT Ain\[3\]_I/PADDI SLICE_31/B1 (2626:2882:3138)(2626:2882:3138))
(INTERCONNECT nC07X_I/PADDI SLICE_31/C0 (2424:2651:2878)(2424:2651:2878))
(INTERCONNECT nWE_I/PADDI SLICE_31/A0 (2889:3153:3417)(2889:3153:3417))
(INTERCONNECT nWE_I/PADDI SLICE_36/A0 (3270:3567:3864)(3270:3567:3864))
(INTERCONNECT nWE_I/PADDI ram2e_ufm\/CKE_7\/SLICE_61/D0 (3398:3666:3935)
(3398:3666:3935))
(INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_92/D1 (3398:3666:3935)(3398:3666:3935))
(INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_112/B0 (3780:4129:4479)(3780:4129:4479))
(INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_113/B0 (3629:3963:4298)(3629:3963:4298))
(INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_136/B1 (4416:4832:5248)(4416:4832:5248))
(INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_136/B0 (4416:4832:5248)(4416:4832:5248))
(INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_137/D1 (1782:1926:2070)(1782:1926:2070))
(INTERCONNECT SLICE_31/F0 SLICE_31/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_127/F1 SLICE_31/CE (539:596:653)(539:596:653))
(INTERCONNECT ram2e_ufm\/SLICE_110/F1 SLICE_32/D1 (535:598:662)(535:598:662))
(INTERCONNECT ram2e_ufm\/SLICE_110/F1 ram2e_ufm\/SLICE_58/B1 (767:894:1021)
(767:894:1021))
(INTERCONNECT ram2e_ufm\/SLICE_110/F1 ram2e_ufm\/SLICE_110/C0 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_57/F1 SLICE_32/C1 (541:653:766)(541:653:766))
(INTERCONNECT ram2e_ufm\/SLICE_131/F1 SLICE_32/B1 (1099:1259:1420)(1099:1259:1420))
(INTERCONNECT ram2e_ufm\/SLICE_146/F0 SLICE_32/A1 (999:1149:1299)(999:1149:1299))
(INTERCONNECT SLICE_32/F1 SLICE_32/C0 (277:356:436)(277:356:436))
(INTERCONNECT SLICE_32/Q0 SLICE_32/A0 (481:575:669)(481:575:669))
(INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_137/A1 (771:900:1030)(771:900:1030))
(INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_137/A0 (771:900:1030)(771:900:1030))
(INTERCONNECT SLICE_32/Q0 SLICE_139/D0 (528:582:636)(528:582:636))
(INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_141/D1 (561:625:689)(561:625:689))
(INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_141/D0 (561:625:689)(561:625:689))
(INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_142/B0 (1173:1337:1501)(1173:1337:1501))
(INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_143/B1 (1183:1348:1513)(1183:1348:1513))
(INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_143/B0 (1183:1348:1513)(1183:1348:1513))
(INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_144/B1 (1183:1348:1513)(1183:1348:1513))
(INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_144/B0 (1183:1348:1513)(1183:1348:1513))
(INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_147/B0 (1173:1337:1501)(1173:1337:1501))
(INTERCONNECT SLICE_32/F0 SLICE_32/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_78/F1 SLICE_33/D1 (548:615:683)(548:615:683))
(INTERCONNECT ram2e_ufm\/SLICE_78/F1 SLICE_38/B1 (1038:1199:1361)(1038:1199:1361))
(INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_78/D0 (527:589:651)
(527:589:651))
(INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_79/B0 (1471:1674:1877)
(1471:1674:1877))
(INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_105/B1 (1471:1674:1877)
(1471:1674:1877))
(INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_106/D0 (548:615:683)
(548:615:683))
(INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_135/A0 (758:891:1024)
(758:891:1024))
(INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_33/C1 (550:666:782)(550:666:782))
(INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_34/C1 (888:1041:1194)(888:1041:1194))
(INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_34/C0 (888:1041:1194)(888:1041:1194))
(INTERCONNECT ram2e_ufm\/SLICE_106/F1 ram2e_ufm\/SLICE_106/C0 (280:362:445)
(280:362:445))
(INTERCONNECT ram2e_ufm\/SLICE_135/F1 SLICE_33/B1 (765:889:1013)(765:889:1013))
(INTERCONNECT ram2e_ufm\/SLICE_135/F1 SLICE_33/C0 (280:362:445)(280:362:445))
(INTERCONNECT SLICE_139/F0 SLICE_33/A1 (1010:1161:1312)(1010:1161:1312))
(INTERCONNECT SLICE_139/F0 SLICE_33/A0 (1010:1161:1312)(1010:1161:1312))
(INTERCONNECT SLICE_139/F0 SLICE_34/B1 (1380:1570:1761)(1380:1570:1761))
(INTERCONNECT SLICE_139/F0 SLICE_34/B0 (1380:1570:1761)(1380:1570:1761))
(INTERCONNECT ram2e_ufm\/SLICE_117/F1 SLICE_33/B0 (1099:1259:1420)(1099:1259:1420))
(INTERCONNECT SLICE_33/F1 SLICE_33/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/F0 SLICE_33/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_34/D1 (548:611:674)(548:611:674))
(INTERCONNECT SLICE_34/Q0 SLICE_34/D0 (548:611:674)(548:611:674))
(INTERCONNECT SLICE_34/Q0 SLICE_35/D0 (548:611:674)(548:611:674))
(INTERCONNECT SLICE_34/Q0 SLICE_38/C1 (954:1108:1262)(954:1108:1262))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_47/C1 (2210:2470:2730)(2210:2470:2730))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_48/B1 (2441:2714:2987)(2441:2714:2987))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_48/B0 (2441:2714:2987)(2441:2714:2987))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_49/A1 (2809:3114:3420)(2809:3114:3420))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_49/A0 (2809:3114:3420)(2809:3114:3420))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_50/A1 (2784:3087:3390)(2784:3087:3390))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_50/A0 (2784:3087:3390)(2784:3087:3390))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_52/A0 (3179:3516:3854)(3179:3516:3854))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_53/A0 (2809:3114:3420)(2809:3114:3420))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_54/A1 (2809:3114:3420)(2809:3114:3420))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_56/LSR (845:960:1075)(845:960:1075))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_69/D0 (1196:1339:1482)(1196:1339:1482))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_71/A0 (1948:2190:2433)(1948:2190:2433))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_72/D0 (563:629:695)(563:629:695))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_74/C0 (545:674:803)(545:674:803))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_77/D0 (816:926:1036)(816:926:1036))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_78/C0 (837:1003:1169)(837:1003:1169))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_79/D0 (2938:3207:3476)(2938:3207:3476))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_86/A1 (3549:3918:4288)(3549:3918:4288))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_89/A0 (2779:3081:3384)(2779:3081:3384))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_90/A0 (2809:3114:3420)(2809:3114:3420))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_95/D1 (2086:2302:2518)(2086:2302:2518))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_96/D1 (826:937:1048)(826:937:1048))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_97/A0 (2779:3081:3384)(2779:3081:3384))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_98/A0 (2809:3114:3420)(2809:3114:3420))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_102/D1 (943:1042:1141)(943:1042:1141))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_106/A0 (744:883:1023)(744:883:1023))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_107/C1 (2113:2376:2640)(2113:2376:2640))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_109/A1 (3549:3918:4288)(3549:3918:4288))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_112/D0 (1196:1339:1482)(1196:1339:1482))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_113/D1 (1313:1444:1575)(1313:1444:1575))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_115/D1 (1313:1444:1575)(1313:1444:1575))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_117/D1 (563:629:695)(563:629:695))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_117/D0 (563:629:695)(563:629:695))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_118/D1 (563:629:695)(563:629:695))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_118/D0 (563:629:695)(563:629:695))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_119/D1 (826:937:1048)(826:937:1048))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_119/D0 (826:937:1048)(826:937:1048))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_121/D1 (816:926:1036)(816:926:1036))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_121/D0 (816:926:1036)(816:926:1036))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_127/D1 (2086:2302:2518)(2086:2302:2518))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_127/D0 (2086:2302:2518)(2086:2302:2518))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_135/C1 (549:665:781)(549:665:781))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_135/C0 (549:665:781)(549:665:781))
(INTERCONNECT SLICE_34/Q0 SLICE_138/D0 (1180:1321:1463)(1180:1321:1463))
(INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_145/A1 (2809:3114:3420)(2809:3114:3420))
(INTERCONNECT SLICE_34/F1 SLICE_34/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/F0 SLICE_34/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_138/F1 SLICE_35/LSR (876:972:1069)(876:972:1069))
(INTERCONNECT SLICE_35/Q0 SLICE_139/C1 (905:1049:1193)(905:1049:1193))
(INTERCONNECT SLICE_35/F1 BA\[1\]_MGIOL/LSR (1438:1586:1735)(1438:1586:1735))
(INTERCONNECT SLICE_35/F1 BA\[0\]_MGIOL/LSR (1438:1586:1735)(1438:1586:1735))
(INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_36/C1 (986:1144:1302)(986:1144:1302))
(INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_37/B1 (783:915:1047)(783:915:1047))
(INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_38/A1 (1099:1267:1436)(1099:1267:1436))
(INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_91/A0 (1099:1267:1436)
(1099:1267:1436))
(INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_92/C0 (986:1144:1302)
(986:1144:1302))
(INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_105/C1 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_112/A1 (1099:1267:1436)
(1099:1267:1436))
(INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_36/A1 (741:874:1008)(741:874:1008))
(INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_37/C1 (814:972:1130)(814:972:1130))
(INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_38/A0 (1013:1181:1350)(1013:1181:1350))
(INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/CKE_7\/SLICE_61/A0 (741:874:1008)
(741:874:1008))
(INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/SLICE_92/B1 (777:908:1040)
(777:908:1040))
(INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/SLICE_113/C0 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_69/F1 SLICE_36/D0 (789:873:958)(789:873:958))
(INTERCONNECT ram2e_ufm\/SLICE_92/F0 SLICE_36/C0 (531:639:747)(531:639:747))
(INTERCONNECT SLICE_36/F1 SLICE_36/B0 (762:883:1004)(762:883:1004))
(INTERCONNECT SLICE_36/F0 SLICE_36/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q0 nCASout_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795))
(INTERCONNECT ram2e_ufm\/SLICE_112/F0 SLICE_37/D0 (266:290:315)(266:290:315))
(INTERCONNECT SLICE_38/F1 SLICE_37/C0 (534:645:756)(534:645:756))
(INTERCONNECT SLICE_38/F1 SLICE_38/B0 (765:889:1013)(765:889:1013))
(INTERCONNECT SLICE_37/F1 SLICE_37/B0 (762:883:1004)(762:883:1004))
(INTERCONNECT ram2e_ufm\/SLICE_91/F0 SLICE_37/A0 (730:848:967)(730:848:967))
(INTERCONNECT SLICE_37/F0 SLICE_37/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 nRASout_MGIOL/OPOS (1445:1584:1723)(1445:1584:1723))
(INTERCONNECT ram2e_ufm\/SLICE_136/F1 SLICE_38/D1 (1116:1235:1355)(1116:1235:1355))
(INTERCONNECT ram2e_ufm\/SLICE_105/F1 SLICE_38/D0 (523:573:623)(523:573:623))
(INTERCONNECT ram2e_ufm\/SLICE_112/F1 SLICE_38/C0 (534:645:756)(534:645:756))
(INTERCONNECT ram2e_ufm\/SLICE_112/F1 ram2e_ufm\/SLICE_112/C0 (280:362:445)
(280:362:445))
(INTERCONNECT SLICE_38/F0 SLICE_38/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_38/Q0 nRWEout_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795))
(INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_39/A1 (747:879:1011)
(747:879:1011))
(INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_87/A1 (740:864:989)
(740:864:989))
(INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_94/B0 (515:616:718)
(515:616:718))
(INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_116/D1 (1160:1285:1410)
(1160:1285:1410))
(INTERCONNECT ram2e_ufm\/SLICE_39/F1 ram2e_ufm\/SLICE_39/C0 (277:356:436)
(277:356:436))
(INTERCONNECT ram2e_ufm\/SLICE_39/F0 ram2e_ufm\/SLICE_39/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_39/Q0 ram2e_ufm\/SLICE_80/B0 (1395:1579:1763)
(1395:1579:1763))
(INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_40/B0 (783:909:1036)
(783:909:1036))
(INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_62/B1 (783:909:1036)
(783:909:1036))
(INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_83/B1 (783:909:1036)
(783:909:1036))
(INTERCONNECT ram2e_ufm\/SLICE_40/F0 ram2e_ufm\/SLICE_40/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_51/A0 (1008:1160:1313)
(1008:1160:1313))
(INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_66/D0 (798:885:972)
(798:885:972))
(INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_130/B0 (1209:1375:1542)
(1209:1375:1542))
(INTERCONNECT ram2e_ufm\/SLICE_41/F1 ram2e_ufm\/SLICE_41/C0 (277:356:436)
(277:356:436))
(INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_41/A0 (1109:1269:1430)
(1109:1269:1430))
(INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_87/D1 (528:584:640)
(528:584:640))
(INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_101/D0 (525:584:643)
(525:584:643))
(INTERCONNECT ram2e_ufm\/SLICE_41/F0 ram2e_ufm\/SLICE_41/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_41/Q0 ram2e_ufm\/SLICE_147/A1 (730:848:967)
(730:848:967))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO0 ram2e_ufm\/SLICE_42/C0
(1531:1730:1930)(1531:1730:1930))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO0 ram2e_ufm\/SLICE_43/C0
(1895:2126:2357)(1895:2126:2357))
(INTERCONNECT ram2e_ufm\/SLICE_42/F0 ram2e_ufm\/SLICE_42/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_79/F1 ram2e_ufm\/SLICE_42/CE (539:596:653)
(539:596:653))
(INTERCONNECT ram2e_ufm\/SLICE_42/Q0 ram2e_ufm\/SLICE_137/D0 (969:1067:1165)
(969:1067:1165))
(INTERCONNECT ram2e_ufm\/SLICE_42/Q0 ram2e_ufm\/SLICE_147/C1 (1344:1528:1713)
(1344:1528:1713))
(INTERCONNECT ram2e_ufm\/SLICE_42/F1 ram2e_ufm\/SLICE_84/C1 (547:660:773)
(547:660:773))
(INTERCONNECT ram2e_ufm\/SLICE_42/F1 ram2e_ufm\/SLICE_87/C1 (874:1022:1170)
(874:1022:1170))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO1 ram2e_ufm\/SLICE_43/D1
(1495:1644:1793)(1495:1644:1793))
(INTERCONNECT ram2e_ufm\/SLICE_43/F1 ram2e_ufm\/SLICE_43/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_43/F0 ram2e_ufm\/SLICE_43/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_43/CE (1157:1283:1410)
(1157:1283:1410))
(INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_43/CE (1157:1283:1410)
(1157:1283:1410))
(INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_44/CE (1510:1666:1822)
(1510:1666:1822))
(INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_44/CE (1510:1666:1822)
(1510:1666:1822))
(INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_45/CE (1510:1666:1822)
(1510:1666:1822))
(INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_45/CE (1510:1666:1822)
(1510:1666:1822))
(INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_46/CE (1157:1283:1410)
(1157:1283:1410))
(INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_46/CE (1157:1283:1410)
(1157:1283:1410))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO3 ram2e_ufm\/SLICE_44/D1
(1596:1735:1874)(1596:1735:1874))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO2 ram2e_ufm\/SLICE_44/C0
(1607:1801:1995)(1607:1801:1995))
(INTERCONNECT ram2e_ufm\/SLICE_44/F1 ram2e_ufm\/SLICE_44/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_44/F0 ram2e_ufm\/SLICE_44/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO5 ram2e_ufm\/SLICE_45/D1
(1514:1658:1802)(1514:1658:1802))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO4 ram2e_ufm\/SLICE_45/D0
(1596:1735:1874)(1596:1735:1874))
(INTERCONNECT ram2e_ufm\/SLICE_45/F1 ram2e_ufm\/SLICE_45/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_45/F0 ram2e_ufm\/SLICE_45/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO7 ram2e_ufm\/SLICE_46/D1
(1514:1658:1802)(1514:1658:1802))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO6 ram2e_ufm\/SLICE_46/D0
(1495:1644:1793)(1495:1644:1793))
(INTERCONNECT ram2e_ufm\/SLICE_46/F1 ram2e_ufm\/SLICE_46/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_46/F0 ram2e_ufm\/SLICE_46/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_103/F0 ram2e_ufm\/SLICE_47/D1 (530:587:645)
(530:587:645))
(INTERCONNECT ram2e_ufm\/SLICE_114/F0 ram2e_ufm\/SLICE_47/B1 (508:600:693)
(508:600:693))
(INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_47/C0 (824:968:1113)
(824:968:1113))
(INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_52/A1 (1393:1580:1767)
(1393:1580:1767))
(INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_55/A0 (1387:1573:1760)
(1387:1573:1760))
(INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_64/A1 (1023:1178:1333)
(1023:1178:1333))
(INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_85/C0 (280:362:445)
(280:362:445))
(INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_88/A0 (1350:1540:1730)
(1350:1540:1730))
(INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_125/A0 (1757:1975:2194)
(1757:1975:2194))
(INTERCONNECT ram2e_ufm\/SLICE_86/F0 ram2e_ufm\/SLICE_47/B0 (1206:1370:1535)
(1206:1370:1535))
(INTERCONNECT ram2e_ufm\/SLICE_64/F0 ram2e_ufm\/SLICE_47/A0 (476:566:656)
(476:566:656))
(INTERCONNECT ram2e_ufm\/SLICE_47/F1 ram2e_ufm\/SLICE_47/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_47/F0 ram2e_ufm\/SLICE_47/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_47/CE (1440:1568:1696)
(1440:1568:1696))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_47/CE (1440:1568:1696)
(1440:1568:1696))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_48/CE (1440:1568:1696)
(1440:1568:1696))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_48/CE (1440:1568:1696)
(1440:1568:1696))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_49/CE (2190:2383:2576)
(2190:2383:2576))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_49/CE (2190:2383:2576)
(2190:2383:2576))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_50/CE (2554:2778:3003)
(2554:2778:3003))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_50/CE (2554:2778:3003)
(2554:2778:3003))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_52/CE (1810:1970:2130)
(1810:1970:2130))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_52/CE (1810:1970:2130)
(1810:1970:2130))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_53/CE (2560:2785:3010)
(2560:2785:3010))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_53/CE (2560:2785:3010)
(2560:2785:3010))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_54/CE (2560:2785:3010)
(2560:2785:3010))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_54/CE (2560:2785:3010)
(2560:2785:3010))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_55/CE (2190:2383:2576)
(2190:2383:2576))
(INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_55/CE (2190:2383:2576)
(2190:2383:2576))
(INTERCONNECT ram2e_ufm\/SLICE_47/Q0 ram2e_ufm\/SLICE_52/B0 (1364:1552:1740)
(1364:1552:1740))
(INTERCONNECT ram2e_ufm\/SLICE_47/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in
(1967:2161:2355)(1967:2161:2355))
(INTERCONNECT ram2e_ufm\/SLICE_47/Q1 ram2e_ufm\/SLICE_97/D0 (1225:1346:1468)
(1225:1346:1468))
(INTERCONNECT ram2e_ufm\/SLICE_47/Q1
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in
(1669:1836:2004)(1669:1836:2004))
(INTERCONNECT ram2e_ufm\/SLICE_48/F1 ram2e_ufm\/SLICE_48/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_48/F0 ram2e_ufm\/SLICE_48/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_48/Q0 ram2e_ufm\/SLICE_53/B0 (1037:1190:1343)
(1037:1190:1343))
(INTERCONNECT ram2e_ufm\/SLICE_48/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in
(1640:1799:1958)(1640:1799:1958))
(INTERCONNECT ram2e_ufm\/SLICE_48/Q1 ram2e_ufm\/SLICE_89/B0 (1105:1266:1427)
(1105:1266:1427))
(INTERCONNECT ram2e_ufm\/SLICE_48/Q1
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in
(1708:1875:2042)(1708:1875:2042))
(INTERCONNECT ram2e_ufm\/SLICE_49/F1 ram2e_ufm\/SLICE_49/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_49/F0 ram2e_ufm\/SLICE_49/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_49/Q0 ram2e_ufm\/SLICE_145/C1 (536:647:758)
(536:647:758))
(INTERCONNECT ram2e_ufm\/SLICE_49/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in
(1272:1405:1538)(1272:1405:1538))
(INTERCONNECT ram2e_ufm\/SLICE_49/Q1 ram2e_ufm\/SLICE_54/B1 (1468:1656:1845)
(1468:1656:1845))
(INTERCONNECT ram2e_ufm\/SLICE_49/Q1
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in
(2071:2265:2460)(2071:2265:2460))
(INTERCONNECT ram2e_ufm\/SLICE_50/F1 ram2e_ufm\/SLICE_50/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_50/F0 ram2e_ufm\/SLICE_50/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_50/Q0 ram2e_ufm\/SLICE_90/D0 (1159:1275:1392)
(1159:1275:1392))
(INTERCONNECT ram2e_ufm\/SLICE_50/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in
(2004:2194:2385)(2004:2194:2385))
(INTERCONNECT ram2e_ufm\/SLICE_50/Q1 ram2e_ufm\/SLICE_98/D0 (863:956:1049)
(863:956:1049))
(INTERCONNECT ram2e_ufm\/SLICE_50/Q1
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in
(1759:1938:2118)(1759:1938:2118))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_51/C1
(1628:1825:2022)(1628:1825:2022))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_66/D1
(1944:2121:2298)(1944:2121:2298))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_66/C0
(1628:1825:2022)(1628:1825:2022))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_75/C0
(1992:2220:2449)(1992:2220:2449))
(INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_110/D0
(1601:1741:1882)(1601:1741:1882))
(INTERCONNECT ram2e_ufm\/SLICE_108/F1 ram2e_ufm\/SLICE_51/A1 (736:854:973)
(736:854:973))
(INTERCONNECT ram2e_ufm\/SLICE_108/F1 ram2e_ufm\/SLICE_108/C0 (280:362:445)
(280:362:445))
(INTERCONNECT ram2e_ufm\/SLICE_51/F1 ram2e_ufm\/SLICE_51/C0 (277:356:436)
(277:356:436))
(INTERCONNECT ram2e_ufm\/SLICE_51/F0 ram2e_ufm\/SLICE_51/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_66/F0 ram2e_ufm\/SLICE_51/CE (539:596:653)
(539:596:653))
(INTERCONNECT ram2e_ufm\/SLICE_51/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin
(1451:1590:1730)(1451:1590:1730))
(INTERCONNECT ram2e_ufm\/SLICE_51/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin
(1797:1975:2154)(1797:1975:2154))
(INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_52/D1 (862:960:1059)
(862:960:1059))
(INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_53/A1 (1331:1522:1713)
(1331:1522:1713))
(INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_55/B0 (770:894:1018)
(770:894:1018))
(INTERCONNECT ram2e_ufm\/SLICE_97/F0 ram2e_ufm\/SLICE_52/C1 (531:639:747)
(531:639:747))
(INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_52/B1 (1509:1701:1893)
(1509:1701:1893))
(INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_53/D1 (533:593:654)
(533:593:654))
(INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_73/A1 (1804:2028:2253)
(1804:2028:2253))
(INTERCONNECT ram2e_ufm\/SLICE_128/F1 ram2e_ufm\/SLICE_52/D0 (266:290:315)
(266:290:315))
(INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_52/C0 (912:1065:1218)
(912:1065:1218))
(INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_64/C0 (548:669:791)
(548:669:791))
(INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_81/B0 (515:616:718)
(515:616:718))
(INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_131/C0 (548:669:791)
(548:669:791))
(INTERCONNECT ram2e_ufm\/SLICE_52/F1 ram2e_ufm\/SLICE_52/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_52/F0 ram2e_ufm\/SLICE_52/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_52/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in
(906:1001:1097)(906:1001:1097))
(INTERCONNECT ram2e_ufm\/SLICE_52/Q1
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in
(1081:1188:1296)(1081:1188:1296))
(INTERCONNECT ram2e_ufm\/SLICE_89/F0 ram2e_ufm\/SLICE_53/C1 (905:1049:1193)
(905:1049:1193))
(INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_53/B1 (1052:1212:1372)
(1052:1212:1372))
(INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_68/B1 (777:908:1040)
(777:908:1040))
(INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_89/C0 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_90/C0 (1185:1363:1542)
(1185:1363:1542))
(INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_93/C0 (821:968:1115)
(821:968:1115))
(INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_145/B1 (1379:1574:1769)
(1379:1574:1769))
(INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_53/D0 (269:296:324)
(269:296:324))
(INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_54/D1 (534:592:650)
(534:592:650))
(INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_54/D0 (534:592:650)
(534:592:650))
(INTERCONNECT ram2e_ufm\/SLICE_73/F1 ram2e_ufm\/SLICE_53/C0 (536:647:758)
(536:647:758))
(INTERCONNECT ram2e_ufm\/SLICE_73/F1 ram2e_ufm\/SLICE_54/C1 (536:647:758)
(536:647:758))
(INTERCONNECT ram2e_ufm\/SLICE_53/F1 ram2e_ufm\/SLICE_53/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_53/F0 ram2e_ufm\/SLICE_53/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_53/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in
(1081:1188:1296)(1081:1188:1296))
(INTERCONNECT ram2e_ufm\/SLICE_53/Q1
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in
(906:1001:1097)(906:1001:1097))
(INTERCONNECT ram2e_ufm\/SLICE_81/F0 ram2e_ufm\/SLICE_54/C0 (547:660:773)
(547:660:773))
(INTERCONNECT ram2e_ufm\/SLICE_81/F0 ram2e_ufm\/SLICE_55/C0 (547:660:773)
(547:660:773))
(INTERCONNECT ram2e_ufm\/SLICE_125/F0 ram2e_ufm\/SLICE_54/B0 (1031:1183:1336)
(1031:1183:1336))
(INTERCONNECT ram2e_ufm\/SLICE_145/F1 ram2e_ufm\/SLICE_54/A0 (476:566:656)
(476:566:656))
(INTERCONNECT ram2e_ufm\/SLICE_54/F1 ram2e_ufm\/SLICE_54/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_54/F0 ram2e_ufm\/SLICE_54/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_54/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in
(1081:1188:1296)(1081:1188:1296))
(INTERCONNECT ram2e_ufm\/SLICE_54/Q1
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in
(906:1001:1097)(906:1001:1097))
(INTERCONNECT ram2e_ufm\/SLICE_70/F0 ram2e_ufm\/SLICE_55/D1 (530:587:645)
(530:587:645))
(INTERCONNECT ram2e_ufm\/SLICE_98/F0 ram2e_ufm\/SLICE_55/C1 (531:639:747)
(531:639:747))
(INTERCONNECT ram2e_ufm\/SLICE_131/F0 ram2e_ufm\/SLICE_55/B1 (1358:1545:1733)
(1358:1545:1733))
(INTERCONNECT ram2e_ufm\/SLICE_88/F0 ram2e_ufm\/SLICE_55/A1 (733:848:964)
(733:848:964))
(INTERCONNECT ram2e_ufm\/SLICE_90/F0 ram2e_ufm\/SLICE_55/D0 (520:573:626)
(520:573:626))
(INTERCONNECT ram2e_ufm\/SLICE_55/F1 ram2e_ufm\/SLICE_55/DI1 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_55/F0 ram2e_ufm\/SLICE_55/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_55/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in
(1233:1363:1494)(1233:1363:1494))
(INTERCONNECT ram2e_ufm\/SLICE_55/Q1
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in
(906:1001:1097)(906:1001:1097))
(INTERCONNECT ram2e_ufm\/SLICE_56/F1 ram2e_ufm\/SLICE_56/C0 (531:639:747)
(531:639:747))
(INTERCONNECT ram2e_ufm\/SLICE_56/F0 ram2e_ufm\/SLICE_56/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_56/Q0 ram2e_ufm\/SLICE_108/D0 (1116:1235:1355)
(1116:1235:1355))
(INTERCONNECT ram2e_ufm\/SLICE_57/F0 ram2e_ufm\/SLICE_57/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_57/LSR (881:983:1086)
(881:983:1086))
(INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_69/A1 (481:577:673)
(481:577:673))
(INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_105/D0 (271:301:332)
(271:301:332))
(INTERCONNECT ram2e_ufm\/SLICE_57/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin
(1938:2122:2306)(1938:2122:2306))
(INTERCONNECT ram2e_ufm\/SLICE_109/F0 ram2e_ufm\/SLICE_58/D1 (266:290:315)
(266:290:315))
(INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_58/A1 (1074:1241:1408)
(1074:1241:1408))
(INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_58/A0 (483:582:681)
(483:582:681))
(INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_103/B0 (774:907:1040)
(774:907:1040))
(INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_109/B0 (769:899:1029)
(769:899:1029))
(INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_114/C0 (809:963:1118)
(809:963:1118))
(INTERCONNECT ram2e_ufm\/SLICE_126/F1 ram2e_ufm\/SLICE_58/C0 (534:639:744)
(534:639:744))
(INTERCONNECT ram2e_ufm\/SLICE_58/F1 ram2e_ufm\/SLICE_58/B0 (508:600:693)
(508:600:693))
(INTERCONNECT ram2e_ufm\/SLICE_58/F0 ram2e_ufm\/SLICE_58/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ram2e_ufm\/SLICE_130/F0 ram2e_ufm\/SLICE_58/CE (1240:1368:1496)
(1240:1368:1496))
(INTERCONNECT ram2e_ufm\/SLICE_58/Q0
ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin
(1527:1661:1795)(1527:1661:1795))
(INTERCONNECT ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/OFX0 ram2e_ufm\/SLICE_133/C0
(800:939:1079)(800:939:1079))
(INTERCONNECT ram2e_ufm\/SLICE_94/F0
ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/A1 (1067:1225:1383)(1067:1225:1383))
(INTERCONNECT ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/OFX0
ram2e_ufm\/SLICE_82/A0 (1067:1225:1383)(1067:1225:1383))
(INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/CKE_7\/SLICE_61/D1 (271:301:332)
(271:301:332))
(INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/SLICE_91/A1 (738:859:981)
(738:859:981))
(INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/SLICE_102/C0 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_102/F0 ram2e_ufm\/CKE_7\/SLICE_61/M0 (485:526:568)
(485:526:568))
(INTERCONNECT ram2e_ufm\/SLICE_62/F0 ram2e_ufm\/SLICE_84/D0 (1220:1340:1460)
(1220:1340:1460))
(INTERCONNECT ram2e_ufm\/SLICE_65/F0 ram2e_ufm\/SLICE_63/C1 (534:639:744)
(534:639:744))
(INTERCONNECT ram2e_ufm\/SLICE_133/F0 ram2e_ufm\/SLICE_63/A1 (730:848:967)
(730:848:967))
(INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_63/D0 (546:610:675)
(546:610:675))
(INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_66/B0 (770:894:1018)
(770:894:1018))
(INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_79/C1 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_84/A0 (756:886:1016)
(756:886:1016))
(INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_85/D0 (873:972:1072)
(873:972:1072))
(INTERCONNECT ram2e_ufm\/SLICE_84/F0 ram2e_ufm\/SLICE_63/C0 (531:639:747)
(531:639:747))
(INTERCONNECT ram2e_ufm\/SLICE_63/F1 ram2e_ufm\/SLICE_63/B0 (508:600:693)
(508:600:693))
(INTERCONNECT ram2e_ufm\/SLICE_64/F1 ram2e_ufm\/SLICE_64/B0 (508:600:693)
(508:600:693))
(INTERCONNECT ram2e_ufm\/SLICE_65/F1 ram2e_ufm\/SLICE_65/C0 (277:356:436)
(277:356:436))
(INTERCONNECT ram2e_ufm\/SLICE_100/F0 ram2e_ufm\/SLICE_65/A0 (730:848:967)
(730:848:967))
(INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_66/C1 (991:1149:1308)
(991:1149:1308))
(INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_70/D1 (1350:1485:1621)
(1350:1485:1621))
(INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_80/C0 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_81/C1 (1736:1959:2182)
(1736:1959:2182))
(INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_85/A1 (1554:1754:1955)
(1554:1754:1955))
(INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_89/B1 (2331:2598:2866)
(2331:2598:2866))
(INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_98/C1 (1736:1959:2182)
(1736:1959:2182))
(INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_130/D0 (528:584:640)
(528:584:640))
(INTERCONNECT ram2e_ufm\/SLICE_108/F0 ram2e_ufm\/SLICE_66/A1 (1067:1225:1383)
(1067:1225:1383))
(INTERCONNECT ram2e_ufm\/SLICE_66/F1 ram2e_ufm\/SLICE_66/A0 (730:848:967)
(730:848:967))
(INTERCONNECT ram2e_ufm\/SLICE_67/F1 ram2e_ufm\/SLICE_67/C0 (277:356:436)
(277:356:436))
(INTERCONNECT ram2e_ufm\/SLICE_67/F0 ram2e_ufm\/SLICE_91/D0 (530:587:645)
(530:587:645))
(INTERCONNECT ram2e_ufm\/SLICE_68/F0 ram2e_ufm\/SLICE_68/A1 (1430:1615:1801)
(1430:1615:1801))
(INTERCONNECT ram2e_ufm\/SLICE_68/F1 ram2e_ufm\/SLICE_86/A0 (730:848:967)
(730:848:967))
(INTERCONNECT ram2e_ufm\/SLICE_122/F0 ram2e_ufm\/SLICE_69/B1 (762:883:1004)
(762:883:1004))
(INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_70/C0 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_79/A1 (745:874:1003)
(745:874:1003))
(INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_111/A1 (738:859:981)
(738:859:981))
(INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_70/A0 (735:859:984)
(735:859:984))
(INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_75/B0 (513:611:710)
(513:611:710))
(INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_88/D0 (528:584:640)
(528:584:640))
(INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_71/C1 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_91/C0 (811:957:1103)
(811:957:1103))
(INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_124/B1 (1744:1971:2199)
(1744:1971:2199))
(INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_124/B0 (1744:1971:2199)
(1744:1971:2199))
(INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_146/B1 (777:908:1040)
(777:908:1040))
(INTERCONNECT ram2e_ufm\/SLICE_72/F0 ram2e_ufm\/SLICE_72/C1 (534:645:756)
(534:645:756))
(INTERCONNECT ram2e_ufm\/SLICE_72/F0 ram2e_ufm\/SLICE_129/C1 (537:645:753)
(537:645:753))
(INTERCONNECT ram2e_ufm\/SLICE_72/F1 BA\[0\]_MGIOL/OPOS (1337:1468:1599)
(1337:1468:1599))
(INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_73/D1 (808:897:986)
(808:897:986))
(INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_97/C0 (819:963:1107)
(819:963:1107))
(INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_98/B0 (511:606:702)
(511:606:702))
(INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_99/C0 (819:963:1107)
(819:963:1107))
(INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_126/D0 (1172:1292:1413)
(1172:1292:1413))
(INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_73/C1 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_97/C1 (536:650:764)
(536:650:764))
(INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_145/D1 (528:584:640)
(528:584:640))
(INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_73/B1 (770:894:1018)
(770:894:1018))
(INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_103/C0 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_109/C0 (546:664:783)
(546:664:783))
(INTERCONNECT ram2e_ufm\/SLICE_135/F0 ram2e_ufm\/SLICE_74/A1 (740:863:986)
(740:863:986))
(INTERCONNECT ram2e_ufm\/SLICE_75/F0 ram2e_ufm\/SLICE_79/B1 (772:897:1023)
(772:897:1023))
(INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_76/C0 (284:372:461)
(284:372:461))
(INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_81/A0 (737:864:992)
(737:864:992))
(INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_111/A0 (483:582:681)
(483:582:681))
(INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_131/B0 (1038:1199:1361)
(1038:1199:1361))
(INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_76/B0 (1116:1279:1442)
(1116:1279:1442))
(INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_88/B0 (1116:1279:1442)
(1116:1279:1442))
(INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_90/C1 (874:1022:1170)
(874:1022:1170))
(INTERCONNECT ram2e_ufm\/SLICE_76/F0 ram2e_ufm\/SLICE_98/C0 (1164:1335:1506)
(1164:1335:1506))
(INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_77/C1 (282:367:453)
(282:367:453))
(INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_80/A0 (1179:1347:1515)
(1179:1347:1515))
(INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_82/B0 (772:902:1032)
(772:902:1032))
(INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_130/A1 (1012:1174:1337)
(1012:1174:1337))
(INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_130/A0 (1012:1174:1337)
(1012:1174:1337))
(INTERCONNECT ram2e_ufm\/SLICE_133/F1 ram2e_ufm\/SLICE_82/B1 (1206:1370:1535)
(1206:1370:1535))
(INTERCONNECT ram2e_ufm\/SLICE_101/F0 ram2e_ufm\/SLICE_82/A1 (740:863:986)
(740:863:986))
(INTERCONNECT ram2e_ufm\/SLICE_83/F0 ram2e_ufm\/SLICE_82/D0 (266:290:315)
(266:290:315))
(INTERCONNECT ram2e_ufm\/SLICE_82/F1 ram2e_ufm\/SLICE_82/C0 (277:356:436)
(277:356:436))
(INTERCONNECT ram2e_ufm\/SLICE_83/F1 ram2e_ufm\/SLICE_83/D0 (520:573:626)
(520:573:626))
(INTERCONNECT ram2e_ufm\/SLICE_87/F0 ram2e_ufm\/SLICE_83/A0 (733:848:964)
(733:848:964))
(INTERCONNECT ram2e_ufm\/SLICE_110/F0 ram2e_ufm\/SLICE_85/B0 (765:883:1001)
(765:883:1001))
(INTERCONNECT ram2e_ufm\/SLICE_86/F1 ram2e_ufm\/SLICE_86/D0 (531:586:641)
(531:586:641))
(INTERCONNECT ram2e_ufm\/SLICE_86/F1 ram2e_ufm\/SLICE_109/A0 (741:861:982)
(741:861:982))
(INTERCONNECT ram2e_ufm\/SLICE_126/F0 ram2e_ufm\/SLICE_86/C0 (277:356:436)
(277:356:436))
(INTERCONNECT ram2e_ufm\/SLICE_99/F0 ram2e_ufm\/SLICE_86/B0 (508:600:693)
(508:600:693))
(INTERCONNECT ram2e_ufm\/SLICE_88/F1 ram2e_ufm\/SLICE_88/C0 (280:362:445)
(280:362:445))
(INTERCONNECT ram2e_ufm\/SLICE_88/F1 ram2e_ufm\/SLICE_111/D0 (269:296:324)
(269:296:324))
(INTERCONNECT ram2e_ufm\/SLICE_104/F0 ram2e_ufm\/SLICE_89/D0 (266:290:315)
(266:290:315))
(INTERCONNECT ram2e_ufm\/SLICE_90/F1 ram2e_ufm\/SLICE_90/B0 (762:883:1004)
(762:883:1004))
(INTERCONNECT ram2e_ufm\/SLICE_91/F1 ram2e_ufm\/SLICE_91/B0 (511:606:702)
(511:606:702))
(INTERCONNECT ram2e_ufm\/SLICE_91/F1 ram2e_ufm\/SLICE_92/D0 (860:955:1051)
(860:955:1051))
(INTERCONNECT ram2e_ufm\/SLICE_92/F1 ram2e_ufm\/SLICE_92/B0 (511:606:702)
(511:606:702))
(INTERCONNECT ram2e_ufm\/SLICE_92/F1 ram2e_ufm\/SLICE_102/B0 (511:606:702)
(511:606:702))
(INTERCONNECT ram2e_ufm\/SLICE_93/F1 ram2e_ufm\/SLICE_93/A0 (730:848:967)
(730:848:967))
(INTERCONNECT ram2e_ufm\/SLICE_100/F1 ram2e_ufm\/SLICE_94/A0 (743:869:995)
(743:869:995))
(INTERCONNECT ram2e_ufm\/SLICE_100/F1 ram2e_ufm\/SLICE_100/D0 (523:579:635)
(523:579:635))
(INTERCONNECT Ain\[4\]_I/PADDI ram2e_ufm\/SLICE_95/D0 (1587:1706:1825)
(1587:1706:1825))
(INTERCONNECT Ain\[6\]_I/PADDI ram2e_ufm\/SLICE_96/A0 (1729:1905:2082)
(1729:1905:2082))
(INTERCONNECT ram2e_ufm\/SLICE_97/F1 ram2e_ufm\/SLICE_97/B0 (762:883:1004)
(762:883:1004))
(INTERCONNECT ram2e_ufm\/SLICE_99/F1 ram2e_ufm\/SLICE_99/D0 (520:573:626)
(520:573:626))
(INTERCONNECT ram2e_ufm\/SLICE_125/F1 ram2e_ufm\/SLICE_99/B0 (762:883:1004)
(762:883:1004))
(INTERCONNECT ram2e_ufm\/SLICE_115/F0 ram2e_ufm\/SLICE_102/A0 (740:863:986)
(740:863:986))
(INTERCONNECT ram2e_ufm\/SLICE_123/F1 ram2e_ufm\/SLICE_103/D0 (520:573:626)
(520:573:626))
(INTERCONNECT ram2e_ufm\/SLICE_128/F0 ram2e_ufm\/SLICE_103/A0 (733:848:964)
(733:848:964))
(INTERCONNECT ram2e_ufm\/SLICE_104/F1 ram2e_ufm\/SLICE_104/C0 (277:356:436)
(277:356:436))
(INTERCONNECT ram2e_ufm\/SLICE_122/F1 ram2e_ufm\/SLICE_105/D1 (266:290:315)
(266:290:315))
(INTERCONNECT ram2e_ufm\/SLICE_107/F1 ram2e_ufm\/SLICE_107/C0 (542:652:762)
(542:652:762))
(INTERCONNECT ram2e_ufm\/SLICE_107/F1 ram2e_ufm\/SLICE_134/A0 (741:861:982)
(741:861:982))
(INTERCONNECT ram2e_ufm\/SLICE_134/F1 ram2e_ufm\/SLICE_108/D1 (266:290:315)
(266:290:315))
(INTERCONNECT ram2e_ufm\/SLICE_111/F0 ram2e_ufm\/SLICE_111/C1 (277:356:436)
(277:356:436))
(INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_112/A0 (2246:2473:2700)
(2246:2473:2700))
(INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_113/B1 (2321:2547:2774)
(2321:2547:2774))
(INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_115/B0 (2648:2909:3171)
(2648:2909:3171))
(INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_135/B0 (1951:2145:2340)
(1951:2145:2340))
(INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_136/D1 (2036:2197:2359)
(2036:2197:2359))
(INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_136/C0 (1720:1901:2083)
(1720:1901:2083))
(INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_137/C1 (2090:2303:2517)
(2090:2303:2517))
(INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_137/C0 (2090:2303:2517)
(2090:2303:2517))
(INTERCONNECT ram2e_ufm\/SLICE_114/F1 ram2e_ufm\/SLICE_114/D0 (520:573:626)
(520:573:626))
(INTERCONNECT ram2e_ufm\/SLICE_116/F0 ram2e_ufm\/SLICE_116/C1 (277:356:436)
(277:356:436))
(INTERCONNECT ram2e_ufm\/SLICE_117/F0 BA\[1\]_MGIOL/CE (1759:1942:2125)
(1759:1942:2125))
(INTERCONNECT ram2e_ufm\/SLICE_117/F0 BA\[0\]_MGIOL/CE (1759:1942:2125)
(1759:1942:2125))
(INTERCONNECT ram2e_ufm\/SLICE_118/F0 DQMH_MGIOL/CE (1433:1585:1737)
(1433:1585:1737))
(INTERCONNECT ram2e_ufm\/SLICE_118/F0 DQML_MGIOL/CE (1433:1585:1737)
(1433:1585:1737))
(INTERCONNECT ram2e_ufm\/SLICE_120/F0 DQML_MGIOL/OPOS (1338:1473:1608)
(1338:1473:1608))
(INTERCONNECT ram2e_ufm\/SLICE_120/F1 DQMH_MGIOL/OPOS (1338:1473:1608)
(1338:1473:1608))
(INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[7\]_MGIOL/CE (1529:1693:1857)
(1529:1693:1857))
(INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[6\]_MGIOL/CE (1529:1693:1857)
(1529:1693:1857))
(INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[5\]_MGIOL/CE (1893:2088:2284)
(1893:2088:2284))
(INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[4\]_MGIOL/CE (1893:2088:2284)
(1893:2088:2284))
(INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[3\]_MGIOL/CE (1529:1693:1857)
(1529:1693:1857))
(INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[2\]_MGIOL/CE (1893:2088:2284)
(1893:2088:2284))
(INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[1\]_MGIOL/CE (1529:1693:1857)
(1529:1693:1857))
(INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[0\]_MGIOL/CE (1893:2088:2284)
(1893:2088:2284))
(INTERCONNECT ram2e_ufm\/SLICE_129/F1 BA\[1\]_MGIOL/OPOS (1445:1584:1723)
(1445:1584:1723))
(INTERCONNECT Ain\[0\]_I/PADDI ram2e_ufm\/SLICE_132/C1 (2395:2638:2881)
(2395:2638:2881))
(INTERCONNECT Ain\[7\]_I/PADDI ram2e_ufm\/SLICE_132/C0 (2031:2242:2454)
(2031:2242:2454))
(INTERCONNECT ram2e_ufm\/SLICE_136/F0 nDOE_I/PADDO (1538:1682:1827)
(1538:1682:1827))
(INTERCONNECT ram2e_ufm\/SLICE_137/F0 LED_I/PADDO (1041:1147:1254)(1041:1147:1254))
(INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[0\]_I/PADDT (1300:1443:1587)
(1300:1443:1587))
(INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[7\]_I/PADDT (1664:1839:2014)
(1664:1839:2014))
(INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[6\]_I/PADDT (1664:1839:2014)
(1664:1839:2014))
(INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[5\]_I/PADDT (1664:1839:2014)
(1664:1839:2014))
(INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[4\]_I/PADDT (1664:1839:2014)
(1664:1839:2014))
(INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[3\]_I/PADDT (1300:1443:1587)
(1300:1443:1587))
(INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[2\]_I/PADDT (1300:1443:1587)
(1300:1443:1587))
(INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[1\]_I/PADDT (1300:1443:1587)
(1300:1443:1587))
(INTERCONNECT PHI1_I/PADDI SLICE_139/A1 (1840:2021:2203)(1840:2021:2203))
(INTERCONNECT PHI1_I/PADDI SLICE_139/A0 (1840:2021:2203)(1840:2021:2203))
(INTERCONNECT PHI1_I/PADDI PHI1_MGIOL/DI (544:554:565)(544:554:565))
(INTERCONNECT PHI1_MGIOL/IN SLICE_139/B0 (1392:1572:1753)(1392:1572:1753))
(INTERCONNECT SLICE_139/F1 nVOE_I/PADDO (1344:1530:1717)(1344:1530:1717))
(INTERCONNECT ram2e_ufm\/SLICE_141/F0 RD\[3\]_I/PADDO (1263:1400:1537)
(1263:1400:1537))
(INTERCONNECT ram2e_ufm\/SLICE_141/F1 RD\[0\]_I/PADDO (1300:1433:1567)
(1300:1433:1567))
(INTERCONNECT ram2e_ufm\/SLICE_142/F0 RD\[4\]_I/PADDO (1367:1504:1642)
(1367:1504:1642))
(INTERCONNECT ram2e_ufm\/SLICE_143/F0 RD\[7\]_I/PADDO (1367:1504:1642)
(1367:1504:1642))
(INTERCONNECT ram2e_ufm\/SLICE_143/F1 RD\[1\]_I/PADDO (936:1038:1140)
(936:1038:1140))
(INTERCONNECT ram2e_ufm\/SLICE_144/F0 RD\[6\]_I/PADDO (1111:1225:1339)
(1111:1225:1339))
(INTERCONNECT ram2e_ufm\/SLICE_144/F1 RD\[2\]_I/PADDO (1041:1147:1254)
(1041:1147:1254))
(INTERCONNECT ram2e_ufm\/SLICE_147/F0 RD\[5\]_I/PADDO (1769:1938:2108)
(1769:1938:2108))
(INTERCONNECT RD\[0\]_I/PADDI Vout\[0\]_MGIOL/OPOS (2416:2609:2802)
(2416:2609:2802))
(INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_I/PADDO (2446:2645:2845)(2446:2645:2845))
(INTERCONNECT RD\[7\]_I/PADDI Vout\[7\]_MGIOL/OPOS (2416:2609:2802)
(2416:2609:2802))
(INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_I/PADDO (2000:2173:2346)(2000:2173:2346))
(INTERCONNECT RD\[6\]_I/PADDI Vout\[6\]_MGIOL/OPOS (2862:3081:3301)
(2862:3081:3301))
(INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_I/PADDO (2446:2645:2845)(2446:2645:2845))
(INTERCONNECT RD\[5\]_I/PADDI Vout\[5\]_MGIOL/OPOS (2416:2609:2802)
(2416:2609:2802))
(INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_I/PADDO (2427:2630:2834)(2427:2630:2834))
(INTERCONNECT RD\[4\]_I/PADDI Vout\[4\]_MGIOL/OPOS (2346:2526:2707)
(2346:2526:2707))
(INTERCONNECT RD\[4\]_I/PADDI Dout\[4\]_I/PADDO (3085:3339:3593)(3085:3339:3593))
(INTERCONNECT RD\[3\]_I/PADDI Vout\[3\]_MGIOL/OPOS (2416:2609:2802)
(2416:2609:2802))
(INTERCONNECT RD\[3\]_I/PADDI Dout\[3\]_I/PADDO (2446:2645:2845)(2446:2645:2845))
(INTERCONNECT RD\[2\]_I/PADDI Vout\[2\]_MGIOL/OPOS (2264:2449:2635)
(2264:2449:2635))
(INTERCONNECT RD\[2\]_I/PADDI Dout\[2\]_I/PADDO (3003:3262:3521)(3003:3262:3521))
(INTERCONNECT RD\[1\]_I/PADDI Vout\[1\]_MGIOL/OPOS (2345:2526:2708)
(2345:2526:2708))
(INTERCONNECT RD\[1\]_I/PADDI Dout\[1\]_I/PADDO (1929:2090:2252)(1929:2090:2252))
(INTERCONNECT DQMH_MGIOL/IOLDO DQMH_I/IOLDO (30:36:43)(30:36:43))
(INTERCONNECT DQML_MGIOL/IOLDO DQML_I/IOLDO (30:36:43)(30:36:43))
(INTERCONNECT RAout\[11\]_MGIOL/IOLDO RAout\[11\]_I/IOLDO (9:36:63)(9:36:63))
(INTERCONNECT RAout\[10\]_MGIOL/IOLDO RAout\[10\]_I/IOLDO (9:36:63)(9:36:63))
(INTERCONNECT RAout\[9\]_MGIOL/IOLDO RAout\[9\]_I/IOLDO (9:36:63)(9:36:63))
(INTERCONNECT RAout\[8\]_MGIOL/IOLDO RAout\[8\]_I/IOLDO (9:36:63)(9:36:63))
(INTERCONNECT RAout\[7\]_MGIOL/IOLDO RAout\[7\]_I/IOLDO (25:77:129)(25:77:129))
(INTERCONNECT RAout\[6\]_MGIOL/IOLDO RAout\[6\]_I/IOLDO (25:77:129)(25:77:129))
(INTERCONNECT RAout\[5\]_MGIOL/IOLDO RAout\[5\]_I/IOLDO (25:77:129)(25:77:129))
(INTERCONNECT RAout\[4\]_MGIOL/IOLDO RAout\[4\]_I/IOLDO (25:77:129)(25:77:129))
(INTERCONNECT RAout\[3\]_MGIOL/IOLDO RAout\[3\]_I/IOLDO (25:77:129)(25:77:129))
(INTERCONNECT RAout\[2\]_MGIOL/IOLDO RAout\[2\]_I/IOLDO (25:77:129)(25:77:129))
(INTERCONNECT RAout\[1\]_MGIOL/IOLDO RAout\[1\]_I/IOLDO (25:77:129)(25:77:129))
(INTERCONNECT RAout\[0\]_MGIOL/IOLDO RAout\[0\]_I/IOLDO (25:77:129)(25:77:129))
(INTERCONNECT BA\[1\]_MGIOL/IOLDO BA\[1\]_I/IOLDO (9:36:63)(9:36:63))
(INTERCONNECT BA\[0\]_MGIOL/IOLDO BA\[0\]_I/IOLDO (9:36:63)(9:36:63))
(INTERCONNECT nRWEout_MGIOL/IOLDO nRWEout_I/IOLDO (9:36:63)(9:36:63))
(INTERCONNECT nCASout_MGIOL/IOLDO nCASout_I/IOLDO (9:36:63)(9:36:63))
(INTERCONNECT nRASout_MGIOL/IOLDO nRASout_I/IOLDO (9:36:63)(9:36:63))
(INTERCONNECT CKEout_MGIOL/IOLDO CKEout_I/IOLDO (9:36:63)(9:36:63))
(INTERCONNECT Vout\[7\]_MGIOL/IOLDO Vout\[7\]_I/IOLDO (11:21:32)(11:21:32))
(INTERCONNECT Vout\[6\]_MGIOL/IOLDO Vout\[6\]_I/IOLDO (11:21:32)(11:21:32))
(INTERCONNECT Vout\[5\]_MGIOL/IOLDO Vout\[5\]_I/IOLDO (11:21:32)(11:21:32))
(INTERCONNECT Vout\[4\]_MGIOL/IOLDO Vout\[4\]_I/IOLDO (11:21:32)(11:21:32))
(INTERCONNECT Vout\[3\]_MGIOL/IOLDO Vout\[3\]_I/IOLDO (11:21:32)(11:21:32))
(INTERCONNECT Vout\[2\]_MGIOL/IOLDO Vout\[2\]_I/IOLDO (11:21:32)(11:21:32))
(INTERCONNECT Vout\[1\]_MGIOL/IOLDO Vout\[1\]_I/IOLDO (11:21:32)(11:21:32))
(INTERCONNECT Vout\[0\]_MGIOL/IOLDO Vout\[0\]_I/IOLDO (11:21:32)(11:21:32))
)
)
)
)