From 065ad56ccc9e1e90bfb9132b4c76627ff9c6fa2f Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 13 Aug 2023 05:16:51 -0400 Subject: [PATCH] Led done? --- CPLD/MAXII/db/RAM2GS.(0).cnf.cdb | Bin 20857 -> 20872 bytes CPLD/MAXII/db/RAM2GS.(0).cnf.hdb | Bin 3750 -> 3747 bytes CPLD/MAXII/db/RAM2GS.(2).cnf.cdb | Bin 1596 -> 1593 bytes CPLD/MAXII/db/RAM2GS.(2).cnf.hdb | Bin 1011 -> 1009 bytes CPLD/MAXII/db/RAM2GS.asm.qmsg | 14 +- CPLD/MAXII/db/RAM2GS.asm.rdb | Bin 807 -> 806 bytes CPLD/MAXII/db/RAM2GS.asm_labs.ddb | Bin 2661 -> 2747 bytes CPLD/MAXII/db/RAM2GS.cmp.cdb | Bin 43596 -> 44340 bytes CPLD/MAXII/db/RAM2GS.cmp.hdb | Bin 18632 -> 18612 bytes CPLD/MAXII/db/RAM2GS.cmp.idb | Bin 2736 -> 2737 bytes CPLD/MAXII/db/RAM2GS.cmp.rdb | Bin 14329 -> 14333 bytes CPLD/MAXII/db/RAM2GS.cmp0.ddb | Bin 75782 -> 78630 bytes CPLD/MAXII/db/RAM2GS.db_info | 2 +- CPLD/MAXII/db/RAM2GS.fit.qmsg | 90 +- CPLD/MAXII/db/RAM2GS.hier_info | 2 +- CPLD/MAXII/db/RAM2GS.hif | Bin 596 -> 596 bytes CPLD/MAXII/db/RAM2GS.map.cdb | Bin 17989 -> 17971 bytes CPLD/MAXII/db/RAM2GS.map.hdb | Bin 17730 -> 17714 bytes CPLD/MAXII/db/RAM2GS.map.qmsg | 54 +- CPLD/MAXII/db/RAM2GS.map.rdb | Bin 1261 -> 1264 bytes CPLD/MAXII/db/RAM2GS.pre_map.hdb | Bin 16587 -> 16802 bytes CPLD/MAXII/db/RAM2GS.routing.rdb | Bin 1547 -> 1591 bytes CPLD/MAXII/db/RAM2GS.rtlv.hdb | Bin 16484 -> 16470 bytes CPLD/MAXII/db/RAM2GS.rtlv_sg.cdb | Bin 19161 -> 19171 bytes CPLD/MAXII/db/RAM2GS.rtlv_sg_swap.cdb | Bin 840 -> 840 bytes CPLD/MAXII/db/RAM2GS.sta.qmsg | 50 +- CPLD/MAXII/db/RAM2GS.sta.rdb | Bin 13084 -> 13037 bytes CPLD/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb | Bin 46432 -> 46370 bytes CPLD/MAXII/db/RAM2GS.tmw_info | 10 +- .../RAM2GS.root_partition.map.kpt | Bin 2654 -> 2669 bytes CPLD/MAXII/output_files/RAM2GS.asm.rpt | 16 +- CPLD/MAXII/output_files/RAM2GS.done | 2 +- CPLD/MAXII/output_files/RAM2GS.fit.rpt | 147 +-- CPLD/MAXII/output_files/RAM2GS.fit.summary | 2 +- CPLD/MAXII/output_files/RAM2GS.flow.rpt | 16 +- CPLD/MAXII/output_files/RAM2GS.map.rpt | 10 +- CPLD/MAXII/output_files/RAM2GS.map.summary | 2 +- CPLD/MAXII/output_files/RAM2GS.pof | Bin 7861 -> 7861 bytes CPLD/MAXII/output_files/RAM2GS.sta.rpt | 929 +++++++++--------- CPLD/MAXII/output_files/RAM2GS.sta.summary | 38 +- CPLD/MAXV/RAM2GS.qws | Bin 1876 -> 1321 bytes CPLD/MAXV/db/RAM2GS.asm.qmsg | 14 +- CPLD/MAXV/db/RAM2GS.asm.rdb | Bin 808 -> 809 bytes CPLD/MAXV/db/RAM2GS.cmp.cdb | Bin 43943 -> 43929 bytes CPLD/MAXV/db/RAM2GS.cmp.hdb | Bin 18445 -> 18442 bytes CPLD/MAXV/db/RAM2GS.cmp.idb | Bin 2744 -> 2746 bytes CPLD/MAXV/db/RAM2GS.cmp.rdb | Bin 14300 -> 14278 bytes CPLD/MAXV/db/RAM2GS.db_info | 2 +- CPLD/MAXV/db/RAM2GS.fit.qmsg | 90 +- CPLD/MAXV/db/RAM2GS.map.cdb | Bin 17985 -> 17966 bytes CPLD/MAXV/db/RAM2GS.map.hdb | Bin 17600 -> 17606 bytes CPLD/MAXV/db/RAM2GS.map.qmsg | 54 +- CPLD/MAXV/db/RAM2GS.map.rdb | Bin 1264 -> 1260 bytes CPLD/MAXV/db/RAM2GS.pre_map.hdb | Bin 16551 -> 16315 bytes CPLD/MAXV/db/RAM2GS.rtlv.hdb | Bin 16248 -> 16241 bytes CPLD/MAXV/db/RAM2GS.rtlv_sg.cdb | Bin 19078 -> 19071 bytes CPLD/MAXV/db/RAM2GS.rtlv_sg_swap.cdb | Bin 842 -> 841 bytes CPLD/MAXV/db/RAM2GS.sta.qmsg | 50 +- CPLD/MAXV/db/RAM2GS.sta.rdb | Bin 13197 -> 13207 bytes CPLD/MAXV/db/RAM2GS.sta_cmp.4_slow.tdb | Bin 46383 -> 46379 bytes CPLD/MAXV/db/RAM2GS.tmw_info | 8 +- .../RAM2GS.root_partition.map.kpt | Bin 2648 -> 2647 bytes CPLD/MAXV/output_files/RAM2GS.asm.rpt | 10 +- CPLD/MAXV/output_files/RAM2GS.done | 2 +- CPLD/MAXV/output_files/RAM2GS.fit.rpt | 12 +- CPLD/MAXV/output_files/RAM2GS.fit.summary | 2 +- CPLD/MAXV/output_files/RAM2GS.flow.rpt | 12 +- CPLD/MAXV/output_files/RAM2GS.map.rpt | 10 +- CPLD/MAXV/output_files/RAM2GS.map.summary | 2 +- CPLD/MAXV/output_files/RAM2GS.sta.rpt | 6 +- 70 files changed, 830 insertions(+), 828 deletions(-) diff --git a/CPLD/MAXII/db/RAM2GS.(0).cnf.cdb b/CPLD/MAXII/db/RAM2GS.(0).cnf.cdb index 7b5cb9597b13cb54c2aaf4a15d19a02cdad97eec..44fdc4e098e89bfffae00dac42c3ef2776f17a76 100644 GIT binary patch delta 20401 zcmaI7Wl$VX^ezk`Sg-)W5@3G`0fM_*2qX{)5Zv9}g2PU5cbA~S9fHf^5@d0ATih0B zmwofU_r6v4)142eyPlfvr@L$F%(1@DM-MANk5d9PmC*jz(~I%H+y9Ya%Ky9XiGJKc z6lJLCzAlhN<5jQ}p)e>{PF^8gQ_O8&KcdZ6XpXNzO?Z&3k-rn)r!P-H79)Kc!9w7{ z6cK`(hN-2dpdN^>m1Kn(C>xeRpp|NGr6wDkWQC*oJvpd!F@z~C=!-R=rZ5xau&d>6 zrF(qqdM0v~?XjM-e%3)H_x{!c+hYy6>Iy}%UMsxVzE>WwMC}Xt3!I8BR~@e zp`nmGj>N~V4S`b()Ab4Lkj^}!C|@ZMQD@}7fb~eq7?jf~V$-i3R7>%~ z?!#5LMYqAy&2{BQa6+66HalJRg0SHh{fczCeQ{TocG|KX7g5j4EC08#ljK-D95tzf zJ{x8%o;$tZ`9>cku}K$b28JOTnv<>w{(NxX7Je0ktGwKp^0H7KPb#%5%zlJph~an{kDTBUkD<#E~;S|KuZ{ zlH=}W@JFvEZ++vVgkLVOQLyH-83pdb5p+x&IYJ>Dvn1W=yrR*GH++S`Yb8vKS6>ux z^8{@EVs$91GVe!cXtYybD}A}=WAhNQX(inG^i|>0I}v~W8Cc|p{jH2&B5#-n)ea}k zECEAnKfZ679Pyt-EKoT7*(d0u?e-b`A&U}Vf_gFEf{+vMn^=SGMcVcP&&ENA%;1FT z;-a$7oQ#K5HjR^>@S0Y22EnywZn{m21UbK_)%}KwmmwsaM_9384(a2>+P4{d-xjEw z6KAN;Zn35NK?Gg6TeI%iwewGOx*apoo50{Vr-9hc@YQzAkmcwGXG_U4woo5Mepp$p zuyEBTPxpZ%hT~&nazeXSb`_cXz*^&(m*7jPlLF3)yIaV&OB3VpvQ>An(W+Z$DLG6x z*1kH+0cjOv))7A=)?HLfgBqmaJvm&-wS1PjfQk3}^39nmfRs@q^N9`SLEMi)0SE9! z?FpMQ#i!Jvx<`0?%4%V(vWG}dHhw*)UWQI>I%#EFS+B(=q2h*z$P%mAFK63_RgLma z7x&H8uQgR^v9)iMz9M{MALe0?V>R-lY=~+&&%)X2#=}L9G8@lA3S;)tWkU*rYyzx@ zvUiJek)o^m+oi>*kRw4>mHt!%c*{M!m2z;fc*%Gb`1+Jelp-q?a4s2yUZX9oa-3@^c^R6 zHMYi%iLnL&tr4^}_c335S$6;F;nCM-kK*DTgqp+Vy;`zp9Nies2*%&W5|w6Ikw(0|vBIwJ zxn|Jx%d zT5NNSpi6|K-5%q79QW)3-Sg~)<0D$TM^FGsy3YN?7G|KJda^{x?vg5&*Fl_rQVc`mlU=CCSnHb z`#b1qN=pYXh~)vTF+|K<^Yj^x5k!oH;TC-()?bkCXu+Ye>eaoWRiNh3yv)rN8%|K5 z9?z~2Mdma(rbE^0sy61@09x}fdy6^F2(8TqjPP_`wg(2@N~}!$=G6Jwuu_crVzvJPjHm zi*DD|H-XVtKU_1%$aoK8>)vM~Jtt*=80?DImK&cl(U;408XAPvG|J~Lo>@ic{fsR` zWccm)jkF8nRN1gIw)Q$ICbyhjq?8+~D{_6eZj=w&Y}(z&mNFUZL?x4XeLNq1HzdITB9_wpDZ0?In^hU;#|6|749lU~auNcoUKj{{Vlb#s)iv<(I-08L*Yuz;{o#bkRu#HK35n`<`xNP3@Zian zZK?1<&sKNA_s;(u?V~SP_(};`Lzt;nJ@U;9spZ#b7gLT^O!JENVfA5Ez`f(4uGk5G)BoMW0KP_d~H(Q)zU9gNhr_dI^L%y_w0n%7u0eSzDbwzN^ZH=_ky#)-cy zY3o^KlveWdYerm`vwgi$0)~nDo;EAR(`OX#L_YrE8WziIO(OD;50`k93rD-m{=L!) z%pbMU?*YZMCV%;}S-*epR3hXAxH4l5e(2(-CmR}m=p^%!0+sFJT0dczsj51=^?>Hh z-_6;an8&ujbwVq3o9x?c=(8!te_xnave1yxF#6CVztL z8ukYXV-R=VwO8IxrT3&&)MMUlEM&B}e5uHB;$vTnQ`~U~?Zxc{$_{t`E@%G=OrYru}RV4OKHq<`wNz=u18(e++v2={38(Tu2+3s=0oo3pvH@ z1k%AeO-=Q0Gp1@L3iN^aCSj92aY^i=o8@Fa3-8d-qP_KVMODpT`Ag3B=(8FciIq1u z|7NxMof)HW{4(BAh_pFb5D$NCUi!6Lkr~Xpv5!LNF|uyNFj*fEcT*!q3!z^ByOlBf z#}gI9xyYFGnT{qt%)w0XK_vl~)ac%82d3vU?%^`^YJsotEwDNs{Cl*5KeYwQ+eydZjc;?dkwlg@Z{kp*O5se*#k4;0n8btcp|8@;3AG&WgWg*=zdBd7Y9!;CMtHrDx!18~LzRcAwwN=74UH zYbr5^ZAyXONOCB^-fEzW@1-!>tTQ(sM^1a-N1c7CmIxJG&V18VcBMUH9wADRH)nkD zjykk#wr&m--*B0zrR7vR8j5cJ;^5mEy;@bSC_wpC41D9Op_&bfj$SSG_Eipgh?pWp zCQNWfL!8W39y4%--Oax%s8VdzA~fed#QcYE9zxY~uvqar8I7w@4*AaZ0^&eKGjF?7N z8+81ZUy#^u`PABnv#gSlXGd5{vx&E)abRhh3+v(t?jb}$JVd@*4;^{S;*diBdy|hg zLWLPTmpNk787^#8!kr2VL6Ii4o;8xC&VZ+LY~{%uzxY+Z=ccTZh-1P0assyAbYwHk zt+KVT7Pu9F;FM@QxrF(&mZvUk!t6h1CeSbO9Db6{A$e&}?F_G%=~FM#TR^Tlz4@FI zRhsd+`=s-VOKH6NTZ$n`zqc$ZHkdE^SM8L3I6>Klm>tnas8&56~H| z`9`8hIjpWmaNaGFT^>JrUeJM#G~mE3k^|Erwr>5E%2s2$T};&5{sS$dIM7h*Hy#(M zOw6_lt(E2Lp45{ZCf(XvJ%esjHb&y-rY=v5li8?Cn0053B9gm_$7fhN zarK&awnrk)ZG?J7*XwKuais%v-UN8j)Ny_%lDjjJBlvo?qA;O$b5zd=&@2ZNHu=@+Y;TJ>=U)m7a{1f;;>BkbhSHN#b7M_}B)(CyUwQ|aKY}Wa zvIQ*pU~4&}GrYe-Dv~~*0_tlU@mx%alNwM^bu;eC^-V-o{|f-mNa%YN>QpJL!}wHu=#y+(@+fk)6cH)C z5kiIMwwrxQN_TbCiu}bo$MZj2qd!t5Ahk|Ye{7nKbZX`-BFn_p$Rl%K&bRw%WUw2$;mDW4F6snmhND*Jp9~epg4L6Fgg1HH&JBN;eQT0EreTC zUpWzDXA?$|7weaNS{pkz$}cyCL`_BYGGm-4Tp3qOf1;=?RJ=Q6gHHq~pHejCPRJ(8 z%`-1zHP5Nh0cZ1ezhaSJg^B+IB5#!}jYr8gW?U{!4TAB^F$Ej!cwYr1Pw1g5vOVhk zSPJF_?rg~xO=GLLH`W`Ozo#`t&f#c*_I20}$|{#O{LY!Gk1maM8rb`dTarWTG;DDa z^pwrrCvWO2nESDaZ4!Ti-(nlMG0nY-)5n*rP#^lC0Nw72?}7D2E4{hia28N^qDn7$ zVScD0NoK|4_Qjr~Bvbs*CjQr|OfnwW*EbFXG#y;63-Z$}7cNYG=$Ab3)=H6J|InI2 z)KpHh?w+VizF2ME3QMq(jbxi6i!1AoJ=iMHZd=_-Bso|;C^^I-LHPq$v{` zWctXp-h+*NtUY(*a7XRx*5?>3JdDm+W`;}i&cMxeJr4{MG>n74z7DN8-_Q1Eng+n_n@sxNLaN`o&i`+MXE zu4far7tnZOi<}d>ZFJDLThGSw?wz$!P{1zkRgG!iLk#EcR9^&}DG@89SIYzAqyet< z2f4mEkNT_T!zW*7Xka;VhC;EC^OBoi+9?AIt^&ziI+<1k9XF}3x0i=5re~6XNp@a8 zhbaC?x;zh^Xt0vDgp5#@TIpx@jg$Q2$ ze)e*d=Hp5=!5Twt1i$S~P7|QbZn;Bo5+LR!moe3M)_ymMia|Wxt}LZIZn|qPx9hvm zh_W_m2VKV&8v5iAsIdw`mS-*6t+>WVN=ro~A>oAj&NQY?UgAG?q3rDz3w=aQ*&xIk zsCv~vh^5jQ4BCQ=3f;R0-KPe^gjaB3xgMX`hoA+fbCIZRuz2GzP}#Q;Z=xR!L+5H5 zf5rL5hQ*z3t5DRL;O7epTTz3~`Jszba<(}qn;4H&EqKR>b+?PC*-w6$2(c1KE#v+( z<%9At{lw_KzmLMz538L}hj~m0=}nHuLqh>V4=YD!7@B@NQXGo;9t#ea=na?2XLOwP znJR&j&b2chno?u}EEMKBov?j(wi1qPeLNjngFimmc$cnPSGrW-$+tS#W3FE0AieD5DVzTb0gpO&lAlX^ye&&9nr_e;6r zOS@{%Ho`a4DODgg;WWM;IT%p;Tcg9$+;|um2sd4!;C(~_?u(ggxIUR8lA#FEuEF%s z>)4~O$9w#3$)}F1xV1iv`x`JyTS1>^bK*8Zh1c#Yu2&++c0mcCnPY+Zsf~2}@iB|X zFlDLy+UorUw~#;-mlF$Y)mKp_`~*l4ZE)bw0>{8yH9F^;e0pSl^xi3kt%{~*!nU}) zcpL1b55EGHlQkm7$Pw2T6vM->xDi(*>)yrbuUx}yD8d)w^%y58kj8@WJXRNLr94}o zp7vcM-ql-leOzf;UU7>S>27KPuQhq(gNt9Fi1q)qGN(?+)2q97st3u$>enyfVANDQ zQA^7`eC&ht9l$s)F@93H68=!B=lg|)I54LSaB=WanZHFEY{SEp9<~4boq0#Zau-D` z0h<>*OD#B6*`<<`cz~a;xBe%U1XsMu0p^g3Gyj64^7BHUqGF+R;+75VOAYJcs0?Hr zjKa>{;QEj)&d}oQ9MQugtHE$V#TE|>r_8|}a5X#DKiwKr*~G1>S}Ex6FPZp&qGtz6 z&AVn2b%<}Yvmg*g4cDnN4Xt&7l}$KQVw)!&;2MCZLFchE>F@WcImX8_MNo>Vu*F0; zHeCKRRCf^&FhvQmVPv&`zTps%l7Pc2IZ>yRh)AgVx4oQu^BF{2m46@X$B@4D4;wEz z0Rdp`;6iAUV?ZUxQj3kS8cXdF*s11SUAqYXqRDrwsJNHI$~DZv)Z?c&=uY^^I*$4l zp%2+Jg-c7<&g`sp#Y=f{O@rClwH zVIP-Fh0BrmkR7gz4tJE_N(=WehZjHBNFk0~CC&&u(%4C_6qbtMLshv>hNJSYT|sV( zthYH$*K+sJLFrUhQs5s;>R=TRdK<`1bjDneb%%T-fM~<|c_GeibRnXJ`2C%=%d;`q zGSiEH2qF@d)26Fdcz#@nV#7F(3w@o#B&THgY#X`D!^R0livlU*B6>uwt|c)=Pj@GX z_)t$gMmOUJCpJDvN*{&f^-V2zBBkOR3P4YRM@NL+l0Sj>c1ybR(vf7KLUm*jhsR0BUHxm z3^mbZ=i7i6^BMM*F}BMg)kUtMbq6JBWY4C)cUS)PC%Qg7XN#Lr;|abrV4nGmI2vjz z6SX2kZn@ztTHi1^x*hDHy~aYlV;4cuJF@$G{mM21*FSQECAvnY0YNHxro0QaT}Du@?noWDg$CC-lotAdxCcFcN6aNFdBzXK8^Oq zZtY5YAdKpEI?dN!8|1&-j(TEd9pJk$ama|hOyks*S+N15r#?}(b#3|nM{&W9Uywd> zaFPz^@rjUTGt3n8Z&)Pt%CO2{^&)(mqpb&PylIsY6+8;vQB&{`muW8%LGII@&}%Kq z!GTpf_g>`Fi_Txl4b3;UXq@$U=dwHxL}}3BmQ#b!FpAyhXJI?MtIKARbL`q%2>Cir z4A!d5yYy4>*VSJMe-xuZ-OgK^E-*O8m&?FcsxCBXIpn1JymYLS(hzo0lN>?&9x3v} z;)s?b{~b^$3SqP1bJ**^Q>Hb2vyC7j19n5zrmLAj;QvImUjElF5%%$?xB9b>J5uTq z#JCbh?OsUlJd}4(5=u;%n}4_q`rF>?WY=;{%l9N11KSHk)WykG(v=0MbOLm%H_`?v7KqOjPwi20D8t% zSIWDKl}5&#HPqO=w6tkp(wCtyY8BKC`MYmfvSvq5`qgLT$p~!c=)XeIeY;^URU2kY zuku;NE_dc~@E&~S1AYB*_BiMH52QpHUI1TMT~awsew7UtDd0+WE47Hf?E3ATro+W{ zQ*K%<>qAo1Pw`w=XTu{Ll=e{e79byVeuXB1*eW}Ry*X!N7{{CIgM@|O2j35-NWVj& z8>*snw+|MFOH0|Ax*JB}BL~$phmsQPytZr;b~yO%0?4SpiVEAm7>I>%vYQDnj-%J~ znAJF0z57|<(eV)320pkB{p_C)FMK2G(Eo=s)0=q@8bJx68x_sYh*JoC5AVHQYb7krmp#6?<uu!2i zJ`8s&_4B(NJz6m$W`y=4Ru`S2C)RshWl3+AqHwDBL5_Kq^U^#s8W13lYQN)v12 zx5ub8>xsN@5v)SB1YsIT`O@zIil3!DTBNyUJ*dh2XGfH3U+xpwNM|bli!PlAF-+dz z5crNZedP4#KSyC{x&ei&b;oW2rVQQ^%FDBi1$Kd;5%g*b+*%)$I z5T1|ECEh+sVswT}WD^6G{+}HB_Uvxl6fK_qQZjgo@SwlY;(vs(9V>)0fIKm%t-56} zzY#p;GxzI#&&maqw{pVbE`daBC4mS~;=vhTjlksc@mW7g5d-Xi4E`ic3Ly!A=tR)I zQFxIBebvRDIr0)%5evc5^C(Wk*_ru^b#Q{Fh|1_|P7C*^;pdPR0sG*;eVJ+U>8Io4 zH=y=ZYVr~<8nPea=o?P4Xi94$W!XkCu;WRQ74@_w>e+dRH+C+P51Qrjd~!Jx!$iwb zQV14U2_p9dsgo};kJ=GqS$gvHwaZ08esi&zb!c@VF+T#+G$66+LymDxFRsEe-c9qu z@S<)}g%8I+ZbsdJ;*WpbhU|Ym4)L?+K4#*aKjsExiKFRad{TA+X-mjdi@e&&-_YF| zMLubSuKQ(u&X{ES%G^vfMkzjoYY;`2Yt36j@FN*h>o@MP!LYSw3wYuWK8RzZ=-#PG z_NUAk(xU+gqw{B`0B_=tSzqXto5qBSY9KI8&)3w0DV)=`wP~>g26_JFIELk;_ltKL z#SedkEytQ2#p108qz>{)q+pI&Z^B&Ig)>^Rii7G~b2GP3oDnXfb4+#tVn_uNyYSOe zHDqTAOYcyNB=>zLQpms|RT_F}R2K=G4^D+*UjhmDZ&Ch)22R%WO>UWv!}6{nzfB$L z*+r)}ofmlzU*f(E>E0iPj|h!fPrrpVy*KlHZg9GsNaGpVv;3hQPT=VtkMoZ_#pma) zi#JWH@2iUhNBeTLF+B*s4~hI4nRAG}i%_09eJpO~Sr@$hig5gc97tR6K1v|;u+Fn> z2dJ}SInA$Ng2|c1fakORS@fANsDyUFX>K)o*e>jESnSAeIh;8Wm%ra54iPx9{JxMXcLO$9(~fW#900Q>2M@Xo(C zK|(@MeoQ)pr2b$jG#ETg)SiCc@fUy=8mZT;;_~2mFvU^jtjvAv_08+RCC`-BvKWuP z){PxzbG?|I7isuM{xWAV$h6P)W*rttQP|6l_z;q;Cvg-j^JVZdmk{xD@9iR7wyV15 zloU~NOzu-&GaIm4{TfD9^8t<5au+-BJ`KB3YvX5*#E)9d`zY5z5BgZZ^BEwzJYO3! zP%f26OncgWGV=k?GP8E2ycZXJKh!WLbf&A-3jD5l9dh0^VZQh+w1(p^h%jA4f_L-R zHgT%VEWq2xK{D8*C4$|vCp2c-Kfx~Kx*9kpZJPvkw2n%JEBmeK?%!H4z$%P}PXRYex59b1-FYpgQ!wwfR-bE5Ua~o!ZX7l zbi*Usg0Mf~2iljc&#SDdgUUW`HCh3Ux4lu~x~zN$MqHC6fll!d+*oStsOAeFrsHr> z9BFgvZUm1ym9%cy2Osj0oSoa!0R@)eqAxNPp};qIH$bI@@_Rtv~ix zDs&%QHz79Q=N1z^Mdba@D_r1PTsnfe`rogfyU=`tF!*>QUE15oI2VG2Qecu*i)mw* z*H$5st;`Coy+m4xtOG=8w`?s-cjzO2K4byRLkIRD`dt_R)|&V0s)Ytv{S-X^;i3Y0 z-l8wUp3PO`lQDT89umLsAHO5T`~0PYOP=|zYbzb^h5YEDgfLDbf0*=Z+OUV@PkhmY z{bp~~oCwU0e+INN`j}B~giEyWezdt*?l+9wL)Z-N*`^vkwdaFzvSyS+KSr5G zqXj-?Ft1bsyk8So0;=8WZ)yuQ2}jR#x4a-GJyh`@iS_v-`Z2vI71`9t-GTY+%rvG8 z(_XWd{Me%xg@lo>zt_L`lFTalWsxCB;BYWl0l%Z_Z_aesN?CS5slQy3Qx3B@&(NS_ zNlYE%3{d?#J(MJ1!W$mlFYqrbBB}0J={o6XgUO>=_`u{Tf2ZJpQXF zJMI&g2kk5)uXX;^^sha9Q*{qU=$4hW%ILYL)M#CaFLE5Ov?-GnmLa&Q+ zR5E+aR95WO{4SCU=ZX5Xznmd{GAGr&B!DzKqM9JN+itUGc)zE&CmD9H$w}wzC-}M6 z$gWRT0ktdR=^n6vm(V6ndH@4CawV0yQi46Wa1W3QKzJOMWQMg9MR@+LruASJ|i+IX}uhyIza z7<=LfwiBZ-jz&YH~qS>`;v?}dV425U<(rIC){Rh1z3Jr_&=gr5o}W5i{& z8rA1&nbutt!y^1cPw23xgwEE$fZ(h4I|XI4r%+YZSJ9CYMA- z(0by)c}u@R8wx_d`Q?7SL=6*%s3x`mRrn3{0r&`fEdoWo~BL<_4!`%KPif4 zKGTQ~AoIP5o(FKz`Wwq68N-{-qH{@e>4zzUHh48F_6yo+h*VY27l93t?5xF4F9rL1 z9NbHrlgWHY@Pqi01xtF0xNNk`YP5gVzZo)g*!?|{pZ)PXm3s5mQ*?x=$}--# z-vVL&M;pyx+Uh_r(=Lk(h7MX>K*|F3E5o~(N2%%u&MJz2z>BaL>}7Lbc}l^~dwDDzhm;Z9I_nb2Bx2H~WPxJDe~ z)HiV*HT=%fCz>*$u{`(e(ts|pCkm$Q^SclBBBXFBQishWA|P@{}PL=gUcEdJ~B7M7wp2iGVK;Z zrgO3`5x-evY4O;WTPL<1zjhz8f1MzQzoE08c(IykK5Rc%=<}Zj;-AM)jRm#0KvKJk z&b?>R=O5WcIORGv0oD!~V-M$TQ+eyJ$3jh2Op0x)asWHtrV;l|=hV$gEK$_;PjMH* z>-8uh$w9qwA2VAF*n>Sut_@T$;jXG_}k6tft{1jSKw1oN^8W|RN$zSf>FIArjDLC8$u5Ur0y*fc<8{s>_k&DyU zR<aH|3`4g<}IGGS_p?JrWuu?TN&l7B!rSWHo&v0;*ohw!iWGYlDD!GzFZ1ZF_s z;_32aF6xX>FnYWrPWsqXB0f+Y7r9`cr$58RC;uyAg4Fc_ zu@xM7thPL8Z0i0COr=kCeIv`sE{<}fDJ6U&OvJ+5$8Ng)Yp>KbxmNRseU67u`mUS;Pjf^ct_*9FM)-kQBvW!K;0c z21;A=07ay>Eyd|u=8f_B?ReI6i?#pAul4+WiVldos=C4#V~3>cDJDzK(eg_c;MR_> zzRkRO@!rTz$0N@3@H(|eAv|uW^QT0?i|EKHJVbe2C}AOp8;_m0BxeLdE*vAxgaY2~ z_!{)SF%0Z&z^3_>N$0K`R_*zo>{R))8H+-r>9Qo(wfx%3r}MuAoXin$Ao>0t4cD%=}U`=Gbz#>WBmwi zKJ(t|RsRe6x4Vlk=hM-$n}eOX@YryXMCnSb#2=rne>Bl2*7}m4_Dyu<5`qh?LW6TA zoVK65XooSfC4^2&o}1g?SEdElOy>Pi=R#j0J<%sMB2?3)RnIi~Q@qvQC(suC84=)C zf8Q{9%cYZpR=-NR!J_gm2d#N1&1;LiWAXW2G#5kH;NtTVG2X8WkJjfU;!5QvMrEnP zW^L24Yd3C#;L_zEBFW`>|_VK+al^=fU5u;Co^ckI z|E?u+*O5QKBTwtLd+Zh;F_fE}wbzj!J~lyDJWP5GN~$ZO`ihtR^^!SC0Zc|7{#*GI zVQ{ups)g2TGC=o6B3iLh7@z8R$t9?0@~e34JiVo2_cj~R9P7xX6@!AN;yA8CJ^lZC zXS&&A8S~4&P$P}Kv@@zI3LmT+f_rgWRN7+&A|n(=asB8!xAis4OXGi2r{7Z6GQe+}=m;KE|yGIsSh{Xc1!xyl!| z2IUSR;3sXe@j>#@_riNe3k=xs`2boq)VK-q@gvL|Z2vr@V{Gp}_0Aoq%ez&P57l#& ziK%ev<~Dg{h_j2k%u@y}--Aty%3DLZcR6?3XpHtlbEaDAwfV0FfNoKPsiqZaDbwl9`DiZv6+&f7m=Uag3qqQ3{+KmNBWlv}_-i{jG!6W`0GO z7bUJj8$+Lf?|u);p_TG&B2oqdJ;h z7(ae6ASsdTH|RA_Xm^ziwg0wbU6(j)uloD+oq>mF@ z-Fo#|O*8I*Rrw4X-&Wh&>DTp-X!GC7ZRlB3`Ap3Q9p+GWDGwNxQ5qeE0;=X*HcPey~ z6Je!0)Qi96OC%e5xje@;(er2tXs@i8xJ}J z+U;8mAyx}t^BQ7`IK0{3X#8tbLtaT-BR*NokN;rrbl>qx_}MfIx0QaxN$_*m*R#?T z$E~kGf1>zbgs7(mROlxM2tk zfpevofc+D*`fu(shV)Iw!>9+X*)Km_(QKyz_+I-E<5mN?u0TI(Sc&_&Ma61O)zcU z!*sab`2NKZx=>^>WEtP&r_#sbe6n0})ZbE-J|BYvf{=VBukR8fiaILG|#tR4F z_rkE$qg#h~(4-$dZU4yq=XL%OA@3NzN9ZF`a@bGjDBfNHJ~FanE2pPhmfEz&{zHPL z8M@mZ`7%SVD1Rq4DVt;NC#9o$205hbDP$kE>^DxA**Nb0>?*Jap6Z;B8TT%wh-U?f zSrI+p7+$>TYL{;;)Nj=sDflLM>I#Tp(u?*ij&RIMD=DE}Z@n7Y#Gd(Avz`<9_7e}n zmZZxd=oIsVVok7^3OG_k3Z~bi_C1&(7H;C1)G6CqV8j{RZ^*5&;`2eGp|8Uj zEa#s#fkJ%Vk2HL`^;L|O=P|@sOCjt770Ra|ZU~(T)_B7fm82fi&MwKe&w(CV*^;zs zy$ZKH-S%`mF>hj~Nz>tJ-}~2!qfaIF`0o=bDd}~XHqkYo;RLo-JZIk&ubww+KktV) z-JuJAxE*$r0gGf)UI-<`pziGor47#Fl;d=g>oC0Bg@z1u2rs2ZB%dx_;sjW+?>Eth z_PAqGccW%aJ;NG|jU8O+c>!^aGMd4*kR!T4*Ar)_VKAP0qhri)qq7la@$ERdOsU%U*>zCQPpT=@@!!_4o^)*(D9agWiPA-A9dt=aU^G1Gx2o1PoXdITMoa4d$6}UTkxLJvAGnui~Ad0 z#CFgS9tQ@Bi?Sf`X;W%N9feOgr)UNmC zbKviV;236Zf;^!qJl&z7#xzHt`M4Gc8h82T#!-pJ(;=qQ` z#HTTwVm>H7F8quhzUS&u>iru46p^X<-Tmy{`^`aE3qK9RoJ$E_TpImp%Y2Q)J<4%C zz*=nT7fjpFi~B0^As)zIDtnvRl*WOMIz^Di%nAjUj?z$XqmdsOw7SI}KQihy_@=;!SkFU&$}KCg zvBqWGdlc_)ImLP1-)8wS+HgmBDM2xQ*29jVW=-U`BMkx%!ztGSXD$3mRXOC|&<9DH z)qj;YtnRDYVdX*7&E-ZF$s34u(62E$f}_N{H4{MNbHaAGl}*ED(s9UGaj+j`s8F_g zXBony^YxiqJ)V%d0(Q~jL`5T*`RefMg# zcYJD#{mEN~$Mp8fgz4FYjDlf1D=LyPuIOIbE$5V4}G4O;u39tJtXu z8Kg{2ZttVsc4+@uCeu|pCNW8lR``rt-Vqhx|{lM6SFY3Qd*{C;VG5b#a2T| zxcOnDP$Tap9hrP3nWmJwYT;soydc2;8S`1rWXz6uCC7i!bt>jqck&=^EmQVwgq((r z(PI^-ky3bqfIM|iekv+bzME4%vbO{Shyg_mjEJ~vR`P)H>y^9fUi*reFDhV;@WUxbY{ol9#YYZrjAOMNC=<=ygigBaBAPW> z*@~m5>X?rOS<|KDb!HoneXi42tI0EhYs88ug*7Vrc6zEP%O1=nDN;uBgdc5wd;n`t z&@>(%qOwDBSnGhCm%3c(%(IJL)fR0RQU01l5&^Pl)|#O@k9-Q`5&O2L+W!?P5Qd!z zO8co<@1|Kayaj)EI+mLv;TuzUDG*-p7Axe0dK&*IJZ*2dIV{Q)qAUN2@yd-R`|#sT zRS=U{IWa1)!bQjv;%EFpPlX_$OIi_MdFcj3{2E1Cz*9z!o$)=IXL$Qu_HtQln;@%`f8o9M!&WYoR()DV zA^G&aH#HU5<1Hg1e4suGuU9p}pDeDnbDgrREw-yquy17~Eaq5F4u(=d^adm7uEIZuieT8){1@YAKUT2#PXs3V+53Tiit}I`hp_vZiwL7xqRVFq|01tj&&^nTXPfRNIWT<8s|I< zoN*ra(Xg_B)7J*fxjm}AZLJ$beR1y5b;&+Bf5PPm2^Cal7+1d_Hw~ zM=VEPcY)8ls(oeKs5=REe=OrLR8cdfE*> zf84g{!v~xb&IbCZ(j7a*0oO$O@F5QR>J#Q^Pdia`m7N64SO|P*B_P|-S~g%jq-7hvyzCN_PSz5jB`aAt++?` zVZp8KZW5AMSuGxO!r=^;K7B0zhi1dSfAf-Quc}$1?TIOm%9l)uR>O&~$jy)QZLUc7 z#lZ%1B73qR)OIV_^9j+Qjva*!#=*I$v3!|zTR~`?T>|&o{LItGkx_>YxKi53Zs@}| zVcrY$+oP@!JsiL}qRJH&I-+N~{qwQL@-=vqZJapZOlw-zaNuR!V!QqyTa&f=f8d6k`50}xpBhLN{}y#zt=dN)VxX@+_`fd>8Lscy@Vf)}AeXnB zKB{!b+hy_TYq#y9+LzDcnNNdgBV@ZYfX`a-S*PWyPaLqSa`mnc4z}(a2k>E6dW}cR z%F^v0a5fFtDfRUax}1^iZiWq5e=`@~c)jwNSgF1mV%wOrSu^&%{*n!?ie|la^L;^} z{Rh6|IgX2JL^)!SJ*tKq8P(Y_)(SX7EWbl|#wXJ1<^vCQ7kzM$joKH7bIHCqM+V@0 zG++iE9Kffq4Y2h`J^7GP{jNkGRl0qOT*n9awD0*R`W#j5>(jS;%7zWtf6ese(^ntt zHU#JJ02`d@sSm4u-_;d;hT!zI0kW~W^!3kYAsccXQvF0ZL5Hz+&e-j&s_7$FHLfbq zvw|+%e=?ld-#t&+(Q-ZaNPz@jlQ1+J{$cB*?wZ0lJ6gs}3$KrCJ2ZZ8Pn;{_b5%H; z^Xo8{tv}M(8OKK-N7@=Ye^LABvsU9hAAMBm_Rm$x()a9+Jk^&E^Q|w=CgE&Q?PG&u zl8yN3g`@F(ai)dS*FV^;Z{A^Uvj+9i=aTqxEbxk%P|4`Ia>6VQ-hd%2HM&riyv7T{&-SA@{eX#L5)jl}H0s3%1 zI+eTX0GCmGkPX{)@!1F&SD62oRM}$^-&pKu@!Yh% znulAb<=gHKKQQ%w>a$-J;de;*@CP5cDe+4G)D)Lt^v<&Kf6bV=SHNGVYW$%?$?(g! zV>aQl4;_JDzH+q*AD$!d%lED};a?ujzkK;>6aEZ zOzOMW;oV)Df0Da3wCVNpOX~M7HP<8BOZ#416e=a&{|wFWj~TgE)wo+kw4nc04UHR# zfF0UL3v?rqD?qSSe+up2YtVtqEIEZ1A%3kv2+k5f&qW5`NZv zbADLM;-@ybzS8~cX+J02Wbe2*eki?87Y;SLb&uE&GaS^&Ji5JvU~>70Vu8id#n1Eo zrjGf%d`o@nRKd$97ShCc_(~BEdYOXaAr&I3X|b!zZxo&!Lw+U#C71tisxOxx?&HfJ zZ`}vtf8ihUGnug9couk3qi>ZN;r;%3wy_*rS4wS0jPZMd5i|aOREN(~ms^21_GBAO zso8VHkHY@NfMxS9W|(&svnN#PeKWvQ4oY*}GK|kI3}%k(RV7bBfz2JocvLCS3&t)R zvg!e~j;XSNI9wcQf0k8jgH(&wSoMHfpQwJ8e^TVU#6Cz>|E0i##e=0)D^AO5WGfz_ z&1roWpH1G|#fm)OQ;)@yQseWAL%h^%#p~++EPU9;!=$Rm;^P9Wxs+|E25oe2 zR=>$xjm2BnisbY7Yf*6nMPC0{lNmi?!N#$WVackgB-l1}u1Ns366}in;qiXs{glf; zf5>3|9Ep9O$MZ1y+hD8+wr517yTV9zZS!+4KKAre3(vmz)N{{1{oJ!JZr#3l?uo5> z-Cuxgrz?P;{e`Euf*G~2ed}zv=R3(xltXadydEaVPuju;UVW0tu?767~`4GsU#S(UrYeC5^P$Zt`@M_f6$#^ zpA@oi%Y`Ao{(h1Q{mk#c{IAJDVVcgOJm+GUIhLqYX`T~JvkR#HSMQjA9_D}b?zHec zO?&APG&ZSvn3rX32J7FzgdjV=US)77;obqv@vsu=8I zZ~`-%a7@-nErX|?)SAF1Hj6PZi3ytv9FsLt%iyUewI;Cd4V%TSXdl1(HJr8hajkFL zbmR+Y{@0;_+4@e~+mp{!A|bUVu*p1%G0;CI()_b1$|u>Aa zvhnjIcE6YHlI9=xb2cidE==Z_O^nIVxe`$`HMdA@lb**C& zFFepVvYk{jvP84s)`>w2qergT{>7 zALz(uo;Mivj4o1bf9IihmD=;Ov)xbV|4P70dLcVH@b!IqqpeK;Xp{JiygfhrD;nva z5a%^RUgB-~j3uGWkxDU18Nd1Emw7;`DD?bnLj^2mrS15P+9&i6e;HRVw{a=I4)jpB zJ&V3DAPaY_=zs2j@99FXWj zsf%CYoN#D?e-~wmHuTUWU1~+R|NOMNBIf}6hWr2n+E>*+toBZg+pqRDeP!qa z8H~O)f*)5OKP>;e+Wd3_JfV4?jvwmSCIkHMRXwaRXGM>3Jj+I|nGwCU#qo^juT%Si z_6TQmTqFIV{fQ#>l=>Egcujq8>-eUQyj1X*WJ8C0Mdxwh?9tdowciomJsQJvx(ljT zRB7`{e{J|;f8@HP3e6>bepmHgwHMWQPHk*PEZ{GT{C^_}zByP&#Lb(`##!?5{r)$kJqvO9KWIl!hzR6S38aYPkAe4-X6rHQ0eL}RJmm)zIje+i3 z(VS6b{7Kmd*hRH9{01+!J)y>B)kVcL^yx*tE9^O|BfKvcZTMVQ<%mw^H`ffp3 zX&o8w$DCEa@i?mXNx_kkG0>o%RGn45r2cnx1b*eym`4uk^R(()>Qh&U-?c^iBdBzl op--QYagVB053!ETKUTe_ioBOqkr!I%wput;{PO<;vqVod0xfhyeEGVVqvnAODuy+i7$NTmXySu#MW94QqZ>zU5A1IM&ZkpVJ7{~?Cdks!KI}kvur*1aXqZ$fFdFLmv?fB2$14+@UJJ2g}Q}T zXs1_p0QrRXLaNK{vQ@?YU0qhg?ef#=6EYPgrH{mepz`bX`jBQG=5z}-78dRG-A4b2 zLeWeZpq0AaMQHhNOb*xU7vk)<QGd`x36 z^mNIA=k18Vw~?=#3yhy$!$f-pTO{tQZbQ~t?p8Q)w*lDBqPG_Vj~8p;j`KoP>HP3n ztfT0h&|Q9k^CBzDZiAnAz1!;L6Z|E?uF&IJHY|i<&!txWdOI)dg&UDnCf6{;PInpr7w~Qt~upNkj?ta zdu@q#?#=o zI^|$b@`q^tYy8HZY!E@)#~0`~KF>mp@OK59h+bUFGFBb8l(Jt8xI@a(S%Wo_0RtTJ zY;O;Tdfe^9I!4C988bcI$RcoOJD&VMm^n+}$x&V&6V}^SdR3bRL<#3^JIbnO#$|#v zb+JSS2k^^L=n&J_>hNMBn))wrj8nKD_G+)wFbPAhQ5(*_?GS=}=xdj-9#di25EBg4 zr?S8Ie5s9>jG=zJ2jG%t$7}(g-6h#|&)t?}UC?U*uAawhOUpkCmFMqDJVj;Sohx+- zE0A^_&cu2$Gt4QCduN0EIfsU8Cnr{+QWiPwtBBd;XB?h@!jwsTHpIY*92x>=B-z{? zR`WEdps8ZjJ@%-?(|Y`zCvftiSOT@O$3fCa>bfx44d zP``=WVgABuj14$g5wEc@$$IDKX{Gs;W^(}6!D@%KUy@Ii$*N@*4$s+A6%v(D;$8ZoM}$-HhpZq^43TqWZ^4 z!ajr8u&{5x3@cFP%XxbCJgGu`@vtb?=;zI|eU_~cnpsKtCpX66zd=ilM8EHun(XJE zitan>O}E@2oLBjDYw~xX;2yg8MSLy=34^cVFn#|55j-@z@ZEi<3TFal|#e%%J@^MR$6R=uzL0SU#Vg<>49d|<9-(^Lozp%ViM}&Ixhks;tow%7s+ILAB zO&;Ai{jiz0N{YKQj+hPo0ZuVzC5>di6W6L2*}?M`_#82S<`-o+a{9q6dNEj!^!p>R z5}*4duyigtM>ydwQ%7u5Sm)j@xcurOQXawb0a^JZ7o#N%iy1@BNWvdAQ_8_m19BdO zEgaYO;<&FnS`rg(EnM?m~ zGGbE;@(M+d%`vQ-qCLc`yMwWrn16!-#kLX|Sn=pN{jA+t-8)qM^vyEHsZ=h(x)b|v zQTBlzfkO>C-c>SB+cxH+n@U@is@{p2y@z#FCoaTWhNBHov)^0LIfSD1?9=G2wp(n2 z!2rbA4qTMYS<}?iS7}YZyO~!Hr%>xLbFgdEof-E=9G5LBUMR`onj*xGUVI1OI5W-knpNWJ|DElNFLD!iPrGOHR7b4QeR)pl z%(lhzN4wy)i>txCPnxj&cycoQS^DTR+cNBxaOr9s=`pAO@Qe1{s zzWewWhEY7>7?j7D?N*>*tp(inP(NW4IH!8>Dh=XTK;IIK;|Wy_dPZ zuo=8%YI|Z|6y6JPK%eIr9Jv;|OAegb`G&LC8Syen<>YF8EX_zpx@i8nV;0KN3NrCD z;<{EOrZwuI)9YYLE^>&-pb;~4yX0hRCY^=qg+Te4q2el?Ijwb`orf2b^-GPZA{z6B{uM%kgC!C2aR2C}OowdnqKRep}n4R6L zVS67~QeDGcN&4Q@3}k+`0p4r`ss^W#ECtpND508LKZ%#QFB(_WG)e2k^}cKGvc32iWcxqKApW)?C)iP0M}!1C`SXG#Kz(@^~zf{ z4=oD4ujw(ymq*;VJVCE+Bq(GBUaA>)i%;LNY8`o1t*VUIMEc|T! z6iU>+SkCJ?57;~!5_vmS-V<*Z@z4~Rkw0|3FO9l-7q>P=)I%4cmV)G{lqI7oqGz)( zx&C2G@KXX&vd!P%ax2^~T92CH9}2E%)1+TjKX8wj_U#AnT(Kxn2K}zHSmn|5y{I$O?&0M zo)lD$@VRyWYo8bm6o?g;m2N+BC--G93MoIhh3f z0MsJ)&zyC|w#4$qO`kX9sz^Xao%TiNr2=kLL~oUj6X}9?#p9V9%7SJk>d$U-DOba$ zToOXJxxfgI%roz^a(G>4Qj?*IEQNR=nGAt-q!jj|ON4KxCc;TFF6`6&SN*P9l*O9} zUvIcYag+R&*y@<2Vcr?~a5xYZdi|2r#IPy5(`9x>vX0e^`8 zL6hvF%YqEOt+A$zRjU-6`AK?ulu?q=jacocA3!$b#?ocVLBE#rO=A9Cz@ID(Nd!(d zB5#76ckud9^Ii=NzBDE}oEXZ;-vjyY0!;ov&6@w9Kq>P*6GhZ=yr#4Fxf7>2*Kr8k z;GxtmXM`qdYg=}nd(Nit)?k@oEpb??jgTf{6amun{64EK+-LdsLPXdW+)X+zxC1Ew zrho^2YoUs=04OLk>DtM1MvCjzl#(_UrF{|%eY)cL)&2c~|8B2L%dJ=<*|Aj@E0T{n zrv7u}7W?trH(l{n%bE&Yu#?VGe7y@Nluffb|(lM>1J0eje)^0KZH=rZ_i5A(UJvB0r{SE(_IaQ`#Nx5Wz zQu*))Wygvb%S$QQRdt9e!}pkxrXc=ceD#A()ei-Vg~68QUmZ_B{7Z0)$ts?J8^UL# z!y%*s4d2i6?mt?Oq%1#-%|Ixn7Q4Q-KMeLs&GDD&%EH#H9?3Uqy>JF`t-E(`mEnHV z?wjZn#MWh`4{xV1Y<5={P4oK0Y3@FT<-)OTY3GEg1fR}st-Mo%Qll0z&%N7e$Ub)S zR#2a)*Dvfp&T@{FAc-bsgKcRJ#F3!B%?Jh)OkS4;hz*@7@pmo@xriR2yh7ka*nPJD z7Q3lpxm%klh+^UHFu#Ojhkt|d4$BR;_u$AE9`=L3)QgvW)Xt_K3o1CmVa^_sITNTM zol>QTmzO;UzoT0gwEva5ZCHOP;=f*d7Sy!2?tXf)>FwpB*3kR*c?CNSa43uAwTd4p zooBc3wvA8aI4|ZHGRS2b@-E?D%v2G~-Y`pMc@&`WDRd?u>D5xMAOFYmka_#L@1U;1 zudzIPQ^_^$vfNZVV1C7PCgefP8I9qIUJm9OIpJO9QCTW#I4^<(eqv?UPqyA|_Ub`7 za?N)t*;tqRS>~e6!S1BMdLd=MC=1x9O{`ea+##moaGoQABs&r(e{p+a^zTjOJ?#9B z;b&;8+GzsO^4%%pl!_$Ztp@IO?!Jp?YC_QIo1AKO^JfQKS8TWaTW`jdgsIezh=5F& zNzm4!D1T!o+YOrVd2&$s(R7!h`3yAy4M6c*%g?Eb{acWEx+w(MUXsVl-7>!}bkP+b zIyyRFj`U9sD(U>L#iseyyj~2-vW5FwxA9(S!<6w!4wMH^xphE}zob#+4phQQPq*krbNAYgrD1M4yz_4W-v;A8K{bYXDysHS6z3b^<0NDRV~(*X$x)`SV3n>4`&dw|LY`7B8-|5Rv+sFy zl_ooKK5yk)N62)3mj1{Ec0_?H-wjzpDrxav*WXeckx}jKwU8&n{XE%f7u|sGjAGS( zPJzd-aW-(+99p1XpMIe)lqD0GjtfMGDq9ILm5J;jl?tH%*)qifepy~v1S38^x5Y;I zP0(SK+gNM;n(9==1`UR@xJgTm)z>O%bLO42Os`Ges#C#oC59lMog?hxOT!)EbG~!KsS7&acJ%E5x31Q9+gApWnD{Hn)$~baC^G+bcO!}z5c1zhIf;yfL^yP z_{%Ui%O}@jKctOGfIdh8{Z8ujya2a!GYc+x`F{bordQ}R>Rj~vL4#jBhdZ<%e-=!lO6&xtz^3Us-3}ZEP z7h}=+5PzFG5)K>k@MVh-m7o0<7lkA;EZ~wmPnJB7=i>NM6oF?jSdbi{um}p+jKh`M zrTATDkP5v^z94}0s$pGgpMJrtwXa2RWFLEbbzn|tK}aBA@EI#r_(Bg`5F8Y&T@CD{ zN&hG@$c&Kj`hetBLFRd~zyN=1Gh+FE+jZ?d?2*Xn+^5R4S{DJ7%$`mLs`wET1}h@sCh~LA147u*vBfc$;R8M+ghH#hDHlY(Re%NaTjHAj#UeK+x7N{(I@VvH-723 z6ArW*vEuStwP>Ucdx~^~%Ktszo^1yv0zULapBvZiXK3t~G8Ce+nM7qTG_Ko6>5UlM z74}ldsBVjkBBrL*Zqkl=O07CQJhup`nwaD_j0{N1hUuy`Z-PP`L3Q$h-<=%(aN=$- zI{Dr0X%~OLmQp!%q0G1JD6Re4Q3|=elaG6<&DNS0&^^0H z&b@6^EP=r`-Ui1JHxh8s-R^V)Z;)Q;J45TA*N#%`CC2>4VUcr913o&-TMqs2gt??FuZe=gFW*9 zEO2TaPBKcPNuF3PUMgAOwVDJNGf6>FkZ*hr_29xmysO=zo-$nEsY>i|)teR^;a}5l zAk-E79vg*D9r&RcUCZ}0MQ*|kC+l-P9W!ule-J0K_Wbqb&VQh;9O8IBX>G{WcXzD* zr{j#cNA^2VE&vR5G;`g7vr)FmmR?#Pvwzc)d%q9+WN!n?Qsd>71gMOkR?bRE{%B{r zuPVOMxa?kKn4jWU2Sx~R$p}dF>|68~ZZ!crLeY@F_1Qp^j@a;s!+^Ol08dKC>2hUVri^S&+_9>e-Tp@?EA5(#tQzA06m? z;y;p?%mzls@mq+`fMo7It8d9YO$K+4d6ZH4mRjMlou|oy`Uanr<<5QP@EMuOwCsTyz-@A>CeKM4?99)5ukH!z0W>^rW< z4_EnBmlQnzau(v?FBJnZbyc#Yxc7TqDm75vJn}(~7Jlkn8yW@iCsi(VHV#c=PuyzY zAmiR-4f#J405}gx!Md|9{2iaa<=$mg>fMzw@tIOQ$)ehJY%EHLdrBU^Q$<%|Agzhj zP;cTCA@;ooVYsRF++?^D+3=AI^dx_prd(3yr&xaM6_wGaF7n~Jf>!g^s+J|+{lUE@ zZ48@rOo318TQ=qX`&$oL<%MT{_6ek=^>QD<6A_&?1e8DRwpwy8deez?0FO|G^D7%C zafmzK+Mv6>Z1;+Ibo);M3&I-$?-o7W{RK=uTYU>|?K`&?(@ut-qMN^8UTcZw5nF6J zz>LU{J)`Ak4ie>lNs8lGOQpkz)gY00e87xJ8>(7`fheppqXf%z(adk zQM>Oe@ZuOM-2!^$4&5cwBXN6a|6~&5lTlTNL*>;kd9t}(bM@${2%7Lsd%XYjpuNm) zY74QkeKT>mY#9D+97FLGb8@F9UVdrL+~FaD4;A()?Z(bG1f9gsZ*2z2OKfk<90Nb&vKYF;LV>m~ke{Rh_P zm=OiqM34EIyH~!GCXR~_58BbUSKwC0fBp=eAm)Vy)?b|vlAA>zhm1XkuQ7}VCvlhK zj6XoBntRY7vjV!P7go!>H9=*F)B~kea$>vYcfM~^I9{_Y2iWfefH0}=nnwRlfJGqb zTz)0u-b3>bhjb@n?{lY5YK&l45g!RKHRO~H-bW^+@Hi)8h#vRieVxFltQ%UuG)-Q? z&@+BojkxEgLCkpYFV{N@0-5eJ_TGC*Y%>bHF_QqM$p+i!(L7t(@n2jE)=GAmS0VQ; zD=$uTde;REVA?d&HMAVpJ-4(oJK*hSUOIu7+2FQ(8s1Ry&gNQ`5x3nFUFBP3L`FbH zGT6|YKRSErz0TJDqE8_#->pv=W2_n1TCs;;M{>GBH`LDKlu=aj6!^fB@h8r%?d>Fl zDA~Br2)<ku=8;;vc zE!p!)B^|un?Q{kPl51WDZ?C4PEWRa{^UwWJvL+k?Kf3dGb`lRIgUTcKL+VFr{>Clr z@DL6qJAj70x1LU4pNfr4i1aSa4b!Aa5~OPAV;GTo97?kEF1=oD7V?aqL{IlQEN3)r z1*?t=YP0XcIR34O5W;u|=)6@rbf%2(&Pf#A@Uy?YvM#&Z5!gUEH9$(#l*{goL1yV5 z9DloLe}h-YY2)2*r-4xzuKO=TX5rH_sr9F792u|ZN%c@&R{=Y`gCMtqOn`gIWZL*)M4)z6i;@C^72~0KuP%wt-QD-Cn1Xo<8{mR~|2STiLv3R=1zC z2~-gqI1#31!ya!=viq2F(Y}6d$HZ-)eTeAUWl^xZoO=jNLp?8h4BWha3;GxPXm z-`CVCpllx`Y|lVet$)g2cxGf6ealZCjgBfmEf}jB<&Xk8on@HT#5_PPl%9WmZ5=yO zh-X!{u$DaTN}zF&BnN3NnGysdvyxyXBH$pR#)4zSyVsf6U=)g|ag+~C;|#&qgt{5v zO=QSdfitoWm8ri&m#-zY;srK~Fp8jYLm#$M9~$o7otwcH)jj!4F)eLU^olN(h`Z`b z*wg|?oj?~{2aU;`?ALC<$1$Ad1{*vVE9*g@@`T;ENkd3B5e%xsHJzKVUA3o%h+>TX1-yL*@hs*uT zn;+;*?#IK^rl1~rdpXZFOF<-u4gW-Pv4miG2GCgwa+YksYKGW&~_hx4_y3vIkGQ>l2FSDG)dE0q0|4dj#EYNOOHOWUQ1$+n$O&NO*-m2`x zlaO})P5sKMWrisEs5KHGPl;o4kL=mSq5yIZHDd|WeId@Dr zg{ZHQh)801Imlh!=9os;Py$tdJV)K&?}UY6n3m2hYid=1zQLE@CC0Q@GO>8pIfsN~f+bplVG&fwm4w$OS&)G&dQ?r3mLZHvXQM&iiOtw|;JE$!Z_%LcJG` z5$u27z+y&bQi&+fvjA7A67ASknWhcnBvw~wzU79!?l?AeZ8;0lX8no*WJNx+MT zah!(>SD>PW!~|@{P)z3mJ-Sg2P(Cx{LOrIK0Fe@n|yq=4L}()(l4nCYNC*)tk&`;#L9iwIR?uM zgET%hwqe4h=*)z_(Ty_&6v3`F7su!P`AduF$UDny-%e(TM%H@*te~!Y*t~7#TT#9W zVdAuZ0@{$)7D;8pfCeP^-@0KpQzz-#W6yEJx;ockeHQ_pjnmUroRr zBQ9{--$UJTSJ>=q1Qray;Ls>uL*zqgbmo*y{H#7b)?^ zGB9?>GF_T?vGzKs{M&+Ehru?W@br^dHdG$1j!CMJwk`MT^sDZN9nQ2|HyP5Qn%n0- zo~H;TYXRnuYxY=D-QL=1=A$-+5tG~j>Am}jd2bY9G(E?_T;8f3Oxnq!d2;P~2IGvO zM52T6s1mW9)fHDyHRO;r`9&BuVf6PgPt%lK$jtgr-?iOO_B^>ZE}b?Cz*Sqkm=!spCSk#xV0N*^W;p zP?R5W@$Mb|kW4DM(1Z$sOMYS}?SR|=v*9GLPdt$UzC)-h?N0AIb-Q+Kp79r6i0v%{J9O3rEjg2ZWW(<1q0?>ldpzPfA40(Iaf5~f*_tZnX)t5PZHVBtN zU>g|E{m!V%$jt}|Z=)_lHlp|>-l-LxtS#V*LAUFgQiDY7gdf^+`$I&#tAApW_xvO) z26;yWOj;&?W~SSjA-|q zog0!cicA#Q9rsc#EC~}U1xEC&wuqRI4gnt>mX#RRf3XwM8*VB=4_^voJGqR0PE77g z4ip>*MYd#T(g*%r;La{nF|!Kh@Kj_}G@HDl>79d|U-?C>^iYuwR40s@-9S_Rc*a4U;YC?C=8`X$1`3 z4a5NMa9mmo%prrTxe6$lclxf5J3(dRDJ1io^#sKsx){g{^$nZPKTmyLdf`PD3RsDI zTd4sqW2KvoKv#?qy(|Uq6(s-dlmn}U9|wFx9<>L{gJuj~ld1OT@#n-hP>?{u6?_nA zsEVN5rDH2~DG$iRGd4T65nHYkzU)Dxr6u_Bm zl)~NVGmcqMxBKxBg@1ra+%|E=(}#RF zD#q(fE}EG*M0zhFyB*_7`YpFkVUd3%xpF1zIKWl14H%)gu2IwCxpM{d# z=gB8-x`*-c})FxT@O?D#%D8Enn18Yk6e4{IJ=R-ney5nQnPd%0%14YG6UZ zc|G0;b*SIu^q~(DsDTGfpLw*jBeYg-BkBx!ejEd3dB*o#aaTPeXT0!b`#jH0iI^#r zTGctIESU1-k6-n&!|>z_01No-8`*z&GLV!POkXt@8h>%+24(UwTIDJqAT~32_Sm7D z*&;J~MIt)1W1-5pVoPHZe|<_7zCF0xW#*6(xcCx4YSi?{iZMF|(bO$q)P(8b==yMX zbd%(FUk>h|1_|;~9dqXH)zs(oS}B)Wk(fpv-AEFfwZ?wSd~q#X2#`*u;xAvtdJRWp z(><1w5~)_C_f)TZsd>uWRb`4@zTUIXvBeg-MSKZP zxoXUrf`7E=*&4IhdPhypy8kYd*rI!SD#H}*n<_gMc+1=}4t(J*5?LE+fL~B7jaRQW zL=d^A59rl8vPlW%vV`@JcR_NVN32loNTTy2mzPL1$pQj7pI3TB*1Z*m)|FrVe0lgu zY_!)b6bw|60a^HMZ-e!z=z-KLK^42~u?+JMSw|UplhaorCsP9ezD(>S-Y5SxW4}OY zF;8PLm-6a=mrLWurYtBR}=BBVj|aRPV0^a4%(jn$!oO6~>6nw(blQzPWT7-`xRYJxWA~ont<)_Y`eH@-C8cY(pzU@Pz+z04q(qpq73fJiV?k`22toz(aI&j7{nF(qsMxb=_k3bQh%f(2@9W+ieF z%A)xmABi-WNCyHNqgmYlWJP=|kNL>|AV7J2@q&(*-9bFy%lV#)8JZ9K?Kw@j!L?Yq z3piCKK;!G5WycO{>Ltdh{Dg_p z82<4e=D$aHuI#xx;-^u++|^&F0i*W%zANIOM)d~VbeEpFC#XlTF%4{ z!NNIcK*m%Oh4Tp65SNU0utA#ZdJjcT4ViBM+b`m+M(AAND z<-wXMZAM;%A)R11%MAgdsbt5F>xT6Ne4(KXaL6AktBGAmad54Gk^Ev8MUlCGo0?`9 zIak1D%1qnT%-IYBrCSXzboKra&g^d8cl^fUo@?*2!Yzt>#kL6ecbC)`%=Zv~-h(cK z&$;Dn@9JV>(4BONXtD9zvv=)jy^fYE<8vUQ3t9hI**_9RWkn~=z4E2v28D`;h36HuZH5M8ew9 z&!yxOxf{8K-~#}1IS={FGvSDK(kvT!0^17SGW&ZoV~npw>VhR#Om0Uh8_szMMB5=O zxJy1Sk`Rvu*<-v1nPZP-xiWNaiz}-caTnVK%yN&bAw5^iUu!wPHl97-Wtc^1AZH|R zMw@%!Uk-h5!oL6Ab4-wNj(rSgzjsYpV0N$1aB&@pBMvOTR=Ta4uP zJJ$!2{O#`e{x$>QP*Mpy} zsAr<*pX~7v2R*bwAa-YN=JCw0ueo~Hl!PaCA-`6|5quPDXuY(z9#C4h!0?@S(C9({4Egm8*I#z`-{rB*gtS)wjVDFBG^)Ac%YcA2n;TXuT9QeBOzaTplC1&<2ea`@ z)=4WEUiY!AooKSr@%d8I-GY`k{X;E9;1C04k8nPNJ02|Ei&oz>0(!fnB8K5Q96mPf z_5l?Gf|_hguo#X*^%RYMm_##%Eyx^)Vc)9lwr6~q<5m?tS70K(^%o`dIH)?p0?Ln* z2Kpf~0qeyd1@{30K8yk1w=S{`khTb+7JT1Y07mZE$Bsi=oGN$n&u8kvCekjgMoU60 zP~>_&^#an_gMkxMp6mUD9uup(@EI_u09ZZT4p2hm6%IteDKB9c)xumJk?;G^-|Vg^ zB0)#ygu|^@Ha2~TWkNDHg+?^^eS5Ap9E%c;XQ|$;s)Ef~EQ+*VP3kd;lErJ~ThxpI}clP-skz>vqN`x_@GgIF$N?%S8Tcfhxv z?izIJd7Nky>hPZaV~tlvzo(juZH$#k5Q+GfZ;`YN?-wzA0&mu%ZX0L3lu|sHQzG0Cm;Ak=FBVT+gH1d04UCekkpVC!!BAU^}NtidIScH|P>os3*Q3wI$Py0_#mOr$k18loA8Rh5wqcegU!+$~f5;ta=R2^J2N_#HRT(lG#avA}vnqEt7(l(ACTk+9~({le?#gqSlkeJ6d_X9@YQ_AhKj#4l(p+t-nt=&uTWLXkIc-kkq) zcdypDE1pljF0D5s*%s{?^(}JX4q?lmywiq&M!mjj?9{#y9R|7BX|UqcN5|^My{Mh2 zIZG-j8tz)sB%gnoGGL5r(|9%gX0IOZLAnSU?-oa*-{m63k#i0v;+D%QezWhkB8W}M zN#$Z}0&4y;Hi?p#B>RfwQ63d^(%*zrJY{e;q&{n0NY*AXs!^DOJB&6F&7OP^z1>7!x>{$`cIVG3kN-! zfsK^Se=+rQ?`QE|2)N<8x;dNbsUw}cVepa|n*w$~9iw-uD#S{V zPDAbU=>0Az9;@Bv|99t@*Sz+tkzdsKe^VYtEUO8sucAIY*L~NH8BdjR9^0>psvJK@ z%4p_gOhb=! zG}ovNXT(zzChEj`#Lr;-Q+|6pw*8=vFv=%r9h{XiXTcvns8MD z2<}xjcyec12I+nn5;@e$0XD-(ZsJ$fZ-nndX&G+Y9Yv#R%eKLMdHa2Itr${)>b0l0 ze+)ldXsG~)48qUiAzHMZIYG4>?}9FG_C4LcA6;i~J)8Xyw~z2o;Im+lPjv>UD=L4q zyy(IJrI4Ma2Kkz)2sM^p`9FKS%PVGS{<%HWfHIjRt12rV_|}|~XOH@+H-z*8by8>+~`Th*vCC&PhpyrRFy@1 zj!(0$(NUPj|J0iH_SBmOo-Ep?ed(fn8Yd|fs@VPFW`fY5OZS-K_tD0Hp_Adpcceu( z%rf+JV5OW#NwrGEM=Pl59>JmC7p042*ZiM%<-!nTXi@TujiX1XUk0!ad&qR(;No0= z@w${>I)SaUZ|>SwQ2Lt+@-VtCA1S3L>gIf(?q~}fx^eowco;J+9%Xpi{-j5?tYbyPy-RWK79pMhR|gb2D~dm zR(`zae<%0kF~ibL{UGoi+h;?yuy;uY*2?}JZw0N1UbU7LHf`4)qfroTpJfIB_M#5! z9R>6hWn@)+IEe28&@$IQ!~~WSMZeNSP3%+9i}xT`y*hr~XZ^*e`y?C5AL3>M^WG6iYq&TnuuIeY;w}I%a3Cpgcv%qjx?ZNn^cfNCrj^MIrN7+@Q!g z2pICh4E0vKilvvmIyKp1r_V_4mqxgqR2ItNIWD>R~ll3zN!scyh=j= z#^(peH&-Fn2}v5HMMMRkAVT&JizshLmG%H8_P8vUk2D)r@MD>A1Wjs8u9 zCiasK_2;dA4bkiS`2wn#N<|ODEjuL9K*M`=s=yAERaOOUeN<2Cw>>wciH1NOi9z`$ zvD@-&=J+ZR5{9*a@Y5@ozr;!9qDPS3ofHtz@D*6< z^G2Pl;+a%DCE#krP%xj+dd&umjx&a{jU~(VS0HZ1Mg`;Ivn|aXc<)2y&JcpQQUQQ1xztPsPy(L*&SR&wOD1`XD78B&Ax2j~ z1w+aHR)Mwe@Px zC#SXbu1pnZspDCD#*WSkSvJwMu2nL6V&?b#ecf9*8I8fz#`!A%%(@`?246G1!jJ5RQw$NTIKS|U*pRt2Xe1<^J_NR zeqzK5efmBcv+?{9DJPr+)9g<&#fl_9W^wA@yT9=Zy7w2o&2cto$w^hoe0>r<7=7?sMGILY2Mx$cps75`LOr3w@Sdl2^ZpZ$z$@HnI_x zfc(XBn1&-FP4c}7s{`H8Tad#kme@SP3}-{>nwkn5ezK4DpMid34X)M0_kWPSM_PoPNi?ySq4 z6t1vf8ikAEM?Ou^+#pM3)t>@_+H+L6`ahusS=$>pyEg+vwh#O&^1BvKQ?Ly`G~P;8 z-m$*Nt(%8uSg@kq&CJUiPSo(1nD4Pea#Q44_in6>xBv*A675N7Wg+DpjJ&%Y4^YYmY#bH(aXySoq)H(&Bj*_RcB|>(Z3OY z^JaIX;1?s;5xp&sSK&Aei>C*YIaIw54WRfoOV(-BmL9Sjq6Hnc)p?MM-G<3ZK&86Q z7$IGZFyB2rK`R;)Gjw7I(LCNYm#N7Pyq3MdO#a>V=#x#WhJ;M;a;ayevB&SMDRY1+ zj*~zb{&SYk7cG`m#mQ9?iB!*Y$s~~xbV>Kp-%3v%4O7Krny#Iz(7HgY|j8=CM z%#iqPp&FtQ!X~r#{@m)TCQP9yhA%Erj$9%EO`)QVFDcGvh=09?tPxgsRGzu7pFZmV zTQ3h>p##fzvKNhWHUCKq*(|;}*fJYfWyd7`*KZcPp4C2A{R%06P7sh0nmclUVnyX+ z0YrU|KN-n~X!fC|qm@1m2;HGfuYgQQoh@m@@HXGrA2|kUTn1$})y(lerV|ZhXTOKE zN5QZ4ItG&mczbKdz9p4qm4FfxBr&G$uj<#6dBjo$o#$=J$B&3_!&~hZ<68z7$NyA5 z@aVnEQ~L()kq@iUuShcL#MYkyMjeCSW?i+z`FToDDFIC}iEZ7JU6^+1ywgi`#A9xO zsjCjEoZ$r;-ppW&Z16Ivo$*Dfm4F%r&{YoIXsfwvj%gGaUoG1swxG?$(zZ643NO z;*4<?gLwHR_7 zRq4sQ954|};=Fb!WcJTX`QL~mp?zyi9*F1UCP7)5ES1;fCWC`IPx(V@Z}|yqof?y| zzVfF&`opwA4mU_!H*mdqTIbJQcp5`q_T}0J_2)@4=h) zSv&EDo=@uHYC+pvDvoqrkFL3iaV%bxO^kA#1tp+M(-<*vMK(47RkKN-1d=M6f1Y1!zA zwUKzk->wvUPbw<4)4{JNb#Kq;1dt&x@HWbw^oT3|N7r08b)~Z)fUYpC`;N+(P##s+ zGtLi2(KUMesN+}w<~?nH6nB;9Vn@9}huaoi=m2xt=|HDS?Y@H_uqV=m4t~&8o-j^3 z(wP_Qt2)B0Re#pU28zABr{qE{UfD z%BG2?C#F0oKQhHz4JNilYQCQ@v%B0C1|4h`+A{^Brdz?DPVfd*>@0LJ3d~jY<;Ses z3Phu<61dmqN1jfHdL24or?iXSkmrJQa5>;__PT=gZ~*3*GCL|%M9Z|>=eGLtGkD`| z6hGifYf{-@pk>s5V!8SsT@$ssz?@P4t}u*NuA=Q0C-B2~dqBK-A8opy8c-Gg=5<`9 z+(jOIpsPICzbgz8uIttC`#tEum)Dz4mD>I7y6AM(+YVvvN@sg!)4#lwP9ag2cc(iPg+U*0(#vUuBuJ%EHmUGhGz0d)3=KNc4mL3xu zh_8ak*2Zk+jDv5!YF*2$S#4E)531?V;h*&sM+G&c9MQ;rWrK}`s^sWv379^b-zhkw z6LGcEfd;FKE->&$?h3=TWLKDDJzzfWF#_N2L8q$@(Dlb1=@3!YIj(Dezo zr!dNjmOhh$>%!Yk^*_)N=7#9p6b#q=I*g?2kJWd7%F)s3P+g-Zau<1~)!)<6sZzUr zZi<(#S9ipzu5=i0U12r~X1#J39h?+z_)jMo_3sKZDVVPIL2q5-4r7}+sEa(;LUcj9 zo^{M!dC0|4ksKO#g1_mC5ZjU>h2eCt z&wBx)`3j|I4hQf91CJ@S)7hquyS2sBMc3G7r_gqRVJGUAbU^$esi@S>8)FW6))s_D z_3M)z{Q$jT$1d`qVrIS>DU6i2H)uw%5j5lCYT6*64(CGt%zi~bH zXc(Vnr*?UugD;p(M;Y7n+3#RfR2ojF>)eQ4rj?C1s0^dS8gaLJb%9|n^*VscFgoyt z?%L>V0F6tG|7*&uG4XFScA|K0+Fs4Wt<%BV?vAdRxT$kLD?;yW!9yQ-cp$}{{)q{H zwqf+nvca1%bE^QqR@vx-hm^q&-i}!Z&pLDn{NRX?tq6RKmn11|UJ_7sEC7`F)HqYIrGBioeO zzyt$}JCe~TW1tp@J=SH#8kJ5dvw*OL1m({%i+tc}-U=($sPu{QXURo>PD|tiSJf{C z+JmKKElx^nL@OSl&2fDe=f>~-qQ&>6r>ZZWkrQpiWh?v0=ft=1TlU2-t`>>s@oQ0W0YzNDt-*{OF`?s_h_FP}lv3NIDyLGz#IJvl z;`N8JM;R`)v9f7uqrRzsjnyfzmR5$ItjuONzw-3+&&|E~%5yKh`20&RzOr@4rp?c6 z)hqo%%MPkS^NWA#`K=KeDQs;z6Sj2{)zxaF{gK#p;_FW&nRlsfx~Pwb)W+Oq>&3in za|Y*ib$W=dyv)x!UJeMSZ;MR%3UVwW$)R)^f=+yL*!!fpiOS~qX!RHOlH7TVw`ZJzWN^R)uYHCm^wN2{mX3;hi zs#Du11uxukq06s-evr6AKJy9M{MY2bFiB;RUUGiT_)A#II4=sP=><^#)jP(fZ}VTh zJ1aPk(_VT6j*ZH`&GRyywRxOZGn^i-&6m)br{}*Ac}{Kibw0jU^g9Eui*fN?8F-`W z&%?Njl;A_BYAPViTcd3EvTeI)`WOl2_42_x3i=QN?I3{hRlC`IrR2sK^f9Nb;3U#r&pTn7p zw`+derXxQ<^IwOi&E|K?UZ0$kjjmry#lG~9$$w#&{>=N_PG{|u(*yQnwQ-C%<9u6> zGs<5{5H>!ud6wP%0V6z7$)0P3kpn8|x+dz}P2jEO1QPNF{4eHK{ai8|y6kz}P2j zEO1QPNTt{wuixVw$91aB#k974t397@4Q?`<-@*BIG1u}+B)?z4d~0xB>AfoeUT;D2 z^E>noh~7!jdONfyiJ`Ym*WZt->WKP8y~H^@^#UV*Db=J>Y#FyjJ&g5Y{=hM5BbBT@ z)uhsLYfI~7_CAlJk?|NaBRlV~s^kf&G;SM@qv!+OeIkuN^P(IR^B;JRYFzHn{20&1 z^Ivye=bDY@W8eLL)=L_H+|St{r@As8V>U3x^WQ)d6jH=VCF_uCQfb^4b(Y4MX(S%e zRNFj%7U9BkJ(~M?Zeub$ev>r(s4y^YKi2i(=~Nxj>p#yCoYQ#T5Xp@6b4d1!ZJ!xL zIu#=Yf&u1of$LXZ24^gQV;BtcdVniXMGEb7z>7>qN5u-AH$oltG5v@ReAQ`6N1kN> z$F$0qb-baxQ)U0GY+V_yb`-zYsyshC)Bc2i{x1sF>jK`90lR@W>MHd>C-FBE_WbNG zs<;2m1g{zLT;c^lfte$jWSr7})84&2+*2fKe!3>pEMf!QagNxh^$&X)RnNCk8T=gR z@pgT{gD{);MK#iv_u#gTxws|WAs5{~b-8E(FTz&o!?IYEH ztFJB4DI_F<2U3|s&Nk>72cWDqaYE}AAv2wGKA;HFfDNgDNAIs5eCsd`a4<-KC8cm? zu1Xiq=dG}Uvkl7TIGhhi(ko~d1xCnF2;^hQ{sR|NDphNiwk>ER$YYce2hr>L(lY}j zykKghmnbI~O29>4q7Hp);x3h9yFY(_UR9BDX#1A_gX-TevYq1%WX{Pyru@qWXGeKW zNNS5%ID+ZAeohb8rOZz+DzAy1 z*4Sx^8`K|FX1uobp`D0zQ<>i(9?{(Vq2`&hD!;A4eO58!kjghzKC1F=^*f}0@-6*j z=tC)ty47kwrMmY7w@YO{-2hE+KBD7CIyUhD{fCv0s?T}hqaV+*5o@M|Z@M_16MiD; z6|E7@>9|_*gZmSC?0c%46W}e?y{qGK9eJtXN%4jZ4-3zB!R%Mxd6h2+?jiNz8QwYN z8_JYFM1^3RKB9TTX~!GmbanvQ_A5J1=2fuW;#Hp$CN!yY;jB(JA9%exWIo| znKtTJ>+KiNC&Gh$ZptU@_aOA=c?9@^E*bw`tBf6|lzG0IjQz>jm3&oyIBzLmkbIA* z|9Rz&!u<*UsPZ-C2Sjs$qxxUe5q?$!tK)hdk!hpyw6fs_&qwmlo5Fose!okC1XMEeb8^f{+|LG`@8kc&T`fow#93m<>@@$*G~Ivhe>VyEACIKn zaUchZ8FLAxV%LexqW)O0ubE7`xLbA1VCg}rV(C>c zXCl2`?3#}5O0Vn+vz~CUuCTf=kXZznyJXPMh+6`K?~k2#*_Hj^YYi{LEP&rs1z%E) zyH4u9&pfZA#PkZIg`gWD=!=U8Z9w3GK%~yVNk1=o`e~@Lt(2C@-r?dYtYq_j z6!4$=a*avbR7I;&s4znNV`o$Aqhj=zq*!Hu(D?v-?+`?-ZsL71Ag>~8p=Fx&sj)R* zs_Q`b41$-=LOL@cb1<%|Ew3zc(&?S}tjs|ik)Cnz?$;V`sZLKJ_XN&5y{n7E2Y8X6OTb9QUaESYkGvG0 zDFHuyYhU*Kb|`JvDwop{oA^a=cc;7NjQ~$)SZWt?H+XU6D`tw?@Ny{Sk(_rWkMa~h zz~U%%!Fo}N9g|9Wf~FpS=1KcQ*$;~NthN6ewoEi8Js__+_W_cTysIx2EZyfpgO@-N^>0X&pjo|rHDJ0; znV{x9QC^4SN`fT0C5<}nC2E;M6}^`_lS8=g$kyBA?O~3R;XECu8KXD!UyfPrC_+2f z2mVKEiq)`VR_&6FYTW98lrXlc!0|#13}(vCE)Gb6(CeosR75_or}nm165QVZJTu*X zGb^=p{ED5yQga3|4jOgxvsJfq{F-el1_zB2Xb9oC58K+wZb_QYtfRKO_VWa(K38`m z&d$f-j8#Zfv?VLYOiT*p|6yI$#PesBF6Lem0dUAS?qfAmUWu$k)+aQZi^mqrl8Q*L ziFfOo1yTPCBUV(5aL7tR{q=IuWZ41h+}^yE8k8&3p&>jMng>u-AIDtV-r)$y>M`rN zf@=$Lwih)(R;9=m#F@)1ht+;JZC0JneJDC2Iuq7PR-MJrD!_b-UI=C-pl-eWJ*{8! z1T^@~m1^fciz(KMe>nJrL}W@jXkVnCLvf^k|AN?h^>df}M>`u~02ApIA((;a#OwdM zXVRVlu#uaaL*`T}bdihSM1(aN zMja*BZ}&}U6gYQUFYFs>_3;-1d_%eE)2oJzTLAciG4rRNcW%a;UA$hfHtC1EyJr&= z*UYxpNxSH|a{H>s69SlPOFuFSrSU*&zKiM1{ol|0M{4Xiok^b_j5QBK;i{S;OXaIn zcm4y!MNlR09dh|wBwJb{ea0B~Ah*P5u-n~cdK=ER`nrD>IN@mD(iE_q$S2p;YF@`z z*l$$$xdy^-@t5_-zU{uY7EW>1N_VOp=+466-_r}nsnn0YW)+y?w^eDg5|!(WY!x=o z+QWIT-ENuw%kFtw)6)zUQG1?9(Pbn5)TU*qpsJ5q9v|n6XlE_G{!V+S{Me98jj6X+ z>$(568xIVEp2eplNaozS{EaAgxa!#Ky2zB_dCS~QW13BuB>|oieQZc; z5Kv%3!C(-}%Ev_~<12h%i&)q2(%CfFD!KCHBPpc1AiyI_eGowk3O1ynN&2wB*Tf)T z&^z?&F*26h>neqT=0TrVH`Aj|?CX4EC+x{((Eg@M$_EUD?#PYwuy3{^Kwj}glkK)P z^wujy+slrSjXxTUf8AU5O{5p1Fv8K@yOYfOR$FxaLf6|v)W7TT)F!J*2qvwSaOhH8 zMyPT`ZRMA4zln^lnU&~PE{pARRd0{G8Q=u;Bs-kbq9ahl*&FEFiWc^XP(J1fi(=x4 z*(xY{^A7V=+M%~h8d`ByXBemssM>T`AtXuPmX{6T_<4Y0J9^DFxo_abB`Y=Ghd`L= zvCNc1Q4t*WSv8&y7*vQG<}EMjY5UBw9m}|sc{AegWO7cr(Rw0nUV-&|5_GT1`l;NK z0wb;#WN_d2a2$r*4a0fYb$UoT$K4QD{H)J{Wx)KYVq{Mv(Fj7uH|_W3o&0whCLz~K z>6mA5^xv<4gpIIgmJdrjVbnc9i}46q;`PDng+?FX4C*Kpn2*%ak z#7e9?sBY%|^Q`UtQL!BgtN*4$^^F_C3Qt;BY+TG+znW;(0a=%uWLzmVv#}!i`$Ig? z;mnQB#t|{)Qz90g!4sROWl9~9!MnKq@^ad@M+Mpjs}fi&c{3+f<}ruNW zW^OFT*DfzR$I=EoTce>3$SJsTMq;$5sxgvve`3&|7d<}I<-U8PZ+!3OsVH#1+s}{V zEY>6MiY)%l$Ibf%Q;C?swqNHw_5*B6k^idV74Vo}6KB^@^Q>v|kMRSTg(U<|ly`km zf(5yE^C!`Bl^Bf=r?Gn2B+zUf79{K~US}8bh5x)A#*4yD=nC^^h<@ zkg-^YBP!yM`K2PM)pH{E+y8shD1E4KCGo50$^k?CEZK( zkV*t)7{TW;GE$|APu1oGh-oLChO0+z3aT_50@lSf>OBiMP z@?dZn9IFJ~Jjr}aHyNw_^z<0qa!0^Jxs1c-9k(0c@K6tRSZGy}Dzf35cKQcLUP}3C ziaI>Tkpr>StGSc$v6|DdnrmB|A{#k+>_qxEr?iqXI_o5rYzyp4csBfiT)vub7*&^vEh)do!UVoweQa8k82+pd+v& zv}8pE>usSr<5_--vz zSNH}pXB!kcUG|hZRWo$F()7tw6mk$!=lUsYU;DV}X4VJX_K4o`c%O4zIqAituJ0ht wm7F6mq5yd*93?kh;$^FA<5lPiA>XT5OsAaTn;$w)UL;mPe}h`a*uT*J7p36~DgXcg delta 3233 zcmZ{hcQ70b8pcILKP_sM2&b-dpEpVTul_4bY5wIQclNS}eHP4_ z`g13qEtwj{QL4!#3wi+_D5;>@cAQ)mENa(}<=i=qMLiiHoPVA+=dzVif@@S`wQuhN zWcP$KxJekOND8TXC?7GWLp6KfiEkBh+pb28w0v0RTvpTFN*IEvY;T_D^@BDSrdu{| zQh;TprAxeo_ripPw*-aA5B)2g9i+;l2aDnC)zVptN|G``pRTx2{f@rhNa54p4<3XB ze|ZDZHLM;K*|zb_7RZ?9N)GmHQsL;_{C2j$ezmqw@_u}uHKWu-0H4;!GE&7@cQ~wm zY$7rJpzT_dJd_w#XIbR~cuLu9rd1o{D}NXt3|$isy+pedrOHcrEA;ighJ_a8man-t zEFLP!P*y8pbT}RfKKYU{U6_1YV<)RF?LmWb4mipAP2XxGJNgIotqUrVUf2X^Vy0-a zYNp85?7Vx>P`6~>WdrKkr>WWlt+za2S!#{mUyST}UF{EhZ~lpk?`2w39e?9LAhW#R zt`?u+D0HqlPO88E>#;cvEIIlb*cVT4xYc4CIK0c6AL(TSh zglfPYG^zA`BYGoH{xF?uZlgq3f$RPp z`?uq8_M6Iu%uVjYt;W_V z7D8$z@_NyNA5fszE$jX%jwzz^YisHk+Ugk(hC#N$-Fh+DV_PCA*2=F9k@CcG)`91f zyly2Zpa2+^jsSD5AMzJNAN_s&`fI8to4J zm?hNX-#NqVEvD|yDiZwQR-{|1m6W4-|=?YDaC8XD$KK z^=ZliiftnYy{}S6&-gI;{0*#t7ZCkA(x;ZgdiC;q!0*o2Z4-V8IwDSHaHQ0f{$)!y zeko8js0n6zHAF!S>M#v`w>|cR!bJRb%?@6YudE-EwC~mM68Xb#N6nRnh7-aMjNLpa zq7tiNCbpt>ixZTtxd@CoA*b4Gjui#I;iJ%=dKnWyKcd}Cx9Sk1@BQs+ic(*uoKf;K zkJj@Zw|F)=P^*eV8>=xruP_dCOow%h=yeFX9fyU_dWlKDz?uTWQ{95PdA|G>~QPpm8OAXx%={MO;>FHKY6*@;4fNwF^NfQR(8-ppiOrxffS{J~OvM~%jx zTr`?ZpoMlrda~SP)w+8Cn)$}o!_uw#YBel8ag>4d$|V)sH@@mEA^SU$srf|03_EAq9vXyW zH@T;0JN^>f+3#Pl204N!M$!BMQN2h81GOs>#Pt*G_;b}hPm&^}EMA??7kuCcYN0I2 zT^O&|D?{d%n+kHBAM+63jwR~#V6b)~kConY>^}sEa6;N~;)Jo8A`@G)6PUBj0mHTR zD*3eeF@w0OcKD_dxz^$-?f;gE$6O2}Mk4Rbm zF3mGtkuC6+`Fz~deIlj?2Rl*BG<1u%oq0NNo|dCOIGdKEJIKSg`(_g3Cd9{LBK59d zNRpb*kyWoxA}!HgkgeOuUo_GEWZ1~pY$8}Ihi4l6D@}7ni0ZouZrKF4WP)2X!EyLl zGk=qdPucpLa9DKwQhuRa`9D<;WC5{!z*9FK9Fx?}D@vzr!2yHUNO0I2%Xhu?jp zr|P8l`?5jw>9oCxAYfFk3u3LLp#KZ^Ssixfsz1SxBn$36CXq5H51+s@2T)iRJH3`o z7ml-O`B;72{^R*F;;De9LS|fJ!gH_~m>xbv$rrr?7B*#oSvm7kWgk_Prnv3&Dkft; zK6?h5Ypt_{k#|mF?R*Oy?c38a;*!s{((E&X`LqSN8?1@I%O~xlD47Z z&0!`f8TxFFX}w3>od%@;Wbz_#h7t(Ki^te`ykT*=*k+&%=Wv87@_Vumc$qXBp0TKe zEO7m?sbckAuR|z=G<01gdI@fr?fKIOzt!wnX4_MMX=|KDjGEiqVZiRw2gij^sTO9) zs$tMqS6zu#VeaqNpS~AMDi}&)V`|{^LDyKb9OI~S7xL^^1S93%MN{hYB_>u3#C-5` z0+GOFJhVt_CD0h8T7TV6@QAnxk*p6Va1TS zt5F3sM!4##a~$QLZAqXtvl7l>b!|K+zyjcr$&T>LaW3bq8&bNMn82S|1K-YZ(SAku zz2G8-G&krmz$Rc6C_MtuijDJ&e9{E&04+s29QpMQ!f&(<{6h}u80LYYOE>bVzT8W> zkNkcCXcxcbz0hCQnT!d!OX`#va6Fh(#5)3A0Oe&uZ3kpvlACUzvh!$|Ih+&5SfhZ~ z&cZ_YJt)wvg+mWB?W)Hp?QaD8t$*~MV{&i});Xvj?l+?S5em~+*qqW^6tkMvFSK=z z3XL#L{&|Su5l{iwbtZ_p3N$?0;CRxeOc-(RKqMS-_bYvJyaBjA3D5tAz(nx59<{Y> zH}lGI+YQL5WCa}WavW{8;9!d!N3<=7KHiC>U+p75U_hahPvJK#_;xNAeTpHIeaS7 zFeK(`pjj9`cgSx-d?X&)3+8y8`S7D$C{&q;kc?9)u2fQEN1K0!Q3E7WOY_dzR8Q2N z)V`!PH=sytHTizWpE|AxpmW%Kqr6k-0;YgM~8GnCo!{C21oFROQb5z zTqU_3lH9ReJ>DMunAEUVJJRi$q+eFOPqjO^1PvxY%U(4$SnblhZv$Rm+rmu4JAhk+ z(_oK9L0aWyX#uyu`< z3^J{?*lFlv8eT3RbW+NpED`XJ*P|3d^CSH)e>$nqGV&;&P#o}$Git9_$2skskd>7h z4ifrOHx5fGu@1X~uUfuhI|2({1}lo}ZZ?T$KyrL!#T#KSUa(9zWtfXcUffLEUS}X7 zk!Bmt`4Ggf2iN$elU01p>8#ae7%Z?s;EaR}^U>>A_{lg6UX1pl%ZoPb^??}Y$z^e{ z)XquofxVsl^ZUulP11WE^r$AKz4X4O+Np$+*?F$h*`~nBMXOH+WVvUak>pDmYPaPf m4~G>#v_>%*E>tCgg3XqAE7DWNL&vT>y6D$b>ISIi@BAGFTu#^k diff --git a/CPLD/MAXII/db/RAM2GS.(2).cnf.cdb b/CPLD/MAXII/db/RAM2GS.(2).cnf.cdb index 91f21a8c4d487cdb543394dce11c8ce8791223b4..58f64e39577fced800cf0b2989f9b91f2d0bae13 100644 GIT binary patch delta 1000 zcmV{v@r6Hyet zEe`?lt&yl05_RLk1UDw?W=d-%0&O~l$ZAq(!3w2h3Qe4e(7h-8r8J+j7LAuyX}MZnu3F_%rD|1I%LQ&+yo!n;4Ulgx zuzr*|wm$jMDcM*lS>Pd#+3MDvs6ZfJW%!LAF(V^yxP?bqGFuJF)lvdenG#SqyP|H|RoBbJlv zU~l=)FNIeB757a<3L)sbTwQM8{dG$d`_W_xuWZG=`|yQ@>k=3~%*&0JH>!p;ztqLL zoF`gPg^yYne`O?s2haf4-9zVp)wz=4NqG^hGiX$-xrG;96385hyWrq7ISz}jcig$t z042R=f_kKqprWjlgys{9%;(Rlz1-p232HfXSQA4reLQUmx~nE}{0wub1HQr8M3|=S|aYSka@< z(pMjhAo3&5Sfzp9&VJ@8ONJXI`E`|BHdj+!Yo>vUw;H(WVhrukg0hP)?jEdj*TCAY zD!sT%r83Ww5pQ1IRxu#Xc2<5j@ZT=lHfm%lf19_v+FaZ8ubEx!j>>9vIcPUmEL*Ab z4WOoAE-A#cf~Y%`Q?;bh=2YuPCu)N4h6FzV?tuN&<;+55#mFrbEF+(<6im~q6pCpK zTGZ&#Q?!BIlgA^=JwL0+>iG|XB&%oEYO*ASXC_Ees6I%j2CKDCcLM(|^et^~X W4PdK?SYB_Gu6|nT_zSa31n~i~Jn(n` delta 986 zcmV<0110>q47?1GPfbq*00000001Ea0000000000002A&00000004La>{v@r6Hyet zEucJnKO#{vBWX z6ImfL_!|}IfHL4ouf0hDXvl5EDYVu0+SaD`Ok9|f(Y405hV+9z4OF$=d9}V910R;M zUdBf-Vs)?cP7m~LA2<~D0yFNKj+?`MG_h&W@o^_R&bVvsT5HdZn}&Vk$*#L_owh-I zueYn6I)jwt8&CF~BU(|NHI4JJ=^O{PzFn2@ejOhLYNy@WXjhvO&mZ4>vg^dRcXvWd zhC0TI#7aP=X}$u+RI{O1-!tN*h5CL)Cp z^j)qlx9|SCrHTD$vV>Q*;@*Auf5O6b5ey&Z|$Nc6D_F1N39Do62Sv# z0PF6d^S|m`&hVtX0M;2aOnY|zd6xt-N8%1RI8Bbj;_DrE?leG2@0p+;sU)Z-AxTScj?O{Hm>3bi zypwE%)4}B7SY*ybk8a9u`)vear=8Sy79|jSm zeLU1CEW4ruWA&@{^cV z23U6D$m#uw7=n!Jd%r&Htz1IwkzX&t<4bA0fzF#oyKYC1LR(*bFoMXBIAfItdOP!( zrz{z6l;qb{Zpm6nb*-5KD&A_~s*5qSM+?d>y109=&Rqp-yQ=i!e=e2EJWEErd39UG zfH>P(`Q5;OyJ*{}k*RFn>S}X!!@p*Bv3p8Zt4l$8+ zMOM#$5F}YWvsRNOCn-ELL6SoCK|(FxAmlTdsJIqlNwo3L?+k1ALNjhN>NF`F*WwyaQnEUT&~Z7eO5q{p%v{R`6mpNFF7Uh_R{?30jp%V1{g5 zN3I2*l+JsOq<;(0KsrHHqfoj44lCt-MA8Y$pFleAAeK(xgmi+}c#wY`yNLeKDcafO z^~#*?d;mTnpm%pZwQ6JX3a7t@O3N_fW@pkQs*!Tnb#e zTVr{P!z199yB;e<)D4k|6A>~$5<3J$xX_KBDx^paVgL#dNNGj<+s+~B%leb>b$gNV zU5k+uh{>(foy)R5+*uv%8&?Y>mg@QvnyD;>_J9+|H-zy@WogR!X49k_G!8c>n3tIE z82HmchD*G@2JoMNJ`@2;P#)v}6Xt8^k47?IQecw#mSQFY?T3*q&~xhZWb!``^z-DC lCrX0sm1s~UO00000000F70000000000008p?00000004La#8t^o!$1s8 zp+LnVfy4oUKpZ%5LLhPC12`aYR!QWhxX|&HvHHA zba{=UMtQ5(JtqMR2?v74>A*qPNfYIx$M)EP>jXC^qgMr2)I;p`8|Oa1gk}@tmt7!S zTn&fZk~|tE8jmwxB{Ung6f+J8O9qj`Y`Q_F!#wNcQNM!cGnrHIigMGPZk zv*WmCe40Ar*?*zVLM`eT6^?A`EZDA;@!?R%DE?T~83!(P411_!JitT#b)8W3=QK~k z>G28+-thsLVL-imJX0%;i4peSCYClyNs}FNlavRl)t1qq7OLXnmIupD+Fey#Ds>^% zz$Xl$ivHcB?A7;u1xXb|5O_`P6;f}HIm{fh0h2f$`h0VfQfYIEJhQa)SfyFiLJKA5g z=tmZ6_Dx{}fblv0If$Nc7Op!%pauBp3$!##Z=eI@W-sK4?JNND<+Nac{2$7~W>fd> nd%KrpFH7D)XLe-BNa@WN$m`SlqKYLtwo%tQESx<2MFG`!&#s5WgRm(nsYqg-(MKxDDgnkOs#CdE8YJj5MrUTZPJ~zm<`ij6+ z_!ui}GL7eORFtS}j6WrD{+y&u2^;ZQaaP|*TV;uGS>y=E3tiNe8bLJjk1LFtVu^lK z=SE_sl^+-Soqsv=Ps1dLQ-6H&A@Y;(%Vgr$MlX#1I+YhSZ7bH^{pD1+?$zl! z*c?NGN@a80K8B|hgsbmnN_KxpHMe`mbZy0Srj6p8HzZP%=agb*N;shXs~|LU==DJi zMnf?g1Q3Xz&*o1^oYI3TdQ142No4q*h1;iN{RN3>y?;0;afu}yR=UuaTg-k^yhU3|Www7wA`FHanii1y7ByWcB5W)b;tjIQEfKk-!O;gT|z&FY2qR_3|)ZOc4&b$rOypfA`IwDRLZzkf5Q{z;ewaq5qbKSX{Kewj@C+USMRUuU*Lx7}UTvu(xNy}#@V*S%WZ z4K~}5piSgwqi&a_dy^NLJr@|;r4ObG`xe-(sg4!u5z z!LTQWfq*~+eLnt>%n3cHqPK*PnM8(fTey8X_MefN)_;qG5|>!QxYC8b*mB&4A7-*B z-Pl{7ULPhCrn5e`k^Ki`#>Yu85YwIzqt~lb+-3In$fP{M4A|l$oMooe@Hs1uEB+vRuug?%T@E#d)E=2F`pdXGIyGv&D9rGW` SNMOkRrv==xH^CT_PXgEMS^;MO diff --git a/CPLD/MAXII/db/RAM2GS.asm_labs.ddb b/CPLD/MAXII/db/RAM2GS.asm_labs.ddb index 100838cb9a2d3aa829d71a62984cfad3bb18e3a8..a36c0710ff0cdd68419c570ab1bde3fe96c53d5c 100644 GIT binary patch delta 2591 zcmV+)3gGqS6uT9WPk&Pi000000055w0000000000006rR00000004La)L2n(T*Vds z?qv4v?3QHjnviv)D4J|+ufqePsH!T|M&pe`Z63%%qreO35(URiQ501b+N_e*tQDx8 zhvpIi<*B`PLmc|hAJB)&NW8#HU8xVPR8^OVrb(qreLz);z<=p??%hC27v4MT*_ktE z=A7@GIdf*#8o)TK6vG<)pSEg2%$SNvTj zd2<>ONxH(ta9n54kQ5EWrmAp=(}0~JqG~bj*o26ON(xFi9I!)*50hgYjY*PMrz_%6b5AYbOt)qcD0Np z^0G4C?9D{J44f5-EMI|qfovk+&cRy~A2T?o;Hx2QfFIPF=#hI#<4l0XCt$y|1grv` z5ZCt~@SkF|&$C95_S)iU^2N*7LhLH63>ZoE5HC}PC|wPXy632GAMj=ekGB#Wn}V`| z$4oUx{eS75J9lKFl){R&g2+87zEcK1bcio%H5qvS6C^!_jF4Xl;2S#V)qS>(cq-V|a2d6wtJ z@_%nT;uT%}W`Lvxbals8b*TyX%Ww~eHXVa{=t-{|us?D(W)$deKzzPUR1^kK&>;_7 z)~Ir?{zgj}=ZzJ!g|Vfe8F*k%f z3VE)LlGqM?&fb?%^rinVo}?aGCyh9J*nbp0cchBk!Wk0h!`#9SNJ(xHfdw_3Im|ov z%%su4s5tjWV%G!rtAp=0!N}IP5oI-%d>zU+rtk^$Uw5RzS?9trhw1IXYPIAKDwWhs zFkSU;mmRjE9Cu>^4~X<@7G~#+_`!9lI^@iMJEH*4Z?RC%JoY~YY7(T(|^s{ zG)Vbf^XMwIaS3sM0Jj5Jd@?`5Ir>~WzY71aAu<-vOzNzHWMML=())Bw(@FUt!fxoB z^(fk7Ih2>T%Yp2y-&K`!m$eQ^7LsT=#7fAD2Jq;Ra?5UwK2OWgUD&KS+xfK#{8LFM zwTQ{M?oxz4;0cXFqx!0v)|6ySI)D7BEsL}fKqx7rq9w`YMz`pgz6UAJwpqiV&wC`N zkTn>ETt3OOuI+TmHjiPs2m_nZ=k%*1o2ZA4bcvif0xum_8-id!8>vBq4v@XMSqsJ} zv!KEc%5eRq)Kecb_;sP$;e~QSD&^$S$Yr)fOC4sK@)e z>$dQ=@do4CZ5EHT3)G|H9OkID`=Yh&*7xTLF)!5jGW*Lr5H0%3@e}Ze2dXqSiRr*( zV=*SJWJQ-n^yFcjR~^S?>VJzqcf7_z2up(1DxD}*9keEv{%GKg!Ot~(%_p%@|2$%n z9wV@3@DE$bzR&EnRPxQ2DWHgxQPJXC`%1lm&Ytez#Q_x{VU1Y}D;!WdMY}Z)b4;k1 zaY$aYDffg;88rv%U(AXevwHQ2+%m=h8+Yx3qkGpLjXk3#36uZiX!283Cq*SFz-Q zyduu6MBzc2GeK86WMQmM!^NKKDZWjmoXv6w$ZAl%145L@%AU`qh^LQ}D!X7WX6}h| zo+Ph#s`p_E4UBV*N4SuGBlEckW?6)_`N5C)3N$fCv<$eXHm3~mB<|_;^b1M5IdyED&j5?o-nxUpU>nH%u)z>fM?}=mO^yi z9ro9lvjP6vh*Q2Ug8Z?}$3wQNu!oE_xJPGjW52?LtWvzNaq0=+TvNolZouqg5r#S@ z_pw}Cu8Qi2e1C&i$>|4ig$vC9S(WFGQR~nd(B~(kq=yqLoOz88fRjvc91U%Ul3anD zEcS{*ET5I4zlP2GZKXSJR(apup$A}DP0AqNiW27YpvweUQpB<#q&WO`=zg$Da3bo?%MjGa8ihNx)vr3mU#`P}n?e;@7S&c1jV zD|Ce?o_`Q(FXnZWL=R43VV|z<T*H~+n z937X1xO{4+%4fsQo6eHLDMnJ*!A*ya1)hi*SRE{PzxV9p1x3qNuKcw!}L?zxW)KIFs!Vdv%a4(PNri#xUJyfIxiSx~J zjDOQhxUfUXyJfS%UqKh;!DAN(h&vOKjC@N8x_?t&Z(r!wt=Q3dP2&a?blUKFtc*s^ z8B$(g6`#}G7_f}h@Ul=r49`=D{us9aA+t&PJ?45MoG^kl+hyE~mC-(DETbnkJW~FD zVIxGkV+rX`jodEnV~%gE%U2kLJU#F6pcL-q50|Sr!IQK>s{g7Se%vIG{{WNu32YN; B3v~bh delta 2504 zcmV;(2{-n;73CC=Pk;Rh000000055w0000000000003nQ00000004La)K^c4TgMsy zz47SjNr_iava?!@Sw>>LQbH-NPbL&IE1P7!2U}S};~rYZsz0FVGBO=(xL|2Z9*|QC@L;^cwk|(h&n(^YbynerLzZ3^rD#f5xFKNVx@4)!`*d6Y&l7* z4=)XtNs)uSEp2nahL13{QKvY*>_}SgC#oo6NcX!tHW*IJj<$e&^DH(m0n=;F=!T{Z zyMr3&h?;Ap(TOYg5qWC@cHsyxD=?5aLHL(v5Z64hEq`%`!S2WmeF;S0t^i9C@w-5q zDq9&d{!-%Pmrjo)t@n3y!-~WD5jtdG!Uy3q6WJjR~XjjqD}>YkNpOr5@EclioA5|_~TOurx)Uz9B8VK z8B(K%T(6kdKL>6XEV`G3Hw)cXcsUnPb598HDp1v9Ga`ZpUNDStasw zoU+AbYyt=9!dR8iv?uJ#w@?{o;T?b`lX9s|mY_DItlWYg?g5@B+C9=9Ndr6=5Fks)##Df$!)bmES4kI;B5%%W+}nWV0zwZa6NyDGKNE$`HJFf|^3`4zmS@r| z1#XS+)T;I(FgWYh0UaV}2VsJG9M4e=5C^Ki2)n5>cv|A0Y$yiTmU1Oq^YJg>-hb&R zbCKa-imgxJS1sh;Q-}(Ix2LLrt^LPe&UVk^A#B&ov5Wq$j#WvYnA>El7eI;6)gj;<8hCDxa z0LpN_60k0%cMEpJbL^3L63-(J!TlN*LUe6FZN820Zh;I<7VCZtKZzzsw~1z`DHq~S zp8YgFJq%3)L5>PnJZ&c{Yem*T)v*{bNX(Yk5!2#5yM~FMq;3y zW|)b0*`nx6pJb+MVZOj{`yFd(!81lRp7c=L4 z*nLLVui^t9g(^gD?{#-G)qgVxzX90q07roj%P0MdLTFA%xTC^ViTq`r!h18YH6D3V zPpD>3W4$&b{u1!`1-Qph)p&GWrpch=HSKBOdnMcvO+3dLMBw%+k#RAS z`~cF_1PhSol01)V>*Tq|i0IX|%$+Mj@s=8$h?t%{18*n!OvN>xWed&GiQ0)sKZm@G zW+#+vuxuMLReWN-^?z(AE+7~@WAV4c-XI&G%`?DJxJH?b;|-nyRs!Ckmd#&#~oG#|SZ$q96VinPItzi*tcx=vUX8!_z|l9)JE0TL@Y3pq(IcMsgpT zzgy?j5}|-$T;KRw-XcUUBex2OAIu_7ri?}ISFq|a=6mvdty;P;W4M24?qqTC2Ke>)5^rN^C&Q?7b%vho zkc`(Z!d(}RrK86c5sFy^PK=>G&(H!!I1248@pBjqML zOfRCbseiS@?B*KB^HIk5d!cH(kWtoXccZdzSBWSaC0aSjG+Vs=+h>_Em|OVV%6f4} zD8mr8^(?1IkO#aSSfw!L!z^CvHmoIEE)Ohqk2D8Txc!-mAy1l4S&nDi)$EZwjbbqYI%XzkerBR@@hh1 zMRAXT7nE~;j4dr_+vw$mnYby{ku#THQweChu4sR_Qwo|bxv$mgJ$ zTugEu{14;_R>D=BokKh1HcS5Sf25DxG+R@^N@70%Y|N0Ko z^OBS>I0g8;JEj3IiyDT8V)GGFB=q z)XJ+xYH2lVW2@HX&VX`geUE?UU~i_i_0n4Jkz7ZjVDnpSG+CR9?+hTO8IgI z`j+bC4b;&w2Xf>_6br9zaQMr;=MISByxJ1#QIfThR0a2yWnj%Y+Qu2}N-ZMy1CJmZ zPr^3VUpU`A{6-WuFJGW1x!gfn4Sbfbf!lhDQ5}49w?-W-?iE|fnzXF}%odG=20CWq zW*{MS8l|zPv9@`hr$+^T+Nz`EiP#vY&68=K7_!}1Z)$2wZI;d74N+pseMNfrDElUhoc0 z=#-I#}#q08OShL|H?W225jCS4zoP~c6k@Uwn=A2A}1vO802&;5zbJF>eh%dy&ArC%toP! znu)yqph_Q#g;^l%5b$8V=|C>npI#eBoDOgeR8*#X(MG%mUI2T&yeJ39Rm4g!0ANEG z?z6k`MuoAj+7!TH>8Aw?h4`Y}Qv7BT*V*F&6>E|Pkf2PSPqLYcDgrkSPzWJfij?FJ zo=$t|8&LOg?`PV}VQb0*!%Hd4dv=Rn>K}q}o&Q3znVPdkvPS)g}_L*CU6Zr97Y8Juai&I2kpa1!emn11boq?2h9TBQXirLCFAQh5BB8#Iz%HSOo z;d7Y7DB3%yQ#IyETlFvHf^Qn!9{GzG;`OG}kVPfGOT^_7(uea@ptZFsE#k<37&vm)o?b&&yQMQ+4S zdQI@*tR%Y37WV^F!bRfkT8bUK<4%=7OXNkAkf?vwj>?=L(a!&ZJGRvXEoT`kU`3&c{ASvEx$b(IYf6hO%qje+B2DiX9FbVEIWXJ1%`&0n z5FONjj27ueeIat-?a*~k?15f4*T)s3e!b27J<8_j2)<4T z-SZiWjv%Q*VgBKJ<04m(`a_OP@4+Q-ypW_8Aa>(-w159lGedoIkN>>`p6a3CJa9HR zU?&Uq=fzWzS`{RH)O_d-*4!JvSiK9n&)o|sl6p3s=LHq9j#tysm`T(q(MLD&7rzFp zYS~=TT+yGN(_QLRbb?+5L{B?KShoEX)kCrLTQ1bms(#W|yqU0z5Yej6jZzY7Yv0^U z`%ZlkI28C%ivNF5nn1Y?>`9v!kXKQgKe?p6FotHBgNYLsZ)! zS~AS#^nnR*)mu*-c+ZpT=~6RMl0hs?&uy9q>pO&Pum%eMjQS)uxL(y(D2wXsrvU*d(c+NNW9JWd`! zJ__eUl52;O9!SYP$ra>UrOfU@M?lojt$e&D3K2?n$sgcm zv77eT>s$%61}USs*%+x|hmlvOnv-d@m$$8?LTk}W#=zVIsYRh&MgRS7o=qbs9Ew2{ z;v)Y+t^w2%C#%lE{iC%-bmx1r3+8JIEjh2(ZJs41m~ijt1};Rrn_=m$0jTtz(?KH>y|i^oDwE}v$X!x!h2f2q4rZ`F^}f625% zBZo{+5FR#Z=tRyaN@aZgV9_#=M9O+sdg3ya@WsFM@`lpuyw7*VC6f$nLX&c+-j(aO zm&s&)iZ~ zC&a{!XnB0sk3Hr4gM)USb$sPvpI~l8u=$;&TW`i*p~q*G>{WRlRnRG-Ki{hTEzo(n z!NX~LLMaUC&96CLu05>xT(yh~?>S)?a)4ZZ(=9s`QKPMk>G$5hzLcLeZX-#{|G+pNcTZC)0MkQFa zm{0EqzMkRgW2pwnIB>pCHxhkl(uCZgk#E=)z<@tK8um0tabI^VCKhct(P z!eTm-&lcYhC!ifnId9ZK7tDwg`9A_J%G2O6Q&!AfvJ=^^DYW~YT&WUgw(DyIqdf3I zD?{UKP{+1ud%~w3w)pb~WyJwz8aCC#rL5-TUpl5D%@SXIyUv0e^dXExekfc`ngxA_ z(KXP@<-gcm)Je8Ookp7kQ&wK@sK2PypQmaXeUG0Y*{qUi?XQ)s|2Foyk@71h!yw8) z!_VrWp|a4o0pEMnd+lxGxnW(fAmKddQN(i{mpkYMjk7Z|{SR|BU7`FbycF;RLh_tf zinXGZD`!bYw#T4pi<+AC1R=ybJa!Bb>U2Foo#$%kmT32z)dijZ=pdh#olYFTswaoK5d zR&hVEegzS7vbO-W4FFu$FGA<4B8YFSZYx|%1q0^khY+SmZKY;6L7q|9e#TvnO=T*)ryj9udFG8JPSqrhYWC}VS$cWPxN=*{QZc?}MRB(Saq)D`e(^~W zd2uP&6aVnu5cp9pC(1oKZdbbF#?1abdcLyJ*3|1!7nUh)iK*ZYw1w|`xd#DQ%T>Uw zJ1q5B26~h6O}*H!Wzbo z3d#>1@jDx}UyHS{7yVSW6TA3b=}Ms9SzDM2yhF(8RE1^sp=qPz-P8DIXFrtC;a61k z9PAD?8p3#pQMRf9%Zj(GTtr!0A_A0jcV~ZlG>ybB2}9;09)&q?G0w$c1}3iNd08#A zjz1)g`7VhiXsfb>%T|cNHY`ZQoD_N6#Rvsrj0x=F^3T*sshf6?!uk+>5Y=~4?G~X| z@pFq#d2>HnyPt+XXS0rFs)U||>O7`mE|w~t*d3e{`ZOwNofFn&4laPI1hl|IXM2pc zJ}5E9TMj5-)>Z;L4&MXv_$QtV3pTetw^v)mw#+|A$U!Ux1eV3uS9r05R+=@yW%i7? z7adjbwn0#PtcUR~0TMA5_>yZqtYtA?Hme!uc4A*`>f~Q->3k@fZ$h?Yxt!}cxXnNJ z{7Dz;oA%!4{@J*`wsv}?2nK)UzP971Z}B`oOD?$!Ftd(YDQ$by=gop$`7DG9i0IG#Xui@@6|!F=ch>#cHh2F*0^*l0hKX%a{|kA< z5AGEjBfnEy5xJ#sSP8^q9)PuKYuRzRUpP~DlNmO&+@+g>-%sJVFvIL!WU3d~vxzLw zZsgDJB0wR2tGYXE@?t%m?X+&f$^7{Hz|ME=bh>VVA;r!brN?U#8TNj9N|^8An~okQ z*IQf{iE+fAoRNVkau5BW`*_KFmml!>ZHjxjLiC6W&8q8>!jJ8HUSP;Z`&h|dEgSLs zzK8QOhx4#nemhLw-lBs19!=Vvm2O!J$Y4l;MbT&j`{ulX-dgG>o38;8Vs4c(FFN#3 z(_$a8=Vn6ds09{ zooDy6N+i>OGn?;HMv+tCNW9)4UwS>F6s>yL`kCMmf3ZoGt#`5{+8az%68+65;s*_w zKfSur{hh+I(mlG3b->1^*kVDNQjiYyYM**x9-9LBZm|~~>v>JQ>#BLd^CU&ilHU=@ zjY;}&KSr;Cr8t8%&|{&8y=(K@d-T;ln8xt4SaJAvS8_Pqg%Hc5aKXJKKJJP342JA@ zA`cHb6u=c0m7`ekErJ@40{q*tr{?u1`gw&`5(=;t42Bhq4g57Tj!h8yn-^r2Cu(U$o~34Zq&l ziJwt;)*m60Y|-Afb6Gz*zTl?eO6SwTE4wtFXE%4xAU@H;NUx4N`)9~>t4!nVl(j_! zpQe&mv{!?-eG)HAjJK`Als$o3A+v)>DOskm!hX`Tm&j-~Ln$3|ad*Th=Duw^DrRgS zJqu|a2%F+s5`CVL;RR_%-QIR7AUE(7Xn*s&uvz9KDh%P)WD}QQES6B{QTHMf90+}> zp!X6f!p2iD3TA|x1-Ui{DgE#a77WM48Egw^B#9yA?Y{q%vlvilTzcy z9n8yzL=l^y4qhv4EItIfvnQ^{WdK^dc$Le$Gy_gY1mh~T?gUrk`Bzi5W&34)w6F_> zOPJC>DR$4l6J{|Ek#12&=zEcSt2e?UF*m|V#i-44MHZLT=Tot*idE*1m7`#W`YJFCsIMOiZ9>%EORA7g0%G-&ctn)qVJO=Fz1nWBa=|JBhjh9FpOk<`%HQucgk7QVy?` zv*fE$BwcgKnvxf!gavkpLI51SlDE*_t3JZzWr@J(c8{ATu$u`FI?!8n(P}3+WhZnm zw;yUcU;@^SyJ@>*`a=yrYzGE&*Q4%vAg3SlXGL`sLo&u_mo`s}n&t5#ClNFID!CFL zCQi$^1eP>b_;VQLRt;frah(Q5q97Gl3jzq{k!6C76Vl46xQ0}i|YeM*k>^+F6p%_p+l9bujZB&Uq%qMf8Aq-^-) ztEYqy@H-FQ=y&U0?o@?1`E&bKu2wkOH%8RJ!$5_sjHB`IyX3rX<7VWn2TlG^FWH&B z?anKq*})C?6@91?iC25$-3c62!+ew2Nm`!&y9)t-E`3m|>pOxEuD*lydmFQ&sf!-L5 z!RZKi_y~}S!Y>Q#`w2V;?&5<2lALFD46u@Pg~BMtxfz@~~X))(X{M2Nl1D3xo6?)z^556uh#Iupu^I*QoD| zW*%QY#C;Fo3XSE%rJ%1|?V9d-tC++5ht2V;QH()kFn*`w_U*2eJN1BYzPO*ysE0$g z5(JOq#EzGwum`FA9UwLswJ~48+ix`-x<|C>*C|s+3roEzwC|+WsNlcq^Qiw*&X2eF z%J8mcvg>Aud9(j#=U|UNs4htxJ^`ofU`y>FXPeG>^pMMto3ZtC_~*6lwkL&ZHdVaB zK94Sbf2=oAe!I;*x`*GP+``StsJA1r=dQ$?gs*K~6OqQQsqUZM*W%oy&DuR3VV@)7 zr3sF^_2zF@J#S`4A8I}Js^*^%k%8>bjG(6tK0};uAt&%M`cGmueXl811>keNb#-hu znt3&9J~RJ$=vb-ba-t|EhuC~>m+im0mRKD;9?i_axkD}*`Z@7?GxDij==>hzr!QGX zbg+u{&C_ny9414J9u`%^l;TwJqx?)>U9@NJacc#vPr~iw{lI_$(zY0L|LZq67WlBkvu=n zH#pyj1^4X55*b<3R1|O4I?;4?R#&T0mNLmHX60IMR=-mTA6pa9KUQ6Q{~#hfyMIIR zVJUx1Q}g4tKRdeH&|Wrt(4g-5OP#aB{~G})saBKhrJ-*V^2$r(53RWKt#$SHszgHA zbTHb^ePPGfp`ETk)0JJ;t{iSY)bUuo>x1l=$qW4`#`UPzM8znsqV?vXYWsGxNz9)NqjMyjYlx7!pz6w*_GS0z~fXiILgW`+5J~s|X-P#w8U~zoOV;KR<+Uf`_SyJe;v_z|*e2PVTlUd%& zJYS)zSGO!>{@u3CjojkoXJV;dUA4*^PA$t#T<)8Yc!cA>vBmWsZr7)V%lvAov2+X3 z$YH!U0ks#OJPl(A)}I-;Qjw_rOICe%yI3u$)x$@1Bh4@lTPU$VH>&pP&lYZX@$hoB zpYjD0`c!WJtfHXFj0UB6+=xHrWN1)#=(3Vq{@(oDWQg6NhlTj{KMz)$r+m>3&vD}b z*?d&&-Kjn@&4yreq@*++l~H&C&GH)qLgc)CsHHk9wXC~#-q~)oV8|;jT4CxR;H1#X;drp$xZPn_m!!kjk1t-~LZDa6rABU+TY3+l) zV*D!`^d*a-tiPAnYSU@Se0*{FD#74Jge*>kbq(g}1qcIVvshp|9z=@zjjJH@AJhB4 z6*&hzvG~44fhaYWWqw3Gd0HH^(OM?&y`1|pBKuCemH1~B{=b$P{RQDWXJ3mJ26`Opx!8uMB4UA@51qQs(;S&ia0KZWADy!WO#AqEPcyjq^chr_P6O z-dr7I_5IVZg;u@SK57_+o%#5khs5sTl8d}C;|w1|%%rTR^{%jM?gM?m*M6!Ut}*jn zz8J{CcMqtx(n|NMoa==JT?FMD?r;t~x*vE~j6qJ&k2u{j+PfaPv?>)2zj++DZyI_T z%|rNk{2P*(rkSIAs`g*>B#VtD+)1!HA8+L)l?>hl;HE%yC;)a zxjjfCNcMz!Y4`|gq-vR-w2u+B9zW&F1E}&_jsa@;dmW0e^D2FXp3U4t;tucYE^NXF zN4f4YB+KtT-)jOORL&JaC7QyTDh(;j#9X^PhIZ}pf|y(JW7tVaqbm&s;`nBpXV-~4 zK1yLS%ctdn%(8QE6vk(BK^BAc|0e*=?C9|xGa63^bdD{8U$cuFjs3oYUWWZr&p1pv zrriAm^xCH$2W8}S8Q)g+|M=+LC=Iu_jqr{!2sJwW=jrkJ)27Qnp3*NF$cn~=bK8&0 zB?Z3~HLI+%+nj=>xU7$ft=V5ss_t=|kSiQ>rpJsgDUI0EyctxHEf1ygrS&N5D01Dx zx#^K@p$wBO!Y?y<+-T`G%P6_a+MWi=z=)#xN$>0YAn!t0k;)8!1t(eK-O8f+RZ)bzH)BhYxHMf(yW72!#fMg#Ma=XFx6;) zp@qSTM1}s(kV{K)!h2rp#nkezRabtAO;H!9(}0z#&3Upa@yZgc)YXfKZT+VyU5ucr?o3(A1W_|9t}R; z&-}d|W^*|_yO+1lQ@*^Q7B|xs0>Kxh;OOQ-cTb3f$MN6_hpWaAKMG~CNLe1; z(tiDMFkSQtYX)&?i!XhaG4h9ert=6hkevJox%n)L4%sY6O1hiiG}=Zd>JJ~3 zwP5MMf?k`f)WnI`TE z%@XM6f_FM~5?Pt`yMnb4%|z1ZeyHM`lRA{eJvDl}0Fi0^^*IH-jCs>wBW*>^=EbHT z>Zy6_qyBaJK86lFc5ydi^R$00rYyIcjp1tDeQ5T4qy3^*HYE}g=Y_bJKVC74HK12W zDQR7jZvPY2b8ym4D62PfD6akUv(apGONI>+78PZYaK<1PeuAjJr8Y1e)+QeWF6dQS zn(zk?VfkD_;mM>>o#%WLZvdJa%wJf=5@D2B7Hqy9&zUc*vu4$zfO)I*Da6|8DYR$P zRks-3B?!f)#zl@u=>V>OUIxxedODr7RS$88+r2g2h;uHM%xMv?x__9vG`XL!*&+33 zRL!xkq+7s_=Q5j`YVsn(%pYgbysb~EzbFC-c1y7z&*a%@>@Zunc`xtW4Bm$0`k&os z(}GxsD*?n016RYfJL&jVe*3875{&>U+kE_4Y%6V@99GFZi>!|W! z`Sy3XvUuKRudP@f1s}3-CP!bhLuhhwG{w7 zhHqy{ruF$N#s4o{;Ltto?VkI@`dbre=yWJq2{-&{UmiI)B|M?r1K-QbFTzjgf9mGe z+tAy|<9cD-X{cXuwfheUNVv(gFtC(X&^Tf5nu`zFj*;Kuv*eEYL=h2q7qHVSQz2*q zQLNqoPPu43Vwxigb$VhdW_a+ex)~WoY)VxAd6P=gC1uA`+umtuarsc(OF=4FKBuA= z#Hft2C@vrfFL=~!GOq!G3lJ}8^7 z@n@$I4Ez)H(Fu0Gyf)F{$_;CEKVcw5u|LXiBA4F!MhwkjxX3?wa*3O38vJvyMu_K@ zD11~**?}X(+vlR+JD6(k_(EFmS=VsR_d9Bf-}E1p2&H}|QNmv%vI6w%TCU0LoV{QU zV{E<(It_m_o0xM}GP+9-jB}YQ<;fbQYs4)O>08y3ju{i$U@vLLd@YMnx` ziuIMY>kt6H{(f`>U>`}Gvz)r712Zeb3;U1=(*we-a)>D2iyl@C+v}{&2g`Tukn@dS7jpY`K*5 zX^`o-^rlC%bK4bmXzDIP4vbYcE7+*MAwO_0Xy@#Ts8{M(YEsw3hB>Fuk6UuSvnWrz)olCJw17W_=@q4IB?bto> zKHx5$kqZMr_(!}q#hw#uKJ^ARXvDH04^MM()RMi{r9rTD-02)oL4Nbz*(7rtl?^F< z`^vbqi0}2&+CTq6F0&iAcby7VRpls<2%xSFV|88HmYZDq*9Eg_ z7Ld6=HMZOPs`nm%|9eI5Rafvm`nb~V+Q+I3NMaQ7<{bT*Rl7DPGo@N;=@}{gQE*hYq`}(p(y9*h$YEl zLBmq3nH{i^0lut&_M7rR8P}&Cpn&nLW4s4QJ6+_9+-@C3i8?zyl{1Jn7Gd(J4QU>nal4MI%aHFr(i4vCtF z^6mRkMk%*56%I~-nMOyY;6p6jQEqQar1q+Q!vwf3CmC9^;FQe%L_GkocrjrbY&G zTdt2WB#JAdPtLV>>{)XTs_w8Zo;qJ@s3K)O_H^ zPX2qLW3B=ncbuzRyeQXpXl%DB3=wEEYX9v0!7u7R&Ub+sXV$dMyAM%(n5%8y6mOjL z-;VsC_qZ=8+5Pe;`L6GyhiBK-4{_HqUN@lT1IqqzLNq^j#tQFt+))beWa`2&hplb3} ztTnS^wZh>3#&Wq&4Z476QOf0+BTggOVcL*!4v%NXxIf~MJTBUjDT^fke(8nS`rpk2NCOh=SA*BbN$b4w3~4q)oN|Fy2lJ04KmTZuS0%z^H`45#7!Ig z<^gY`Dck>rmbCyFt}8zzTU%6QdF5!kVwbiQ?^-*ol~i5j+wne?V!7s?TZBZP<}%g| zhVK|d?wu(LBWWBRuZ+^$3!UG$LFe7+Os3cbs9FxPcqe(E!1hV@Hdo1fe}Lket@XCO zh@V?kk68I4BpKa*I$f9FJ`HZuJ^l9B{Q6D8;V~EDi}!2WPi`z?7q#+PU(xOrQ|-g1 z&vYo%c|chbP{mBajEK2-A!Wxv1;hOyi-((iP<$1mJ8d5Dk3ahF=YAe#B)6!aqn>M! zJ+gps1^e0$9h)Z==fOnolg_6mKacA&Zf2B6_EPlxh@(W6y=QS}*J|`AQ-}B44R&b% z^a|9=OA>B0&ul^R-4;AX_rVjp120FS<9!HJM8$m&wAq7b=+%rsF( z1_zT{rNc)Y=LO}tGpa574@FTG4o5mwAJqo|{{rwBO(qsXj<@l6CFSN+0%az(Sl5o#Ozpe033GBJ{SgEYFWphtMPm840Iw zTvIxLE~kQ;iHoCL`2=tOS<~%A<^wQ%3s#7gx;XI9&ppdtJI+VNZmh7VR<$VmUM91I zL?6|SV1g!5vZFJ)t(m7;7z-xAMjN zCz?B0EFN-RHZAArXsf+>@t7MFYUAjIbOE0-@``#3Zb-|xPcy$f$BVBx=a2>VvDXl; z84H`I((Mtc;6gY?x7gz@_h#Er`7&3S=IE0_2xED)z!NvHCQPtBH1XGG(pL? z)&txZsPm{+P{*dH-m9cB>g*s#SsoL`=?(A-mJ7zi@~y?N<9OO&EG#_y%HScb8YeFO z4c!Y+6eofS2GsFHp~53NXK*|)JqPl}7d(WH=cM-sJyA4jpuuh&QpZI=48jM&bNGea zI+UuZ-Cjf+r{|B&N*gk-YuILWkI$u44Uvt1v? z!WuwgKHh9+1u-IzxBX5#_Bwyr2*Pyt&WW#Jz2@YbGtgA8Iu+$ym3#BUuQ}I%^-DW9 zqAYcHqQgu6Be0eXtDK7rBa2;u<5rH2H1rJ zheX8^|Ki);CmM>}hp%Dfjf!YA2L%Wcyg!4uq>xEN!IO+p2H#YA$B|4+zS z7KIJyIZQe#{KQMEP>^%nsug-=6xBAq4L@?^`1H)g70-9^lDSyJQoK~5&s1oR*<;vCu92#B^^Lr=};gsYyuS0U4*7!=?&A)D{E zYeDOm-%=LV7F6%vbp0`TAmXrti<&4K`NGubH>8 z=uO(ZJVJV(38UknkjodYMpC&-TmmB=5CnFvg1(2|J+&#Qd}h=6+a}8hW~90g3@=9A zgLhA6{!TrE>>Ukw;PfaLM10$GRVkQ#;_nV_7vMGqj0z|~vz*$joqWTApk{9!% z%3}&M_z><_ATSf+(|-4r9pQ_cu~_p&PWdkozh z7lL~XxhtT+OQl<5{6m{rDn4<&C>Zd*xW9b8Hqu<9mc$1`g?pZ7{{0zcS#((6tB z(UQ}iL)oiy^(=m#l7;rN(VjIY!7i5D*37MCSve|i@Mo!ei2AP@;W;IbF<#HBpo6M& z+d1$2jbt5SU4Xonp0wD8umxpssMAnhRHw$~yD2_u&tV0oOr_;I>dr+WGIOwy|D%VA z^#*<(ZBur~mGf-Lp-dB3#xB#uxhgA-WrYBRQAG=vFW9AzsPa(-^n`V~?aiIesN_on z(`S*@+>w52+qjQ!HdHpaP&DL0)(K!0_*rd}PIU|r%%1$-P5RochVE*&svmbla=(AZ*$WD6Q&)FDDdYO(4f>iN}nC^c@*QJfv@;s zVH?SC#%E;pgau!1yh~iH167L{DtDS*4gY!h#iqzx>8^Ncv}Wf0lN!g& zB|hzkGYKPUqL9SF4>T8ba*wpPGiA0iNkgx$QLZ-8&Thc2q+>sVGG!hW7%(u5!CHGK zu@0`3I{_o^gFWox#8jT&Q#)xI6zi60Zy6Q8rMy=_VDYCipvQR{#dh$K!fplQB^%xp zS^zKXHt4GH{e8G4Nu0T@)+>jk{t?C{8R4SnwR;#E1Sfqw+>(x81W^GSL8lMc_Wv@Gg7lBheenhV{o!LJYXO z!)h+<3K6v3`rW`o{NwHfn`e_z?=du8Pd8Zo(^(VJe{!ME3WC!EI~J@A6{eKVfo}r{ z**!}{C*|d=>B1hAu3pQKQV7uC?HVYx&Si1Nr^EP1Cf_*c4?wL^pJiA5xzB|RuU`%N zyX8%c4c2%K{!LCt-f4Zr?veg(8l;p9-)BBlYk|{bi9q4|>6fP;WsunlTmf5HccFc5 zq|$E5TSK5$Z$BPXIYG&ZQvt0&e}Qq9lpD_Fy_zWS+`-f~Xdmwv_w5%*opb-yO6eY| zfmlu&JeR_Q^q~EMS3?Hzn69qpy9C$Y*$aXb@Ay{_dVLx9!zEtIkx9HDm?-E*}DM?pLB-+hbk6I>DD#8kp#VOTm@e~ zgBAeCL{cqb<706tytLuM1SoC z?%O>5gNR|Kuqg5c9fLp*yEja)>rmF9bEr=MF$rKr0N(%N!J)5<4BQWh@HUba5z1}= zm3zM=sP3kcN86^7wjKHuzj5^#O z2NJLl8=K!yKE{$CGZ@uzpi+g%r@)mt4?5wKjW!?iOP&Djk&-3u+E@4aE=?*Sikl|L z$%2WTf1OH`4!=L9kKj-GZ8|+F1La|W;^jq|b&UGIlO*YWYoW^KFpc1=Nqi5>PP3KnODP&cF!i4D=TT|?S4?gw|Xe^PRL??wz3A zMB&r3&Rp>yr&`d&0{2D+fj&y`l(zh`Rz;VHI6_gFje4WywcG$b_dQX>^5hy-l=RDs z!B47}#Z=q2(FGczcO{_ExzZ=rCD*~5gtf@M?;T4f|DNZS zxF-ussl!g;BB4L=j>S(3z$&p+#9!DZF0~<;QAiuF>^C4Nt8Ewr+dR#snDfD=C?#Gt zq=qTSPipP4RU6((Cm>a$oKuJlnME#=)bPvOM0m0^5oO{+B>l(|3%2+sx1$!(@zL%Y{@X}m!?K;(`~9)4 z^nY5jd{mdjkE29RgJ>@2(k)e;;c;OJrb)9h3+;4GWnSd!aP~qM)W;@2z^0oITlN#t z1bUh`__&63ZMci`O~IF#o!cD-N6=e<>=^I^3jwg#ypEt5$B+(tD-+5VJ*BP&U*_d_ zUULg@FJKpDSYt?0eQBLyro=~B=p=bnASFeTFWNjizVDC%Y@{VF?DiXAGdL9rE)6Ii z1{u=10K$0g4uRMPYFe|auYot+My<3k?E~3=HLZ!LhMJ}qDlyu+pkkR*Og&()Xd1AK zZ>J~QwfMYm;mi8RxE7puEqDx*B%za`UclpSk&|wD*CNHMP5-KE`=@mYltx3*^Tn$? zVJlOwr$oEk!?W84lJkCaPND81B*1ISJkyFI4Pva=D!5bcUlFWzkZxdGrU5uW^~>@W z&dNH(HzR3uqCu!6C#BwFxR+o5X#cSrHtv$!X3`yko52Hh)!0f6iN-{3gF1Ad#O zD~nRDAuACS@&!vt33({#C);ezV}2HXH=0}^|1gnrXYgVAA&kUA+mSyjM?xF4_Z>`a z!4PfW&#+OL&11RgK*v;ukav=3>5Di{d`K-ft8>`^;>EJw!<92fbEPm=k_jyYjTzbh z2(P5I>5*G$p4sFUPYYRx1cj^p>Md%~Be}xTZZd6JxKwm)ttUrJ!=9w;J(#fAK8Xd? z6(cIKBRFB zdYLjR*s`*L$#+vJ0_(~MRR_n>OK=P4`Y(Hce-VJj7CvpR(Yvdl?Qsh0yrnZ(Dq;VG z)&0k7;TtVNQQ+?-z%{_MgS^Il_QJX#>LcJ>zdS$eMZ4#m$cnSsAl#W#%F4h}-F&_0 zm{tlxg^MpW?G^qy+|(}9rU1KAyMLjugX4)L;0S45f&78oAqY5~x_%k9bA&jQ?ZVJU zNX_JbOJ5uTI+ka5{PjyDaT=Xu+58p)3Srf~Gu2o&ayPFE(Lp&M&@&{9TImCsBOr~) zKg(tLp&1)M9$EY2qisomC;<)UUw9)<%XnoRyM_D>dfkaB+W0yMVa zZd^jP`#CqrCvp^Kk`x}nr?I-CwFRY3+Y(Y*i6$*+m+hxn()Mj02!jqp94QuP0$O&Z zKq@qX+aHJ-9>@7G!*T=Z_u($zCbwX?`;`)8KHoI*L(m-?YH{l7U}6osXcX8YHnK*B z3|>3?NFgREwclT`x2g~(*vvivLMz}GUuWHM;QBWwFN~opoQb&8(+swyxKU;fgZ%{h zG!1%F=J1c>VM)Dz?yI^tjNHxIkZe6pwBcQ3LVk>hBfW58!4FFc^A2$Mg3La$!)7Fx zy{YhtlEVFw1+5&_J;@h;MO)#}w8KvS@8;)Cy(w%$U z=%*sb7qRV%E}kp@C49U!dHjT9FMAPD3EWgsX6w~+7xR0*0nGp#NlpLT?L8vS>eXwb zOz~%XihsU*3X4ZBFEp>Q zLH(+s`OynijQ9{X*O3MEqc!EVT;tW0{{sKUXqHHpn|K?twZJ|<5t+z2QXR#pyT_Bb z^w@abZ%%Hrz{fd0ht4GAjFU#CcH{V}zb?p$YgW6dcCe+*z0J%yBt%D1 zultlZo*y-#&ncGe>bi}^&g`B#c((S%(*J%urV;2)dWhZ_y{x4%6)7vrZ~NInDET{S3s5Wh21`;wtX(?XV;Pu^L3_?w3~~LZdu_ znYu$-0t&Rp5#C9;v2Zu=FInM{A7RQqwN#n4f7Ndr*WaS>k?BM1qL0$-qQrl{tRNPxGiD z3iNk9;5C`{={EPbSZNtlm1pNO%#>HQ#lGroEH?ha7?(QT3OFK;;<`k`oic$4CX&e` zY%MWc5j)URag!FLXLwI2-`Gn=wf_}8faq%aMH7909@mKt+0rmW1O zk~gdk%=URw45T^Zj~rJ>e)Wk~M;D92DZ}m@=B9Q{O>`;pYN5%Z7)}$bKSBWFUqQdp zDX`yn>RpQQqXyKra>7`Ytf}TUvHbeL&*Iuq*%QIy1KWfe5|zf^v@el#@s@7m=hZS^ zHNH!{DVw7QbSdm=wlM$3m*Su?rh&jQU-fmDNeugM(X)zI%oM$MG3V&;KfdKD6NqMh z6FpgtL)+LC+J8s8bn5J0cEtE>;?**Y3Al+;NR?E-xw3-dvwEYt;1}dCGZ`7?^Q1kq zv{45$e%3h6k5tW0ksoZ%hOM%N6}rZ}r|Qan5|YLyAGDAB-1ReWAIF5GEGyXEolW@d zW*Qop5QL_3|Kpn}bC`u=eiZMKZaZ-+g~Zlbzw!e2;b*(0VAZ)0>9+`SD;&)K!0?n07U1;qF@#A{{Xx|L%+#m(%@#3 zxoK8eweR&RNys3TBxl9#V2!dx$ZAo(+(LQI5X#ISE6V5>I+`Sw0L^zH8)G=|I;Pdu zF@cSbNn1W958rhTj7tPdK#!-$vH8_<^+&v5Fd=zaCipr(Uz5gh8{JkY9#Zo_^j~?9 zK^bc*8FmSBQZ72*_pi-iRx1(h_I^4yo0F^&oHqvW6tWegwF`7vXf~s zM_R;aVwgsOv4Ggy(4XHM{G{*)M~$miX#{+xJxdg>I2`#RYaJ5>WUjQEE6j*Se)Sxf zr=$5n$wbC%o) zU7r_6<~||eC2_o}t4bFr;3@PVnqu8?K@^S)^NJ|g_+xFD3!Q*qzZl0QYI{|idWI+yZ&k52>xI8hz>2~qLD0^!QH6g{6qXrV2U?yvCMzv*_~Oii@k9hO ztW&9l@i-zn%Y|QYgu(_v_>B_Jeup)VNb8L?j&`wI_?v1J_6VZC)I(jkbf8ajzmES$ zY{hWcx8Ec-G#_^~|F7i($^QvqPW0;ny;8+!G4}_1k<-0B^IP}(l zGCz)$!Xe2+oNVdGwo%zB8}bHp${GD4Ja&6_XbyJGb={omO`cuC-`Up{eA8>QGW_|z zZ7TSLRz_S^rOKZ%Lpj61=~`_PU*0$|D>XpI4Wb-((v91CL3mpXt63{%F;+>$8+u!B zGuem})@zg%Nk@b4I(Pj#GMFWhlf8Z3Be=hBe2YxhWvr2{HFPZZ?iL01kc|THb)pdV z=Q<(~f>&DXGh6mK)Ut!LN{J{|<%x{j`K<5{3%{Sjd)(!%bG(`OfiBc+{RC$lPgltT z+jM0s0O00VRzS?z=$lr|7R-BB31Dj!YRu*v1m7n+7#81Kv$ZpLu@>&TAi>6s{{_OX zTO&31Ik}xz5O`FQ)Z4)k&Favqt)0a;lqK&fdE}7bhiV?#bW1(L{Oy5i!>Rdqg;pGsvZ_71`q`A%HfeHm2*>w}U98e` zaA(cHUSk&vWQvoHzaz^<2ld*ZK8bWv<;g0p$U6AFZqJlH8xc<4qTO6Y$DX+{4>Xw< zYfEZ_M~%I4+cL%;<=)r_OCormJ*E+AS3kBrJLd;-Zlx$+~xyrFOqKm~5 zf-#DQE*DZ}H?bCoGIiAo(PRQXSCSm*k7O1Ziv?e8O1SVAIR{0Aryib|vM&95DCEH=gw>t+0zjmAY_%Z2nO1jwFT1}S;t$z=?F1a;U%S5YNbm7e+ z+gsq=!R(sH8r}G=`aUKxzYt3rqNdO<4ynmp^@+=gtm(2+ewig*%qLWsXW84FY?1kl zWIo&yT`Lt`>>pOe3^ZT(6^yekUB>U@`8+(QT?>~~F+L`3<5}qKX?h072%0B8g+?YlUlboHdkmN+l zzs6>Tynm@?bA!*7trpfSVDQtj0DP)a^@5WXP-ixpFP1L`CFhs?Ym;;|`I|&>oBHX3 z8b_1-tT@iA!A+8jf-kYqbG`;Ypd zG3qT#n6|rkT$r;I4TcGqYg#Ard;eL=9A|ZmmE9G^50O7#UbA=mnvRTyP~Y6&F)*2T z2tLnR=jyCGV$209=%0qvlR`tKC|Z**d@-NgO0dbEjqg^MxtZNZqzf~ z7Lm}Vm@b=cK$m@LZIWY$b)s;f4?!1pi6ioKsbWuh5Q%fua8W<9&5lQF?=6sS&DkjMm zaa<`leQtijH%WF1J|Xxj;a`xiLlR;*DA=)*gl7h-%(!2YgbfYTq7+%zh{>`v>!il! zB<$uLH=zvOXXZ;~&x3tWQe_&gR@GS!4y)c2D&s497ui22=dE!`? z-0Jw|f8sVrrTN{C6>7?Tv!Rv!Kuo_yNK9h)%_ElOQ}5 z%>VA0ox;J!ro$RHtz~@MH7ze_pxdbE97yCj8S8->l{7?kHG2@Sqd@Yr=p#64G> zk|c{nz-Ul&N;&O_j@aU~YUAF@22o%ZGc!>I(=4@a2<63MZcz&UNm0{Zve=PC#dKLE z3g~jUPZ?}wSi$$(X>T&qWwGEp9Bv2Gaf@i!Y(rY4LD%4mgg;LTn!L2QBpoj~1zTPC zC?>07J+mALmG!Ras(RAN;LAmMLyhu2QQoFXo^T}I9dbI0@QcEq6n@p@j4;uXd!t9hA?97@g-LIqcRvlKa#(KsDV<}-Lf<6~8f4WY z7UyK7%6`C&??S=hTlpQ1Jmtc3*jB73Ue(MhENvcgTZzJzKut%ZJX>^@$iG!F_z8J= zN6m%?-=Z+Ca5<|+cBHFF(KEu!w##7BbANs1z|3*rv7cc?5w0%(L&*fD0ER?Df}uF!8|RRJl8QNiEA^r{fb!hr_4=x zz}W0oUodEVSF@MRa_|KiY)PuJIN2n8dqyhoEl!Tho3`H+aI+P=Jxc|mf13RcNXOlh zz+|2fbfakQ=|gA-y4L5N^$}%s$yE`24<%go1_KvFQa2xoD!WXHptRx zP9$!XRBIF!{Px8l2*EQ95kDq@O=6+6QlF}ynC@h})q)!ZvhiujS*KufLm|AQQx$_B z5dOtl%p0wF5_gs&%C5kl5M+yv+(R~7o8K?U?@MYF;H6Pt`01qoRgLEJWHFwcvMRIK zr2KfXB|os|Q{`P+nC^20hp%x1c3d2}^L!wY<-YD&<(#kvZ%EQF>l06Yo3^ml3#@mX zR&1ZpTzy@C?O?q4mE4kkFF@I=!VJoZolAu@3-wu~RmPSSGiIfQ`nAYaUuD?duCd=I z$`@*@592srYlL|m|y z1vmTb)n4&B!RHEY#U$J*_@v<5v@f?eRT%uJ=)`}t&hdFmiWBfdmBUwwj@gZ>{p!g` zuuha`q~J2j2k6nlq^wb|4GH%P&bo%&v^&)s(rm@v4#Cd~cTWNtotc8ux+;|<(20>u z^B5UQVqa&Tb3o@2`SX<}W{Bc4Q9hg~o2}r;y6C)YwL)5i-H%Gb?Sfwx{^?{}lZ0m! zD=9duBv~hv$$sTlao4ukbtqht2Sbv@it2erEO8B+%#tL`{3+-k_}Gegs7k8r%@oaJ zj=wf}+IC|u$jcQjXO)C?colCaL`R8Bg|~_dX!7DzTRra|e6zeotDK_&!Qq_(H~5a0wOTPD?N;G1)|vdYV^u}uFpIP7Xx^DAc-(6< z3CxE4f7(hS&MIlQ%QdV5?LE;p0&dhuy4PIh&0e04>jmFegPRuX1cz^>#d&euAn7Wb z?@_MU*oV*#2r+h6x~)j`O$)~HWfoS>-RK@0(4z70*)*DG80jUg~LvHr3Db;pHVN@V!zc7UNL8ZlhX|Y#C*CjgU3*sERRmHS8B>3tY+_X3-IM%Z&d;!PR>II9^ z*h8k>CLDF!q}$R&*ks-$_@)+_cMY*T)?l~NT6KA(w70LW=n>v zeFzq1M5C{r=-b--xKz~0hr4tyf%ij30ZxCS&;vq?b($5#Yyl0U@2nMk`NO!5B3G## z71PrJN=KU&EbQAlDyva1Aa<-~1@Y!J+ezM``36rDRhHi>2w9QeucK4UOE*Xt=A~6J z%iDf?1y8P(qLCgVIUmyM?kwMd6I>09S+1$|Q`|%;Xp8BA~O9 zFHFvBilT#>b!;)FeJW>lH)N|&j3(cEw5t{rjwOLj9(o_E-}$w@NR62C+VR?a$<_!< z2j)wo*9g2r(j*~lc$yJk@IDZms~CmFlH_a)#|1aQabKUK81~kSBN2|?3jp}4WKtaS z|1KR*1#tH&q4mG22;@Gv=|bfiQ6|Hm?o+moF;A51PYjy%cw(?mG@XpL-jN!|mEuTj zUFdT(3bVy=k#*d(Rta5pDdE&PR=S+1QRX=TrGo2M`;<+WYl?(;zsj!EbxoH&1C&SW z5^t_IiFjW;`EZtEZ@-S=7qaw58Tqm#v0@ze-vGz+H60g-;~clrN@Y;3can7mDOXmy zRHHCY6a*OBTiHLUaEO-Zc{)v3Ht(rJX<_Zos|imnTk(j}7;!*=Rm$BtoVzU>1=!Vq zGi%*18WyX3Gse;H?EVroEHch&RdQZew(13LyCo+CU$3j<^7*kuriyJOXN6^1&fx6e ztsB(^u+`}bO;;wvLg8-{ ze1&A#m#sMV$a-gLln*%NBQ_X`f>Gv|{$0;tlgFxJ91lpBwSrf|kl*Sue<8&fjn`Gp zb!HUy$(DGqDhA&z`1T5@GlOpxe5bA|VfF|T9C>Oa$`P%zCUwD+c-$3?`<;jMp&WgffZ#y^DSu8QHCi*XE3Nlv~4qBHaNp-iEhKm%6Av4$^ z+k|#_keOn?=QBYkv^NDKRs{ARZcR#_yO=8!oAc0WuH;48N{iq_t@#<*=?h0@Cy>5u zpKYaL6>NULG%MT506tk8n=FZ(QH;&0!A;uBg6|2^>1gl`qP;Am8$Lajc3tuYRsNZ) zzQY)A#k5+caetv;cE$H;aa`1gzzs;`I%{h(UzXzY`tt>9Oty=f$?)cusu=u)=u|My zD%qf4#Ua0=30u!kQDGFwMa(g5R#?&6qG;hi8qMnGF3a$rNkxj!r~gf&CgG!ejT_Rt9Zzv`4fqicknFl+o>>- zf*4{fS>DiPk96VJC{;0kExQ5h4Zib7VR?OnI4(%!&3ZeN!q+Iu`^}S)9&9hiMpLtc*!y{EO&({4yZ6!&C z%UQMZswBidjMo(=de71)a>Cv;GcY^z`);E5WdF%qYsZ^H>!YDXFQefMJ9P$T)fvi8 z^IPB-)cyBVsYz`Ne}hJ6C*X%lNBCAv;7ATZ>cyzx6qYDLHoNsUr8%U<c19yn%-ptWMn})R+}GbE**vgsFj^Ac z4tcfj3zKBIB-vk+WSu18$!dO8WyM${2{ZQU4z5{ywJ1ykYC0O6(Vzy-B5i?4?o0Lt z!>qvjfwxFPy8^x|n`PNL!6?oXN4$1Pw#o}|+aC(3^*)Xhe+GPJB7{RGJD#*CGDPeAviXtW`G zqh{m#k+VNBGRyOv-g-$pzyF-1!M6#1MEFM<@LhtR?9VHZWR-dx(a5UJip_6XC$4Zi zEKibHEl)H}3SK?4WgIsN9{;;)d%`z3Pe!59O7**=S-*q+TG26UKpP9=YkqS~E{QoL zzTQ-!3DYR=Qg))hP_||q+3VQpl3T6eZx;Qd(!D=P?6Lc?w1#P`hU3EABgz~5dK(2~ z-rgd~R!OqCZ&i4%6(syNH*19C8%SD&da8L29Vm(?d|V!dr}%>6Ev< zSuy+16MVuUCsUmTA5R?Z5FCD{@76Ii#WtfPTr8Zr{&28%6CU}-)qHVQkx%cgih2Bu z;7c7ik@dCx$|nnjkDcus3U!V~0WPx=R(u&pdVEl}8pA&>IMUB<^g*5=!nP(gEl%lE z=V;ajVfL;{}ZB* z{VQuM&{bU*Yk@9xdm2aVIcX^VZMvKhJbJHH{GAT3dVqd@Omf}pA7qSkj>E3=y4!J{ z%W;36qg(!&sw`ofI8L?w?ZyweLPz-J=fOWnw)!>;EIROmA*S=OV2PVNLqbO{)8Y^^?W=b{Kq&M2bNy58vlu25Z|cyI)vq z!|b;Bdc??u))s~Li`LrYxWBa4e)Ijs+SWSvi^1uGwk+KcINeja4U^#!teKbHuF3ES)(jn>+z-CiR+{fZ-RBW5&e!kT z$#BXdeEqpt+kV+_t?w4@`@gnYX~t@k84jz=u9c?EfP_#lu;w$auWtiuj6P17-3Hd< zcCOpN+8&M>?rU6i8(1^0-cJS}cUR#yXg%%{;AUB;9^F$!TgPIL{F}M(;bXSsKoqud z9@?|vl-l>x3!Y_r=Je@NAKK7qqt7>w)Z^&8-zRP_`9ELU+n}ZP-9StEf7Jrle_Szk z@BgdX7rn6nbBtAA_nbw~e*VJmefj#7rpz$pt$q4DJ{yi1q#{{8#J9u^TdCw(y^rxM zPgkOHyrGA9rl>h-NsaDE+3``5>kpAZg=~3QAMVbQ`#4q^Q~)o)crqZzL%q}bQ1^}l z`ch#->f2tRFBOCIzd_gYuh*ZSAT~_r*61U~Ny8ce<``479M*O|)Ed?Rkr0i7CiOd+ zu{=xF{V(v`fPEM=upo6Wc!7bj#@i3*OT{4lZ_uBs&!z+!oV5JC7H5I{DBTJ9^w-8e z8wse15Q9_&H8Db^9PZ~-A>i|qRD+JV%m1qh=z4(b2@#fDQ}XaM$mnkz(HfFf(|^{A z^uzi9!HD)CirsRiivepEV76gV;ei9(rv4U^JorSRE-P4Kp1?n!ss=5Qq1tH{l2JER zYm5<+zbo2LaR-JaLQB|oS%UD(@gOnB@qhZKb)rH@zUqhdrDBl&H|RvQULUEd2aGik z$&HaTpR4O!%c)o+I_Q|zP{->%G4a07JE;Cg!1Z72a70%JjZl=-{S0{vGbLNGx5^Q8 zZXDO;h~Og>PQP8B@9waMs_G@{q@jISCcmapT-OQ2qxVBo79xd|Xu`M=Vac&8EJ;f_ z9yI!4nT?{a%0|(wr-IHc^ga4arIUgk+J-fNjZ?-*-hV5V>|KoJSDs8nE6T7r1voh# z_*m|PnI-PYEJ3{&Eb%lMI-gJQFai1EC-u3_BPbp8r#eD%SO=A4se}{4DFN>~jxlch zw%UG$$0SB1_lI#I+ZB?8V~z)jDUKVL)g<3TA7^5RNTGdL1K2oajF5b`w8}%0j>m^G ztb-|Lz29ohuUopNh3f0R0g+EA{@gi z1|9xAMo2Ed|3Y$g68?Uf#=P|gLlTLn>WDEy@;xGZKZYd3Q{;vRK$iT*Mo8uz^fnLN zYcfmR#{>7F@*5f< zi8TmC+B0@^jTzMCc;HWQ;Qm(H+&=^NJ8m!}5qPSO7$YR#E3$b=#%>JkhB<-R6F3y` z^0{Ps6NX^yLEOh(9{5uX$#0|0 z{S%UJNWL7LJ&ju4gd-4q+}tomNFEirJS3TcQgyj3wJP*m-2~h5G z0%03Y058vyU0Y({c>$d)c_Rb&Z4Ak;YYEBdpd7obaSO8K_aw{QFUyf9ko ziy>cQgyj3xJP*nHI7>3NM{XE*;6~xFLUdAKqskar@>z|LWG)#Uk7HLzVznF(QKpzB z|0`|opDekt!wKUI+z1`c;CxgWBP2f{8hJ?Kgeb%ELO1|i@bWAf@3k4<5}|#>Lmbg`R2d^KcmY@*7qP~`VWTVo`@kbE0{{B2 zn_y{;@mvv70RoN}PW40W>;EoYPt6*+1)ArO1J+i?um&Q9l%$$GRfTp<(%@kQ3vsgo zG@@611DjncSmSqCSF3QHzBn<%EZ1>ws=6_2geAJPPmHp!F|2_|xiz?<6!U~p*2wX4 zYrMc;m4!9l&acx|GO0KP{j|V(O^vD^FxEh%+#1|$d3<#@R@{`P>O zV~xjlug1SzSde-ESp$)BYtU239pQHqSAeVlqdv#Wtuf<;hKx0?{i?oHvgVwE&hG6$ zq^bu@Y&4&bpr_z-dI@=<%No?@c)2yc)L$orH9mexUn)Y+3+R9$^8ktsL_#zQnosBx zalx_68hKoRms{g2v&XsqsQYoQjbim$eWqfKgzjIFMRVA&^D*Wcya@D<^nnbTlQ*Ia ziw*Kd6!18w{g|_^h>Zu3CD0&`3$7`I@30J-ko1KW!YauUJgBKc54l56$x#f_|AyVq z2yE|-n_9krNQ|a!aS?TWW_~ip$odVE>nq(9Xc86(Qox!8SZ)F8AwjR9u4~W2*$5+{ zL*h&_)YPp3jj%*$-@FDVFJo8(kwQu|;pwuxbXmi0<5if@h&VcMz~IEj>h6)1Chxuh zYvfwTISk{RV_O-+8i4ED7boR17lB%W_ z8@bkT4%x6m9GzAFForb{sc8)~#S+7;L0yiQTjRi8CP9h~o~Tpl%VZ~@^Es8O9x&Dj zu@I6tAU47hkq4;D3wVJOR>`g5YcR{A?@Y#Mvj)a`QKI{Ru?8Zc2?Z?~SwlmPT|FhN z5%G~*<4Be_mUrikiuD858u9oaxno#tP*2tjyxba>uBk!=b?4lnFBPt{00CVujlBL- zz^AxcqebKfj&TlrSQ#U4AW|M1`Ir)8M3Y7Ilz@YmTVu?b7lS$Pin~#3ge98RXkLey zV^{-`a%-?!Lput7@9HVwF_O5ku*0i9n(u`MzxXNbZhd(Y~9 zI=4n5;$KY*G_4i5&Dg*yVHv$gk97tjJwvh~9zKs%d{_jSiCkH!4Mux1Ds^vttG>Lv zgr8QZ#I+wm*FV(gJvCjA)l>S`ph<3l{5TdG2$a zxDF2q8FZeR1a@HkY%#&tXUU+d3}ytKSv});}g~ZBQyinEWnz*hxW~$!sz{#|C7gXEe2?Zdkz@Lo7kl=SiGDR!Za?EyD^iv+A-&WY55h z_A&p!5?G8v9oF)~=~=OSa=IRAiGjEXYtTGDkL$2fUe}BZtP++CTk2^tmX53vTn5t_p9gr;l_ERtJg3~L}xZjGCXjfkh^%SF^nKDs9J7#x=T$Txp~ z%a8|VYB?iFH?<9T*AZ((&uHTKc=BTT@Xr(=RMP{Zpjl+ZfWV>B5QCy zHr~5eNGb;Df5YzO0%NSHSR%A<9{YrcoHTn#3+h)(uKYO-X5+XOE%|g=g1Q_J^=J$J z2j8JD6;!>439gDk`rn|xQ6H0VIPoH9mS{BMPL{w#Rx?hyHWx~vg- zCGZdj+<-Sn)l6J0@oJI&)dVw3+=suIVITafI#_2?rqf&eo{HCrH6?mOuJsMF#+WH= z(@B$vT~o#97PC&o=@lbbzg#H4m}#Al3!|Rlg9p)1iKAV0e~*y^zF8vYIUwc?L9{FC zS-<85%_sE%e~j0p(ENxjVFnwX1Dg92YTC~Oc|Hg@$F>qVpkt(@|02>EozNUjV%+DN z{@i5^#)iP*h+2U^W**or5-&?+hFe4PivF8ha_!^%7R3e*LZtkCCB#N(Gb|%gm*ZiL z7*7slJ9(?Sckvyt&r~8d`b0;ZL{Axl#v_;?%6bZ;Wj;gdvIadW$Abm9 z|NQHm*}UPw+4G$SP2X7JtHkzm$w=c{BD8NFGt!->W5mTQ$@NrZQ$Z6fLG(v2=&}Se zs2mRxT!Vk$qP|p^JFNa9eW@6v|4lr6y*}ggl4b^NT7v5+`FX(N7;!NqgCf#jWO2cs zCYnMbascOfL1Q$hfD2x3jk~E(!5SaahhmWaH|Wn1*vJfzjr%ZzB9gsm$oz^mB3}%% zM)VN$3u^@afj0s5*jSG(TCIUa2*KWX`xCVc+Ki9HZ^YLaJq3~YQ1E%IJ#iYMcJ-97 zM&LoCZ;d^=P)R-ICD@|V8Z>zTTZ6oTh-f>Nb!g2R8&J`$@rGoKww}VHV!R)3D%ODP zjl>1*B5!csQMgsX1|!vx53ojjx?&^pMc_qyrMy$|h?4vNG7&))e5f0dngoh1&_ zt_e*r!Inf|zlpTNw4^0dRHoAM-CdHUoA0jQ-DO;?Y(A5u&wbAG<9(ja8oyM9UK`>{=g*Q_%b9hix?H6(m= zI3FU|hyP5!QSv3R{*E?}i(l3r^UP0co8vof66kb}d8EA|;?x)9+1AuY!^wNprBNA@ zqo%K@xJSAw-vqWs?HQcj8MThU+sKD|KA?NPPm@Qp|4uSDqp<)Tk?`-)5u2s<&plop z@j;oC%vTBMe2W~%{iu$Je6b^X-lv+8s@E%M=v13JR^Bl54M})=WJ*@uU(*J=dro-y z`1d-_J9(XlE+yxv&kM*rXK+Ud@^)4N99tGMJU|Qfp*wb*_klXZTdC-Q1TAA+fCA)R zy4Sfje^Te(J0EALx0xWVC7$@!NF=_RK$;yAsPS0vlDcs;2P9l1eR7dptR*MU= ztMgD|4!rlzu$Pfo{EAN4IoF|6?5rqFBvWq|hs@$vK)Kb~C%FM(QuFfsU zN3OIzE|5X|AcA%&F8(#O78f4^i;PqV4%jzo`$Rf5IxY~kC|q}>V|}>SUQ!?TozKf2 zXke0okv#FHUe@Bm&wGgs5Q_Ya5_?z0;$4l;2#Fcdo4dNj3=`gkb zCOabH;$7OHT+@7l1WVICb4hB{vHEs28lhu$dLV%WUokrpakd{Trj%SxET!y3ICH0Pw^Te`8uHgCZ_Zk#6ucJuzyZ8_Rit*f-%*k@(`?ZaWi$}QH z!leHea>aTblLIiaoENBr@Ho!A@PUTUst;D{Km;03d|e-+T+@8Q{wvy!rBkD0qg-tv z#A6`F1@)t7%qU?l_@a=o&`4f_4n!^(g$Cy!=VL#j1QMVMan5zv?pdjQiu&>SqV=q1 z>3!F67gBMQlq)WKR`YW*=DTGkmg0$Dt$RtG$H}NV+Iw7l{_{F88u2{dD{8}g-Fu+X zdLA!&S|Z_UyUI~291)u|0AFC9N3rlh>K5tN*^LWXC=UG zRP`TE$D>C`VwuIdvxZKL3p1lEcz%~R20=Bi^Vz{?7at;sn|Ka12CZ6qu>ZQYznfV4 zqk)iz5f{i6@#~lv7i(2Vf3ua|r3U)IW9M-`&QagM;+gyHSsb)<~fJ$HL5f?nq{XeuJ63^ob+-JyA9ej))HqOBZQU5b(FUQ3v zbsn-RFF=AV_f`M6@b)n-yl!0C0|`W67KA4;2Y4N2B={H^K1%!?^*x`#}W`{&X zVS!@;FCr2|J!sJ1qhf-USL8zuNVqKAbmCkfSh&;0LVwXL=8w{IM!Gx+yXR4ya5KFviSaS5k2*NFWt=Y*z0?sfl1z%n1)A@ zW`hPJ2L2xJ!{JuRFG%&DNxBvejT#cD>463&#k)Aky6{m$Be0}h5Op;C+?X}z;Kt%( zgT}z5`lxX4yzD|~4rmaTzprhsdBfwtOU#S=ZmyR-Vgr-nNb#m#c6r`aHwQFWcjojn zIyN5R-5gB%?_rIxMKCZ=K+G9ko_n3IxR`rD!{?uaG!`*Z><3fjIs+6wWGv%iBi_x4 zeee5TqB8Dt9BE8!xJln*!Qoy;5q<0C5F0efDQk3WBy&2h+^NU=Q3#~A_Av$xxG>4U zNb|uuYwAS}jU3|6m1TGq?J=jg+MH+~TQrFNNDmQ>!DBn4(RhS;1Cwrrya5{YG30q5 z9}PYoA-}?>4V~TaAI!X|<1KOIn&uOBBK)tUnvBLTYoCz&X>IW-Ms5f!@Xy-LNF-~E zu9Q`Kicw7x`QYq3KxxDvEpN9bVfh2E|Cl9TY5%Zn7;yn8_Mn-W%5pCBT$ z;Ry*B&*t)7#!i#raHQo=Jth5?*k}7IS~W=&5%IclrK2%|?>E%B5e@%7oIa-+2$kfh zd0hB;>JJ{wM=35oB_Sd|Zzby3lx{@*;{xuvpg_AGNWd16V1y78)Q?Nfkq>^5=(%7= zW$hoG5!Iq%!seVK(&8I&Fb5=%#s~ogJjJ_`yw0a8M}+#f88b04xMXfg0EPGI^g_Os z+7-+rSA0Nr#hCC38}EpI_u5raQb$g4wBSPq@s#)vYDY98S1@(m(gs-b86h>#QD0Jz zxcI7EiD>Xl6`tbZsJH-$$cJi>_%^eF2qeB!cN3vp(|n@tsO0~(bgF-@h@Shtmk5vh zjWXK-324L|!fTY?X;9Q+Ajt?HU3`cDnRqV6MQ9gxVgI;3cBi`jXu$3sdznP^_~;QA zygucwItgibGNFw=cZ%M{I^rhsaSq;hg@4QWX8qrCKCkwldA#D{i?aT^{_n&ETFD!W zW-+6dvA}Uzmqd1a^cW?WWMHIzC3{Ay#YJ{wz=;gv1pZmvr&egpDtkuHecwxriTfN! zngbfKIr}vWVav1zbyCwG4cHw4FO!HKAD}T})rExT z6sx}-)*9SQWH9>`d<_lekI08Ccs-wxL0OMLoC`!uyj#_CeU`>i@G^+5?)Hca-f#3C zY!l&$-&W<=XG``Y!3PSy>Y_x1uR$N!MJs4L@l*N`#rDR2N97mOsmCTRzOK%T&t&@5 zyZ3u7E9mr?o-3rujr2+doRDW`_hq90kh0GzTO+Yk~x7YDh3Te1y0;@E$yvk5Zoal!S=< zyp@i`@1#?+Ln5N^kdg3j1U&j{NN}E*a6Y_DNSM1lGG-3N*&%`Sh=yq!Tg)RS=x?Zo zgpVHQbKagY@goB9cv8?G36B9UX&(2JxXMS5HN~G&&+v8z2(RhmNHrvUj&NQ?OlX6@ zcExULXu|GK2#ub<|5@-~PGSS=PG5W4FDEex)7bEYnGy1mI_8OSb+ji&;Q##R;m$PT zmy>@sVQzHtHXs8M3SoP0^<{H_K*?0Dk&f@AUlbtO^f`GRxsInM#0 z6Ok`InfCHI&@y88Z>r7ibUYS`R$R~|A`tie9Cf}?2bH6wBQBWz-XYsC4-c6`pt-~a zZIRLQ-%n7;<-_1&`#tgEd&~lVD0}tvc@5`vqd9%h_J5X z#|VwuziB;2Xn5ufCb9b{W1~qdS?xaQ{ufFsJz}FXgL?lL9#s!}WKdqN@p~N^l&nbP z*D@&Qee)559rr$wts#TPe?S3sBX)mQZU1CCo?Qm*8Wk>=vWC#8oMAKT-&gbRkZqXf za|9yYJL>w*td3kQgBEe|N$H$RTwtDiTq47{8uf^am(_p2uTDY)p0ienv*xI{hm#Kpl+=|e;fXdAJ6NGiWO9nUTl8N>-xn^}uda!7hFr?43t8?Ee#imtw= zeiZc>C9ley`(cz26MhAT=-Mb@G(|pmp?&*uS&ouin?3>m6H@tI>1Zqxix!0^*n*e_ zkyuyTFXN(v@1*M9hHauaN^(sdiLR?OA0@SSq?sB-v+G;WQ8M&)dpEHwXZX2TmT0s` zNixn&qI5Lqb0_N5hs`~2>bvS_Pdm=-+NBSp2`*%ZDXQ&(M(f&SocD1AMcz?pV2>!8 zg(vgL8J=~o{(HeELVo-&!XjtI2Q=u@%#^^y4fM& zVmvndcw9MZbcD9{2ww8Ua|NeI#YD`m@WBrfXV?)D6Wf46lxvz#kocC`Or@IsNO%@> z6ufK%YsYzF9s6b0EYIus2V~c`tsYOv6OgYPYBAwRI3MouBn$j+*pdr0mV7IL(S-)L zTl@T5yd*a3i?pu~N1^7q^To19T>J-h(A%x=@PxQR6}|FpK7qHCZd`TVrPU}1O+(!u` z!0Qm_~1o^*2Kmt!K{pP+;qeL`2~sAc0vB zp2R#s^w*H^`GuJ9Q3CHb?;t71#JlYE_PM6{MB6vC9hzfIxS)rC#EjOyh<7AhmDj~% zuk#TV&(Xf;dIB{5$>WEFdxIw)>9NlDy@YQ8keE#JW;Z(AgxSOcZF=Sj7ymGkC=n(o z$VtykzV^b4FHFDu+KV4~^`+;Y-u(P)FK&M3-FD)YmtTBEXE^=xt1muFGC8iTO-8YYxk*3(}_f!1=l5@n^~H^bWL#B=lSms;czA=WP?<+#=3R?1(rE7B8yg5^q55b~$2v z`uU$~G2lehU0v{d3raTbAQ5K+f|JcTc}o=>D_ZhYF_eV!`>X~n;mL$NzR%feLk!t? z^iGwd#|)a?Bkp?Y&N4FJkM_3naBn*g%g((EZ0E_|cJAwK=SkVQ zb%E`?+}qCWz3n_NI}df(IiJkXzu&j?w)3j&+_AuRp6iY0+TM2FlAYYBfP9QI-c=pQ z&v+GQr6T1xFY`IZJ2l>7^mt#^+s^w^dBxPbZ0wv*zw>X5E^D-%_m$L~lTr;U`4j)0 z6PY0O=f$le5L_iEr+>RQ=awKXYjK%3*b$)}x+a-!kt|mY3~M!=c&&4Ouj&WAiRCV{ zKtw=;dEi>QW>c~jqyuIS)wn9@$t&MK(j%rAJ2yl`$U)=QknOZtla zOmMD{qsxnx>i-hg_c>E4;XD#nETDy~&#C;6Lo)YjV6U^mjk%!yadEZLN9ImN@*yrP zL@x8^@?rSo%yKpMHL=UgzYh$IsgG_8=Bq(F=lSVgdzFsN8F5lV$mX{I&C>tr=QqFh z^yca5SGfTA!OF$fgj(>f)BH`m4iX^~?@acO0dHOQ4^?D?)@R$0nOy#9^n9M5z6=&$ z)A2{uj|QY_AhaI~vko3&FR#oPZ!e1fVW)O-($PZu&q{kco8v!o^8uSjEo{?UGYl7T zKq(FsufA&MtW-Ss!_rQfn9>KFchqN_f=o=j5oV&yjf^EBOS)QCI#7!g;9-0xQ02msWa9Tz4Dp?Bo58b}>ERC4}wVkM@f~o(u$Ka~Cc8>*D_5TxFkr#w*e2vi_yGy*|h1Spjz} zTmjNrPCl?IV;&`w=O5cL%X~`fzag%+@1tajxsb`77jHqyhP?U6+^+D7eFU^0)7H*5 zAiqWtLNpzfSp?ubz4oX`~DTxw>depSM&WX<8+yOB(seR zGIt5j(?Pqc7JXElZ9L)JtF&^w;G`qO9FT|eh&cE5;=HV!yjv|G_vjf39qyx3(&Be~ z+@oI;+ptGR<zy-q#(W_q_mr^ZIH*66+~Sow6BpG*GdTo zKld8uWIuwAA#ShPv?!!qICXvjM!Er(^^6rL{A?fj)qnT*TG zDW)PTP=n^v734WTAUG$qxlHWDKCXyW1cH;U%I!@9=L~iGoB7?QKufr=#WsU0x zFSoU;Ins`X3n83yBh-6^QzV$u{f#XyHBU0vs&r0DF+KQoPPPc`n!6sr4S5U9Y?}(_ zHF2J{g;3;d0|h6`n|%hpd&3_lLpp5)CzHa-qMP_LVNa!*70#(j;0?>wRE!DV8_lUnAY>P#Emz`}cB*V#9kEP6R&T_ek zed~u&oLKZ5ywVr4VlRoy~L;iw1s# zex~q<|G4VEXc_kCxY}PgKhewv>htkvpJYsGgIp&6O2z(w&~RLuHd>XRZTrnhI9Z(S z5B*L(oNaD652=q%ShdUi%~|!k1Qh+gU+s?vnaJg^Kk~yeFH7c3ArratLH>5|6IpEC zv9v>H){n)L_Lo9@`gmV0gdm+Z!s;uknF=!D_$}3&lY#SEkckcmE?T66^npw{^x&RV zMb2TF>%`gR(Lf%1$hZ=;yGQRuwP?VN_AMGPJFP=#3CAs!!`iu^aQj>)f7SrCWg;%d zJRJOyflc|w3>SEoGI`Ozu5t>QEbSsELfSS`gUtW;IHwhHQz0jV=QcU-c;I>VeX+3P zQUUTldN=H%T+1gYO(;UjM=|cGzKtoI*OV;Rnkv@_*Raeh>b57t8ca-uJZg|RBhKZ) zBkGYkto@!i_jJe{){b1a&&zH*xe@OiUbZ!35Auj&=WslKq=lt(9uWHs2O>D3pActT zF0z&e?ZoPYw%uZDdjx0jsJT_Uy)DqV!bw}Ao0EzXn7KUUitiKB?s!n2HD8f>uch=JmDpe> zHgYQoxsY@5s<2_-w~5nbdcXfvZ{MG93zRmr-w~kq^HQRTPku|g)fvYLV?c~)} zxyC=SPn8c&Xrsh z1DT_(}nMd>*m~}Gxseys`4ZO*-lZ|CsRf6dtDJRH`^&iRc2i|wJE%{jd6_C1c`I_FmT zJfjg8mGL08J>~mc`Tr+;Rl}C!R=lU?9Ub5&{eQ|BG#X;e7*$ zAhWRX&W)T6+n{?!vJKk8=F^wgHqyKzM^pQ&rTiHEfFtc8?Jc$d$}9kZHBzM)=F2w7 z0Z4LbH|$nSqqJurbxU(M8fjjU6}nQdoh@5W+gyBBI^n6!symdz;kI43CGt|$@!hw+ zLCGmj&oGhIo}J<+6Wxif&=het<>@CV?Rg+2YpO`cK8DcVA+0NIc1S}~4{0cg1Tr1c zxKQXJ%}sZS6=}R(qldJv)YM@ci^d+({O-jV+qj(RA?je{|8Lm_o%+r!D$s*F^_rfO(bQagO5odM|^QkNtPIv zH`&Ww>Eb~tUC8r!Vi z*oZf3yo|OPCjtN^QiRv)O;6-n)Y0{@?KGwL?5+fz_8x6b`hV%wsi!}pIj=gZak>fk z0h-i0t`7l2R?1>4Ag zJRi9!pJ~N>P+G3Nqixm_K(49@+eWLa0OF_V4LDb5!?q%iT~^hNAPuFCfVK2PooT2e zm=V5FO#sAyeT!00>;VvBYnuSsVDjiJ522uR!cKcp0py~ZT+`+rR{+^+VthWTM97TT zt_NvRX|T<0^0ER5Lnhi5BgC2lFVPE|{~CCHL*|?$?GwCX0NHK^c)DAmg9rTeXk4;hqpM92|UGi1gLXA{;G03uVHDMp6~Kh&;T6alfeLetccDQqQGhRg&mX!BlJ zp>`cP+d78Kc2!3gVlS92vjF5= zFdzLRh72SB5;5DTi>72^Xeq-|tg4 zfLOf>*tSRtBY(eDS32vWojz{85If6G%>p;vIIau0W7Y;2@RUWZ{Sg&s-1N@Nhl~tt zJ_b(NC1HfuFpAPxk3+HRb4{}Vv%^$$j0L2vmE@}m;pH5NxO~8kreg=oIcl9&Y3DXZ z4dNa!_GsIOM6(x3+SNTA4}fIbaaHZpsTEe+jC$1>Va{!B=Y)#?h9?3N*iam)()Hd8 z&N{!Y$IC0ax4^G3kQlq(G~mK<+xMd4sk?C2Zgy2X^`YWr#Zwo+hgco(Zm@m-_0;@4Js^em)u*RS@d!^* zyxx>oJiTS7uUM+7c;oNCu#Z%_`Nb~b_na1aK&86o}Buee+P**}A9nrQ`c3rT_sMMx5fFQu{X*)+7 z5z=&++_J)@ZL=&6TSeL>o!Th=mBvahq$PpMAdPH!TvZ;HbQ;FIsm%zbe&gBeP-M)`A zU)zp2ddsDHy?IqZ?CM&aSeNlj|HeW-sGP zDWZdInV+{3cstk%uG&rD8R52EypzU12=zvQg;;aU4!GS;wl?NEZkJW<(ki&!Y{{z5 z4nA`o9=*2lo5D*i84{KqfUthpC~r^AdmaRkOOnLTJKfVqf}7_`AE%XgW919NYj|=} zR2j~@45V9{mtd>lCSmEcE1h4wVOxxl2Dd?v0dGmGRFUFsFg#+s`OII5H*h;CH~a-R z72M7nZZ}59+fJE!#W2Ip5x6;Ku+1MgvbcLXKin=!5?5OMzz*9Q-1LZLxcuB;U4Ovl z4+067xRb97I9k$K_pR@C*#N}Tq7#clT6Se5gkP0ScXT;_)|6u#3epX+Jg|}Gzd1Q& z7*}j#-6iftyrqXSc2>Cafax2g^nktSBzBfb=;;D%yug5$clfV~R;jLNj@6sw>0Yt< z4}P0o&^aSo9hU*?wJkS8D$(mryu?#hBY}{%sW1djANoq^lHffpMH|eR)hg#cJLACt zVRyme4nXpdwF(PM!%Jrq0Z8FIhqr7DEV0J1>vO{t^StU2I%Di~mfwX7LniTr4_276 zLx0!W8ZvuK8dEPcB0_d&pc31N2)Up|=sJ^Hi4cC08mTfbtSQ*Qtz(&Y*+!KA3~ylr zX|8#x0SNn+v8kd@&)DhhRER2oI?ERwR$By2svUGzvVl6- zxQx0c#ctbEs$;P>`06{oM5Fc`9*P$a7A{DfFgLWDXhNT@he`*p$a`+;2fLrV`Iy?= z&&~NnEI>wjzYeNmf2dn*5hIAiIyMKNzWIziDEj8SImHYHHHkYP@`;fp(Kp=W4fS1Y zmA=^_-la0~wnQL-zUctmZ#1|P-02Bm7a&R%%QY-_8v+$TE}B>5c@$TIb)!!0)#fRq zGJ3ZdDi^F|5EZs@#yd&7i8`{L32=^7c3d#i^(*Bmubqg=5v4`fb4i7mb7l}qxux`f?P9BR{gilTLf||lMIBv##?qM^GNGNhcRdR3Y1?X^(x;ydM(7Y1xUo4lOgY;nuPOqucyKaGed$Oe zc5m6~vsU*=T(~Y}B(E6^6?M3PZOhHJ#G{W1ZFBEabZu7_zi0?BWc>c7b|KC%vbd3Y z*w{Mq863EpQpa-!)*fjmC7EiOOrbT#)G%BqC+B;IpmmNefrx?x%E+)ze&WSwX<0;LHiIT){-kuiM zZdx#Rtkj>B(w%za=3Qe2kcGSCTWyL}IAQ8uxwT1Avd{3tQ?gXy>7=TcYsB3(wo3Hg zQA=Kn=OKziXH?2fS zM}Bi=w%nzhSXL#}<0lFbxfo1K-qo9i7#Y9GE*-$jeP80#6@$ za=0v|yl!Hv8COXTTkJHGIaC2;TGF;^JD<5ypBBBt;TdhbntozI`t*_%-q1#;L6tsr z4}H*8TwoP=U7N2|D}8!SinwyykW{wsW?B`VG61h>^Pw}p=*3e~;iPF8;VGO{VnLeQMlW<^%gZ*5d1p9O>4huubi0xRV*;wUa8akuiEW)ptGIAmcAeJdo_4qZ zZY6bOOQ0o)kapJiEAd9+x@*fQI4hpw!f}D(FQ~3~8ozT#TE$c4tR|ttHa|~qs~09T zuiZ6x8&73#xTm~aidKe9-gqig!q+f7774Aer&KDQ0x`r0qpWy3!?{3n_mt?pDo@YL zh4>8|^?`_xrP^TIVSrRz!1Uu{+h%OoRsdEQhsSOiX#mbO3Tor+GrrxN6A(i8GQG*W?8t*hVkh3DPRIv3|QJ z{*xx6lHb-#;-pxQnsLoouwxY{1@XPwd@`y8(loq*fVX3f#J$1m66u_{@X*&tj8nc+ z*kfApwD==otXKMYz+(;947ZA>d&ROro6irGQGZWT_=AEGk44h$2c&d~wi&an(pd*| zn&pad)l&45q8BPI%%~UEO4^k$8Fh44*{2KJSzMOkEt%H{>v{HsJ3p7KZnes^%*;0u zs4bkNYVdT|03+a~%lFGuk6sk&P}k1Z$ywT@su|8lR6-xn*75uqKWhgkzK3eRS&5K( zQ=3tjh0M{nq{DescCoEiJs}kcM=q)*tq)s0j&N)}NG;Ni6PQAfV<-;l@FjgdIrzjj zvI{j;6x%7A zM6gYcH=gSd+qjpvL#~}Mwu)^NVm&Q3|FfNnZFi;Mq=IvI{lW+HW!eMYitW-+N}UQP zY}@AtR#ueK&08eRxhsIMj%HaqZIRt%5*>#n&}9?jSE+=0ieBXBrr1_ej9&Aki*2>q z710aZ90TgS7Qd>_qa`yq?q!DyvXBBY%*19&(Dib0-hQrFX2$FyBHwo2D8 zQUd-=Eh6;`c{85G}NDG69K65RVwj0{c7}mH@{5KEj|N zw3ELmu|Ui&6uB(@EqB9^+rmOv@`Au~rUg$wE2WtueR77*+A6l?qvP$S*mkM)vDC17 z)!_N!jnS!wv9M9Sz$zGOI!k_pQGZpZX2j;Vb05j5-z6KC$i7?2vg)Yk(fdte+i7gT z8>TgCfp?9z%VP7XyfU1@?X0#Pv=dd5>PnsBy7ydSs;={Ii{+%YXq(I}yZ(l;xzdVl z6H+y=xO=9Cs)BXpB6{Y9Jwm8Ha1F$2I)~ou8SofKyl^_R<3-Z)|B~`JF*74G~ zVjF8Dy!C9^#G8N$`Rxs{L7p6tmY#+N=(=&+puxSHq`~(m>0H5 z+R$nc@uO|SbGWR)wvtw>g6v8u;YBzlZY^x=$Barq;GLHq0=`4P)0`1R5y1IYpf%Jy( z-7ouAn$k*Vv1sHA&1(z{QIohh7#-w3XBoT*Aom{7cE~(NDZ9nUx}hrQs%)g3pVBo_ zcv#y#M2RX&&*rnu=lsHwE_a29Bsw04uzXT>(5JWG7~IZXp<};l3qwX6tsxU9N;}0^ zxKpsvS=PPUON2rejVClAZMX3QygrEsrPy~)-M-sIptKlqNP{gB($uO<_^?9ja?#2m zK`EYc>F?j#M^CLKHn7;{aHG{lPwf;zep7B82y3K@r!0@pS~CRF3k7Z{U8Ze9n^VQM zk}cY1O|gw^Ii<}Pw9z(WL+Ls`L=I`R%}j=4r#!tYPbchqsAyY&cb8a?XsOWk1|_tJ zFn^mwZk6=QsV2{*9wAnRQabCt3|neoMcWFtC1QQU>Y{CdZMj&sXuE2472HT%Y{u2P zjJ5m2*tSb{@!Z-{0|a=})JDT>FKoy75Z%m0tLse(9G;quE9H=m2)SWRCavsn!SuPH zR92?8DQSqKYn7Ob<^SkLo&li$Gnz=_atzvNWsJAkFQrh(V_Mg;&{n(!+-~Z$ z#~Pf`1({7%;W$-YKLUh}c;h|2{*M`zc)KaPk{J8IQ&tUukVcJ@vjT1_=koJQ7cd z5bjEO*2Fe&D_*a*Rkoc7p34}nlPo62%$gsitXg1 zwC%JM(m8u9_$s#1NqiZ!FrBqt3U6p*MF^1`JlBQfa9`EfM=(K^q>7|t+V*9x*7RXj zILG>JM%$#-Rg&r&v9O%tIRywnI%dEfcKW2)VZ%0DD1L=|i*tc037?y#$HI-=4%@7M zf~?M|4I5a89Mz)86Mh9=R)h5Eew!B}yyg{3cWXN!iLSZg0;7uS=5r>k!v$>HDmLbY zEhY}zbeN=vt|!@FR`n)hi?&%6wsC!R!CF&YvF(OfQ0_`AwyhQ0?I11MhIA21u?=ZX z728;GvF#HmuvToF)TuL^H;T5IEhyWdZI43O{}8oe+cL3j5}OID4H=n~ST>j+729CD ztg!TdOpUgg1_T@z-;EHx0OA^q4cr)j5x0s`SmGs5MJduQh;^&2{wsjog-yKE##ZSp z%sn62Dz>ea!uUjJ#kQ1C#p+Z6gl90Oq}bUiN+V{lR+Mg#4;Liy5?G6x#FY>ECtOi+eQE4lDTAfkV0VyUtA(WCC!x9-i*DYHf*FHpN(IF@` zGIRVel_;g3LuD7+DxJ^u4JU^iwb8G9--d*zv>~nd34FZ%gKa|F+gbwE33q0y|O$rGC2tYog<0345j*qhpc=iZO9}7qqb>UV4 z1e;C<+bV#tm`$SXV}5`v(?I9}h$n@Wru31FQ=i1WvnqgGSx`Wh7$95C(@KOawT>l^ z!1+i3p{t{}6+o^pC?I6UIPF#v7c5L~23u``QLdtrWIW!}aHa>Q z>{KPct+3AWDdUlJmMfb!P&zsb7g)?jc*(uI3F^MNqyp23a)vZ6!&eC;LUN_tOrrEr2_$}AdQ%HFQZ-Zwq)EfgCv&8wdt9ke z`Y?_`h4xrr_&qK=LHP05(jD1HmqREeITVxl78B{-Rz^LzF$gCSB?7l1)zoa8+g$H1 zp!9T7nO)Ff`I!B*X+EJ-a8_I}Ax$yA<#8j%b_N5mIfGd~2E1}YJ9B?z6lnnB(kinI zPvbr*wr$o!mCiaV1J49$l_2M$n9ZpY$Ah$rZA-iWm zM!F8cjcIMm_*BC%p3o4#Cg`<0NHxMUT%U3y_ilg~PqDSQ|F&0lY|u7?1)}I_xZN}< zmV0)Y2wU{jJTU>BZKxx0!N&8wr_FqLS7|GnV``k|VZ#NxOTi&TtF@A}-oD|XF1o<6 z2sXZkSYB}`vmz|>_MsMf^ z>{?&+X*i@Mw(%y-^&ya=ZRQD4Dgakh$W zQ6a1q+crw!jqvC)(p1$**J0x+VvH)%E~q7g(Ag@U@=J(Y;@OXA5lU@0#@kNS9T)3f zI~SFji9owv+Yzy;NK_fl{7SP?F8gt2&-~8+`{w(9vn?cxlo3L* z{xs!h!I}+G3gHj%h3AQy;dW)$h)m5)-1-%NBqt+m!L)-9d zbmiHrt&%!p(^)J$9|evn2O72($W%BA6kzrD9*(;qF`84z zE0DaF=If(=a|dYy<+{+~37&w5gWm_|yr!mDDm7(hn1!SbcAo^E4hu?HtW&`hrDZBC zAf&`r0w$5HnDoL{cfr@9AFy&MJ~=!YAQB5;&Jq%WO}f0e{xT;4rRZ>o`AbO=AoH$? zCRk8MOcNH(zzD@+fikT6(R9yGfmOl9fF!$IK~1NI=^l$sIUzg_ENrc;b4EO09gw`R z@Q`kqOs1AWO_Qk-j7U%`CyiXu{_rEbp2?{ZmuqrrVk|aVfwrXr#qeV~HaRvLJ3Z0} zDJ#i2*txrMHqI$7AvziehM^rsL^i87sqkrn!`0*{Tr6Eb^$8X{%=6oQlMmNu^Sz?!Osh-pMrc*!lMHIP*R4!&J`!66>i5Ikub7*Pk6M$!xS zZ>@G7d2FKn2OivZ^1cyecL@JfIPSuFrvyo00030|B;zV@{?+l31kDi|;Rn=f2&`!}Tj zSu-aekgJ#HeMxz7N%1H5)%{%E9q-G^J-PoxUP4MrLh6a6{QXyY_g}dBI^EZDboKrB zZo|lx|L+rg#s8nVK)L$=?EmH$rT_0a@Zv61c8G;ztU@Z_gulo?AR`cXlq84wu}0$tRkqC7VSmEy4(vRjV>3I-dJ8V^ zL&_Wb+|F-%5YBPOzV4|Z`z<=TA-v1yr;(?@$cZhECimZ70X(5!$KlhY%%4l{lm1Kf z%+J>PGuEywJ@X%T?^;usmkGiiK4b3ij+IptS@F(BVWl(hLAJ|9ads=1K>2b;UZrD) zdbh66Ue;mpTv(657Vb|ReX`_VZi>sgWbyew>pH!a{vJn09FW%faBQ{zWQE%*h$mDX z_6+0av6q3D&(0l>xEgA)&@O%mQuwo75M!${cZ@;5ksDsCO*kEhjQ&-! z4LFbn(ucpzY+s3daYzfitlGqZ@8>FXMXvx(e$o2q;68*;fTX{wE;slQ|vU`wJ1t0s}2)o2~=Djq7K04b?l$fW7Cvb#- zgI{k4KHXDI*a~^Nr@`LcoPNs8r9oZ!6lNI$ov5eBw%)AcXIO$VaoK$i4WnLh zxrEMPJHn1t8ijiL&{oyZx<3X&RVnkDrw{&K<~yISj7x4p5@imk)aNr^PkcV?;h!d>?UCkWNGWU=niAhb@@6qG=@e`1C!0p&I>66zYX(koT!X_+smTaEOvAMv+IIyW_zjiSLPu7_1l zt!>yj!@ade=4>4tzzHzVoC(l8 zj}khh?X%;x`X1SmFytem0S9=@jl>$%H()+;+7hPf$4bKN@u&VB7l2fHsQ`qipV{{^ zNoo>R&_Jf(DSa|HRFBT-| z{9D!~fh;y4i-X6Clyt3CmcwCK^UaMlezJG4r zBxkw;TGbtrA=St}Pc^&saGm68h>hGHJ=haweAX{lt_pn*MW{$bjI#t$m5({_!O&vh zFCi5NZ~&t6TUE6%Dk!8f9j&8$bh8Z|3C;n&tHMx-bog~zu8uN6j)+G%qYj6T1D@Jx z<1VZEPP-y#S8k9-@O10Q9qi%+Y*i^ojRCz%wRC$x$@3MV`gzFtrlDA=oZxBUa)i{Y#PKzT9u^M6xnT#}0|R(G!^O^Lu4?!jI4n zsmwg-Z!kvp9^`W(GI7kOgIm}Wb?UE3@G_zq3=vd4lEFHhNzCWdSZm!57clvsjKW^g z+G*(64k8)vJdf6cdTc4{@<|Dbas>U;h;QX^!FsSP0Bsc7sJXNHM<1G}1Owz^xE^z)` z-Sfo`8!YiMabr0d`b?YUtVl1%T5CnWAq>foe**h_U+I}nWh2wv!is$C>09%YzhqG8 zFh>iwY&y33wDM5!73ks~I6)7K^w^Y!D!DM8h$X*P22k`hJqDj&7jWAHJFJI*;q`jz4> zt?S#JU|XN1T!a5XdF#>XC<(pF%peu9|3Qgm^yhv9e&Mph|AP`mob3>gT0Akt`u{=t zmAtzs0Tk1G!T%t=${#_Ly!F;}?BxF-@s{9f;Qu-Ozu)_Rf%JbV^MA$R|F8BkhAJ$m zw-FMS1GZx0q{;|Bdvneu&V0GcE`j#~i{e87+5fpVtqsO;)%iB=b}WlrB;(`k4oGoa z^VaG4m+@#w#pi};z@aQmaIukO^q=O&!2D6LNJLSGb+D&<4W0ce zZS;-GcU$H;Ve`TfB}Iet?8;;3;;F)8ujWzMZuJ0!mOSTJ`3s})Wh5t3^7Xv$vT!zR zO@*`KI4SmJF|0&38`)-PkR8x6Dx$p^>Kie-ptDK7qv5;EmyNJ@&*q4&I0pJ{wlDZm zS6LKjdqN{nTQHR#)gsaenR93=qe|ML+36P?lO&E306g?-3+sP)WaoBdgZ^I2wBdf6 zgt+%MiIv}7$}P&Ev0regw{gHFo<|69P(1){8i>)Eb)1gszy%iyMr=igd--LzZUd#e z1v4F!B2}hd^Y94K)-z&9ze7Ll$Q<{mH4O~?Td)x2{nuc*LmvxmF_{j<$hv(eoX$Cox{^%%UFGC3U)^S85|Ia6F zssT~*n%scW=0-_OjFPkAW^*@EKEZnB>>3hBD3QCCOzIBptlH)thiLE^N7{iFZ={1e zc}|`un0(7vngA~zRTt>8p7+K@;;D}=s`d$Rt>4CtyD#`Jjb0rFJU?-;dm<3mG{PxcdmF8@LZE@z!<81;pO&57Co84Lp!TMiiUM z=kiroPVl51=t|M@^{MZ42iotc{>LaRt``lZ#n>{R-h6nk$yeX|!S^%zw7ls3ET1%b z5cg=CGO6$z6{x`Ru&M2*o!6I>X<&3)zj@kgSf6d+?n0bel^gi1o`pz_^Q^5rh4B?_ zjt@sB@f!Cjxvq%hfgT*+kqr(gt~y+AE?h7dV!bY$UQi$P(W_%gf#c~!Hs(lQmoXr! z_OSpe{McK+sYmq9W82T~KXm=P7;X(-UiY+__aV?HiSuT)$_?u`&>fa=(R|i;t{*$Z zf2iukj&L5`SrUEXiEKlDTx6b~TPUQ?!{iMJSA&c5~coM#4O^iuGmW!=Zs;#@Wa{-0M=M+JNbhfT;^`84>lrZ&o?$ zwt}5+ZhK#WShy1Ii18+6nA&s=HkorUHgED(w{Nr5yvBBxCEDlYN&C9-CjHj%UuvDV zI0q97E!osNRYxOl9hm!pByIWg_C?bUXRVlgi_AbyzTBCZ}tGFhU6?4O0d^bF`EB9!Tx~e2?{2UM6^*9WXzWD08 z?rQLCjWw#c*tu$`z~Zs6eI-Dtl4|lPzK5n#|W*cUE5Zj|=*BF`=6AT>< z2*l9&YVp(O54e!v`vTcI=mHh(q=SIJ84k`I_uwS4ulQX@$ zjLIFxQi%#P@{iI12M=C9)qC>GkKS9pwjTK?eSz%zzD=YKqhR^;LCDXCAI;y%Kf2nm zmg+D34laXhiq#g+|Bv_);KiI~uF)tIE+hg%IfSw{HyP2plV+&4%r@bmEG%lKG7O!q z1%8BseAB61arK6 zANLA8|9Zn)d3$!`>RvFsTB}Fk*$W+*r#L{j^@h(UIp=m|n?su_4t`+~M#iP8v!ph; z)y?bb7pml2BYGiH2@TFAAR`_1UjYkU+MhQEOk?RGR#5BKmf6plWviCgOFNwuNWP$& zke(lJO~+YP;L0{HV)}Q^@QJ*bZjh>3)!~i=^l+z*j@)|INW!!HkZWVz*mf>AX!DH8 zyghAuVK#Ze&D%P>yOhl=%)E%#9ThoU_A8{kiextyq@oxdH18}lw(Dw4J61vOm%{dV zLwv$j%xuNc%AoE#RZI{hWnEDFk>Qy5Jy71aD{(>c$j*ePgO*y{+q)pe`jKx@{eV%7 zrX-TT@4-`CYSiW;iGj^aPLj|p5qY_vD2 zujrc7oBIPdR_?pFn>RaBSkar)!lKN!cH8oSZ%ym?xqr-r;Z1YVcc1h_M0A{tx#VPu zgOX=1Z}b9=6`Y;Tr;a{T0lGzm#%~nU@pm&ePUB9R^pl* z>Ot)~L0z*@M8D&`CMB}HW2DY)eGb)@(z^e4AP=43OQvIW{N=(Sl;xt}JXt5SSf{!s z8v=8mDLOtVZBxtB$C~?YhMMI7i(9L_vkwPq%H?j~k*2H-3k7*)`NGi z8teXZ>2Te1HQ2CEwhlhYsMRRLl9KD*VL@u|3C{$75UwLWZX$ZQQ0{9>Yi1mX_#NCG zXBO0%JqhC{b*A&l!Y1|>;SRH836sT~d-(t*Wy`iQz=x`+XMac+G33|I$7IVn6NuR< zqBg=u&p&pEa&+ARdc~k+L|Z^Jn#Hxk`tt0r2^HYm*QD{O6P+x^9Mzm4uwFwoapWe_ z3xq^0DF^5_dvFGqlugS3h}$g3-=SyE=VBK(E9fJKbu9QvT`b=_f8p^1bVEtXUy(Yg zf>~I3XV8=t<~vTrbF$KSr$5SgCww36Ot)0pKP$3~GZa->_}hMKD_J&!cO*2`r9WxE z&VfI82eP*Ukfrso!0~T$Iv1oK?(8G){$=$gLXzzIY!ro_f6)t@s`Xo_sxAE_|3C!( zi}jyEfr&cU{lS0KLA%tmlGb)@Rtfo$!-;W|_Qv^mb0DgI$pid8VgxbiVVKznKAH3U zRtY`~*C2IXoFgCiRTD#QL(!anF#d#vY39a3Ot;=L^N}CqL}Mv3Q1#umudVl}?Ys6i zjs4~bilN;>QPYUWDd!JDtm7a1nWJA z1EuV3*PL$Q5+|Wx>3|3$piFo1Lhs2I>>=+sbQ|gFp!D~dp`>>P0T(L(%*s$j;{OU; zmKVIN89HBCAPZZfENZ6ld-p^-`umEV+ar{lm}^k}b32UMCl`A^(}cDTLp*QRna>ux zR((`F`u#Q;zML`VW;_!dx!_ieO=c{0i-8#jaKP?$uuizf=b8Sb0w8F{dOoXq7W0{w#0<-dkdThEEVWYVgXmkDKSs>|ssM&7G5)Jzqt3 z%$_Hl5Oa5|fvDV#W?r?CQ_hDnB6E0biKA|wZ~nsqLK5yT{uzuuAjk=OtLlYb4ACKQ zV|u^@67%e_c``(t@pDD9wslSyq>fk0$9Ck`cc{3v49SKb}FHrB9{%Qh|x-fJ1&X9Nv)pd9{NICpE`(8nV!WR7No!l)sH5HFjMIL4!22c z`3Z5W22VVv*Mt@OZr1fvj<@+pHmNFAcdcOv@324zM-PAc07K?i-wsqyMaN~L+ZPqp zP`^lN%e47gOpq?=kcGmE6TRzj!vS0^nRHUFuOM&VzObDrdl2&g;l*uCL)xK>23%c_ zzDE8KZX;iQ(>A&3~s=DR*J2+H8jP^nUT# zy4$?UK+!dXwIxrbn>#pX9YG2Nrh63z#E~@Hx{kEq%p&uuW_IX@s@PH0cjKnouDDRI zD0W75flf?o1prHUYzyCvQ4Ai}LapvqZhR2?>iY3xetlq-3s1d8s;l=B%G0P-qP0HI zBa$6!6Z3~TihcBAqF>t6QNp@{_CWZn(?5#v?&2 zl243w`Xvr%_KZlKx6U%z6Urgyg__zI`H4SRwQj!SErAo8$)lR+$SB@2A=XPgc58oV`BsxR}2tx7C7x(ZgQGh^^^@v!S0<;Y~ zC{*{5sMpDSt-~NP_@%-|L#XGQtN5FQo&J=EsHMG}97mH)3XDRC`b^H>bfNZ?O zL?-HfDY)sXB?;uya9RB;ciwU3v*uf-c<;)|pM?v0MlbfjGhqWgHKMDz2sM+y-_8Vs zPR?(=VoNOerEd{}5d-Yq6zU6xU0oPSig;BMbKQj}Kpi_9))9}g`B87Ri9dVpY0z;7 z28y|lg8n2L`2#I)T8Y4gjor-i2}@Sd|>?2cJ(a#I|x&q_%IS<;+(r;a_bY;xk z@parvUBxCkJpcewwS=BpAG|t#`4l-x zy|Q2EfK<||uk$6SOdc@X)T&tnl3Bja$+^sp^dv_uYaPt)H1=wr+-#{QOu38M@)%gi zuI`>T9D4a%UqC`)kPCRppzdk!d`CRrOYTwh!B{)XK_p-76(w;#}! z8XnX_xnhy&9OPWx$M$HSrZw}oTZIv8yRi_&hE z08MBj;@lK4e4>;~86UEFz;4WArDM8E4By`vWH6WR{&Mx1_5kuSd*A->Y@@R6qI{T=}=rBHCU0KddY%8x1IE(Y9iae97L@VQY-b<%rP zeLk;>5O|@&&u#Bzhhda4S6>k%6ZHwjgHz2~Z%RSi9XPEbmKI^5hVkmr!fCsoM~}gr zR=fdXV=7fHg{h@gIEy!pVR|SFlgJadbWL>Fk{0a_&xyIn&0oP;*f4xS_(Fjjj}4^f*3wv~JHYI)Lbhd@6*Sy^&Z zI(Wj~!>uldy+s%SIn(COo$wD}9^qhWS=JAf36?jqU<+kx!pKAzN^TB z+hM@)y_&dH^p3-g4N7213>_a9>OX>o<&2jzbE@7<)SISn$&jG*PG2DJ=X6AEFMqRA zxp@44TwONk2fsH+o0qTXQMvE^KIXHffXtq>fl6jS=xZV!*VxhN z?5gR&j1i0S;L5PDs26@(Wu=8}XK%G@Jy$(xDS&4P}@Ysn8R zS=-=JLsvxn!tM`UaSX=>zOfl=adODip9H?6f%d;+$Q})oXs=5QuL#M@^zuTC)nNSE z0Q)IptKaKiSsc9Wxin?|oWNMp)g{!YrFx2?9^Pg(jI#I} zCpB|&vE~%|Q37XCB9$ojJW^J-i#fQ{4VRh5a-$JT)Zt z>1qP=x1r)^|8g)Ni1j8~K@~rMp!`Et_&q;g$2-SA+6c0}8)digRnJ4XB$%7JH>^WV zt2hHf-Tp?*Q=3E;xySL6;~&=yYu2l90C^S0!h{b{Ok_JQ=U#5U)Aqhs!=69HhHyaea!oC@VL`k`G)iiI5f7r4f( zullxq7Mn`#PCYE21DZhNSY)(4q6I~Q`*o%@Nb*nGZ|fBFBU_3t#E4z2FAILLn4&X6 zC`<~WB!2%8>E^4I8ufzWa4%7fFR3YLODMMUznhDHt=#>@av?8IB!Wdt6qw$w>+Md`U6xKPI! zRM0)jx^1Mh^by}8++cXuo?kxzSUb%clInb1D6{`v*OlFlG{JIQSV_Eh%(qS!mE$p6 z6d=(rzUMN9XzJpP!Ep~Ppi=tkKe0-dopCE5>{yP2d;MM0QVS1zD@Ud2&gV*nAtP>j zJ0Cay{x%CC%Z4?mJ$OaqqTX26FuA3N-?_IONsCD?S$=N{KlfEytGFPk|8WA0y;A5r z<%8wn{;mAuQATp{!)@b>JEylz5R0wwz`m1j-4hX_8cj?N^%vjET(3-C z;nfMhi4F)E=_P9|x3^X?YsQ_=&Z^kwuTdr?5yBkHQicrRRG$9J{ngue@ABpg1K2QN_RGA0MRD5< zo8j|i9@aj$L4C)F>3Lb#WQ(V_w%(SIe`LhZPWz2~hw#n$q=)yS8Vo)wq%G#1e$6)V z#>iP(I!Sivcc5c+4ct3IZqOC-xa@Q2cZ!b4Bilx&(8-<0w-=1k7 z-NIs*Og8;|@DDNMWS!HZi1~u~cG<d*dwd3KcEaz0gZL4;?UX z((oBcmohsOCMA91=J=)g_pY`&FmmHLpx_; z`=2ajEctRIt$JdqfB0umh|VlDiR}}k7mItO=vKX*@h(|%nMnMu0 z>~)LB2MLuU!86mx65(}^g3Frfl>6c65BI0v}Z zj90qNwsy?~oFnZPUj1 z;+qBqYF|(oC)H%Im&(gxCwdgE-gn5xcyHE~@+IqMTV&GJYlxugG2xqcF; z^7_cUG)3!T$<9Rm4#Yw1gTZq6t;gl>4;=?8ed)zp%lvRAK;4=bP{OfTwGL0)Qwp@8 z2pTYY?ay7#jaI=U&2`9WcUP$vl^qF2t^S6OtCzhB20YFC5uo<7WwOljt>S9?+09>B z31+Q^&8cI|A}i-HR*V0kQHqrJ?U(h^GreV>6FQa{Rt}7$C^h=M@AtAqIqqNodHiMW z>59!Dlgd6d`+TBcacBH%0bhmTKOQ3-cYjCO*hiQs)objbib>I&@^dVHfFMj@*n_z_s2s zw-xcE6k^6a*WzIL*8U-AJ01I*_Czryt#n$?Jf1eHUf@2~>O}^c98cUtj5LSUIPxeE zCRCPQ?hTlUuxwi^kSI0>C?P2coc`}c{YPh-gxhxRLpcDPlEl;u36`r~xK%i374ex0 zA5Cb#jo@A}r(eHQI^!H9fV38IG&@>U35x$58i~J}QAEZy-g;(0?4(FNe%()%r-bDp zZxEtG(jVC@snc-pHP4H{?IDJffj(+LyWFUDj4``+^>2I`Ns1!${-=`R*61^YxMDAg z;kb?IS*~iIx4s-lxeKY+yQPl_MOFZuAHs5kV_AZrXuh~K28bF)f7wv0qgtdfG^TI< z_wx$>AyWXSMlsx>)(z1o@=|=rh$~5~ybs`KSvgRQ>basAYCXd7QvNZECrqM)A(1k7 z_g;nh&CJ9rzsK2To1D!C`A-wdA71n#cf#Lfvg1^}2{FCm(9APnD!Z}%4WjIO9M?ef z0m%9RPSUkTZt}D1>7;E8qe8I+zxE<9f9re|^B? z1bWA8t|h4sw+D{;$=}!53yi?gDq^WlfA`IKj;>Iu4+J`WDEZWa9rUh9jFLpp3wlaw zUPM~V`@Yigq;?5M&)fAGuwyp;CF2U!eK8`yGmw8!Tz44H8rpiS^0zT4cuH?P`lbd%EZifHGihw?t6x;V*PyZA_|isC89N3f;tbvh8CM$k>)e`_Fvm8 zoAOEB6vrOLAuU~rwcE>sP+h0J)=+hLT!{hVr9*4r;TfyWit*=HHq45RAux8#clus? zy`=OW_2p_q?oa=(B-swze`oR#5G+pygim}BKPy;}2(o)9m*lrOVxIEhr(4T+PV*1! z)sW@&#df;1iHe=HBGR!;3|%q#$5<$8q);D45F`?>&!~* zAo5k(KF&AZk+4nWybBUs(k=#JYRyCa-nGTNTq^s*)8T z8_ZvS5=8HUaEuDrn+dIBQFeDk#xCaPNa=U#Q@Fay6%aW=e`8lONPrpbPqZnxe}`IL zXAG%{2=5oj#+uy_Q61V^D{Le|+c+|h_RN?g!Q?)9F#Qugs#`ag@G0pA?eg5g1u4_u zw$MhJl;l;I-_?D9yiOzDkcPDpT37t#&L*u+4Hb||gT!3X?y$JLY9~yE^*D`NZk(v* zER|*7q3P$~K2y1oingccPWm;MjqcAV&<;*U8ECBWLkG&KiZo^?vXMyMF>sNOrjbp% znvEs#0UFQvi=mMBrywelDZGT53;ujBt?V^IEvfZ<5!0VWVBB7z4ZP?W5?9?xW&xsQ z)jQ4=*4y@RTsVF+_)a>ciUe1YdKp!P+mn!aPb|pCJ?9vcyWc?(d70iM-L9$98`pk#nxTq0joHT3O zjwD`M`P+SVj!A~qH+sR9rE+oedfTMxT@NfN3$YGJm58ZGJfLiBaO)*GzFS-sAm8bfNSu3cjSld08>x>a?q!Gf`^Y5A{e)TAfotI`v8n2 z=XZkqGpgG0E*vsS;#MCC4zWSV?89U!xwJ#k4iNQR84MmJvLa?54q6q{czHhI{D}+% z85E8em)Q>S$3bEi(q!dnQP8Gq&v{O(rbDRd8+3iNy6hQuCe(@#QQi~SK|eD?eS6F7 z@A(r{r?Cx)>BlL6Rjjg&3_=`x^}6&!W}K`LFsiNu&YNW8O@aFORt7&?lISA~ZqfoBO&#dS31G!97jN3~by z43wrZ2>^Uo9ray@I^?JtDnd4^4r{KE7^Qn(;Vll(9KJaVa_dl91)IuGF{gjpB3^>Ew8%ot^-*`?W1|TkM*7B4)hLE430W*|ye$Fsb-{;+%cQV02Gpfl9CcB?> zMBgCJ0G_ru{BHc2^)ITop>)yxRVRO0Fb@l;R7JG-Wj2<^BxPOLSyG9# zMYy<*YJ}uCvOYDgbSuxJ(433a&t<@)cvlz#=N|7iIPD&4S=4MPIvY@MZ=pvbrP-SyYx0RD7vKFNB*9xHob4o>P}A&i{HfCX_G?*M_7IAp`3ynhmH> zLZIiVdEVQOdAk68tM&_Vaj|lqu27DdpmN6cQHt^~P^#Yd%+qC{)oh>mrItSET@qG^ zoKj^iX_h}IF{GRDu1&R1w#qwX1-b~+38fMZ-u^dTHJX!h1V?GC;_^Sg%0>K!Er8<6 zrNds!c3@ASWeyL3q24CN@H^_){4KI2hLmF%QU(Dw6%|}J$Hpf1m_Y;x*B^lT_xLJ5 zl{0>%ocPaX$Y<%YgYs8KWmSQ{*t9-^3ic zJ%nQ2u6D5z#R*D3W0F5?s`OGvK97nF!Q+;$lMYH_XM*SGNvbmT9VeQosyWqw5b!sm zzqZxuvKNt}hc%mPQN{g#j4hTf@gK+s399qw1xOvgUf=Dvs)vMOO4=#@X}(Er>VmP; zk2j;;qmP!r*rnZ|x@oX_AUZK9L*hoa0NU>(B+#l@x#J`6c;M7QD8xwJSZ>&ay_~mp zz{GqwP+8_$zoSd)`6 zAGn@+Hur={x{kZY3tDp~?v=EuUtG=R_vxX2d);f9impn?&2qX)idoQF)L;LVoy}aS9Xq z%_1)c&Wt1PWTj@{|0xJv`d?3UpVK}W<>kT_U`+uW*H33eyA53&#E}}`C32b^-+i7r zFJJn)gAup#qmH$msB-Wsu(bjSR*ETDOte-?Y-|zV!IZ#5%clS@W4*p3ffcP2xM`$NJ9OV=< z(u?fBjvx1_bNDE4xWy~>?PIB`A2DgJNSEd?dJ~f8kPKR8Tr*H2a~|6rzKerHjD_l5 zhi**KUPP*5Tj54+a94-`7t^0*uVL*!i_5r_d~=t_7@GXbM|b#t?GDnzV-HQ0YsK31 zeimF$jq+mNk`Bwf?n?cg^Z})`ugY9=MUQtx^t)SaFX&BZ86bz)w360RXs7jsAywhK z~qkK!f@hvQ*^RLX2h0 znr;#M53P_tP1j%jp~HLY2dqmNJzQR~TlXYZo7Kq%L%uKrc|$5g{u)02bfK7)HWsz^ zX*a#g42eao)J$zspM&2c0+)c7{EgV0APk5Qc3B-3c{{+5-8qm(76QLVitSju1h$%mKNIAeZM@g%M+AKX-ir4!n5I=x&a1X!F=k zK81cGy>75#e_z_Ah_Vpc5gq!8QS3+@58S$;l6y)~r=GJJR`^-6L7OY#V0N{ex5&AC~oq+=;;eZX6{#Lz~AYcx6u>Bpyt{JA{GVC`~~C zaxO1|(&4K~9_D3H#i3U+$%N*xqch7K99B;K7gdXY_t=zwmzB~qq(bnY6*`)HC3U_| zjiKV3_*c&Xb?5NLvltp+ww@W7ui1bcIydFhq9Z`NNmbqLy^C@k49E2dq9+B>TaN3D&pkGhhM`0DO&(>neP4Ojkxie3nllGsRe z{?O07bKw7vUdun(?p)YE8o^r%9%j$Mw>e=>@MwlR&XPN9-?8N7djK5pCHi&dxX|mp zUw(hCyxXia&S~R|*(cYkIJkis5T`&+VX> z-o#|9Jd1>fjYXh*rX>zfC1>Ev`2_0YN;IkmDB$_v_ka`CH@Ki$F++x_&x)NHJ^pfU}(CF%rOv?r5V+T1D zkb;yzFtM%@$or)pc(8~5YaFOnT`hori}1+N&w#&c96}^KEc;CJ$CimOxUSO{hap2` z+wUHFjtfq5+q9XmL3z+nMd{>EB+X5ctiyd^e1ly1PrZL^rNI>YuV^HT+<0iDWT`du z$5Zm%zZ+hG8!hiyE~JP}M1V(}7M*0^Y*u7{14gTtteJ*=kC&`|xCp$c6|)*f1$S#V z;du!?2q#X|J}SjBg0*HiVE&PTn12ZHhL{PEog!mM%bi5bsKbCw^pvWtTtvkeYVbG^ za{`s87&VRoMY9gOVzQ`Lx5n6a4z{B3&0f@mJ~@&H2kpx!?ZqFksst&rmhi8s+*J%Y z_^t+w$LDILQ!@pU$LMys%3v%zhpG>$j9Cz}9SJB!X;Pp~GOUczm?~)kxObB@;~V;q zM}j831uknsUE*S&DSqiz;5h5v3MOv4DVa~7KWM0Y*8flaX3Hdovj<>9LWivdN7mwU z10C)uNe!Ab={eRH$3=av<1xNv7g%cjEw&YC_aEN_$-J|Lxo z0cN(9XtRwc`@bPrJJVnP!DN&7?p>85Md2bjDLq|i48P+TE$b!;x3e7DZD+qeN&U6I zZ637@b3O?Q7k(+Y!QtBqiJ+(~zeTjv0`}JSd1)b!PMp8FoY9nds6gz$P-)>k=T1?5 zAd-`6Gk{4a7cZr5R@;8qKG>>8N_x@m6&pz?vt24(dfan+512Lb$i7f}Wmy!)GJI^D zw}plq(mGyHV?z&;r7oSMwUWn%(bsJ6UpB5vFjC>u=MAv@y^+~8MZ2hYr*>Vt0z8{y zhGxruO+n{f-u>LDM125O!JJ+!uAq+Fp@)6OsgQr?EQ%=s*lrA^2`!=yTOV7xl8`)9 ztbxxqM6wRx#iS`;0{)LODMiDc!dL(2(SUY^0t+DZbJXcC_d|_W>L?w#b1Em0XF`wN z8a7VbcBw%*3z3`>0Vj(|pQ=t$PeR%VSpB$DHAuS1biLP9-=oQO#7nPw!{7bKsJ3oe zHCFGpNd0Yp-P+KK)rg%RHOD;O3<$e)en3t;veH#mB4+wbYf#V2C{$`Eu1l`uC8fz0 zvU(h`a64-++Nl(*7ia?mU8Zf9D~%N7yr`$sLDxliUTRNvMm1mNTK_}B->;83-(}xN^-w|?3Evh* z;g$KWG4VRq%~hP?=UuK_DyD1pi`Z{NmG>4!5o?X0DxGX^p>6-B4`}d03#`Iha$mpO z$$-hT6~GgIev%jOH7Pgb<85gY&PAwm_yEg6%Q=I_oAWos1^1<2NO5Ha>U+8{p;r{I zR=Hgu=OlBS-dsU%dfcIQUTnr({d_PT`0`FZ!qn)#fBo-(>m6lRJ2Va-OL#-9kr!Xe zgwPeMUE2y~yNQp5s%6VPZBQ>}>7O~hn;AfSb~Uyu10BkOF7e(Ay+_}l7|i+~%_rC_ zMtm5(I`qcM=(flG<520j)Ew4yyyceCwEgr(?PD6KaN?`nT@B|z9X0M{(H|8;r7o-q z1m1@W^mxWmD^KZDvi+NiL)3M2&W{YlP5rcEnb0+5KC)&b;#{+}$UkM%;qPVn3n{-VeBt?3P*U`vgfZ>jyEMjqt%mPK}_+_ahH?` z3m0QVVjHcM$>SqkOclF{tRUA9CJB9Y%mY)&(>@umT;V zoSJSmm($6`7hhH7=Q5Q#7IlWnsV0=awK~og23rRg(BOc&WpS~;ri;(oW}uG>_*gTw zS{U52jKU_e>TUSclZW*eZwJrYPTOoIBU^Sko)-=Ib#go-+dO#NI?Vb#DaQCq--w00I`|VtJaf^t5!4b# zCdVA1!S0QtD{>4NU=2b{!`1e-%KuL z6;CWBS?=bouwqh|@v(+v`jY6+mb|*`jELGBMv+nEx%VE@aoo7x1-g)RMUj~pmy!U9 z)Q9DPh6N1yeujxxig-lg7rvNyFXp#xUN>GrG+0Z%%3I_6>9Gwu2|NynyWEB zYu0C_W#Q-N99Ne&%+-F*TAo*@b!h4dZ!mNOlW92)j}v(*m3tqypvH}u(U`AzsUKCY|Fv#XG3 zV>3r?p>Etq--w0i*KW_xzkX19z1D2ZJ#cyRJ7|%gp*U-8dR>3gJLTCvN8ef)g6p=d z?xmA}l=zwlE~Z00#&3fh+``vx8qaQ4KwT7$zPLOy5uG2_SfG1m_B2wgtAgANT>PQD zdwZH+LA$c;A;YW6HR_ALz13zTZlb5}vZ5^W-@ppz>6QuauB#R)k3_zg9QKMFAD5!; zhWHv?qu3S>*^ig_wrs*3obn;r$-3t6Ofeq-p4=Rpoo`_86iOZeUZ+(&suO=u!+Ppi zix_A$uKjL(Q@}!t@k|8?qicu}%L7eNoId2&sn_L~%DALF)U*>A2=ZRA%Xe=*T#BkB z`g1s8`0qHKF!EG<8TlyI7*5Ck_)wu}dPee798}j@HYqYJ`*Qvr?Me-rm}Q**d4q?^ zS4CL6;~LdoD|sntHe2&07NX>d3IyWji(3HT`VIjTlFXy?>7#r)a`|2E#p`NF{Q@P( z(HG~3SrTWTrUuDvhQ6{}t+l6WZ}%GYian*g%#V{k1NL;18|K8)gGib+cg)?|bq>$6 z8>cJBgE!u%JDSwh%&p$ezmDKtTK`_BXk3f)>~M?$QYwu;tTn&_7HHWk({643GLm3SL(Ndh?RD(a=Q}e^@Sv9$j1qbL@-j>hh$&YVGyni0)w4j@9 zgxvBz6bb%`cJJu)n%{)DO1Sf1LzE#DvGURPt@O2L$wKd~G%mLN zq<3&X!L>|~n&-1wzW6aQ2;HBR5S-C#P!_fjc$y#*CTb{Zg<%9+n(JrF;(83&M_v#Fw$A8u?a=!YM(_&Tv~H2ysGWo|Urk zf7-4|m!-nw?$nA~RWffBLB2s?Z%Y_0e!+(fb_E^2yuDI7G<8rvhdvg z9Kv$lX~CBXi@T6xDQ}pk&IrzbGO9eXcEBSRoc$1mSsELG{GV(?>@j|{#C&hDdbQq4 zNSXJPR>kMY1S^$MRwM(ZU2lih#I9K&gRElKo})ApzcJmBvZZ@Lx21*``zmWy7G|v} z{2q9bi;ZtUnehQxrQJL=S&-J;OlIC)=>M)bt=XBN2X%+I)5+|GjmN~4W|+rn7}Vx+ah_YQj59ywxA}XBKx!mAva>#dJ9@ zd-8tGMn(JTRAB-!m$+ObZ}0`ug z%PriqT+-c;tz<*Sthf5_ZrnKGcS%=Mq?x_e2) z1(>TOTlM=JqrP3T?NOys0=7-Q-X}E=%RxtU9ruj$gqeT6Gi2B*U(9K-CtoXAXNvZ& zrum;;921@C_7|ixd7&|=c{) zE2N5zC$u&$s|Anm4H!*}uw_H^JzEpd@RtZ5uw6-j6rW3UvPdK0hLlyzg8T+zS*@q= zbpd5F;ViDfZ4KmHYMW4eS6Tjg4({2LAXa$J)g=7;DzCP|! zkLiokOKOr>ES;4kJO{rh+uA#>78`s~s3u4+4cf^T%WHH@qfUv${!6R%ZmPib=juHVI9qbcYc+nN^GRHOUQsa){)yMlmPN z=4~`TCpv?Y&&K9yOoQWxlI<2LAI5Ju>^ZwhepO@iniffT1A)<@`o5V-$lBe?7W@-K zB%i0Im-{Q>CONB8gOc;6GllmaLULvc=2kY*SD zc7*QVp}DG|BeE@UYv=I;<>=#Zh`8cAM@xl&F~KT0am!UzDjmu42aztRyq zaTOWTJn^wa@w_m(bGN(%7uEz8%SB6+el=+o#O_{C^Mo1}{bGvA4E_J}W>w~w%UXu& zqo$Ke=DKVMAF^2sd+ZKYe399555FBf|zXl(gAFW9c_yvOM`6j{V zI-LKz5gs};Bod%gNqJm(uP#Yt)!D-2Zp(_zKj~kyYmvZv$U(vAnstznHO7jUMGv?K zRmuN6&&zS;isgplV2hAb8dH{tNL6)S99fC3d}Fe0;tiY%O;qfH@0qd!TS;=**Pr7l zPROxW6pjh=ij1%~1+GW6#`i^La!2(ML79It8mFVlydJ$PcB-+6x;79wqu<9krq-2G zt3~-l9|E@xf)HWVoa9Q1rQPz>dNo|H(Ty)jL=W8|c>GT2X!5S`i3}1Qya}wV7;0tQt zY*GXst4t?|vEiF>i&{8d6#bn(&eA?2aHk^SWQ~sHG}Z&^5`0w+ZW10ByavwVst&K-W#S&wl%?%v z*^8&hXzlkra_SSds!ZNqg-ZYD_=XxtNr)9;jD(2sFvfhNl`R^tXC+md2T=2^8 zqVw;`c07MynbtnQrMxWdPiXeLss=Z{ z#|4LP#arQUW1gfle=SnolxWWHLuiMzA=%NC*58!4uNR#BXt2aM?bZrDsk2M6|AIu= zJi9?~=FEq!<3l;&uM(V`KS#9ZCP7WwWs-z=HmvuH=QfFZNSHR$r?ExpR#7<0r3zFc z8lk+@hyhfwi!)LO&H112W($LF6aGGNtn9NzXjiOh?_#2C9Fc8~Q{J8QF)5Mci12x) zoR1w_1V7!^1%iD4H>`RhQMNICwJ?$Gc!D`=9e~eo(dCMW9H`KAW=NYvV`)v7Ga`$f z@vfChmpuVWN7H4SC@g8=$Wtn;T6K-nf@~9nH&l-uz?UUCea2u=Fp9jPx>Q$u(a(y( z`A+akhg?fhV8j0@!8i6JAKZg79JV9Vh3{y1RhT$@_=Sm8)e~M&wAo9jqHXM@|g29eZDc92eYt2#xiEL_EyPBTd#j)Iwo{@VBRL4EyW+RWq$zfTE-a%QG`lNYbuXHqM?5o)e{|%h?hAh4; zPRNH#Yw=)laz+|0tHDje(}L6279{e(PRIkJ@*zF$h;TQ`@-|{yERk)9I?9?%i~U2i z*eorWe;FOq;=J&Q3zLfcc1cpP@?^eSq&nVAIM|0E`Bp)~o4QmnyF+PPx{jSu*&~{> zTD&$(k-SK7!+O8CPkG*G%c96=SqkcA)!DM@Qe9Q~f!?%9kb|;{S;+i;QGVZA>rK`l z_SSwe0p)5OTquhjm!d%VU%~7bi{s^*f6ea;1n29vRWS+Y3l3l725gTwPKxqmLgl&c zdF7nCJ%2gzqD}r?4tgz(cB>b}Gxsg&{>^yp60aToeuu&N>PMZjX#?ez+Uz|eo%me+ zr0xz_ajJ^-4%VGkI^0%*%xCG>c5YSHnZhrJB}qktvnm%szp@7R@?04`TGi!6V+CJ= z@=SOu#N7KE^i*ZiR8LP{Bj+#Gn?DJyzpJ>f(=cni7;pKK2mCfFfG#FgjoeB4mrf`8 z9yN*iy7@lAcglav^Fos2g7Y+x`TMy<-soJ^U0Yv>bW%w&ODNHYm9Hd*28$cKLqS9b z8S}7g&iGgrU#At{3dUI_VI5wr7;NlI zPTO6guv-xGFD!NlLPofv1T~cmXX`V|q|00(RL|v2mqqG{yf1n}*0ur_Sx4~Qwd`TK zY*$~NGDP2-F7uM*^NP{B@Pvp+w^8?sti;zzEwduUB|#+ijm=`cA>o-WYt`2d*X(S% ztP_4h>+h-&?R*+DqT(g#WI}$tSc9AHiv)*$6(P$-`K<0UE++&s825Kdus2RhHj4!t zr&g-1y|Wm+Otv$!+l1`7r9UbdudPxrFzr}nVq zgF2sqB6-0ktz}*9w_85oaqa9!;@r+)t|C9kbKk?i9y% zaYFDlwHP+|QOOpR?O4SsbS&SX#cFXRM_pE!E=|fAg(1VST6YK8r-y4CipH{&*m`L z8IG)sRa(TFm_cXU zxCS>Z&Iyk7=$pMBTEKB*O^buV+0=*74hT7Ig`l|>dj&t(5>fFL|HLHis)#x)E$~CG z#V*mQuO*rmtR=2)(c+lkSg+Coj*Rw|FLnxNS092FK=hZiU6rJ=?6*z8!!25@8;Gb% zi%Dt0`pw2f$9zGYW4Ef976%01T!SNx<^+Ocy(*&MxL&=0@9wg;r_3uHawD3o0O-A7 zgW$(nWL`Z)W@u~_twhG>$qSXuj`S^wJ)v;9pYaw6vOqZ1x)!nn;ra9-QM9`)%OtCi zwkDND^}+$Es;E9FOP(=$oJy}&nVM7Wbe{C6a4!B|Ecqe&|y4QgE33^t&1Q)e>E@I-aC>$5Rg z&XhTzwT`n=#b~l$DYFCx>>kfU*Go`jz1Fvwah@w6&o9m@!mQXERrEDUM4L37c6OMs($)xnjo%h29ex$Hn5fP?&T39L=id)R9fM z*jMp3U#_YsPwBZWqXzR{qiptHAj-S5IJ5u7rn}EHYYM6yM_X^A#_^&!lHo6j<0&13 z!e((?CSA6vms&A@t-lF>@twCy$1~zM%P(!k+MW__9H3lTX=RPVDN%^nn>8Ql%t~`4 zGm&6-IWD#iz%RB~&*q#JUg%!Q8gdM}YIjOlF*i)Y#cQt0i*$V8%!a5@OQEg#co?flw zQe|z53B%tiIOB-1V9&e)%9m@u&Qc~Z>K~IO|0;~JrwNsufWWj zJCubs8Y9QFi-Xc-P7Q9dT@!qh;8oS6Ah7~wcjli;e!40x;J8wnG3tia7X?4-yzJc_ zqkKhgCM*)1CvjE`J|+B(H9zv7dY}xJeNn?EsnGl_d6yJYf^u!)mI&@*gslQ`m9C^p4 z&n&~QC`N6Sr3X1Os_t!YWUjKlwlZTJCvOW!M%8MbY*tz>jtgsoD_B){f5YBp2wg1d zE(^ZH@z15uvyO0BbQaa<*t!(0n^UHqW*1%)*fZf2E0c{`yj`<$8G$@<3wpyOm}QDRfC(ySzEqc9TS5-{r zUCQ|tjI&C%Gos&jau0vS7`82&S0B*oI{9;Vf>pG32+mBPDkdTGfjM^SRVK+b4K$Z( z8X0_{_}1SCHaPbt6>ZKc$=B(sa>NmFWE5K`374fNMq#HU+*P5eCf(Hbb`1E-#$`~M zzfqQ?r|i~vo9OT~ry{jYhN6#PCvsSfn@5GobAhT@R=h0lR4~peT{ej00&$y22*zWx z=v=IEoDjz~HOi(7&&awcuNP(00}P{#*Xop)iZV)DJ=7u!jBea(pl|gq5iMjbQHyzk zy+dO&x3z*p<+^&}Rq&JIn1lx0TP}{1;>de7CWmp{ zBFvqFn;u{qg-wF*t9c5!CAmCxT9nOlK-PGVyJ|@uP%877--^Oz!TF!Cq2nUK=Q?Fu zWiXDH_3YrXnDBJciototw^aDXpPHR=O5MALk4%f~)b|!ujF#1$mnx%0K41o3QD%++ zl?qblLtK#c16q9{LT$8WRnH`5VKT{9wW*5fwO8=T3aB%KuNVBVuFQ8xvUUuqh>DPE zmsa$m?9>zvi78tW++v$A6;!&z<=hxtmI<%253PcyPScge=O~=D!X1T!bV1$T|1_`g z&gx2-so2VnX|zW0MS|^Dyz$?dgj<&cxy4EFh(l{(9ErL$0vHxG zj6`)E4Ii22+Ntl2BN=^zh*fpG@!LgVb&Y~W&M{G_%WUZhnTgbj^3eg=%+APGU-35W z$R}M|S5y(n@X>{+s;sd{S6#Z)IhrJJoCm>vF+3ERYRy6x{Ye#jL%!Y@A${s9a8fd5B0$|U_lufB&IqF%0n zFDrKWdO;qUQp_vgrQY&LY{B+Ci+>-w*sZ6oJT>O4whjsFn3~yTY23dzV<7Kkkaw`l8$2(%SA)?~2xsO`-gNv)&}O znuy(YgFjSsdAv2GU%y9exv{zv5l&he!kYAP|E6HksjYm)UivX)_=p)C;WH#`X0bV2#no z39~!Edfd)+2Uy$lyWzgZRd;|jo!~AReB4!qJD~Nrw*a@wI`!zDBHB9Eent0Ja^Y(! zb0r6&u#EF*JsVD`{e61DbL{CAeR|YJ8#-Tc|vcqZnf_RTFQS^ z3*7iGim^BTN7cUQjRlxvtbOhamp=dNmi*V3ZAxj%3`5>JsO`zwaLgbT$?9SLBDP^G zl{}~S*Ss~{m8cwV=po({YED{GqkB?zWcB?tGN_O(r?g#@h};pNOO-)&;RP7EF~>u_ zv)ZWp^-1kiSdjYq7i*_tkp3QYy{C2KbgHspI=4n2F-{uR2r$Q(qUEr*^P$$T28e`c z6f~(nvFx^n8!)wF2MsI)jTgUII~A<)Ym?fk7^J@k{YBb%^x129DDW@3IZIXAJxWhP zKKkrQ@iuGltP*j4T-zP)p!y#iH(uZ2h^`JAA($WIToMm0 zV`NH}Vsl3jS{O7+mm_dijt33iI2jg}!AEGEev7v6?68Ka>Lu%>p*_dgK+fUy#&$J^ zH4rJJL=(c2(Gda>W}N2*JnC{hXaxRivQhMhvQaeasi5!E_C{^f>7-!iTE{u?QDuze z{b4!T{v($8b)jhHT6V=o(;A2Z?w@9scvfZ!>b+ozr^(SicUriooVi%fXf!G2z>NrM7zCg~&Lo!cSgyyi2BrGWr z!qW^%oBrLEkbLb;gk*$Z^Jq5c-j5NIzpd8IkPPEOxXTG`V+IZcf6T#~kjx+Jj2n^> zieU|r8LNyDlFx4m$q2C+1Dd?h_%>w8|DNdHm5|(e6CsJT;fOd-8jRSD5t7G5A`eNX zA`f6lT7EW1j2n_D9oCpmSdI~rs~RDhTjLIA$vvp`z~A~Zv*rdUSm#c-O9S`s$PRf( z=0g=Wy2DxWK^Br<(FjSb5jT7syR4Bf00cg`)0j)X0&Cx$ko?gHS4jS@?9dF!WE|~= z4OL-yaKR(CV&IP77_kO%Pxqvrf!p%<^s?m5WJs|}yhf}|J+CDsAE1HzClK-Ogyc`$ zLP#QXIE3?2WsHIQ_e3KP$$Y4Sd{brS#r7^GqKPPYm6AUpW6sYLXC|wEF`JR z@xY(vT=KhUb9V;rUy$^{I(NdTEE$eK@CZ%Lhm|ox^7qxg8InPAm=p3r8@znrp2l3# zCTb6wx#V*iA;~l*54El=d5aF+_u61>R&Ac_q{y4}`zf`7IJYy2%=-c#w@!A@Wa_z~&y zLDN$ZDYwRi#G{AccebwBpf1OQM&Lg^d!6ggx?ktoq9zBmO(!-Iy6>jbOK3t*88Q9+ z9d(C4(*`=4b2AWSSWiLoDBy8U`!Q!-7*C&KnpQ7?MU*>W2}tL05v<6N;pc$GF^?6j z5LQW+;6+UpddNL`OO9fY{vLKeB`^=7rxO!sPsxvQZZ%b1T*q;Y?D^%A>*;O^Gzkj? ziCpU(mRkTgBw{4)T1Im=fnJfAUcR6`CE_Bi(Y!XSYCncG5GkZY^O%DMkuGbHb@Kv^ zh@;7DWL?)iveM-FiN^G-k!v02kco4SZDkB=AX07(KI?;zDU7aN*1(E6UT%%}4jMhB zcV0V=^#VF?kMN7F>GqUd>o|vcSRsyej`4(HXa+^3+!_<2L2qH4;5f`0IbLp!$w!zV zSYsV;)TtPxzXzSo{B`?c0|vKXjSvemXdbZ<_a9x>pq|(WyxbZ$z8Y$tMcC1AsjV^{-` z5RHQ7`Ir)8M5@eo;9-RTftOoj%$XNPyw&>?L}{)RN# zc3C50BJenZ`#agM9KM2=e4G~bUNGGE3;g7CeRDY@XhmFfT?c%OxOj%-Vxx3vZMD_D z4G$7wIkTgWuIXkyAblE)BP^}GKN)JcHS{z7zy8-}>+5a8&wE0C9)z2g2{^}yi;md9 zw}_O-#UfqP187cto94h`o)IyWTf_fDcDiHh@vCVS=(*A3^y>sZma2wZLlhp_drt48 zxiz2>rD=iON_kx~Hn2)qM&HrnJ_C`SDKU{0EwK(IX57FSNk_qGALGxj{wmm3Ucyf+ zynVoQ1f73!`_u#*ZjFSJS%d3b%ltg*a*L$)+6}XY;~)~Eg*9SL<7TW8ZC>;uC$7W8 zLI#~DlUfz*z|tpt1s!RPM=3V$=#M#Xm~e=MXcXcAYtaAc0g(rESt}7QIUY2^8n68+ zLuWjhpN%KMN41>(FIAiIM_S{fc~3FowvQNSgEi~IFVQ>lHhzvsh{lGNp-~)xHA`3n zc;L~#*;BB_H?P-D1>8n#e3shqThi&o24pCqDaUaO))-<5$gGt(f385_94(`#jEarO zo`Dzbi5Ci%Am329##&xDJ+F4WWIKi>2I3;OKzXoJx{de!>%y6}?cjDYC~Iz}{uj_f79yqDw}LbC0;2?KAKHKN*n4pK;(K&_*+*>9y6olBX6nf4 zq)EiCsbag$tP^o^!wA+d6Uu^2>rc~l_`2s8V%B6=wfzeuax(|y z2whQ+*m*(o#oE9h<1;BVKZX}nA_j76sJdU8>l0Lj72+7k!*W98fDUWWe-Y`NP9TRS zG469sf9|pdV?*F@#2SG=W**ol5)7oHtfBRZ{+C-q+pt0$5n)sr5gRxNk@D}AkmF+x zfW}?c04K-88eD@vnXTll>t4y@1FFQsC~G8?Y_#M$tPsb9Gh#Qw8ni|vG@+nLtZ9aS zyQ~r8Lg3}rc*|Q7xingS<2T5pDhBEAL4S&N`A6@&dP-{K*5EuW5XV$M#x8ow5Y(@g zq<@w5l$)J9zyizt``e5eqvb=h_d5-m_E_QzRsH;QHU7LIv~M0W(w(Pc#KoseuBRfK z3YySM@HKwt*cBJduyQ;|1pee@U8rD9tk zag4Yal0gyaZ?d?cmqd2NB3;&qObae}xi#*0{#fI$wNV@t2hd+A@Ztm*ZjELJ4QtRe zKTo8F>_)@}4nidR(2&K!BEirOs^M$S^MZxI$?;IHpFw}#uv2Vo5~51pUgw~*ok>;0 zt&y5$88j@A9}~`q-3V*YI>h1}Ey)>JA$merY+#8TuV#(?BA}A2@e*v&X^p2P#0RZ4 zVmv|HVfUdC8-Z7|#^$7>S>yG|7;UlfJhl1DWT^420pUC*I3Kq}-WY<9>sVj}g*dSISF;xbTNUZI<{wu>_?zjr-8172?`C4n2&~OMX!Vo{UpfqL-i{ zy(Dr#s?2uaQJ3REB67^+c)jE|)#RGCutt7Yc9Zv_$>@mX8YSwD9aV3ZcKpsTSm#rm zVYfzM{QTG;-+DQQBbr|VBYDU06ozfm4oBp8s23qT=JzytHTzc*ZR?=7!4b*+V>sfu zV!PqN<%lnkNJ)GhF)%ALF=mhjfi(-Te9QnZcf^?MRL4Z-e|K1;$+Fj&c~c)@Ie^;% z7$Z~iEzJ*TgFRBl2kj%qNoEN^VFixk zmN6^=sXQ*Q0wukuKD1?n`41F)Svi%khu{OPs=#D#XOH_TIrQ5AU2zB*fvCcmVH7 zzfvB`^8|x28-?)giV5NYJPUE7!Po=-(WOP<5Z=dZLSo*3*p(Xb>BapmU_S_*0-B7tgG$kp&0nE42NZYJ1bX?|^iaus~c7 zJC0!uL~>&!O^A!Ubj1ZRl^19bE6EyJYz+Cu3?%+A(HP!K5=ypK!FBHc{5;`y?8dML zBIVYId=V6H#u{Th7lGw3XPct$@tCaG4qr`Dbtb)HB{mc8o#>yfeEUpJ8npW6zX6J*)+lJ zi!@4+LL$-<7pX+0Ds54NQe+E~>z306L&!f~!+Gn4$ z_uA|CUVEQ&&%M_UJ#qzapuNXTQPVn-|M<-h}REEOe94UUs1iL&EsTL8{<7LYHz!T-~jz)9Wv>$)@Z$t z7d4IAisVQb6&LnC-d~e*z7b{#Pyapg$Hhg&b2*O8)Lk`H}v)VruH8Q^^3_+W8p`TED`a&&{*)H4?uzCV90oiB?_6V*eeWNT9@f`QzL(cMQx-xtNH@BuE z?6$~wA4pgIE#caibs$|m?U5@sNv?O9DbU*EftVPTHDksE4-&r9>hZ1x=>J~jD=D1% zTSG$Y-^YlCu3s6Mfzb2j%CcEaHQ~h##<j-0F1Jv)LHHeG6oQ<9-%a}J1=}yQSld=$dP%KsY znl`{=!*d4t1FL%8SaB4roHs^%(-J@aOhU}o_$NAN^8P)Q_!gtAv7+a9%Y>Q5Mw|-J z#rE)@B`t>?QG1Kg$sx8EZyQ$=mgVEtFb1vvvKf(P49tT@Pv98XjZVJZsmJS5 z%-Z!B|NAg30^q;6@n@oq@_!nn19+T+ONawlcvc0-EzJ>jd;;_1=|8SUgT`~SV-domeh;r{ozzmOPMg#VZN8rV^@+=$H^#;3eJp$=QZC=9CQ`{+K$ zMUG^a6$)8yR3;?)BOY?6S#y-LvC9!GS!z}+;D!(E7!OZ4Cj7ynYgx+)zM*$K#9V+S zzAj5X&ls~rZDD=_IiU>4$T~?B4v#q}um~%Hlq8ou9kCq!-M~7&KQn4OvW%g}y&)5f z!g($99LMqS7q~|`5sXPk&xrhwB*gydsI-D#Co*=RtqVLckJy~OU(O*c0W@J!VF4x? zDXC@&`Xe4R_BsAhoe0wQ$8N22OLGMMk5&F+YUytcC*W47Y3_Kjc5B48;`}ReY_ta3 zRQEZkHEUqCi08ORk8);l@oAY%kXxD~>?q$(T??~>Ywy-*h>khwVF@TiOWwel zhzA|4)^o!S%dfw+ErCY9^o&}t!NU?1@VLej`4&0H1e-*Fz5rO^y=u;{>GY2YkAt!f zq^r*tJSIlu3ce2*V}eZ_mRRVgI?!NjnoUP_A{db?Si0`0V5}8yo$U+Xeu~y$`@$^o zJdm{0bp+bzk9hRc{vFmu0hV~Xo+bjhr8&axgvKxQR~S4`K*-O%j%}QmKwJPL;^H5x z`<-Trn%0R%ICSAbf_RF3DK5gea0>b_=haPe9TN?5M-<`OC z>wjEeTy$yP)m9iHUG}gBBAGE-5$@z-)Q@U|25}Pc$IKFjd??ZEKTD$K4CT6POC&oE zi?GI~f=txTyJ%xEh!CyO}Ddg9;&`fc$(5$98eG$6wqBR`1M{MLR$>L(eW5R3L z#&Z|L>qW$d5B0iB^RBi2dnP*yBp=cOU7nCNQ>#`TDcuS>1?P9|~T zPjp7zvvOY&@nDA~j&dTv681o+D=sizzKeOw%n+ouut=J)8%IO;do3=Q6%h|Q;Cfaa zGeckr3z_csDe;*nq+0OZ`QU*&a71)}*DS$oh7v_$nQ!T^tVKp_I=}`$DZOv1;lC#3bZCBBGfG5YlP!MVnPM{+C8er zo=`abSy^K-|8h}k-*xR)i+!av*mwHg)4n;0NQg#>)^MxPMs`%ySgNay@yr_fdVX{A zZ)r@=&qs#7IVmoWb(YvSCts4L-%`j!9!-2T<(ip5TM4wqnH00<5lkl_U&I%hu$BR* z|43sn-iq=2iq**&%JMvaq%UM=Qm6c4-Ng*p2frkW1-RW-%nsk>0u3S-I{;X zVnnn+JomnZT7z){7wK_W!!20*zmLpui0wkH(WQML-OL`hi1&@k8HV#DtWo}8AC7z3o3vp?31Lu99M_lluEB`md1uG^?9)5=f+Lb^XI1$fr zo_Qvp5f?{4t%HCTtZl&QF|qvJ)HQa7wBiC1(eLrx`)Kn*8xZ57%oi3Hzag}zBpc$P z;WDqRPmAS>@%xNLt*nW3I7DmE9QU7wh84Ul)f&VUP+M7xS#nH#H>Ck%V`C_LGOYA{ zA~oXBW0veus6Gs{gn6&a^jr(dwOQi(PjI6Ib94EsoFxGV<9|})zLtiLwFGg|{C`v+ zvmk4faS`d*IX_hP=a5Yh<07}zEzxzC=KIguZ=@L;NOMYA%%{v{Ja8SMy!O7*8tqw< zgmbZ|u?BIGZx}KW+VK6jHpYVk{{H@I}DNSit#z4l|f5wyRK>T;@n4B19=2d ztQZ@MU0I=d9ghn*kN-s&rW0&`S%;o)EDhxh*R-?*ENjpsXZ&?Z%@1ur0&Dm^EAim_ zhLXI;3pkGFIL~}hje?Xj@@X(a!g2>}NLT%H2I$cWkINECjC?>e01b)sxX+-i1h+)Q z1BdpboCtbY;%hRL2K+wdE%>Pb8PNSqJ<1Xh5ABnMI1ylpl`24PX^t2k&HqYjS(qgl z;<4a$A~WVNYIcO7hy|btes=Io=+|B+je~~Z0kqGjvup|ObpUJf3QK%jR{U^k=x+(1 z84UCD(i-J;VxdEsHN8F5KQ6hxW7lZn`_6H9X0E;Cp&p;NLE{ZGCvou<&+-InjsQB3 zTmL9^^|yx8s9D1MyXqcs@t@?NcbK$j;#m`NC`DTdv@ts<^m#1N{(Iq5;&wlzycD}V<+xIOn2J7kXhKzVVNh!%nMIOu5!!~)QSeI}9bd7x$q&k>HN zA!+L?Q=_Wgxfb%{&O*gb`huRYD9-vCQc z{=r+%5-uW^@O2=z`MKBNd2aDGYT6fIiBF}mi;Ibc!~<-4&WG zufFi&3o|dh`r^l4+5Pcnw?F^ti`$>$eI+_xe(A-R)kF77ue`W}rwG5Q(uOX|U?}2> zoy_+z2bRPB!2Vo?W6etcuD3mhXGx9b2Lj)GTEldC2Lrx`Ik1IW$Lm4QThmJX+j<*n za{3-*fL+5Rd$pm4nYU^Yw}$zh-ZB4;-k3t{$V;pBO$VPh)a=)q-1zJZpGeuTk{7|} zU5B>!otiol(2F&H903H@tP1TZK7yL^hbrHrDI+9s>-hEe>{*MY2~EY#Hp%!3qU5KYI!RDcWViJF zDhV3mTG)F|k zZz^Z;r`hfFj%i;L(Q|>Qn)C~hgEY!@X1`0#lME3cAhvi>H8;BhC`I=P4#xk`hyf;~ z9{leCCs+JswKHk}U{ahm%7d}4MNbVwNjN`Q8H@xc1N2sj$h1u{*XW&UA3bNHMm+U2 zf~uf-ORHU!6I#40->uPJbBN2y|IWNaWdP8e)58F#>2jV@JAP_oPKnKa^QMnrse;M? zyvJLjM)~=q_b~2tvGEbeS=WdM%#KMd#*gkhsiuY|-&Q?spJ)0AMiFP$+_z>%Xy`@oO^rA zc|md>>5y|NnW4YmclMU^n&jO3nB~0C+n$?x%Xvp~`hzl`)y#J_2k;(mqE{?Zj`KSA zG2dBte8lMazPh)ZD@E{XX3@|_&ZYRBufg||^MR6@V^V8iC4Zv7W1>5j`Z-~%3IJA# zN%x=j#@rdGWiC$h7AFF@12;s|C6eT70b!Gt6K{3QA65Inn@H|7OH98Oia`xi%*W^s zEwBzcsZ_h1&~ojE*+q`PKvH6cB=nVSU)yE z(;1j2!j8rL{zbL_(Gbl8n%G-xaw9HQ{{>;Stq;w;isaY0u@E@TU&@!^NDqIgg9d=p z{FN4GdZ2kvX1*4<)4p4n?Oi%FFA0+xfc6imG+X~?pWpuKv)gB8Uf~AdLzSDY360>l zPV-wkx<~*`Br)0_!Mt_aKT)BLwZ70cnbGBko?p-F)0eTuS9Sdf`Oyri769(2!>WUZ z$jeV=jF%V2ztzc|oOGh#{#kKvXY&*>;(pB5Q48A@|6HTZF5&=yIjeZxV-BKMNRR%K zxKo3Z0nCT;*|tCv5pRW+C}Tq7sgNaIcYgXbe+HQGvXx3-LG$w*S^(Bf$zwah#_}KT zwEUV5%m0{Y_Otx2c5>(LWK%YC!+oc?4@dSPVY!uE_a7BaGSEP&WF=i1W{Oi7|5_*a zld|XejQVA&uZY&)l;zvVSZPi&oeZ;DO(_QqWA2eNHWuFG$Ml9V4=ejPCftSe=yR&u zxgYM=WqI@mOtTe#U)VpHo9yU4-Uvsh^>2jj?KwVQm*Gx^J3vND$w$^?$fIcH?=m}8 zYRPM*B@2&c)2rO;$vZVEoav_G%X&NgVYUJ){3tl*A( zpH+>eR2jH@e(l-(gTitT|!G!Mz1X99OM zt@wm6+x7(Wu+qxO0ux6FIanUd6T&>y3-hXS@?MPqT%+eibl68{#l@faxJLg{Xu}$j zLso~(fPB9#`o!%3+T(KcI@h~H8f_NMTfv@K{7b@WTOQpv$?~g;CsX{oW;>EcahH<| zdp=~R73>Mu?J8|5aGz5SxnM%kD^iaxs%`_A+k|;1V1j`++W_WTVczbAxlx!r{03Y$ zX!?2TNNe#KMTob04d!svZB^@uu{5DEB@^G4@!;YS!kraBTLv`RC^pWO8jLemXDp6M zchwJr-;r^nu-ldbbEW+5G;P3&ZEAr46{Q5ijHeF6Y0}d0QiTcn`m%`Bza#z~~InoRj$b zgWtjUb+xx?f;p|(ao%Q}%gH~)xAlkXT}8(kh6u>*{7U>_FYf#B%2rwaee_bByM{yU z1k+PBKZgpo0egXPHFrN(-Y1VtiBYD=0syk1#p`DD;4JhfWsMa+G0)K+t!;-Fxi|P3x1~^ z%r-WdbMg@%g8)wR>(bKC+dcWJ?IRH={644gktVcY(ckjJG%t(hl^`cHDNC_@jBlsc z9ZP$~Y3o>fLgPw^PoM7_WFbJ^21tEPEi-{87=NsGQ!-#)4K(2afW?S(kq*#g8|EKz zM$BQFn>4mdqX9k6Y8t%<)`9^x+^02R_L@T&3C11O9o&nJB^dl!1E5m_NKq#3+G+Af z2Dan}Ozi18yXE80~jOCczB9*UV+*4=Urr7hElI0d-FvTN748c(v)#0?iA;TpKi^9n3a1 zm~RNvcYve06N--Eb+?@RMbk07ZgWN+xD&;W;r9HgmMtCgh|n)_ApjHjSz)&6LTgpv zPOMI-91vRDo?s$@ac>@1?r9S=tneu8%Z&Jnh-W&y5>_wGAecBph1ycl1~VHa7b!>nWR)&rBygwOMlqQ!re%o3=&fp*Ej=>l2im;_UmX zJEr-{M0cVqI7K@f^E6@G>p)7@G?9*ds=>X3T36capa!KL)L;?;%XCm)Gdjx;u*7!l1W4OG;ZE8vwe3ZR}E~($WAYl{#x?sD|4?Z|MilOklAOU{lFCG4bGN)&p!Xc zvy~|PHO=<$;S>(xB^gyKuA1yWtn-$G(=N0k9_!qjjLrbPdt$-WY1xsQEHO-Ps+YRZ z<2e?v+HT$6qw`^U&-SKwCL|B&zPFR!bG_+7Bf^j9qHxEK?K9ov^b){ecI4I4e@OWa z-R43T?_z;JuY+y%vC5oT<$^t##cRnK1A3s9fN&n)#+%iTuO4ldFg}-{R%9orfy!IV zp7^OvjwqXmB`M}C(y*`#V_m+8AJ;9AQ$tfJ{ix9Nh;vXkfd2`FH`|&X*T{|Ha>r%| zOnsy=bs5yt00!ys!b%Nc-I_4OiWm4IR)mUdM+$0-mkkfNY`cteMK)jS-5Z!0vln)| zrzSqi-D%W1oV5VE9TXM5m3Y>uHL}^YDyVI?%UwpgiQYDvhag%5n70syOGg6*h~~{L z<*3x!;d-d;lQHPOZ%-xIm%Ll0N&mZFnSS=eJJL%kD=no&Gg{_J2|y^kTsUi7(blJ} zHNkYHM7SVSM~mU7W-vk9Wan&PX+t&_KBPOkBH%li(q;%yu#uOAj{#YwvoM|*jP{F%>J~lDmxHK8$s!`mQdA4HSn4&@n(ID1` zL8feoqgN99ZK0ut%ZT0FAT|`HG-EgaE^mjkFvwM*>@N(W`@fXf1GQat?&}fMk-11v z7u5vQNkflJ)lBOG3^Ji1H&nJ7HJElp$R;(z)V~cJnFgPtZG=jMoEN3Tg+YkkVx`+- zq&z~9t-~PmYDR^}XAoTP@m4X&R8&*v(ID1^7w{#r*>_P|sW{}2d7{cDz*K-ZKE1CJQ;i$MMuT>Y zVF98+ta+8{eHy|9oC>$WZjiu~eF!gjMW&uq15+{*#P3=inFeaczp3J~Ya=0!8u<0H zA;t&^)bQyRm1~9;BgCjd>7;dg6=kzFm|jxhiI1zolt~6rZ2&djkexQW!894fk+S$c zqj+tWo(`r^+bKDZQ*3mcS=Izv36)fHMR*f(*hw3M3PcE-TKgj^dT>8LFi|%_u5)@J>(z!DVd}dKv^qSklN5V{S%mo9Pt8Hm zG=-8*^$f=+PSWUznkQB6m`|fY)C|CMoks5A{@n)0cuPnb?Vg=`RdM}l@3(qsu18CL zv4d}6Krp)A7@)$Go%@$^E1G(c8+O{cHWtf`Q1c*PZ;MeyQ;(2IO(zB~P16I?^sv0( z)4HPRj2Mw1UoDbiQdHo7XfoW?PL~MN<~>r{sk##(Zf$Wu9IUMPvdhnjXr%E3S{8 zr@S%*=3c8Sn(k5e9jOo(@eXILDcY8%=^6#nHZi_vs$gDwU|=mN)x4$))`rX07@67% zh(8H%wkr1h?G%=}Oxjz;JcZh9_(Z2d?TXMQg}>fV@dDcwA|X&arSmgtI%92^7h&F1 zF@WjX4!hAFptwvu9diLRkKWg9_|vM3;h@Y)CZ6ryFf>$9Q~A&yO(Wn1rcI%Oe3eY; zsMzhB?Y2UOgjrF+sd(KiZRrPKQY+MMh$}Cf^NR>HZcu4`3Y8zAJ^#Y?%0u=%IU4Ij zlNHNXR9&X$XaBXWh+A*1So=HB73*DvM1V@d@wD#?U1b^uLg^G=@VGw!D!4D+FtL}# z7gxn~oftkeVK%7lFt7DC6~UR!+k_A1^(?p35C`UMIqRCbJ%xc;F)yDo)4j_Qb;Z0i zpI6G?1+Jiy&)rEGX(?+o&blbOp~94r8-%*kFDGwm$8KpCH<%G_x2u6##crTL=A>D( zVmIHtC5}2&?8fGJo76ZxdL8s2hYCPgHr`Avotjos#3eD@D`zdM-MIfi(oMV)DX7?O z#?-p41qZZ>-PY14z;Qq&-lS-v;%G~=+j)_T5z@rlz!ijL2~Vpb#aotz?ig6}14nShqAJb>V?fU>E#Zgc`eXLyC>|3*ZBi=_Ki=WrCL(%` zzYj)JlGY)iZ87^bUT~y5>XhW%qO#WHMFobx*+v^&^Og5aztzEirQed;+e z@(uh3oiBcMMgzWn;a}s#Ao)E+Gi0`#Fq%0kj9PxXBRskva1eAvFV^O#9)Wns?Lig;vY0>o`Xk3$o_1oM6wbg=Lh`KSu+;~?J)I^Mb}t!oD0?j z8aEaZDuKjPr1P3Kn@yF+8%P@@0gMCS1vX}9WX?P0TMWXvWo)pKe%`wG&>*N7BzF#u zILmjf)>_yiwGNz>0*5xxxQ)6IWP3(!n0oNhclr^H+Ix5~UTK-jD01tr(*`DV)_SRQ z@Dq6-pZ-wyn>RnNF}^9*DS~3i2=CKHmF!P+lQpM18Au`>#f^_|J}(Ul-&`=IKm~fH zGkn8C-ZUm3(4~ZmZ}tjzm4v)&54P}4hr#1!9?Hnr(|}zDQD))yfex4jDh9c1TAj4I z60DPw<*>?_*?X@Lq_UFv%(!Sq?8tgu=EVygZxNB-4@uK`%cW?F9EIzzn1<&~MwqfC zdsD@rC(|ypitDF^docvgGvd_Y`gwbl;(|=*Wbv+NKHw<6B@Gbd{Bd`>$Olw-peBBZ zfGD$aru$$L$(o1La%I>2z7${C@}TO(fZr$W{QQxEcu)`n~h@8N~TPr&T`yM z3hZ@oqyeXQtowCqTNV{=iW$l4B7zD!R6sW0wb z+K!W157T&))-j#;o9ITYw%0jj`R%01T~XnPrpqa5>wnQwQQ@BDHGde@VctTlBwl6t zI4#+>O0}!@=@hcrscQwbGm`6`R-dz`LPfTHHfF0y&>K7=+<(a*+Y1B!=_( z&L=fukd9lvRbqNjzqonZP*LIW-STY^H5dm#)I+k@PDSsWSqDwYQpJ{Fvzqxrw*Q)4 zMN=HMN>TFAs8w9gqd}*Z6@{JIx1ptND;RX_%eaY<#5p<&*R{N~nwAXG3bOWO%`vwIkmqKS~3A(K~BIE1=_8go;P`bil)MNv|8njj8${iL3Ohj8CHt7j^x1Fxkg%UGp5Uu9IlEf zKR2<Oe8YB}PQE`KePytQnY-mLVG(8!Z zcBp_17liU!V2T$yvL$f_)BUP}iWg4UY+rB7aYcm_50$vI;~Mjd$&?r#S2>q?E@92W z7+9Zd{<=}C#2bmrALoEs(e$#qPD@+=f$EB;@iTX*Rh%VzGzk^74rdh+307AQLDRd6 zw+Thly|4wvF~vt6Y=t41ni9R&Spmbw ztTMA!3-yA~{G~T!E9}W7Dx3~z9kRg`G?+Ry3{p7IsloKVZI3GkVGDIZ&Qg`2!juL4 zptSWr5~?u0D;fuddf1!>wL()=xGxo0C?6!2d0psGfu=Po_YAEm)OAwMI$;^9;;bX0v`Xb-qE&U4-#Z&tEk!?+dFMsV(JCrj63Ql(YbIO8 zSu=%CAFs34OGrYK%xeI@)ly-VX4WNZ+n_paGxLK4S_`pg8ob>#P@c#=sDg?8juM8t z)V;GgIa8ZbGg|@=ax`7s<8+u_7Nxr?$8-)IJ|cMI3U8mi$ha zV^)~n5E}6|ZBf{yXkCYoz^@vaDMNd|t~*|f7m>3dC?Kp}9VPB+lt(tgb>RzbiC49O|XsawN zD>>7x|G>~H&SEZ1$_qpZP)QNj)pbc|{+t%3{$iP_++|*z#XYa8IP3SzNUPL*n|8s# zS@~K=?6yr>?h@LJwPH657Hdf^CQ;9;?6<~>-3W4SLFWyvVmBOhOl6CqRqQ60KDwu3 zSZN)UxXrcNJwkBUUc;-%wh?p}z^cu@?Pd`_(*8%)k9)%YLsVz&<2bZhj8pOy7J zH3li1$R+vhyoT?wz6w*!dsr0h-}VN9q;>-cu#~TX>F8O%NtCWCa(rmTZct;o?6D7@ zDn4cTxGbhltwRM<&M{I=^me>EaahKf)~uQ|6_Bmt!!N!qctcahNmgXz5jgp5n^EhK zjk&?Q-din=+~-Ifw{mVqA|C4+l0n%L;GJ2R+y6TuPKlY2WNEz(siMc99))) zvxty5Whw??0y1U%5mrSuk}3cGL=99D*BiOFd65xn&8LB~sbHMzC6A-=%zawd&h+Ww z;W&%!Hny8!oS@lafQc{MOvvnP1>r_XKZr;N&M-L zV#0kTj-uOv3F!M?>*|V-j@;XYwn5Z70%^Y}^W1FGxK<3Z%7Xitp*6{Y>)hAHG|FZw z5WU=a%;|c)QG+Q&is&V`omcMVSNDNsiI4}93)xPX#ubCy$|9u?gO^RVjgsrQil^#| zY`$+Z5nIouLiDckeyi81Hl@7>pAxAs# z2SS0OHMMqw_TU#K_e(BbNP8H}n^r&uW_dw7X$HAxLX0s?{T23uCO1r71(Su#t&;74?PwSsnVM`#Q{j?wJVGi=QDLjfSx5;Y z)7+9|z_fdXcEQ>rQ)_}Lk;?~%hpde?D}A_+=GmI4z&&6DWb=3nYt}tc!V5PIH^y7= z!aAeo`7Jt2qZtfS)-1m1Zp2!4vo_F57!hyBwaq(jo_Jd0is2Z9x3(iiG-cxxfKl=O zkFKbxp@K%b0sNl~qo%nh$>7uw0H`>NWo?aKr@U_2GCHd;@04t}%Qm&m#%!Qip?G47 z-FztyUPyi6utDdj5dg6Xq5}$a3;}@h-;GR=jTyDcnn9~*iWjb{+o?rO874pl!g8ai z9bQgNZ%Z~FGEXEi!VQ#!37YcFikP^T#S3K1y^_l_db0&>%QmCS6NML=8}_VLEkd;D zq%g7y*PqAr1yeC7e@~hFdT#JG(kECJgYZEMyP7f1+9R3v3++l4ZFyvymu%#?G0wuD z2Zc6ovN2^A@2qp8#QxIPEFz@CS)0}Nnlj~<<#ZNn)@5WfP079f;z5{M)Zqx^YHtG54TE9ONuAefg;BVP^kH7lCew1O%2;t4XNfr<(T zG=xcb!BTXG3dk^_!ploLAtgXIT_);5He64HxKE>OXbHqikFYFbMm~6BZq`Rb=DYpa?ZgE3&aiLR%;S(Qej^Y_6fdd|r|5frji- z>h}Llt;n`U6epzz41tPlXbN-x$J8iW8kghhgw(tpf*ht%b6sM$RYh}!DI?&80=?Ju^)t84iHsW$p1(8>qU!Sq-;w$j0)f{0$ z7mNS$;GB}#U2#8a_Y!E@@zFV-%RC65Kvi77QQ{+yL&f!|a8^ur8eYZqcg+j4hQ?gL ziMZZCM1}w_$b^k;b=ieh@d7fjv)N}9Dqdj9L_q7sd*Q0Qz|u^d4ofr08qLuj2Jxf-GhkWfDXa6v@dml}SPk-&8Dv+|vl=0* z2@`>*zGX28*1sOKtwhMpfP#!mi;&x*b}3M-Brc|i&nlR5ZL^3=0F#lC{C0=7%6fWN z46g>Jwftr)%rv9~mHf8OoW&*xv}JLYN5-r%?Qj-3mi%@Gp$w3Xwuj!3?Z&L%6AV&W z7q$&XrL&6N?kNqP6M~~x?6%45hA#nXWPYOS!YTQ5Hte`M?1osIgE4fu#2{ZQ3Wudy zG)Tv~u%!s3Wig2Ck)n3W>JEe86v}xOhe{w3mUn{fDuD#kcq32=B)(qCce_c|KqZi- z%x=DzLoGeQNQ2VBFa`}eV}s$3o2&yZ`S~Zd?-SMrQ<_i0?F#j#ioVXv#g{lu@fB zF6(Wo#czIG1@hZDqlXH*EMK#qF%?dz3(SfNMx?2hwmojh*vTN?njXaR{N|0AdGT+S zVic0TQ$y2^?J*e~*E?FpS@;x+4wY4ghqzA(m4|;oCCDe$^)T$okxe5TA#{mB?omSX z2-!{tw2Ex=CXt(UtUo;pyB=vkS5j0P~rX*U-~0DC^S<>Y{Lgths1QV z$|d6z(_nbqM9z)3|4~zQ!JrV8rmn;V8gC1qlfx2@4o|BT!_ky)EN-<=ctFJ?_FREW zfKfM#p%rKG-5|fyWoT4^YXMA=?Jg$@+P|-Ba1#xw>$kd#Lf^(vDPam5fGc}q(@^RU`Fuf3#lqQhW9%LH~ z%4ae62BwqhJr;1$wBuD`;dxkP+70+1w)`B+gYjb;O!ZOV@0-E z^axOqZBo?kgn0@zH8n!$5<)~OMscW6drFkpVEE6`L`_2=@h-u<%>yXH)WtR4T+ZwK zzUo8PS7CZqOygoyValWaGeOg6-oTWbPXD|}yi*Qw*|-+q_QOTgM5YO8U^g!LF%;^^ zlxqRRd7nI*x8hT@gt)Jt0O}G9{>OV|NnRa ze3VOQ6Hyd~Z(rKlXZp5kA6DB^)9R)wX-t~OO>*0Lq%)JYL1Yjsr69qkEl7)K?XH3- zx>5ue6(1mi;6hzUL8&0FL=fwuxN)Pkh!BJ-o_jJkBsMhUa3^=Z`=2xCKa)0+Ln;U% zIe%ITb70MdD2MR*y(WCAVrY!&xuhQUZrtou1ygA;z7PuwFq(%_?H|7U7FeByg&5U5 znlBVhvH4^ja(x?^nf;&5kTjVbmI_EA=p3JQI0W0CExpMUgKCOLG6No&Nl|l%(cx`) zHoEfc)z)X}$mX-#Nj~Jc;<|rToV91oo9!$XUWfw6SlDTpa>#m8e~RcKo}Pzpb$W0T`!vD2f? zkg}SbgPpr8XA_*_5~8F2Kp5JgMA*JwEk`H=>;7wm4>NFzX02fKFp8&ddIVJtQx|4Fr0CpswgnFd&nKQacTMc04!u3 zLJUcAY~c|b$s5@2S=zYfhBaLa5krqC@RFNMZ6d1x9DKX>l0!UdA$ZaZFro~}&7=?R z-&*ZH^4LPF($Q#8GbJCDq?XjXzz2O(d3=WUB4WGz{4)@kwabzUQpNPLApCVkWHTUn zg3eSe;Eay@_MXG8R&4#rk7qVq(0Vt77$K}1o4*ey#eV*QjrZLNk-=c+_v5gH>k}76 gC1RSSh#`CQfi|4O*SDQ>0w{osK|Lz}0{{U3|6E~?RsaA1 diff --git a/CPLD/MAXII/db/RAM2GS.cmp.hdb b/CPLD/MAXII/db/RAM2GS.cmp.hdb index 6b03a94af1c6da9afb914cad281e3eff4bcaa796..83869b3975cedbd74a580ff5382ef7be8572019a 100644 GIT binary patch delta 17103 zcmX6?1yCMMki`iO!QF!e2=07Hu;A|Q7Tjgw$KBnX;O?(WCkZPlyk>Nnfl zJJZv%(>ojo*$@XAD**&eK|=iR)gbbJ4FoaD|F{3All*_#9r9EcDU(>$qq{eef*y*3 zj9$k`;pdl^NhbQ!wY7}hzOI(`3<#WLehzfb7OJg0xSx^X|KVXU{KLYoZA?}xQ&GUM zYz+U!Ku@n4+EgS+uT}Q=?d@YK%WgjdNMmy{+g~Re&fdrtFz>o~>%QRI@EA{Hi)mN? zqtXU>Wgy|LSJ;thFT-1KDSaH@J>4(%B)P~wnk>n?$7Us%Y>j+V$P%Aosr0WytN1W{ zbbct&!{lcZlX*73qSm@`T(8PC1?4ZyZtpk$cSQ6}mBbMGp5Y6g7YO%LmMROC&S zH{v^GB&A&X>S{L?+O$Mv_&@Tx_}kXQ{}zwvAXsn^wB#0EbA)F|l?yL4NTqU0U8Jo* z_ejYslJp)?EXeC1*_eIUjKS(LfJ&ZcR=!atd8~GyI!bsnUTK2TBa3y4%smU2Kp9+I zz%-Un^4hw2?|1J~e$7;wAL2dECRuhPGDmmwb);PMtL}}Y-p#M|WGmk+nrfKdD~;<) zxkj6;=A)Utc==ypDXdvBv%4Bfl_~7n=pNrOeMh9}owt&Vlc$1s(8fJBfvYn}{AyNT z(&~k9pBS++pE|y4@Q~N0p99J^d67>?zLQfS{C~~f=cDuH)w;@hJbdlfeUw}kIhxw% zhrTRDR7v-RNv^KN$*x67u0_Ajf6Mo~KBOt>X-!r6?0usOF}!dVR6_8g#5{G6A1!x) zDo&2Pj18KyB9g0Kt+Bjm1DuM@IVUT!*7@s$26h*&N!A*z)iu^9fUoh*uUze}MZ=U_ z{j^IR6_#?dQ99Fq&_LhH>#N1nb0zEDRiom!uO%IZDW!jsNS#I( zdF1;e7fyTX{bTu29kAa@0=r9zb|Zto?1+3jdl!1kuWV&9D#XM88#pzeGe_W1NS1E; zGWpM%1uK~T76U!gKjUmrF+71OL;X4Ri8NLK4L*=e0kpXh#HFw=TcqZwf|Og31`WuWbF*k>g1FqsF=omadVx7JY}NQY`~g^`%1dt}Wdd zU5cqpV`bq;t-%Oo2cW2x$h4=aJQ_X7ZbQI4X0t@a>!E4JfSX>fWAek48{=lQL%ivA zD(c_sN8P9sOqXG|z`g0SUFhxyZ$8Ydb*MJ!^P4<}?r2*4&>UvpRKsjHqqw#}>MLj?(IOpt5bL;^oV{3*^CI_!aEwj8VmwgcDbAdFb6jM) zejnXQU?pT8%RigC5%A#AXE8<3nUD}1wZp@jGQyL}=A={jGfW=F|6o?5c-+628airJ z@cl6~Z%v6*3XqBc7x;)r-uH_@p~Lhy84$N9g~M&}EZhwAkGgJQlaMG!FJ%4g7b}Ko zx@+!$j=LMsC*u9c5dag$f!#H}^N)YjUf29a-X^@4{_}95>Ltme!5rsURYhIuNQ&07 zfor?hRt+8%8JJIP5PbvJNpup{$%xXl5n0*E&EPLr_)3@NO|@_!wFqe>EI zhu%k=waHXFp2d4C8FL|w(FV{#D^Cm= zE8j2o!1>6Trrl~sK`#dq?Y(=5)W~43tCG}do6vff@*h)@0IE+k$2 z4(cBS-?w_or$#B+mD{%U66El(RskBb68pvC(Hq z1EBTjV3BJO>jVeIC?Zm}vxKapuiuza|1+LL;{5rgT;e&U6GSuchbdo!H{US5blxd* z9_4qanH}9vXQ}xo{(bHVY7ZU!+YEm&=PWvJ@vsE1f82wn4+(FIgo?U|@We9ac0+T* z!r*4ac=ujWmMnPkw_%ou1q!!vpjsG*ZVi$V4D2zsfWrg znSN%IPnfr7fJR)wMK5SE)aXuIvsvx#lZHV1+-%D57;Bgo&K0uK;BA|GPfB`H7obe)?Usltx-R4oogXSI=7=FNvXz-3}RP*7Zadc{-Yvhg@{{xur?tr(Rxp;{& zrq%1ZF&Y)0sbbb1BfL(yOPN^u#boy{rVBJ~NE(iLR^s!pd^T2?bKf~lzp>mM<(=ZY z!2AbLe(?SqG;o`7zoX~ypEuxpP)~k!Z~I7ECVi&%*8AFjz!ra5b-$PXQbPA^35a~$ zzGeInlzCIW8Y$^d`-z79t(xz>~cjJ|5@r(}*wT=$M4Ya%$ z=knKJpI5436tx$En?*E*dvn*#?OWh&xRk2-eDR67>pD#Gs3`lky1t9YW zdd_8=G1UO$~gw5Yta;zC}SC&KOMsutOE>_*C4w1{hUY>R%OWjNHC};T6xou@I zeiZ!czNyLD?ne9es164Gc~{Eb)u(H&-uSQfY9a8Q+&#tn<(W42q7Sq@hIxPd+InIm z@!>9!aCUG^3fvGs=Lx#_ZhQ1_0+JuwM1RISrV6f%u)KUzaN`2|&Qd*FSrJ<_Wzrl! z@~_k|tcSh^2c}dI1Z{nIPepAjll>DrL+)Ks@D5Ms^Z&}z&ivD4RI+V1ExEJQl1%Ja z43P(~Wt~8z@VwP|5)Byky(GTv&G_;4;%DZ?ofK8x55O<)FGf#~;OozedhfU^EgPFu z#4S?u)UjBKE6eW*Pf;F|Cf2E8Fh(ZCo49f4ox+1s4T1`WU*~t21AQQe$7wz`rBtDe z$cr(EN>wb21vS!!BXbeS>Yxeek6TqMhV_uZ>0 zXs9`fUmoS{IzAxY4L1eR^(J%lKu&t4A4k4a9a9hPly>Lx)$8t)t?m(kSpvx}7TJye zmrd54%p}|jeb5Bghq~=j%k!yg=f7IIz1f}DJD}dWq@d@Bqu%<5g~BO3VRiucG> zf$pJbTy0+Kype<*zg;7e46xi=uIKSP-4(+dopr{_E(;HjAR`?Mrx}d5~G-{jI$SCT{oqi zMgZ%)Oi1s%ih+rx?7SaqbmEK#u6E;ag2`}e`-ZlIUr=nmeXko%)a0ge#*u_vQ965O zZ25)`t0bMwoOUU89@C#eL}6=X!`^C?JNkyHvIsR_u^t_2b+$kEox6$bJmc2(0{y};Ff0vlhuk- z)c*CWcET;Wrc;0eLkWiU=kJEOO^aAH&#WHVH zTzcgk-hxfmV2#-cdoYJ~2}{L2AlKh38Ny=!=BJq9UHn4_(zs5ZGIE3@>c_Viw!YNU zi7&==%%6(v$RBNQ!`XjjYml>VHP^a6vHpqoPmtVct_glZ?@sf~ckfHmX8kvLE0%>_ zDcO2Q2`Xc2d^Im?L)s_fFgAjwU#wrS<=SO*fh}h@h%M*lZos}RL!W!Ck~#By5LeD? zWoW;+kS)eFKJ70(-58UlTg=Rv%qOHj@i{+dQlF5FnK_q;@LHuDPOu;aCE{se^=yrl zMA4RXmfT$FZ|$xR+q+1nhyVFbn2O73O!+$vIf0fu$|3Cs%Y3BB!7<6partiQpMA`U z%y2VLK%z{#ynspV{_41#t9sQy7Z!t2#79n0>=-`7ld0PNC6}|_cMknG3od23esu%i9ie|x*s@%T5a;fupK`VS&F>T;WAby=w#Ua@W^C2fU#-uD0{Yvfy2AV zQ=gV8>bNqtM%0XWxnH`M5uB*wNraR79$OxrB1Tq(*ol1Zlj+CfqpE}pZK)4X(Bi90 z9_4GYJu^H@g0&v=JSSYXTJ3|>>8D9~e>8fHzF9ZLY^G*b+M`6Hlx(7;z@{^+vVNSP?8u)k7U`YZkbhE)<58Ntm~Q>C zy*A^gZ0_tvIANsm=}7yNu8%syOL%m#kxYV3*jBrGB43QZO(Y)9jwdkXoZFY*^JKMI z7RDVZ$vwo*3`qF1c{6<@G#+GoL{0}&$Krg8lJ=qQWifG$Xwt%k#Zfz5);#!u1XvFp zX;(7U)wpJN&9@;p*0^SMCB=Ms5q_=?YJ9n^Txcu}YCO2D6zGyPQ7U8-^eJ~S`dg_3 z9QZND+*qxan^wYj%qb*Q+Ip_^nqyFUi$GXRAsr4Q9gZU%jv^gSA{~w(X=LI~XW&k! zeA%@1S+kGI3zmT1$r7n&VXo+31~ew1n9#~yAe^!jg`n-bF?NPVNB6Q|szlwbzd{f5 z{`XW@aCu$0pt1O{JW-^Fkc|>+O>F!8gdKy;7T`iXc%qJw-HO+Lk7ObcYFVY};nuJ+ zft@GgvQ{BCH_qNNW3_;wRPU%tpo7?U7J8{I8#W2-_rkPWtaVNV{VwX904D!LeWR%! zaI)7znP)w!Pvc#bUr$kXUj7=>{gLcvsxK0IY3{bY8l0O3`N69a$>7auNlD~@LD6DAnnBeMlf(vk;zG+ zewQgAqPc~2db7`4atmJUxc|Lut$$}_rq^a9(Q_Kuni0cB%Jpw8^R~8GbIMPejT=Hsjo3&KmH!zNL$#Dr@)8SBpMW zp(7fMt{R;~@;YDYzuGjW_EE*+PRt^;%5{5m_-r<|Mdh4ew*H&pX8zu^D5w9;^S>FA zEVY2f2mw8IiIVyLXJ92J6RL&LvRO#R^w>%3PMfDvKu>$r;h#p~=Y&M4c#f?UxvrF@ zW$ni=uhhFq7uykX1vy^vMkzQ}>IGNPuE(l72R^xDaF^5=YFU#hbSW0_76)I>W*Q>Bg3jL)Lm zSV;!QjY$T)`Y{E7W}a;SGLe;n9=Zca!+l)jIwz^?quc?=&?N1 zUS!tt)B7Hi=xo|FpSNP);vmW&m`QsuBwS(<0$TNKUNKgC!MS(J4Tp0OjqWiQMZ)>P z_>yfn3@`%U2YxWXAZ2u*JCA%~xC4D0--A4r?fUaXK8n=)JppQ_9J{M&0RCaNJfBo{ z=f@Uf7CVY3sUo|Hox5;hbNl)N)=y91A0hL9l6Ecvaxii0jW!(ec1~y7GyVu0v%dB~ z2SW2^_6UaFyF#I8sE)Ltrc!pm;U9BKk`6+OpU?jKhnvUY{?FO8g8M1l+)C6x^odE2 z4y`h5?5qiqvsO6JUNC43i>11-eT$_xbId&gDSYg4PK?2>#6~Lm_zi`$8|ZaKQmGZr zw(u;^sUEV%g7T50zxWnBXCDhAU$@bKJQi#%_Idtv`LQsgU2de9%VYL3<>(R7v`CSJ zf8q(O+f+H!*qVM%hJ4urdrM{pAn*LhD;~ZaorBKS`)unAiUW-!F8-Yi0P zrO|0Ap%tW6e~CuQ?cuh?%<7NC)}L~$d3od&LITI~)CI3avP%UQEE!Df;pH!ZDiss9 zHMpl$Hq+e5(pR$>=DTAzG83BRq7{*A5H#qs(l(~YPw?kb5;2~XNz7+S0TjUEFa?$wWp_+Ht?5Hg3&X0%*VG5Ubz;5{XxZ9{u<(g z@7dFH^dZW20ycPZ4!-UMp4J?01j`16BofB#mf9w*`^G;@PPUb>YX(2~l7^@rnfv9{o$=7s= zzayo-KlX$0c6OtL;JRT0=V*T1q<*zq*e|sD=!$X@9=>MZ0gM6`Z7yS1{!+b>Da3BALjZW1^rX^kB*Pqf$J0I{=Il)~6AU!VFV z@`UoS-v`kNQHNVs#rao?Lu1r~(gQEGdq*~<$!EZ#Ehb2?j={UNi9cVT9m(WFBMK^Z zGwpT~1q3N%B~?sxb${OBB^WUz|4e)4K-GUCSIi6Ch!(fPJA9*IX}>C2GU=N7mf$E# zKI6_{Ug|*?qNBqQ3?PRJ2PIh2QaNS|%YG5$;t_>Ors}JAdPby5kdMoKO|(Bj0E2tI zQ%$~1HC65KH(SiqMs2|IniV>Z<}-E}4Jj8!G>vawhcJp*MpANfL&e?n(_p0ZfUtkA zzw_h7bu_sn9x{s*^6|8d^q+t54FLFU%Q;(*TC|?jh-vHp0f`+CUf$lGSH71s!SHc{ zp*yU5?ySPBP2O0}&z4w2T;u}yM?XmvDsC#gbuHWE*;QA z<()hFqWHL1=xKP9;!k=taZPj461fkS{BYYml2R|A>2q;j7%)H~@bxH%vWOHu_Jd`1 zuD5?oW3&|t_=py(=!<6Pd_})b82(^>4RfFNE9gH6pePzV^RY zYDs;x)swjF%^9<}X!84lSAJ#y>N=z2^=jBo{N1)EE5;cwuAx3QJNVIYHz(@$0z*Ie zwXx>K{a?@`it4nSSQ~+ciEP%S@UwAZJ*YNI(j4dj&>G--xc=*C5M!8aH%vf{n5mM7 zJVQKeMRI^d42eUW@`}UIt}kqc$OyW~DAl$LOws<*ad_+p5qCu#b78;a0dczOcP&p@ z2YACB^-6X}-=_X|WVT5Hsdt-&<|9#VoVTL%&V4c0>xI6ZfesYed*z*~L-@dQ-taea zMFW0- zAI7>LnhifOfPUG$9?p*1+zPctk1CYf6yISQYns+h!&T zdVLJi__fEc#{HZaXdCm4p58?b3@5GqKM_R-c9{Yh6K~Ef%}E#(vgl_zOubxlaT_)H zir_@J(kuPk(L@5Sr>7SKTQs?%lhg+(#HWLoVuHk zZTIyAp|NFM;|+`LdY&k#F|IV)k$aSH^n(n4wIENV>z>JpiIN^&X(Im1xg=LLTX;qv zql&d+-*zINiF6@5j({ixs;P!-g?nXs}YpFr|$; zb>kPuhj8;7E(BesEv=>XGVm6HFqScT-D2OlN|}mEUUWpY==<*jr?Y#NztHF_7(9#) zZ};9m^){~C5);T4iN<~!ig>WH+K_S2Nj$u5& z_D|VE#FP4lulmwb`Xf~|lR16_)aAS3wKhM&CUz3im4NQUKoX;!ZCn%|1cj0^o7n^< zuSgh+bZv=jZ{H~F8g9MzLbe`B{+e&6)_I_1LM{gzcf3+^dm~%}r{xudwr@cx*NMGN z;@csl6hi`0Ib=S`^e;ToIp@UEFP#wVabrFj;GQ|?p?L=Mji6zBY>TMXD&6j+$dun= z__jv7bEq_A6nwZuB#%&|MZ`8opq>~T(kol7(bjO*Xs1Wt44Rm?#Fv`S%ndoq<<-)e z7x?mz-)S8J>{tkpit|P#`aI;scA*}@h7LdbdwDj*c%=)nkoUj$dAKl|@Gtf|&^4nE z5m6@gKMKg>JUo4@jgjtNef048B0MgscL#BYy>i7muDwCz4?n@Wr))k-(_(@@-1nFt zEGlrv@`qMa*5R+xXivpF8Mbfu*GVY_V1}CAhyHe>4`l#xU2qQiyRAPx(Uc}l`El*5 zU$P{p#GYLWb3`)5-mN7;VJ(PH#FC3-KIn7@Dkjnep&fC)tg{bfzXVV(M}-lCA{=f# zt8G9(5pi?vlmB-O9dt8+^;%VUY*Vquet8Ub(VJNX}#0npncZJWZ z_HDJ^UC~Qk-%FpbDenc`4hDNc32~R4)L~A+OC5#8j{J_zO?}5U5@zN{TiEr#SJtQO zfKkvxMpg-CC**aQ&K*w5Rcj=^lq5E)iWdkxl^`EUU0COt=?|q8%8T^k58GI$mVIO^Xr*A8c1}0BXpEIeqlso82@&M}le@Sw~%K z6!OM5$gy7rM+4#@l*28ZFO}hH*wq(SXyC5UDNRk$_yvxEJZs3JB^%y(bl!4zS#w8` z7ijZW!rYMU8csEmY8j>sT6OV|QGl{>20Z*v(!O$e zh&n*pgrai@Rh`Iecq8U&t3QdRTMeSh1R%E8O5rc4VK3Cl>-HB}RFLZ5IN5?{xcfJc zbEh1Qx&h^%Ai6-ZLF(6yLOW2Tp1$MmU(|aJ&HmAPD6G<(QVhP>=(OTy0yGgyMv8pj ztphK1IjR020bCgv?_px!g**X=LufyoobTQ0I#+0rK5#Y%$e{mJ{~DOOr)uvl#O~IU zHi`_#kOv}Wmh1@>QI=KRcs0ZKD1xD_%l4oy(2g~R4jnRe5xVgOrb6HkX9Ec*(=p^& zcxBr10&DF44cG_ejDCL11L?MxdlS9t?C_ugl#&$-?|-&)e(}ltw$yj?^>uWOQ5W?Q zqEA?GT;I9JN2RSgJd9DK)a)QhCiJZsrtC$h3F2!Gpii>33sauTy^D6Bxm` zzu1;esWt6@tOBlnIf-bayr30qR*+hh@U^0jF z`~0SKUeZ|>#-EPcx=d8H-<~|V&W25u6tMh))iGH2fgKva-iH6S3-LI@8Jf(ym0T(7 zW4qtJ#e$Ie5gxy>kcr#*AkTuR>%Mpsws;q^B<=gJ zGvbK07=t;P0FfAGquQ;&)BD91@XX*#sX)`4A5?j|nLmJ1n)Pa(PfnLZ( zJ2qw=3XK*(_zGIJ=fv7locKVY72xzZ=5DTSP~;`GGQYDJK!X@+P(0T8H*z3UNgmkA z)?)f5YG5fhI2RiKMu~?1-YPT@>u$F{6cqOXZIa!UcRuj}qu|>L(dnq!$f}uL8kMc0 zrtZ2gg-C8P6*-S$ z@#bs8(kI!BUb$S#1)N^hh(skVJ2-jQ)`B7tZol(%lA`WJFeinDfoBytqqi>K#WKiL zJ3as}7Uu-!uD9ET(2HwQ;!TLnilLlBE9n7^qaZmZO!4&aq!3_>uD))?d0_w=V6ViXG>8h*8PpY9QIQ25k}^5$41 zISGU95q*Cq?}TJ}Z-AG#Sl~^*Zk)LhbiD)n5pH*nAbx& z{V+dz=U!*aA76x`U8H-&dk_Ug7wW6G|#!WnCSTMpL$Rbbq)(QO07 zxdUxH3K4E7e<~K3?<+x)|0|Af`<$RHZ0m~Bmp_tpTjqRv6Re_k74bFz-)*|ZRcS40 zioLP(;Gt*v`2U>--b444R&h5@xRp(DE~dD=Po{7;4$wcyQrS=#HXgh^Bamo)7pZ(? zvQizyEgp!c)R8r|JO@0&0RcoCO=L8^U$oG@caVY2T1+%4NkH^%9e}FjW%x;AYJ`B= zyJ^wa%Z|#|nqP-M$#2!0J4(!`fz4LORu9bM+@+nq=jknv?*IUPOu;xF^F=Vp*aB^4 z>(rstcjz!WJK*z+rPn#p{;i+$VMPREkef;Hhz0dy`!EWAU{a~uuMpORN`5QsNY-?l^ zbG>Y&V+!P7*YDM*o4^BFNiSk>ePK{+`W}=KGf;#^p|@?0vA(12o5@V1Z)hEL$pk!h zWA*V+Ul+K1I(F@pzQwxoto$_g_ncSoYYI~aX+1DPvEuePHeloZ#H7o9fo_U%Hl+!Z z+O#WiF>BgBzHd33NezCLI)T}+PwAdQ@2}nYu-OeM8?z3Ww_KIUtXL=+VhEs7O;!_T z?nGWo;zLTHVa7kGW&GLPg$AYklWfu~z*sqo_$DPFhj8w3%Q=I6Hvel5w3f#9U-w2{ z_D-Nd51-YH1Pw;`-M$2iIR9&m(3X&CKCdipw5)91@%&Nk%u(2=qzi)Hb%h)kmeO#m zh4D})Rh^VPH__8zM(vz(fih-Iz3=EP**Qlhd#6!_uTl}JJTk_mzqg}@6t7;joXW{q zImJ_A@7~=}uW8cEOj+w6j{t3xf7l&Zl?%X$aiVfil=Sg@LP=RcK#3gMeDdPA!DIG2 zeX^9hvG>&}DEpXy(<0t4=#?N|7R~BZUxjM90vu!IyWV3MQ&NNtCD%d1!ndd#k_>{% zC}utv&wRu0o@dh|m@4&!P0qaT%uk%duI#$QquYWr05YSb#t=}vD$R9P3{oP~8kt{<%8g|_7g zrH{&z6RzbaYnR>n{6d%mr=ygXy8D+Wy0u5*#5cy<=*{VyUD3!@}~}CUc6j>Kk4Id*|y63ogbgf zVs2jJ23*Op%j!wC_(4T9QX$3XxyOX`D&fFKTw3VN&6PG!rj83tWrx5b%9N@6Xl6J?oKMTozmLWCJSmVC4t`2L`2*Uw*cILkp;e zJ?8dd4g6WY$NkbZCw2c$Z7so=ZeF6Jw(^!^`pQ??6fwz)kA;6R3;4Q@^95ax+{yq~B`#pV8Z<=?XH#0rtE*(9B2McZEAx z%s!P3F!`2(7iz_0`Y=`F`!Cl#{P@l#dL@(L%Zz8%Ul66XkNCS~SIG^gIrrjsxdfY` z@fr#hoeMG!m9Vp8^!lZKbskUDBLoB=f3rz6k|GL0bvGqw16gc`VOeyel%-R`9;f$n zBqqZw3N{JmmKaAx0`4OmYsErENLI{8N9><8lX7m=(T`8zTq(Ed$fi7flDp2KlZz#6 zN^dhgC>}MS&cb!lA8uAIqGOM`sAP zA)1XWpy}L^gUV6M%|cX5L+7d(uO`&G&+U!VVSvFp0~2Tx7V9nEqze}28W0=sIY@VN zY^tzk8l{`$1aWNfEgrFyxKufr$T>7&QiD8nY6@Du9cC`Heml$D*1`0Ub6{=d8WcBv z@}NfLK3L1^vU}ETuWRHFI=__u>J{h{mj&^skkH(tWY8>%(WxS`xzTs-Q$RbE(8`#5 zVOyh)t=y6LvAh1wA~0NkuUE^lw(P8-yu>h<7&3agIuTA)WeqlFU|jiX81cO?Y?5+; za&78E-O8`dC@^RJc|Fa{De7bHU-FZ$IDQ{ZN^YRgWoB6wv}p5K3C4~ez;;*1ARk53!SjfFJSpA-D304 z(HAX>y|-AU%fwq@p(}L*7e`~m-4H*3y&a;UQK|zU#KXx_nojd+ybOTFfVF;I2om<}LEJZTxc!Qac{CE4vmwE6R~n>M>^F50CmdUX+=asA-8T`gqy zL~FpvL$kh0FZ?l0FHCBklpE9hYnl=Lwn9ASPt>-5_O(f;EAql>!V|#1hY3_-x>%Q& zxoMZf_|&;1y{MGiJhQ_zp8t2^D%r2^5BY-MgE(2^h85!5RMVNmTy={ykf;CM=0f)~ zNa%l`ryw!B{W9FmIuw&wqA3_03RF{Jph+6KO3E}Y9_%$o$#Y8Eeq;>nuY-DfaSMv; zhW`pYJHVO7jeJWRvrEMZyat++kwgv*`*X>heH{+F2J+PR1MlJA0lwS;-oL6mNpQT< z7Z8anT8{W-;Ude0ziWxzf2%b$CrKn{;rv$`Ky}OKZWA4 z2hOpi{ca1T8!!K$^8u0}#Y% z*EC;OM}22y0lylvx&(M7KpwVqG|gt%SJfSQ_maASCWA1U$ z%IE97<-iC|{gMCkj+Hx*td_%6b$h=(J%tsr9*0>*@L2DM1Ef2h!^ECX0KJbqWKYDY zk7ib;4g4J8i?Q(5(pK~2-lwi~ziIz`LopRiFa#8CpE4)E#1;Wp$B7P&g{zO%14-}N z4u=AM*H1|VdN5Uya>;DqN~_mKZw|HLYFu&O0{5T`M$|VmL$7XCoug*R3Bk5!=!f5b zUkG6jI-Md!0exK}-VDsL!ATFl{nXN=6wW;rg2(2DR&Hl$hr=hHyisXf2(58x+3bhhG;53H?mCjuaTNM}Luqy9PEx4M!Z`a8{%NzVrL4v9he;V+ zw&VZBl#%)w%<6HrIha-QYgS{YddJ_>PeKgLyvm+z9~Q~xrEmmQaRdq0(%niu&AbT* zpdLt+BiK>lf^RuG^r^tDOVpK}c8UudI5@Pk7(dsYe&>$$pKw(&sxMY-H2CgBZX=o| zv@wzb3_hr0cO;9ok1dm3j;*YX&Q?ZstLqNVtp$|@Y*b>zR0#Z-{6s<3z0D}2jo=e3 zsySxeYDhmg)nJ;E8Utbhq5dojA1L%)}&w zJ^gW*^CMFHoa}K@iAKCQD4!KpOq%(@C)?CxizHGy0$tvy9dP`Ssc8QkrLpLKn`SQ{ zvK*UMwu^@heZLRIHc5e2rpKWEpDd@;i5Pd$!QsTOQ)@rxNI}GXeovgPfc_5DYL|Y? z8`STFkds1M`PzhV*ij&x*2U9(ox5Jd2%E^TGQ|v`4raDM^)+<5j7SgY zhPzz#kyCwd?7__`(Ob;C!UeeCy9?=7^f$1cXN#xpEULCsJnX%lRX9v(GdTOZFzlIh zuE0mr|H!h9s~1|Q3{Wf`n>fq|Gd^v#@;qv%2i#Z-uDV>-iL~(b0B!f zjJQnN38d}2T`|g#^*fHnoEWf8g*_*yBUawRo0-Zd2 z8%&?0->nT%MFap&m+q-4uNYoc|6IuRfr;$L0W1 z_r>K+ZI&rp+!m{QkZ>nk# z+WE+?uKxmS>W|uoCgPfj$`-D+5_5;>ojNvmnIA0T``4a&nS1iP zH-Eo^h|MJUXh=cx9r#h{h;$KBYq1mf&RI_%!q1p^(Gw!*=;U#iaJrn_-_)JxZU5Ye zA0VPS;}*Iw730V$|O5>Rv54wANGc-zaN!?(X6JCRx?cg3izo^p@Y}WWZ=M z7DaF7LOWCQ8>05Aclua<3@Z;p12|$c&UN@NIRZd^5ki7Qt(_03JnoV8Yir}_)`=GkjmCft^hxI)6*+4l5GsUW0 zy<`M{rir}GA>Bbg^d3oOJ6zNY4!RM0#5iX4DRC|wx-I3NI&4;Gr6#&0AX_}m9^@g1 z^sirTEGb=>$u!q99=)1b8a)5G*`Z~ndFH>G8sm>)=hxRy79Ql;U5EfA_q5c52Lj>fZK} zkSao%yj9ZITG|BZsA3{hq-EW`Ja&@4v+~_|+rFbGnHwX#rO|&NGsmPfxL&c)USAHm zJT2Al9vO^WlwW&4h#u-FvF7UIYY~g!czV2RY+wv;+^p!fSM^eS1(s(|o?^ruJdJX5 zGhzDX$TjFgc#L2Q^j3O*6(Mn4fGxhfrJ>R(@bI$g9ttZ)r-F=Ib+uGgj7$mp#{|1L0@5&%2vb$RwEZWA=)22=gH@roPfZ7?z|%WfXQXitb%fz zHq*DA%hE`^5b{%K3CFYXAATJ6l9j}>Cd$_|XzBA`tw*6VMU2^GDjaKr!yT|J;2y%J z82<_2|NXwk2(y#>d=a4Qav!LmJa}xBjr_Z=|1`O+C1~(?JDC4B&wJ|eb&HGRc+FCa z&sWZV6013;{TLv12zg5}Gd{B9N9*6oKv&5GHW>!+fDc@FmcEgBEmTrO<lEng zI2hmBi^!XK!T`Bld!$AiTtIey=PAyYZnLt)Gy1!2A--1E_2-*Ia#`0*KF?{RE^d$o zpAqq(E-u^OD%Ie$#w;8w$DGbFbJS!4G~9 zzps(tQW1r!~sHrz(2!I{M+D8hqiY-X*EzD`bQ6#oW`JJQZH7d<$_&$;#R`^fxkS6p z3=`CuV8c*Sc+b_@eOhTrqp~B|TBv%e1(J6!mKNy;Q2Tob17DrzIGe`p@n0 zj^UWhgSfL1o`C$HgwU>&2p{`gqXBEx{{%t;z5NAXfY4Ee;>l^1hkAc5)EOS?##GdS z5nZPNbv!Kmutx0zCKfO}AN4lVoOhhzF$#WvO3utO0$D*p^otNyMhV-agauIoWrk$9 zFW>KtLSiy967GkfTg)1Wc=o`2|(w3gk zst%)twxY3{@u`ftR@gBo!!h=8vT<+}aN%wdTELD1kS9*ZjcoxuHm3g~+F|;lgaTfF zk3nK}iFK*iuC&>KX!Sf6Gi;8~`sw0v>(f{-eB4_42Qn-+D&2O(w*F&~$BWmm*&P#G zthT#_knBQPN`5^5f|bv?hgP3v@tZp19vFqhYU|%i6vGZngb2qi(N*wM*bP(dh^gJZ z1hI5i)Ka%vvlF1}f;~@1g3o%@3DuhVh)N8k7jOAN?k^xn;HB?TjN6E_(imj#VR-V zfK<5C#roJ|Lx{D@W25#-t|hiYd6G8+@1=yM;N8+cTH$2(c4_Ry7n_p{R4#UZT^T#A z#e~M}h{=dSTH&CW&=|p-Blyp#LmK0V5em34R+pHJn1kGg#l~&y^cuU6h}m%_YMkEJ zt5J?)qJ)@(+@{AMF+$AJ7{?zIVZ`avSZz;>LSn5SgT(q+Y|$Hgs^-(8#k467%tHzJ n#!Ji&H(~DWgz%_zkA~P};CAv0ZsCaaG5&gX>Hh(<#6koH1WR93 delta 17145 zcmX6^1yJ5h*M&lX;5)ryAvqx?q008yFA6+9^BpC9e&>L&&-)UbF;g% zH`#3Nx!KgmK@P@2#)<(g@H8}!KA?MGERBoR$?R;j9SeH#d2!Kef90BQf5qv2JWIf? z>Q{*~UvvP$00P-@?A@RjfjEp(FHS|E0r)Qk{a_#f&g;%L!wzuqDI09g^nCG>u}~?|;Er4Q)gg zqoKwN^^PXy5ScME3L;0D6tCd^D`HG>D4ma>I#3MxFJEHPv9?GLNfeNR^%=O5cu}U; z`t@3)!xSTAC}|aGaPem0$wm(>u=!?DS`5dzmYT5WjsIY@K#Wg8w<450PPKsPI&U&iP`CVy@Rja|)*M92{T^=;fwoBVeV6^>C5+^HJYQS8~~KtZI5EiI_86 zx^=cDxni1~k6RBc$$S}KJgu0XDP4XWZ;C(~;cij0=FD?uX#<_M+eJV2W#uYzH2GRAELrP@Dt7rgt>!DM+cVzj$n6e|+FT0< z$vAqL7TOC+RTlgE)oaOfSQ6Df)KfwqnJTLwVyD z@TKd1Lg7f{-M0TV($|C?b0T0F6eldgxz-I04MmV@a`eZPt0 z40L^t!TK~{RLQMf`=`A(#Q~MFCYj_V-E@2UG2Zo(8MG+k9F}EKb730RS4r32EwUQl z*f(0Ny~jM)7!Q2k|4k8dA!ec2x?>ha&Er{MFzudZ*7JR8XoOd*b5i?}G=RBh2wTnc zNBk~e(YyCPuaeGpG4^~~!?+C$2))^D#|nBP4J3!WT_DJ&c=zvI-UL>&@*<&(J``_; zQ2u$v*4rkms&~t-(~+KZA>qyJ&F9t5v87KzK_#?UR|L2^3bRt+Vs<3yT5Z=GMutQp zrK2PEFTY89$pm~U3)9&b-I>A+9B|>P=ifVz*pB9r0-5oh25pnY1`OWVr77)ZI(FV1 z>$D9%dR!KR&;G2(yJQk-!#j#eSoE&!q0SC-iL_jp_>5A@Pfk>>MUhix^@V1A z0P3tsRIAgrtkFAe%-wCL?PPiN$!rIfaDm_!PXbuyJKdj1`jqjC&q}^ z^((me&#U3{*$v2M?ct~@;D^dg2m)q`6j`>xb|}}Jn91b4-s?@J4hyo^$#+9S6DCvr z@<(^ut4{2N+*>&Luhm!H!F-+ zsT13GJ$S7P!TJ7=?TzPsLR^x(1xD}v<==pt2K>SA3eh2}NAXaqJ=220?@Hs=_`h6j zP@YMuKqdl0hOshSL(g{sxLztuvwHF@IYQRGE*3HX=3?y}X;mN{=M|W8$^Z40EX0ZbgA7@^HUdTQ|q6qY&xq#K#uRnBED^ z2@8Xn66M}~A(X4X3`N?h`CKD@1n77ddWfbhO&CJQaXL1+tcggh` z>ZECRiZhkCUOd~Aug!8jyz6oL(yLwXzRxhuWi9WfzVy{2jWQ%zls9098Sp*YO^JHd zb|W%q0FsByxT-#(oVQ7YCg*OMwrVD4z@P~eiu%TNSW{%xdz;}2V zmo9jXqs-P@qPe}%ZS$VC8@i^W?QBo-Nx9x-w|X0w4&8mbhY9hnl%>CWd@A{3{WPwKv_kv-%~(}ojWi6ty#wf?Ny6=fa72UhC8<@tktdtAPOcVza$u7=m$5qbKqPe0qL^e#FmNyiegEd4K!) zhy-d|GLw2!t<*^cfo*d@Z)wmm6~1Az3G(m4H# zF%1kf{o<%X!PXiE-3m==@Sp8#Uiqw7BNgw0{)Ml%yqQnpsFH6S>IFkT%RHva^Jl!3 zyQg@oz2y|VWrduhzput_=sg9Il)S{}>3ZVC|%RT8Hvn=C$5FUr8#O*p&QYKUt+8Fo$enE%B4N(uaF1~7?Te6A+B=< zlAHPMk0#?+Lc2!cFk3+UwREh0kx*8}EZ0h13KX#aW}JZRq8Y&*Tbu~T#>gp(hG^}+ z%6pXTc(@0~D$qOho52P@s_uA>Hjj(%-`7J|@^;26cQU&(`AThI4W_+Mph3~i5kB@( z5ZXS?VeJZGzA|ekm#(K$;js=JD+- zx@Nq}lUSHsv8>6T&jEoy7lBSTFsc?~i*%rg0)N&oX!SqAh<)!53aVk(J`g8E3y8jn zQaP5U^$D0A{wLVM&8}L-&^SorC0wwykn)r)pGE7`Q{ExC5RLP~-kUjS1N#av7{F$; zrPN~%qy57g{a`K;PH*bUtPLPOju|Ik>{aodG zq|Y}+;zHxMKg@bNZ=4-NB;cZcu>@L}(iH(A7kY)Ut<%uIbGH0Fq{1+Y>%MC)t-Jv_ z7FC#?Gh248MY@-w*R8v2k$?IC0?oed=3{uY@1ggLGSzPvE(*J0lU;_@G~_GE#%q2k zCI~!~0Uo4|5u)U)8hU_`KFTR4Q-DIU8i?GZSd^0<$ufhY1E#tTb;QgnmhRN3#KhA= zgHL;=%wGqJ`v)b#Aj_tKdfhh>0UdJ4AQtI#HTn&)Mj~^}fNU!7xw8|X{JO5r)x_*{ zbbKi;tAUTlDkCWgIRR7F%z?@`DI;k%*mCUOkSkZRe+)vl=2x=i9Q8xyC23oOdavnj z^C}Yls$3PuVM{XXx<$BtYxdt$wnp_#`t?mR2>BfiTG*$2gWcxmNtpeFRuT0~49+aI zeBjNygz2b!MBT|t^zyM&V7C3iJ}^0Px! z6YNnzI>II-({``k;}u;kpNT3Ed|X5@$(FRytgAFLHttxzeYSGN9G6*f9a@6P`Jt81 z{HyK<8pOp!fl$52)nKo~hj_eBrmc%EdI2C7fZS zb^FOOmu%25kJ%c(p2GRZpo}~~VY7Z@W*1A&4GLAx?G*tiZhH!v)_MN8T;7}Y^FG$r zQt2M`38TcSk{Yg(9bS>PW}cC@dLK~xfcyuQ9$vbD~N= z!U0MdDv{gN7yHYqmg~K4!~ zYgjw2PxmdLHji3RjEwPAovHquJwUS+vjuGBHsecy*VdZZW9?veslW^i2C*s=W4j&% zov$U_N~hH{hXpgALFPsS+MQ>=cd@e8$;kT~b%k19_bW=}==ExB8zMXynQ{lBGC^RS z&nH>0r$!m7nN6f=xU9h=xwjuR;B5l-Pq~V2@s#bW}uzC zCDGjqrX@Wsd}u(2HRqyH85ij^D8R$m)Eo+5uIOk4973ITgqP1o=p{ig)4hUS$m+m> zcsS8N${rw2R)qFQQqrn_<{UB+6c`ovY3N|fNGI>-8ATwIDOe_qVJy@6(K$q`Vd8K` zmMlsp#j!Ocl7SySbIXZ&5u0^O){3uG=IV=ftEE-Pa$Eu+4#uArOlzu)bC+3kKo zVGwI`^BD67mhNm!YgBA_z;rivYSb*<##OZ@57U6;7dD7{yeXi(TzgG&gFCS4`;>n< zUn(OHJR@a8f2(ias`gRR#v(+^+*(nN-laM-i@KU&yKmA)S_Ho}NN_GIbuT^1O}uSY z8*NxUW$cJ;OeO%Y!IXgb2d!FRS7GjQG#qF)@!-LXP^LO!Zfsc_I8a?$KFM4%cf8W% zg)N>{pikh>D0O-@&MIZ2rCn6k7G|Ap?<^Tlzu>AkU7?xgR_x=dS#wSj;bR+u(w zW|A*zbkSwE2oIYAHHaK?dJ2_`NX{cj>P`}4o3(^ZU;F{D6{NBA4jdTVzGJ#fc2c#= zOnPCBP4tuNy>und6DwV>x|5nJ&mXLeIyGeauH7rM1935UN49cL3(Mt>tX|9fQI`W; zr9sV3Dvd4%M7J=mzPPNlN^H*wi`UL6O!{LavrB<@DtBODNZZlD!?dGwGheQI0;Msx zXqO>i)wCn`+o4UYt&YdxYyi`B>169&E%SBj-RWhE7%#7+ovqc&JOPh3_D`X zgc{A0YR{OQW>jB_1xw~2Nn*y*LRiNv-)ncQ-}6W8zLoMjzr>An)%6*(I%RI4^ZB_e zV63pb+W$raa%Ca6O+;MK_F7CgZH4+WDrC235$xYIyjVi1> zV-$5wX6MN=>+H7hyIU@}c{`dNFb%$NQK@pam=kS>hM=vhUD8dP3l7`1X6a@DVsk#z zI?oaE^<#||Pn|6vdc~bQ+yrIQ8Av3Z{AtrV9-R!}j0@j@tF(6iW_v9AZb3cuBQgh)4k?MsQlig9v7wiaxFj|76~CxZ30Om#pU-bY8BHSc%er-DUyy(~K(U%SXOe7&eQ>i<_ zx#V16>^jq|QI0pVf%=I~b? zvsaAeZgB3MQvHF2pJw~OJ(yUr2a#MS0^>JkvSBL5?;u%SxO8J(INT5PN4BFz;&_m# zf8Pz#Pn7gOn1$C{-U{$K6rGAGs>!%WmIKmZI%24BYt`C`!N)pwR`8@9d`sn0c2w*? zJ(2z+8ZZ3_+Lpf)p`bbo-vW;@S6I2gJY0yE5XhAjISkDE#GXfwoXBfPus@&t^$s?U z!u_AJY54b2I2V*Cm~;pTjt(sG?Ch+LQN~WwLry~jn6}B+;RUuuFD9;eh2z)(&Zt{v zn>I{wWj&nw0+CJ<AmdfJ7|=LbTxyYMp2e8=@_2bp_Vt53S%Y~9&gg`O^>{a zIwMAFz_y$dw*B5Cue5xs<0|`r%!q#hQDraoP?L6IWH5{u;75xj(s*}cWr6!ea}ytu3%i8tf${}ONC z`wXRjbw&~1MqMZ*HwHO#&GX76s2NHk$p6ahAK>*d$B}HN;zQE=Wx%?Gv&;jv42Fm{ z-HXI|iF`L*nvfBY!i5DIjmEcld0@qHLI{_y3QTOsjaZDZ_=lx&>V-mnV54|!2l=yq zPuf0|0>Ru4@Ez-QahOd?a>q97eoNh>dE9RI58T*yki7Ph)kh8^VIW~4LzkFzqmcPN z*1G&%>3kSZuKRX-kl!I$vIN-iPU7Z}{92JoXBwK8+3t@6Pksc*dM8N!sprYb`01

^8Gt-2#baksvCbwqX!@6$jJD!9bCk>Xa05Ve7hYwEM;*c!a6gj!9ffs zp-g>Uo1MyX-;OxwXY3#mKm6yf6&Fo`3--d}h3s1`?4sW7G|Ci#08A!gC;Hb4`atPM z1!6M^LMO@IDn{6=z-HoMsqsa2xy5ZVaNtkTxmi)=7-njq(NHq6L~r||fe05v>cQr3 zL9qWC@U;#PNSECUv1Xy>K>r%mm&M?;g~N?a+7l~dp+br?u{-411%oc(RMEklMW(D(|b017(CgeSxp}BDFWqa zQrpad2`!ueC%-r50O>>V?|>$Om;oW(We3~?@y%SG#kQfIy?3uZ04II1%)u84y#ppK z(oRTb?U|RKzr&sAAsYG;vKM8eU4|=LCN`2j2O`TCxBpQ#R9^H&vRBQ|f8Fnu(^y{c zP8==A|G~4@tn>jU6NJ0ZLF$XKMG&e+`UbZ(kQ)g^xQEIh?vnzH3lhr~1NoEBblFf2 zaE9PFl=?PQ6u&#Y&=|27tkA;&{sN+(-rwEbaiqD_Hr6jWORKk~82S8eIKcpHXFeJT z1=b>jq!U?O09;;W<#0pdgT95b@t3#*<1)j~>)TcW?-JV<8h*#1z`Ar!;Efog4=Fe! z))%0($08=|6Cj65K8y;X3a>ObE83l+S|Xh#E960Fr;e{C zTf)q%9jpGiizBdpMu>K+O+Nt{K_O=X;uQX%<=X(hF)}G;HVP?8qiL8OBs;>on_^=& z9Aguz`_wEXBqow_J~1a3mn7rY%8|M9zVS;%NrVy&2Y ze_vu20P#dFCd4Q7Q~-%Kpl!*C$j`0Pbo3U(VZe;IJ=aGDZ-SV|Ja;NwFPxzFFL0wgSDr~9PQ;oMXMeU*r9ZLI;{sWEm}%|5B0KB|A8?jQv4Yd8N|arIAmdiu|SY)@g} z2GT7V;CQwDt@tWIsudS=<=3i}&Gu_S`xOD$Yf|>X8d43W*-_uD%`*Ot&#R+3sqfA7 ztsu(zf0ml9$AUh3sqC;5SUB+Ka}Z}O#_1j(|h zOo*?b)?dl9&f^|_k{@7{cGtb9k0M~!UWaTI@G<8k*R}L_PW75O;o>CQu_(r$;>jpS zs)aUuN=`Ap72)Qjy*!mO{VcF4QW{x)3%MsrJZs*&&sJ}qx7>LJ{PgYg{`*K>M`ETC zX&XK)Z}k&03enrSJK4Z@pMg3++Fn3`BW9rk9n&y-Vvl0*F`Y^=N2#8TPS&mH)Ah25XY-`%Q5uLTaMKS@ ze_`Z;>@yPe!~4%1wJ0&mT`xMsaxL~<4e3pf?28S#?(&Q-66kLszI>?oteYj9kg9}M zc=S_|``Y;u5`@3wN5nK%hWA@f(>Rg;O+^QYe4V#t?C!GC0(27`A$B~C!l-0I&p*8y zTwGFs%N(l1q_*3>?aL(e2aKtJznbMa)E3f{a^SvCPJb&DpYYJro(?A6=RQ}R&|Na) zv6bwyJek710Z4!;cpBB36A=-HW9yi_J+!A_~M2=-{^xfsc2IqOaQ= zc(L{q$DY>_CD`$|3yJy;M`BI2Ocu?L$#h2#f4f8tq6mnFKjR39zwjpA(`E`R^Q1g^ zF`JX7cvaW?D?vTamf5$X!1lBWSrxdRK4p=&yCYng1K&{i5ADAqlbikSfzlJ|oC%9O|mtORRxi=wn(e)kY z5)Vx;6iQOSULfYqL13ElJNbexXJut@B$hiT!}i`*rca=w`^#WLAK%;i1sK-jMc{H= z4~D;S7C7I;7JuRiZQ1K23K{f(ZjZ2k`?2%o6li}@^JGho@R)KslROXDZW%lgdcnbG zym9|{3s8;QU5Ky1^Z9f)i?$xgLKao^W-ijCCek8w89VdI5^kSo1dHa6nV(I4RoJYGkxNKQceIJ%~$t`p^eN`!yXzSqKn>IMgAh=h_0XK%$ZjWPJxmPo86RJ*H;;tIVA6JF}62p zH8-?ZxIT7}TcL)s+1^#TlsDw|9+=X=dRLSIRPeh0by2_cu}jUsBJHk)*Q$zkanc z(TFYQ>rc*#N&kt8*ko;M(pTmhj(>z-u&Hj^nyy>{RfT!qFiw&drD9> zO)98#*OCb9eRMOvVoQCu&{4r}#Z?F&D^haFa|%y!rlG9ouGiZJBIUwTK z_PEmeydibh1X=7dLY8rxiUKt4SC z{nGZ#Ta4LPr#aCTyq*w6$%X4=Qvu#LF?t+^q&Q_6Zm;ynUWl7WBxN5$79%exF>4?$ zefsk5p)|d#Ulbks1U}zzRcDdCpsJ$1CUptfCTWSpPx#Kklb{F#a2TA$yL^QtrA61U zO|btIm!Pal3qPGB4XcZvJB(Hau16;C_dq#Yj3Sv0!4+c@^sg!iFR&jOHh0S#2dsY@ zV}AheZH%M`CmA{# z`>l3#Tape7*amziJdw&jKV>IcpzS$ZNrT&8Z&+Q9)(TEzdn-K}CQ_pHr(C zk$ptu{^Eu=?gY{Z*g@T-aaO6(1~f1u_R}yX%9I{8Va~rcZcR2}h3M+yKSgg%x?zUs zD&l*ZI2^Qvi>&M5KV@%Cp7!OPyNH;SUp0w)2CO+?Y@DS5{u#78G$ql`Uz)ZGd9-g+#m67Ljal$P74!-|HUr7gVp3PXJpzZ}X349kN)e+AMI@DA9V)CtY) z{Ctp$1Yni%ckS+}^HCgo4yn&D(_Qn}h!Ck>nUo3s$|8Qo3{uJ~%0q90i~^l#QAt^= zvFE=^{hE9Pxbiw6RU5y=NNb0M4C6vbBcxMV$?KM1)s$;oRl(>XSCpSeO6(S@?KoFE zPSZjbBGlPnP;Z2J_!LxA?)K5V-(fIX0dnSc`d1uI!bx2M&}`xjFx=7un>bLU`}>ud z+kD1mw#HfpJG6ezh~bhxE~T6|QGIzSm?3Kl_)i3Y;H8@7c`C=dgvw%`aeV%n19@t@GBil#xKo6ornz4hDpF%@Qq65H-kp0i6a&S7 zymREU;#@UT|XJha93cd{x6^@b(EV_hcNIAcBRq^zG_3s{S=(qeTvs!lH z-r-SkL&0JgfL_wicBHaO_MB{dxexvBWO<4-!vLE&F@04MI<#aDbZ{#Z5LO||nKp;v zIqDJD){_=wrXfHbwD$zJd2;Aq%^z7VqeAR~XSBl~|g!_X_ORA*^*@Q>$LL#~9>l zDEpc13B`s63D1k3KzvkD&ob-t8=W4@uZIK`wLXx6>HpF(53?%bJ14){l1JQBs!Hwy z1Bx>G0ceNUTI3xgpzLkJe2H`0Bxhi8gv0XZ)29$;{wW$KAx$@UU%}+pkWBCSrNs?q z7~`)3>vnA3uVYx}mX~RLZX%^$+GqD7+rb!s?rL=tG@WI@w_mawKKAQem979~YF+&^;X0Q$5R=c`2@%U5 zq@7+f=JqJE2${XRcCJwrvHv%{@9Oc*yr-&Qih0dWuTi31UM_>C98tl4 z)bz6R7S`&rk|9aOyGgGh#QcBsD#+w1Hx$^%v0>+yQ2Vd-F^*bkt>=rlpHY>X_bF9a}==;Dk zt>PSAPzFk0p&P00mKoaGsh00=MtnVetEdYG&@%|Xn~VG^z~!*^@yaQElV#~idDtRMRh$R>Lq`i; zEC-DL)EK=Rs1MlF`##qE2>y9S|#<^&jfH9rk0s{z(jX)y7kJfSTk^&hkuS zG%ML^Z)}(;S|t~aC?Bm7TpDo^Z{|{)7c0!38%=N@Klq(~6RrTyu3t_L5s;7U8h^4# zcx|4yAKs~rd!kO_=4BRy5Xj0FVPKRLKc20z^s!JO&zS?&^Dlx5Sa`L6aQvupi->If zo$_ba^CPYd}GSGjF zF^zmQE176${AW#%ApazP6Mz~XcMqs~EbAr?s2&7jXW>%US-QAHA&&JSJq_EBRk-+d@CPk%ft8sY*Q1bV zj}0AF6+eqxm#0FtOcptBR`>~3cd_(#7RGA3Sn2Ft*cN&JlaNx<0?-w9DYd?Xt&56} zt-&r6hqBL+=sr4#$4vms%2 zD!S&Z@o0g3O&LdH&VHyjl_S~b2gtcH8tM=!59(VpH4pbMq8zpou>tn+`yZ=mdf#~5 za))$N^hOwy+l*g}dw~8;nhc3~>PyTk7m0=@R0hh6UDWH6zg!D9d!w_<%MWZDe})%0 zVaF9Cvu=+JdE<0{K=l!9e36Fw=ZxhY!p^^a8YQDxBfyQ6hX}hdSowQi7f}{v`OOl_ZCa6sNv^tI?tBUv8mJRQR0laSrfz-l59tYg8R~ySw+$ zj=c*Gv)7;et8A3cUi~$M+lSOXg|03YJiER^wP*hgOaE^E(9H!!w}#sGqxO4Uvfbk# zY?#wlb12u=afYS2^haqVdi!4jbnJ4qE0kag4{UFGg@Mfk5^_!YfyKKlx!NX14Iay> z%q&L@n|mPn?Hs6IV&ERpS5R0!q#F+UM~k z8?jZfO0mw+RT_&OI*8nXd&2IU8yt4MYzz5g(!?nQ3_W0hJ$CTDRXGSNZ`MBcSCyv| zyTPP_PEeFKUD);{Kcl?JJ*+Hm+^5q9Ar+SbK^>#!U~v4#(!d8e>cFGWuUO zp;HRJ%pyUZ9uxSPANc9543D`~LpRMFj0S1@PttTh3e8HE4jI9);nQ z2$wkps2pWQ3~e}0O@>KU!Vh7Bp_gl#&)l-+3psxHJ?8*zJUORR+4@uN7}-h!A%a#H z!w1tr_MvkAd!@U9jZruIUn+HsOhasE6>aSmw@L>}v$59xKOgP4mA-X4$;NP1IE}?| z^(}sv^1W)-7jZzE{IDP`arL#pTxD(<+uH#*QTTTT<(YR2*3LO|{xMv_>L;Vf8P@c`;#R zMM}y1X%p051Sk1Pb026oh>(lZe|;t^7Al{FhsZ7L{Mq;^${72E1<%R9fGQ+kwEXu? zh}Mj?dDZFXgWGu|J*?gFjM1${Q$E(8-H8K*^*`u%24o``a*quH)GnkN-g_F+ z2cC?7x>L}1!{4jVEk8YzTR}Ierd)1eP^Jyv791ZX2J`t zIP!qo#Aeoe9)cb%*I=+xfzM7Pv3LDxZOpyzLs{Kcfc)zB{p!F1x+?`JKz)J!e0_JK zRYV3DkMo2vLRztk2&l&Ryrj62n9ExTvJ6W+)+F5(p^v*Vkf7$IScRZ^gD;n}rHg!_ ziZ#X3Z)T6?*d7q?e^R*(jF;58ts?oOANKzJL#;6#Y;)y7*50`F<#9lIGW|3ln0M#T z=T#U8&{p@SzsuoON`Em12Hi?&-+UaD-XIlTFw8&F=;ahnTVo%7#e85$^~;lT8T9HW zp$V({)Fmdu1L~N0s{w;CzU=n_CH_@z#T*NjOIw?y+=<3Y`y8tz*_@EvIUV~|7>ftm zNdpjsdKcQ=-PR-{X5;-xUy^Sj=S^AAX-6H?l~v!^tEWNXI`A=Bpeha|p7m!QQYULI zcN4FuI^&&IdZ`}DN!U`Tqt}Hv>1ZcA0X^(TSmMiKA~OZdG~@V|mtYGaD!{6R*cp=@ z5nk8~E96Y;L+yMBfsJ=JNX>GgcAjFepxGgxpQDyEgNTYMRoV<)BhSnMx^;_`M`r0Gj*_-loF0`OAs8-zt`;c#12~*yNHZezbd};N^8u0-?g0fVf!2 zQWb*V`Eza#j)pQ(=J)y}{!9Pf29XW&+x%G9dlA>eKzhKtjnhR8)OdyRwbM7KhMKpy z!r4}j*YC>bYm&ci-w6tsZS4y!E@t7X`jUk(vOC+;jX^E912@$aR;TKe@(WS}apbhgwNFZ~&!fC@+uA%og$)#wr)icO>0=X8c@-1|f}&Wlp2ORM%Y%;391Uc?lj$Ue_@0A5AEy;I=JDNMumj zfk+9EQSEl{jO}8Z7~woDrKxo`sK-EgU?_5KQ44Fu_n-PwoJqzP-I*}7C6vY?>0%Yb zPpY9q+usXscMr(gPXRRV1Y2Xy$N3Y3KPAP7dK~;-Do02^8@ec+wU&4=diA1yKwrun zubZ4gtSN?KXOg!6L;1a<%BbI4MV;Hp@ehP)pPsU^0*=&MMt{ouR0NxxGX6O;J0pfC zI~-rC2nRH-v>x{Y6>D*EeU+l~s-)?Y1)-c03y3wlzoo+pEH;5Y2pihXTMg6xVZOWrx)n}2UAW*KYpe0dCU#gr z%ju$t19pGj8)$y?r~Nl<4_x6vSiE_OBN(^WeP*dN_m8BF7(PHsUu_E?R&eTSBY~1$ zh=06tA?D7MDoeaa{~=tmfQ)0RP^m`ypP!2tYu_)`FuLwx_Bx2%mr{%_OG`JP9;ZIE z)(=AN2ma1swjs6&o#Q;7Z;VpYlfWYSQ@|trN0tw0>=9uc?A%l3e=mDE5Og`@&b>_W zCZZg(Ai7e91<4hXXBgu?^<*DaQb~*(ZRielrEK!e_AMRW{;zYS$Eo2fyzBg=vlvq` zyEp`^pf~03H@m5;)(2YY!tY8-2l7x^CN+4qI=As33u~EX+YivK)bqaIxP2G~i!Sv$ z;O)Cx3bv!o)n0rH`ebkN+;p{EnFzFT?XELIs4W5N5S93Vp3}v1M!@;a1~rJZ8&@I4E+G};=@<9p zJen_{l;Sl-2a;x*7goO8O1^ef-6UV&oYcMWT_gLE+AZ#%B7BM3nwKluE&Myaybs|y z^}{tpvB%*Uzj6E3DrEN6ZxeujqeV;3PXCo;tVEzb)KldN3nB)D*x9D(siXg~t;g@m zwqL;|mp!hPnmH=ENre0chBSK6{GAJz=$|y>kTC#PAq80b)`NZUCvo~SV;zCdb|c6j zMc(3#j>Qey4Ng37$)Z1$dGZXo?H}$KecpgixH0_D^6!`TlRlR4Jy}C--O1a{}s5sXmUVn>Pe`o zUUeOY?G47 zvq^{mUAEFiTWuFg;IZv<(mi?jZ{V}VTPGw?AHQxxkdBRCb-BM*8cv;Pe9ezTq?FHA z2MtV{1BQepacm~k_brZD9N zwN7ZOMyQh*yiuB;a(W@?+tY}uZ9-_!z^Bq8JaL)G!`=~}2!OEdUxL~+OV8E>A6Hg3 zAhfu_th``tB^TOaBBK!6&qYGjD;e|=5mq(pfk7e`&EfJbY9X*}4Ds0}jYXr&41L9T=K9%GkkpmdCh%gP7CMOqqU6&SmO-D?A@ z*Cm~THho1(Np31m3wNMoAZ-++g|=%Rq}hb%Hr8TN|C`JdcE91KHLB!Ce)`$bUKW;Y zsE{i{mrSK*g_rXNbZlLHTi=bUa*r+ZNeuZ6pLRQ&rc+HNAuAvIRzEJ{{-c7EeN#;8 zH_7xlgfSk4AkY zG^r3G@83qVR(inlO=^Iu7y)`hSBjK~%3)8n6wJb3*lq@6F!eq`ZMF|OW!uUN??o@bfkh;!M2|rs^(djri@qn zfjz3WLrv*^&qcJ`j}5C2Vi9}QDXy~Ue@;VxV7qC^V6}=nauG97qQmAo%Nn)iI)=4xZ`X_Kru zPq7ulO}aq$NrIr?;D)RdDa|3eO$Z|zZ_t57T{L)c?pzfbRJ+^y)uRwhuh?Ll2Y4q6 z)~C7$)oznfnOj6}VG~P_R;NbVNc96+BjDmd+yuMVQH2`2u~G6pK;7nx`TNzPN65&f%ZI# zI~TuxA{8&?sk_SuLZ$kTjh@@#Kx47^GL((<&Ls)!(Sp*{!?Ik#X@z-=N4*dFsbWkc z=no&_5}UV6?jOFLOM+5!fot;+>Ln;bo9I8U3;7*Cr_Qd;2dI`h2yL?etZq+nqo3Nw z^uJN?H;?3J<(j+wZY0>{RGjKOxxA&u1-?agY8IF`ef-~majF`_UT)sx4%D~)Sv{Fb zrdg6DJVg|JP8H{op0d3$r$s;Q$)ykSu|l_jH9y(er&{_!=qfc;d1pQy-ng1?qgqeP zx6~Kz+9JOE$9$Q0sW9BNM0~l(T#I*UH{6xk{QeJrrE>ik;kv762F$74g-(UJQm&1d zN0nl|lVF?5RB(BZg0)Nw60i+Xma&P8NMgmy%)>i{)3*Z8e2x1nGW8qr9&YCPVOZCR zIt`1|Sy+6=A-a9~JY)-PY^T;ppc&*mfe~G20CgfP{Fp}V114rLya?qs!<=`X;V}w+ zO3sWj0$D~u^otOdM+w`bggH?HWrk$9FW>KpLSiy967GYbTg)1KX!ST2Gi-*?`r+b9>(f{( ze9~I_M=~tdE8TX)w*F&~CyUpw-W>y5thBp@knBQPN`5N;f|bv?hgN@|X7QUk;vN`< z#7gVmOBBNnPlO1^Ezwo*GuRAM?TD$}y#%pzSJYCsTC)?N%Yr>mN8w@%ftZ%q&4Cz4 zj8H(WUzMiyc~*z&We3&h!aW`(#OxT%Ubo`)TYnq5N{Y3@SogR*>g3o%@3DuhVh)OR zk7jOAN?C@Yn;HB?TjPI1;dmt4#$tt=c|a=M=wfZ`i6O-5<%v=IG}jVap*+p2f%j5E zL-1~CAFXh*d%H9?@x{jE0)>lRSH`Bbn9!IVF&QyPD;yLP8Y7rFg8z&<#4(N-p@0ix zWr@j%Imm5Ttl!3_*Vu(b%#O2B;`Fv&jdC0pCBz)$Ha!N35n@A@#yI|z2t!Vv#!7oe z6cVfb7$nxlVvF9`Q#GF#Ev8O!VjfD!*I#0GxCt|FCxm;YyEnuJ1GkfR3rDPt@z=9U K{~xokLIeiAA7kJE diff --git a/CPLD/MAXII/db/RAM2GS.cmp.idb b/CPLD/MAXII/db/RAM2GS.cmp.idb index 2369090e6a81f6310b71feaf36c821ddee02a765..7b9abaac95d0d85430494bbca3837f92dbda6d60 100644 GIT binary patch delta 2286 zcmVpzXrYm z+ys0R_!jVOV1Hb*JK+Bo_%85wzz@h9L$db(?g`un*bVp| z@W;T%V15UDa2fhZZ1&#)u1bhSd7VvFgf8fc$cY!~E^=StGaNv8u zEx@h7zQAq3?Z7r*Kj2}&CD4B<@KN9mz~#Udz?Hydz*C_g0k{t0F9S1mXT!D5$QpoE z5U&Q-0AGRm^}vn5PB8y*IG=9dcLDAW><#l>!GFII>brq|7x?pmcLVPM{uHY4Is0ijB z=A@XCbbh*V;kvo0vvi4z=Ea-Ld|IdnkBv=CN-o zpR0@GHModLOMM}g+8CmXHznvI5))E*TYrfOQkWlANTtBkG+ku8*`m8|2ST zTVT<}q?pX~hG2r<AH^&ER8PsYUzGP7#VhAiJhHeTPTWDkv^e61 zqpW10cO4|fyVZvq>0wQvTHrllt^17vQ~SMT>hzXnOGju~df6TEQs0l^v5pT)$qy=b zJ!r81fHSX)x_E7D-$hm7M=G!OIU3;}IV+QQ!1XG~1n*Y(0|93}r621l`BZ%)474 z8&+Ri+}gU@c;eJEtbcz$Ca{;TuvL>DX0tb|g~o*&g>j)PiYVWV_6GfjPLEjIpKQ@P zm%3Qx8qa4igU1>JGuHNI+O~Z{Xy0$do@D=ndn$~{jju3^&eN9PeJdNAP{2NjtLxDA z3T6Q2$4wtLKv!B%n zOY3Sn^!YETR>u^mpBdUN%>O9LZrFWS=)IqH+xvcDYwpZAmbpZw2^@da)}wzW+mQ5$ zpkA`C*xe;v|F=HtgqP1{vdhtbX2}7oh3fCqS;@sbq0M!lkTxZU`5e8d9+Z_Ogk2Ye z@$YDuF|b2e6@M5|IJv#TxT{jdhVe1`+DSHd`HTbh9$UjT%`?Q`j-FjSS-)+0p12;t zH;eRHK}&_)yoD@nX!QLx8u_P_p}vc~oYJp8Zae6;ljS9;Szv*$X516a!dT}(+nc>K zF59(jEgOb=v8S|*S@N%S+q9;mWwU*SP&R+D%ihcjt$$h0)tXs{U$))6d`YNH8_4>u zTCW=O;;4=0apAP3dz7K0h2O0()sqAzO+=K5L?v%R~$3CLf=QP|A`x-c{ zS%0khth#5dzqr>HPOmh)k=;*l>!)QGw*1}RcY#&)%F%6_x6ZD#XD@k9-O?DNN!skv z=5x71aAJLN9h-M)rI6@0Qax#;mQ6b;u>AS6ZDZUR+qr+b_`^7V5(wz>% delta 2285 zcmV16`@v}Y9c`dD()(~8k6|oR7-4*CMIGuHpWB~ z6_kf)NijiI;{y;C5F;851>es`t%S!e)BBsunBjZ2Cix?6dVf0S-2MGNzu))X`ZlpDeKwC#(3~R6fnA#5=2ePnF+A z<#&~RUv357{CMln+d$p~-iW{A4UhE@$O2vm{1)(=z-xiu0Dc|#pTGx!KLfrFd;|C< z@Gaomz;}S}0)PJl7}xL~cZ@@XA{~Yqgz`H}|0e}5P$lHMnLH{JM26!xRU*Ivo z(ZEr_dx7@>M*>Fxn}7|#M&MDv!+?JY902SKTmn28crx%-SpQsLCvYC{5g2b9H-bJNxEA!Dz%jt@K>tm^e*vzC{_jG53gnw1 ze-+pt^nVS&jlh?HF9Tlz9uIsCxEt^U;EBLDf$sp{1r7$D1biR(eORA|kPiZG0{$Af z8F&D23verN8*m`-AmAfV|1sdBz;6SW16Kea2QCBt4b;;P{CChd0E?i%2KmdtOxrP_ z0oDRHc9gSAz*m5E(BBX0bBBBf91^OM3-wB)zoC7Stc)K9~G~_>m{C42}px+Gn z_kgzm2SWcK;9%fqfCs|*jez_};L*UphW-Y~8-XK$O~7M-8H^tV`C$42t~v$-hXB*} zH-8O%3Gc((RBKYY5MxP9u%%keLZroPwWTMdnr&A4<~%CFYE4Zx&r34fgv6Aj#JQ>F zWFbh1iWJf;i9*ui`3Yt#?-t&jYvYoVQ>`{WSZso%Tl~1e2MQ4jZ5Cl{n&pLrG$EeP z!A)$sWuAq~7$_u|XA5JJW~cJ9l4i@YAb*;{LPATr5S?JP36BDiyqvkhc=P;)HX%0E zY^7fa#s^IvVUCQ8i0>>zTx5*;opdY@)517KQRGIl^vgx7%!+N1nQS|6O5Bxo$Ql}t zv~=Oru_mUW!%XWNAz#PL}FX=cx*H9vS-+tNRmEqr;V@HByiNUHx6vr=E|lg?b*^ifueb z^OL2$pw?4deb9bX??1{tj%hC1L!|q6Rz1Hf-FM~H5-)capMUR)8a@Qjz*;b z{dPfCx?BBukRH|qnuUJj*LmM6GPixbT$|CneA!Sv%P7AmUFt^~u62FTko=(ORz6=9 zeBE$L@}+B&HEY&M{0Jnee?l)*KVO6n4<}_~NlW!#u2rc;UB-X1F4{xNMt@Y-@c-=7 zo|bMa7k7@dF0`q9l1_(_m2Se@n+8^>?*vNx6x(_EPVndTo#Q@zR8#8wTy>WO@c0>- z=}(Ya*&oFH)3P7P{TkU1;(oR42Xntl_6KwSlc4#g>6a zmi{PaILv?e2hKg3_y3Id34iDI&=2hUqnr>7YT$g3*Jb3E*0+@VCfP4h)jLLxk%sm( zJsn)Vhp_#W-~zU?Q2L?vo6kQ>CLW+*_4IY${(2jT=KhducjwSltG)Uc6{etuuo4u~T5(xJ zZM&iHCC!@HBJK1+ZQ_Ct$2yIBABaBtS*MTRFKNl270gn)jW{5IoE4g+KQQY8Rs{{=m}WU^uViUMgp!tNX} z4Rb(tFbtp?N^S2eRs2hWGxFV3eZIjXc9-ehdSQuq4U_O zZ*ATX+?P$%GiEEi-f7d?_U6sbm16mVB_2b!UT6vER)3{SJp8ibPGh}Tm)?){TD@K~ z>c!#hU;6!=^}T#UtoLtsFW~#IZbYcD|B=cL*T6gMf$?62S83c+dPClods1EfrZpK( zRGrm!s~arswM8>3jc?@k7QK4w*@Z2CcJ^9m*SvCUyY8*CtDLz@pVKy9jnyS@_GtBQ zJT7*5F=30%uU{o5c@5J}8m4E{YD8AJV2)#y7h}8k&yfBY=T8EWz4k>>+Rj?z$mnsa ze*3Dpd|7#mxU%WFtISx?hrPGuMkzfOG|}6n$Aa|S5Q}F>j|C;j^Zdh_98{hv6#Eym HAPJEHvhkAH diff --git a/CPLD/MAXII/db/RAM2GS.cmp.rdb b/CPLD/MAXII/db/RAM2GS.cmp.rdb index e0bda02bb53ba5fc9e573f481596025de9199e06..4c7511ab583101d6aae39217ef9a5469553cef7b 100644 GIT binary patch literal 14333 zcmV4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*II%0RR910022Q00000000>S0000000000 z008|r00000004La?0s2t97&QMA?-*z+|jD9Iabn0G1JrC?3u<|$*nYk z6&V?ahljg|hew3RpWM55?+5t%0DpX@rtW=&pK9y;B5DLl)M}dj@S+tbe}dTGuYbMH zMBUHS)IUMgPw@9I@MpUIeu4K-Fn$#t&)k2}>cpng4o&N% zH_sPsa~!s#)22yUtw#GR(`cPWb#u~b)``}(xgRu-O)EJIW7BS(B(H-wG>nqu3)hr8Oeou&wBqozMaD{jviv)2d% z03U}ZARp-f)wr}#gb{QZTT(m@lOQ6w2Fd-iB)MomoSi+nI1N0B&O~I6nR@GdHUzQE zkc$=LJ#MsKn_B1mJcus~xek$2f5!iqX+i$({>4fTK*I+z>AOF-AxIMj4#IXquGt7W zr(xTEDfn+{>OUa%Uw8c#B%DOO#~2V^;DG3OAxua+{i%in-F4`{8;4S{@fGj1n$v6H zNziE|W~0$M!gyF42FpJtEZ@U_o-y_B+xY>&<{m-AhlsTJUvC5#ZOBHb7yUH>suJ&1 z^QbV{=Iz#oN?Z2loi+~j=N{>zKSiW%!&d7w_g%eAP5lzO-XGime|R2TnCa;_42}=m zLdu6$QTa90)amKhag>BTUrtVp(-Nn*D_?JJn(4Z{-}l9yL`lD5k@@=N2JQ1(DHp14 zza{~7f?aCdR+nx=3HjXAE}IzM5q5g@iyejJG&p5PX6o?F{Z+vqpstSrth3Gc4$zagXJBxxWE%}s&dT6ti80eW$Xqu1! zQPTwG_uI7%t0~WrEd+edTx{-I=fY~PNbL*4r^09I8dZ|wrAlI=(r$<6P%|CUDz)vR zii+ksAS_}FpNPz&ULGnGSpRiKog3%rZsSDJ>c+_AeIyKgj#S_P@|4$W2Fo@Y4Jde& zfZPk>pwX~%{nXxp6oro!UNlDxpjx>M>DCT`kKQXy~Z0!O27%d0lg&)VE9`tF& zulluOKWw{pJf-OO0&QSZe#)j0I}7qRc^Mx-Fy)00&0`oU5^I@(2`G+W?xGFGB(Gbh**QN7<2H;jZP=)sdC13MLUL(n!Gp=l5?q@vG#Q;nuR>VwE-ykF`K;xI(d~<{9-Y9HhXMhq z2^y_tIAad*$yexrpkb~Z9b5BY-};kQf|=R1>!y(qrb$3dTM*1`(-tbC2CXKzXHggj zasBLaMt9GYWqS_3o>#8=JN0g6)<|Gj$n-M=3&|A5LKuR9PBCg}!t5@~ikm4;q6Z;! zXoBuy1;j7=fw&9X=(*=0uoY(fL)3hh_=)^U=^x{@+G;jot&LuhtgB~X{bie`^|eQj z@PE%UWmQu(qEh@8;?V2T198VGt(&OLV4gSghx7KSQqeh6C@i}`Wxr}QR3WSdz*+m; zM8@|wz7;-irx7y`>O{BB<74tRA_hbzSSypA)~BXJ%Uk{C_rFB(QyGWMwC419L>6Me73r`wKi+5uCs29+F5Jm^UOumq^=|Ya7zG`7dPjtQ4MJ| z2LUlfh^c*y`p3?bx_*eD4D)MlC7e1G7Uc(3XctFVfR}E0$#&B(C^+{Bs8meXvHXbT zbxc9l!bWguD#ynNbJg|OWX~yQ{d!y}XRwxcD`)=<)umcf zj|Fw$kWo-D)iFL$FS)o3W$-YzTTpCl!GErW+_pkPu4r<7mC=!mMZZBolaWl3`eay2 z*`Dm*OB)jeO%Rmv3rHmQP(nhUagx0sp3+1Wn;Q2FL9*9Jn5=h+?;oL@ZA|l{i$?fO zuBcqC>Lr`3i+tpSdJp^hVBP4M^glE|fBJa)A^r}dW;^*gX}g~hfOB+fO!A|m|GkY! z)gT-Em#lp19%a3ZKdAF|36Ox*c)P$r43EiuHn{d>Gqb3}6RjwJ7{_iDr=4^Vl5g^DYEpO+`X*>8q_}q%Ejc#Ci*O?MmTk`NnnywNrLo5LK}L3d zyWxUBA2_&efQR$9jeg+?mil2v$4{`NvC%I) z!BRiW==ceiG&cH$Cs^u-867{tlEy~A@B~Z!Fr(uqSkl<&7k(eGq^)cWivf}wn6tep zI2q$yoo%&(W9uitxh;hm@1VM?Oq2f+*_=V}DeZW0YY7*f^e9PR0z66oDvV> zcxpU$#loE;&-Yu?pPc_k$*+P&2PebnYDiA}%bEKOF6ZnrTe5KO3)*?pAy2%#Ehh>9 zO70PC^B7Xk7V9F%4GPs0zYYC;Moj!RfS~$Gt)loGQQ}4Dk|!$Mp}6W9+mF>edEP2k8=!2}-@e1JW@0C;Q3p*#yycw7Kk!Gt;oP&?`O?6{I{kvSPEKa-ADqEWs+|D9iMsVL z#!RVKCHU9Uuw4YnnbYjy>YfhW0nmBQje5Xq4Cs2b)Aj(nWBSH=F~S80`6&PumTO2m z!EE{?{XcpatvPq^zJP4Ighhz+wbp9VlmA)kb=x97XJgRzkkkhCzn7>E`hMOM@%k(7|$gTknA!# z*wHk^g(dK6c-5os)(%1u=7-T+JOu3Jh5r@FNr%dtP1u0wZRM))+oSb2hM#_FiGc1$ z4(|UO#Ot&FIPspu9bOk=$N1E#fO(MrvKPki7;_#T(~n@`<9tGnq>uUzD*7Gj-*9Mx zZs?W}0hvy(Jzkd?`FQ_ZKvVQgvsHOyoJO_|1&8LwK_-g+Zlj(M6`sV#`GR_L52@4w zf{x)HOf+!%HSMM&%zOIt$#q+l1C5X==x8D$`UNmkvo5BN2jSl;5gyB=@jF5Jbt(m{ zxkn@7$M{l!2m@>NAY}Xi*-}v6LS*FHOISU;piJNQYjm`Ni?@t*)-aIwKJnSTY)jjI zUE+K<3n)Y@k#k@@7P~vELJ?**@&CmC|CJ%C0xlI?ytNr~@8980rT>gSd%K+b_A0`y zUCN6pfYJYnrBfXDfq-dl2EqS8 z3IBz~6hQFCaKQ52wSDf^3XJ(3ho*a<<3p`euj9gulTO1-V-DUlmCmUt&6~M}hl_I$ z7s|Nht~_sxxW_Xr`Rmi3Va5$lcmURs_rbE~uE@~pinul8Hq zqy|UY>+UKymx;o2AN%H6jM)kNwL8=+oL@kfj8z}BE~XpdD>&d^cfPdyCujD@!Fkk> zYsL5u*Meqq(-p^e=gZH%^X`!Kz3uYC+(Bt>uDa-A37gErx3Po2Az0&p^f%PMZ$2}kRB_<$qWpWjifCyb$yaRZ)hzYLY3d$cuPB# zcCmvUa!V+)1OU*!tW4s8MiAeHe~Ndpdmw~|6} z^lsVHOL^6Pvjn|OTT2qOU%Duc4Cs(WaL zh!P{0**tdnNoLjE?Y+&d_5H)z=EhFt;OYMQ;U*1Scnto^bIYaj+&4---K~Sl#`@vG?&1FW#?!6J z!S23;mUhkgnfYh#tzV%!p6<9@1$+DJwe(}{l#tH}*hiQ>`gqnUGWfA_cR)q}&`rw4ma4-VHh_wly7PeT?SNuani@R z9<`Bu`%kxp1O^e&!L5y%P_ja$=Rz@hovdKWXpbMoEy`Y8z=%Upq0k`tYA+04nrAZP zZHKUaiXi(GADzgk?maaSPN8`K!AYw%to^X-QYS>?`9A*U<{t8&@GOmN z5Z?TF&)$@>unbwT{m4aR>HTnEg{*b>+?`^s>|%OKT%2E6EGThK1{e;5={-k1g$iUn zg)V^kiVBtVAE2NvYidu?B^Ogr^zw31(UP9h$M!~dfh9qJrV$-h$Xs@L3n_?$!FEtT z!;MsM-r7!@>G()NjoaKZVxu%eL~cOl0@I$S3VSsLBJd0*40h{Z2F-A52<~*%1$2TiWhf51CM>k>Iyu<>+xOt&{3FGEP_rGcDO4`@_%6qAO4c3?} z6Rrp;k*vE_Br?*HNQ~Q1idbjLHtme0ckHDx?wy89_m0(z1jj_VG}Odxyp~yA8VYB|2$iUGWq}pSiIm8A%$~o=Km0CH%4aXfQrCEuTid)eU zZm^NzlIrEmjCUb^9-X+-NMe_vbS(iUgjna}IEzU?Zki6#ONJ~UF55%=T+x%czoW$0 zob>=(pMu8QCTCu`T5`Zqsk6XAut%6hnTw>~*BZK6C(RF3ua45sHp%4g4 zGYiCX+#3@gPj6U@JxN|cLQQpKNSvE@RbK`Oheq$06+t`}G+yiFUaufMK1U7`?esJp z*Pb6?&c>+@tO#}mxY@!@O3uBmE1802MKpNUB3V-4fIqY;Vk=KWWX%AB-tJE8R(1BNR@iNf9eGR zSEViwNG) z`s!h$VXqXc2QegSotrIHwvy<)WOaIlNNsv{uH}bz4#VAs3<4`uKnC^0-bv7$#>5R* zxcdJ79=`5Zw|<8oJZwZ)S{rZcTcqT|W34^bS}Dc3Xwn2G8197y`SCcHiuILemZV|S z4wR|GJn^@xnVl3uj1q4N3ZVm=n4VtSWb zGPbIc_9Nu2}VhrCDVO-ZU^6q;Z_VKJH*js@k2-T-fd& zTa0bD8@Sb+hQs-{+6qX;ptQ1J9^k((5yqp*P*De?Js~LyXNfCI=E2I6WM{-YEN%01 zDq?BHJXl&$5v65RxhxSJj*vrV$N@=(NCVBDdfkd&;s+104^;dvZX!ipUKB-M!>nM(v2=%{A^YXe6DJ^TQ^tJ3Q<(U)jcX z#U0jL=NI_iOx`LCY@i`U7$7>X)+~o6vO_^u_c4z{($2FGbM4rDC11#$f?a%(o9Hvy zKJ*1RqA_c*(`K2sqPpGMl4;W9WZ}O}xa$v^bxF<-sB5!XZ*(vsQMGgB5U5H6+I!&M z>72Z>b-*U=?Cz{1M*^GtLk3FhySgO z{}KMjoXxb)xp+fC8#V0g$9}!Xw7VxK1utaN^`_m_ifd?LtN?GPHd(tvxXYMoj@@RW zo;ui^-KMKThdG^;8ki@*Lc6=N$sKod8TteGGbG@~9?aMU5_W#N`F4vdgsu#B@Q&5- zi{A;zUL&a6EjDKT1UsG*BfF)bvAIkHB(;j?UN~tHK(3sZRaY9XgUhxNRJe;F9(7K* zi#e;hxRXc|(rQ|UyRP-3ckRtxYZr0*jy?Sz?5(+2SN^E!IB`j9v-p_?C1cl~bbu?Z ze~(cs-spgsFi?bs8b3`oZSy3+M&Q2;W328-6GDSk9=o63Rp3h2#G}Jxtv%S%Vw0nQ zHAjyeDzM4T74b2C94Ykj!k}*)6w{_Va=Tf9B$}=Q?N;#h>h929pG97%=3;#@R>h`d zaEDiov>PSS+5vtKhnDy&4bA3mHuEqUU-0HQ-SS~vMQYgsKPD7Zxb+X3gzX3~!0;JQ ze`?{npj}>9FzEi3Mp39&I!Vj!|A&~mWT|czR}f9J3gG~)R8CK0EV|(;LVMOBIKqYE zxWV|wRXDh96=FhE4XB0QcuRpTdXWk)A{KitqYE4;xg_H3P?2?lKAE8*MK(PBk;x^X z8tl!c)(Bsl{Zu#XpMx`^z3L{}ltm9JoMX&$u2k+}vN!$o0)&XA#>6YH{p+9xDPr$Y zr#)@CzZ%8$PLz1dZU4-iL9vI8I>{+Cp@oAEPZwozS0YBIXAn5BtH^FI>k<;l@$?6w zim$Rm*6f|()kMllJR^Wf3};!wl<<5 z#^6H%{$(`2f+oPJeP)coj0Wog7RA%XMJu#BU^v4ectvk^fb0T_`NC2Hmvd%S zntfb0P1HL4nLuMCOImT)`NF}a%;WRpR$NbyOQ8vsSFH$(HmPXJMAGvhX7>&qW2}Y9 zuR=>|JBGYv%V`wBcsQ5#w!%)jdZPl(m0aePM0M}2#)y>z|;LsdF0okdu)*M;jlb6Cn_$bX`fiGWammCIXy${VQF=B z9$`EMQS1j^hKe4LolznhQ&nS7KYYyG!3mgI7s0B!#6k}3oG7n#Z0c%hUv^3fLXvjyRP1E5GN)o+`VVIO4$x$ zJD>;kxYdSTQu|ky4J0k*hvl`3}pqD)&P1BKB5}6xb95 z9n8B5!8be_1|3#e-KkQpt3={YaBx;9yD$3Oz&<$dr}!*r@bg+2yry?y@#?8R*VNn# zInmPvb^%&7BITl9$-()uOjK3y7l`d|ut)KlnJJjAW3s1kqC7o^k(WE??2$3V;h{2X ztwI7?_d6N9hN&(z>~>#6KY!l$j4$Adw=IT3E;Hab%x|MbxS&8g; zbOUtwQ+%svt|~;KZ_Bu}wMBk4Tkkj7UVw9*ELa z$G2?v2=#{=R#>qi^3r|hdO^-F5O-~0mvAI2zkIc8n=UzvgLW=wX(M zYgwA3PFpG@D8xcE6>RT)h-BMp*Im7L2nTT-Cl_x8PDlZMBzA~l4_o7>dnJ3j9iz4f zOzzb8!1J&iV`##>!glRt*>TBAC2Pt9`R&?eu}FNDrOdC<*gfGz+qHci*_MnfMCNmI zu*r69E5v0$VUa=sH{7l*B*po*6d3#ILj6r{*Y;KqIOwfQ6e{_h-~^!mIe6(8H)Z1Xaf9S|Xs#~y@ z5BvG8-^HO>RqZOsj+ezhYDe%TY7m2mX%=kAp8J$aC8=G-kuGNm3xd0xlK{&1-{RP} zq~o&09MaL5fI=}_RDohZl^cx@W0(OsD$Dtm2MM><&pw%b_9YVH;asu2ge56UWHZb5 zcO_k-B4X_+=r>RnTjr-exn28I$^7~VBuSKl9%X)WyDZN#N%0mS7*8ya?{ta+_tD>VKS1%zUYbl z0Y374fgB!kNs0RW*!S2?2;Jw8@#6i{TSt`kC2!R^8sMxnkJcuyw@l zO&65HU()a|x`bWe6~nK9R>5(>PvTgMnmP0@D4Z*hRHFnswoe6@vR029e2x8i1&0D( z(UgK&HC1IBH~3{mJo5_A3jFyVq?;H(74Dyr5`Q(mle_#c_{8wl%jxE!Lh@yg}+}nC3=U#U)+?j!>^_PlH%WD_LGxV>;|FQyF1&irNB~V>4cKmDk@7)meryqrB#VdCS|B4#FmKAsky~X%f z@T;_A$N#E^Um;S#R1CjB%Dz(B#sxoTlzUG$1xm8mqJmfj74Qn<0x|QIRbqjjoSFK{ zxfS*$_?fQ;R^i>J5uXZB6;#9}LEG$J?dbNwr@f|GtyXII#be=6;3?7n6zi&98z<|I)AaWN zTI?26vByCBKI&&vV}?QlAC2eS%Vq3dF1+BeHqrgfY>SqQzL_oXLljc&VDl#|>a_$v z>GrfAk?axiWl(bPTEgk}d6m&n_Bz#x>ucvPds{a-JE37E` zmjpLyv(9^0?bp-41*HcLZNC~q-(~vO)5mf#r7Ko=|N37~Kj(}4zgYgiC-kqUuM4WB zL+StBmj4ttTkC6XT%;UYQM9iJUQJF^@HX4d)nC5=d2q1hAmj*NT6#lcKNW=iN>1}B zsn)BWFAl!jBOez$(fX2JZ}u)ByCxs1`HCdoZNcxG@AW=5xp>%Dt?!i!dg#7Mc+A7T zkiKs`AusoiX+z22CN0)^@22%y<@FA6yPB*zT%qSS#a{8g3nJ)EO?jm6wZdC2rhLWh zs`c+S-%IPYx?5I?y<+y_*1s2hpHp_#TFKDXzuQBe^u1Pf{m$wxzE_A)!S|x?wW3=p z?t9hb-k_G-HwoX3%-5?t-j#FzzE>jPz36+rMpROId~YukC#v<5;3oa8^NzXit*-Yd z)AZT6p{-YQ;JfMj1wYLpw$fFsYuGq_^R>2DQq3FM`*$Pt-&p&Jvi<7s*71Xfoj-Jz zCq1sXK$GuH!XuJ?+IU^pHTvETd27Gleq!5h8{f;;Y^EXtMH+Y0^KVqsMdQVIKva6K z|Eg!Zf=V#F`>k*8r)#@xrs`SM-zumQ2$^X5yGeM=bWc`6<7@cA!?r7yRt;7`)pm)! zNqcqPF}IyO-`r0Z#o74c8IEea!p_}LeJb#7I@-CO_e<(5Ej`KI#py~ADyDz;>3*DR z@;?H8-{5f^S*N~*<^A`39p8=fIa~IsVtPgDiqm_ko*bg2!VpoAV^uBi`h`angjXN` zsp8h*wf3(CVzxsT`vo&v`CV116lT-_pfID*p@Q!bX0#b}MHvK!Vn$){{lttmk**eF zMj`3%6U}Jz>8iqk3Kq+m_nv07X?0bxg~Cj+oKXo>w)aTRXtV38Viwi#VwzFtP{H>I zGukA(s+MAgVn*TXJ;O}z$#IV3%2NxsYd))1aaG8(RwGF-*yQrrv;FnQ`B}=I}n}!D;rgC zSf2Y@d77RI{j6#`Yg&uq8JCYu?mdOhjg2|Xc#LNLkUl^XZkJT9)Le<9>dFeO)c95u zIST0#Qn*Hqw92Zc2O%AyK8di+#pY)~n4y26^G^vwD`Hkd?R&-hpg zD*|E14%M3%yo(9(c(OeGMS7NoGGJQfn%&`&NTV!u79zJnG;Z#)O6O(p)VtTuQe`1s zc5$pu!LUD(lcml=L;-8xIbh|tQ@xTfif(gc;V-!*GVG<$78rYvh2Q&^IUmWOzm`n4 zC=mgZ6_tfNRd|#Trb4QqWB2mR;w6&vmy;R%P{$~Zo_gsv@If+HnoNI`(h?>QJBSVB-1EX9TSUi2G3 z#|M@Wlm)AIq!(=Y$xjt?y9Een?7%6upKN%i=^5_+;=6_56x z9&Fb@`FOg-dL|jO5Xi+hqN>1%XhBg`ih>Q)~G2p!z!t zz%AiG2*N_pE2kXqT4N7mdL!akVN=rCvsiC`-UVcSZ?^5vz5?1WV~i7L^U`q{ zA1RCxkg?1%=0zlDP-G!W;M<*L_`|}scY4REl%}t%Zrnr?_=9W~w%)-ih3&ed=#5}g zBHT-?F6yB_LQmFRL+NeGPwzL-Vy$<0BV7|RuMv$CGNo^O55xsH^P+v6kSWRT zUVM%dG9}l&yefdq57c9XY(Zvnq%$wW3Lx_nf^kA7a@|7KJ5%u-;Kjx`AyewNmu>|( z^TKwVkSVpiz;$OTYkhZ)bDZ`E+HHRh7zaEPj1@K+2eL7{t3Su0Lo5hZGAObTiRgB9 z2=8#g_D}DWE~OnW*vHyGTC(?!hISz*_24s3e$#TjcM3?H<@{QX5j5|)$8}Ikbf~Kr?5+tEzj?%8!n{3yW7cmLQqLS*`ErI|=FqHqW zu|cH_FkZHkzyvC`Hs?!yY;Y-^enHKJy5YJebzQ#~m9550`YwD~yMt%QtIF5w1Ev&u zKL`{7<`FhNz?9V2F4+__Vn`fmUqcUavXPY>=AGu`HZ@q*6QQ0ZSC}CptYV-Tv2d}9 zV&f+3R7-cdgy}D%urg5%a%1dFQaul{wxUOlM>5=X%`vv~+5EXsJyZ5ne@ulXZ-T3lz(sAL-`!2U| zskvA;Tz)P#Hn;=;3zwRQb;ET{qs;aDQEABjKDQ$J^1A@WhA$-e+N(~Nxmd>bO%rWm8tEi-R6 z4Z4BMTv0O9YcF>|7fNP&UF8m-P%^XNwhm4_Qn#AjMvFqvmYJ|C>LE686s6Li-{ja= zaES#4*Zx{=P5CG~j$%aPxy?0Yf8^Ez);l{8z`U77P=K)ou&lktmU{;>_z{`{gP=iW(@bdH{ijH`3BjP4z;1Teik zJTAbr*zO&|1TbwJ7#Cnll6x&sk(2{0^&!+P%WqX?3Yth{UNh{0?634Zd<-b#$d)=( zv5<+JQjk$AYVLa%EaO5MyQ23TBw@*J%J?zf4#N1HcMs#L4csHrj@r1rw;tjHp2DG- zB%m&m;K|dSR$?lzf~XN3H6&{>C5)Drsc@piJi{-Z(KD51R<4ujiZ$_iX|5rdTK()i zN)mGrN2jMaIJ((Pk5P^WGfU#pnKx4s9M4>!3v5q5iEw>)TtB-sJFR2Yn_Kp}cU~ji zCTg9QvsF!Rkdas_UA#_RUwKZ_!SP#_@0r{KpO4*odN94)Y+RbHs-*o0IlT{?u1_E0 z6Ee*D&snAq@TF?6qOYC>IQ5&BM%ea(`b*p*oyL8FxW^sbjjh^^Q^JVFLn>ZxCQ$-p zq>-f0t>_3y(y=sqeY;=TE^pKj!o$N&rR7EQ0RMeyW2_ld`%P0ClQvq&44Ne_FPjI; z%aWZDWn8#kE~$u~6eVOS`79(R7NIiafTT##K(nV_x8j%h!9(ohs`ziwpAYeOh%QXN zwO=e}&6x*tQZQwfTGEk*b;U{o!lbg^apQY<*lE79jqQp%gjbvkd~d#87}!8ViZF2XW;ryG9SShwKIU=Aw~Q;kk2fB% z&rZctyz^*&(lXUn92!N|xr>rWgU($Q0(dwU`V$l+d7IczXhuq`SKo)0?1%l4O7^pa zkrMm4&Pa*9iaSzbuiXtw+%t3ThY1$aeK34j+EKcLjE(bNUPT@Rt0cWbsiSnUlsZZW zOVUxgSCWp>xsr60u9c*tbgU%x%OE4*)vrtpN@}S<-C{9H+EMz8QX=o4p&xBAW&V8o zpp<#FxL-=*N_lV`)F_uU_3}9^>3-%5c9f*zIdyo_lwSzXqs*p6>|nSx_`zdoU@doY zU|3quF{700S!R^9o@Yi$>zQVhw4Q55N$c5WXxe5qx16uk?mT`u?(}T>9G==U1mPH- zTKCBC)VfcGr`EkPJhkqZ;i+}c3{S25W_W5Z)kedh7iu6(wFqlzQeUngzDG(tQsaEd zg9xJsj6w9=lXwtg^&}p|S3QXbF-n@4y_Z*v^FFIc3y*{5GzKk%@DR7kD-{v6(P$k7 z4SsaDlDc=ASz4XA9vZltI(A<<50BG6~R`u}f#lsi#hdat}D0W~@ zYpab`{iO+;nK6dSxZioB6>}Z_Xh1#lu70MF;PvbI-_j@fY*XZXcKl{>v(fb~nis3gp>QQi8TAmdqKRsQB{R^@kE zUB4=scl|V1mBL>6a7S5g{V{`|pzhjbvp!?$jWCG6GVZ03-jz$>hEc|PA!RIE3RE`r z8&k#)`qfi9+)*n&QY9y*PGP(EyOi4o;K$Li2LD%muq2GhTs{8`JtxB49{i=j( zt}L))r&K+Fbl{;{7anea20O#&DYGdWegSOb-*coeKJMpIY z{8!rW*1|?`X)4FZFnq#9;|;MCSE(~T5|Pr5`E6lF5R*%oSEu-Cenyt06o}p`i_dtv zLCk+00HT8`os_Y*>Z5&IrX4i_Vo8{uRgMy1c6D^K*nw|3_tOS8LA`f*+PUhD}`tf6=-|jS$=%NvR;}?#E z+z96*5YY4JI5|TY4~M!(K|5?vGpxj6U}G&m^36miR4J3VQ%^dv*C@HRR|{T|E(^c2 z#U7zP57(pCzX{F(meKHO!;F;`4+W_lJIm%MN(6Yak1Vz2(D*I4XRy{w))}-|vMB!nwg*V^2Pf)CHUA0u}9C6*yWBo_?xDP63 zSEW;f%mUAbsCfk;tS$)FKE?}|r)JIa$^snlmdoZdBO?ki3b(jnJux7hmy&}`pI<_T zl@)sYUC7dt%0wNga|R(9K0x#W9sDA7&Oa~-XshxFnq`)lrI(m`p6-AbROvSL(*slI zVxEGfJcrcf(h`!dX0Q-noNhJ1MoAdgTg@igA=y60$MQ03ac!6{Wf_T`{Ty}{=-qgE z&SFO;{1p;r(#S1~t~bJSEDg1dc~F7Kffr@4?DEW#U#a_PK2PNlQ#!u?wJFc5RWxA_ ziXZndRQ50amyz@Ctkcuo!9@EYsb~gJzb$Ju4xB>hjIUJsz-C{kxbvB|PDaKvh92$}MU= z*NX^6Gb87*jFPFq4VkV63z72E?gO(Rvu)STx+22~YoHH}BJ#Oxub`D44@MjIG-RD*uF4uOyAJT_U1(~!;S1bG-6BjZ|k+%xa9n0xu03{+>Eg@ rwsl}3GPbZp3(y%T%Lu(pYVKxJP8{f#bH@X_2B8EKnQ8wA00960wB|Cw literal 14329 zcmV4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*H4W0RR91001>M00000000>S0000000000 z008+n00000004La?0xHV8%dI%Xy4j5+Vy#6XZCUSon5=LGo#rZJ^)gDD7=n^KuY2+ zUrSKZ=q?;|6Ks<00Re8KAq_VsE*#+x{_4NP_BVg_Ut~MNU;Mi9?^l)8oegvYASrWD=RB2E2}E&Pww2g^8@_7k3T*$Gk1Q7pL*x~B5DOm)M=aj@S+nZe}dTG zZ+y8yp#EoO<{u&Ir}+B|{F%PLU*P>C`MZZ-{;{~b`1{*$zx@_P{$m6WqVuSIYHIDE zb@|6Iw&gVrYWOnnAO)U(fWQ6PcJ*=N?<3Srg1?6!d}4LB!qcE-b~;Ja4Dnj{%*@n~ zu->`2jHA=D#N4ZYZmJ8FHDfm0N!T*=PK=6M8qAqmt7R-$*Ti8rj9-Swb9Y~Kda>zs zL(@4KO!HaS9EaWLv~7}3r`7$!v^u9z)136$O_H^1?gs5+(@D<4*mOH5$*Uj^4Wk5{ z!JvI8swTL%6?c>N1LNIky-*YILE#TJ%Y40|A zN8M%|U07bMoyK9vvcIs|xg@{ufkeHo*^8s|Fin_&-X$Fz=%c;IHM6-_0Ax@P*BHUY zMJs9sN3GD{mq^?VvSL#jeqmlk$r)%5sPo7XgIEQLx%7Myp*=dWEx+4AeiuEkl;amo zde^kBO}gehX!lNnX3~p6>!ZuN4s)^t@?ebAg@jK)%pS0aqd&4?qHYpLN4=yIcjt`R zYlQ)jkHZtNk2HX)Tv{pO2)>LhD;|eQ5RqMjn#KL2B)CHcGk7b`h{4Ijv)Z~x4OAWaxJ2)hY; z&EueV8g}iMg8yb_{w-qvMc-cm;56zTrhxPX4v4NVgb8`4oNGAHU5EesX($C7U+_++ zJ-Z&B1ie;b9=AG27!MnxaQTPC<$L(gcTD~Jc76b|xkt$G0U|B^H(J3(7qSuRMY$$G zRpL8UJt|DLdb^F0(w6OctCd6fxksAlPZ4SBu+=%ueOE6tGrxqc_Xjt?AD#ynW_C6X zgX6=lu=1f*RDKOLb$0eu93|nvmy;9Yw8Yu%+LxP~X0|EscYU@eQBsyI5??>xpnLvC z@`b8fRwa;5s7sC8>e5{(A)lJYWgEjg!cMP#v7?Bb250QZOdX!7zbN=)Jpa5NFNLxH zJc<%%cQ*S+n+J#(cxGnaLmadBSu-17R6(|>5Z#7084MeG=aG@+B@bz+hqgP3fu0G4 zrVR-YwM}4tzukCjHRU;W3qhYV7n{1#yRe!opnXR8RQSwXqeybR6iG_dy4~;`YNjJv zt+8EHP|;imj74hU6Ny>W$|HpW%fHU3bK^Aqb(|_%{S=wLk41q`0fipGKIQeA;j)cJ z0}37`AoqeeXtnHIKecxNqVTc8>&EPVoecWXkqVFi#eE;sPfYF~S$n`fM$4ge;m1j+ z2PL)mRaq_e!>+5xQ;L2s(1up!FIg2*XG#91FOwq(NS=FyOBWGY$fa5PCQ&Q;qq82t zxUtbrqU2Jy^E!GiOnKo0^9Y8D#9C%x0*WJ;yJ&+k$*YcO_s);PxC>)U7d9$q9`bP* z`C&yv5@Y#Iq+ngqtQ1;N}lYq26}P-~)l z7KL#TH_tBTbo0#EZqLEj_m!*u&U`l$Ya%c#Z2Ad;g=`9AAq>GFrx>*~WA^7|#mx*S z(Zh&25}-d@LGkl46n9}8J@-8b-3l}Q5o$hj{7C*J_Yd(}@3h;n)x_z7;-irx7!c>QuMR(_{KJCIv($SSypA)~BXJ+qcT*_rFB;Gn5!w{N;79 zfv?kuJhi6ucpK=^-%q4NaLl~Yobjz(>B?75s`dj`Eo#s1VnLHLNAh7=KYxU8>ksC4 z+u?c)9eI9T7KFR=kE7&C?`YnQ)XrKfpXV;3HgzRIfLj8fytp}E zgK9{pJq(E%VodF0+&?y+l=UM7Wtd-gE8*0kuqZ#QK)X1?47_y9OSYSSLB_d9P^DtJ zf#pXmuVV_b9=3u@Q#(FJn5(u=xFYO!PUI4+ml=zuCz9lAka@z_GxuVIHDx+f=i++QQrX!hR z_35ybc6)aJLEe}WXiA{0zko$@4<#hz87JBM;VDg2v1xG65F~qZjLCYR`2I2S*~TpWYIl>Llef!^0Gy*+W0D^g z{qLKIR28zpf5yUR?oigt_>*c7IjixoVckwzZaR3sUR7Y48=Cd)YLb4=6FrIw%4VCp zFQGdR)gG+`ptj@t$8~;ZrWxFs(g2-f$_G;#K$cATU`hjyBRA#)a&Ot@{H}Qzw7)Xe z*gnk2&Tltd5GcWe+Xi?ze=lf$#eL#^cGwsA?Dh`h0}e>XZeu~$;4nOKShw$Q)U zsoHM}KEB2e$UXN+mHr%&@Tv+QRdgG^kHhzLUp>yDdY%sCVZ-%;KOT0%X}ekcjCCU2 z55|DE&-ZhFyw2fODd#yJ%k6NA4u6>RB;Pbi9JIUeTn<;{@Zm{6TCs_H?KW#dzgD7+ z2B#eMof|O3iZ3L%z2eDu`b7eG$2pI`=Z}8Fxo5lgxq*ju`UUNroXp)lID?y1I{|k)Rx^o{jmgbNPxQvfO~ z*N}EX+4M)+fBYs|ckbSO2HAEAOAzO4t<$C_|Fh1kt|ffZxiE`xsFs}F>w<`Mcz+hW zbl%ijK@2yo38qc-QxK$n3fLO?3ezjVH7Y!i#AEJ%|BU%gFM*g|0%32Dm|g-gnM)ub z*=2OFqiKi>OW@V;sz=?e9fTsx52J7J5U`gQ{#PU?9V&0OVFRMKm8;@!kJjH9fBLB< z0s0>~xc@H^uh0JD#CsC=cwLAc<5Q;s=3f5GUKqn;%z1cBKZ1pi^9ebYKI$8&=y#-l z!;t{}*exRhGM!#~ye>2L@&31fr|6kxtM<@1jcfx74$Y0jOcdpAqn?lyp2a5lf^u^Y zxzr+phT$GeRB-kc?WSYQd&>LCb!(JEjhHFyXd)u{1u@gGE~bu$(cdZ&p33C$TOs*% z3I(pYM-$>F_)D!v}c%P!}*>2%*lUcZLTu6U>^1&%%PW87R1nFUM>A z7MG{NclL(6z0D<}yxhlDd1hmF0)NvERSD+`(1Ee&gU-clD|`u``y0-Eb~!kcKMKyH zmfR%9cX$&to0~2>zB~7Q?w!|#Z0v1Umlh5x3k&u8E|$2-)O#D7_FFESa^K7wWNz-6l|}Q35j~1ybsy*7;q61qivVA>&}$j=hbix~#$K+dE{AlHV>Z7%s*$d@Dn4ObJmXqwmYrYDRnZ)W z26%>q61$e!Ja*~HX7%0ez0IwS{lmuQ~Z82nWiRw~tn#meI9a&>Ka zWv;TkR#~eoRTq{ki}#l~$l>9jI7?Fsp0Y5>loK zJbt=WJJ{WK*wU1_IJfxBy_IFE`}AP%>A~Up=04tb_i4MrLn#1* zCl2>GZ=*J{wEc8l$Y2l=JzUh72_-932JR4}qsba(iT1=%+@b8nor^dJ6$%fsU+sm# zSLT@vdD|fjo+8LT#z!YI>U&QOgi~nlL2%NMKdg+BnTQt(CTlY6yT=L9c;3U`!omao zTeA^&Xjg;qb}y6!ODhW%v;ELTWbl4CutL`QcIf#S7cF;V- zB~!RS>(%8$Fa7!%q>S)s8i z11Z_MUqxa^T9yv>CX^zUnRc5lUht45cI-Vd_Vo^JmF^u2770#E;Y!xHl)QEF$qx6n)~xmTXjF4Gf+X)=2K840Ou5g-;N`^E3R>3%Hd{Zgma0 z#Iw-9LS9R}ht(eoXth!n!0Tpq!i4+a+z0k{o5cYx&~Z`DPiHRI+7T`_?m;Qda->w; zijHt;jSQDmFX!gG*YNY`#N|d7y9}jii7+w5(jLcI%=2-%bdcUFWD#-69^mJS&ddF^ zB);UV1xU~oHC`||_tKS;Lyk(FMGk^J!YtZ6S>M9?B?#ewIeLtZl0!z9m7&9-4AX`} zBq+@+63=l}Onf~1*c$1{@(L4bs$*l~JiDv%GDJ8udRMFn;<2dlCNKAT1?llAQjlq9 zXW^^%{0MV4PIX{Ku#3L!4lYk}9(7&L6fCPU9y#RLLqUfXBnGPDc=_P0!A?{Ls!}xZjXLWQ7aZLH)3I60~PA zal@^xzP-PPulx0_-{A)jE71pQ<868ODY@`iYmc>7N_H-qJb?*@dtpX?JkCL}yz1Pt zRE*kzGIf|I{vtKAlVZqG)^Ti|)WRDcj^$hRboEeTJtZDy7S1~?5Pm6CIzL^^$3j%h z?s6B#R$X9!h?HKW^?f26Bf-4?oF!t#>$Wl7X4y11t1Q8R1_pyPjx*RtJxobez0;Ws z>pftLvGsNXx0=&%IR8dl0jU^NR+r2@{P#J+cr+U-?qIZMB*o#(adp|;TV0mqj97%F zZE-{>JnXe! z+RFCD9X31X7x>;z-YN<_Mn#G!KyqBIT8>QY4h2cw$2<+mJI_MQwPW{{{X(u0?CTe~ zi9W&hp)bJqj9G`BHcPw}HSKPeOp|6$mj1U15B)*ADd7BovNqezRu3Z*RXdjtk*c+z zy$9}{{>W=v2dvW0?#>2MB(TXp+Iw6xn|luAd-&l}fO{j;?9A5+UWPLp`4**kosEZ4ax#T&+3? z&#iN(UMw9&$>vOfvgu{&KO#K`EpPG9GGHG8+nZXFpgAZfSBS+*|@yrWnDW;S2ZjV8$+(u<_IVwp&~wba}9W zcdU+I{7yvnT0zt9sxccU*yNNL*&qdt%_SltsZ~7p!byuDa^os=nUHiPjGE_i$v6ztY%j?q)L&ll2Q;7N=`Is#lR)w$L9F3MyRm2Tj5@ zgco4=jHjGixGrdy*A)&zG26aUDGK#kFX`AV{}5A`%+<}}3ZiLNAs*nB+UaSGMK|0& zXpc7pN4P^Amlof+2#2>VLQIIN0*%lcZz-@vFH*t#h{ZO`=mKX*E=f2$RAh~y4`XOd zkql2cF}VcPfW6r?TH!0RpX!F?DL5nAt8cQKGV5WPbBuY;mC8L#_GX`6fDy6On0V#2 zybNlPBK98ky0f+ifr(* zULXOEryPYkzRIRpvv-EW8cmpy8khE#cpThdk3kPq_C`0+XS^iK)b8?9h_x>zosqBi zE?T^To(jkn^ZR8{e*3U$*^@3;%;S7i96}{OB5;?S})ru12 zGfNp3LwVh1)p7!2ZMws!#| zG?>!dgNgNNV_z&|xjz1jEDPrf*X{Af&>JS^-ulL~!}`{KyC@~)loYO0x}7;DbaNA% z!|p~=`k!X$H+MF%%ZEk^ml|L2EowE(SPlL2P`IQI0=zFC1C+*oDYH@?QPVwftV zHu(%j(B4`+e0tV9SNe#=oaJtwVyzOLOf~^Pui_R3d%D@4;F2tINatQS(c7d6*GjmS zot{zSXAhdWQ@)^vY_?$*;r0;-tr7HMAF<#T)||vR(7GSIa(S^>w`f#knfegl_Po(6 z)#q&zyU4ZmyPC)pM)9u{Cy~Y*4|rvHR#CkE?D(9i~)qhLRd( z0)_J_1{2Qy7pvxBSOomGd^8H!3c1_#;c-0nr#o?VXvZ2HPpsx?`3_){R@r@+r&G&Y~V zcnnRL(#x7}LQFj2KLJJuz~RXeC--9T)fV44i%w`Ulbf_*I`al#W^l)@tCtPpq(qIo z_e@_d+hJ@6^q?7cy0B}?a)0CvaKlC{G^JIynq_zvKusiMOi9Rw$}MdV7;zR!?_ zoAz3-kH%h0M1ibxD{txoLQg- zIGhYF!Geb@Fr=9}mQJ(PV} zy_F*cHU&Wk^WH)54Ufh_hgDK{kd*5xk+={Xp47?ii;_#%ho}7*pM?y5UJHZQ>@F-` z1LfzcntNeS^n8I`fL4u2d(oie;Cxvosw(&k#FiWEk-hHB6inAK*;6=Co}RAwjMGogKV^sV+3^ZYCpg5*EwsV54Jf7PYyL6g+FlQZ@>sXSwk=a}U5$ z*s917@-QWU#3Cu4yyBIATg3M)_r|O6B9U3e&GLPa%Xh%5!8mA>d$2DU|KrKM*crDq zA8bGUl7)_>Dch=ph>*xum~*|yVdx^iz357IbJF5U{7kOTZk>Z`ZDhMdFhTGQUP+_kf9Y6fj*t=2)H@7PpbnnO2wTPt9{0|&izi9#j66PyT?pM#fnaZ@I4A2$HU zLvuZ`#uj07U!8L&)eQdds30qj{e{|dMEOy9l}-g!+c^?GioS+2x7WeM#jH2>jNY@& zbkcU8At;rQblA^tT`i7Gs!CTuHoPqUQ9FYBP=gpegjup7d+t*T6;Qj1BTdd6mV|aW zCjpf2zs0d{f#b5o0^sOOK#`a=szEWJ%8km0G0cD*mF4`(y@Xrq=by|!`y7CHI9IGL z%q@LFCacaZ^0%^zcl-4Y32RS5zlOY6Ge7mo?b@eG=C}WIiuDA$0qBE}R?O2@=Lja& z0r({mYb1kfx*P~qhUH~}VZojzIVfsD)j2<~@GU#ZOLD_9VyGkH@m+(rWx5#lrY~W+ z?NG_WWF(7yF%bI$eB}88IXvV*N&5WQ_sC5M-RBSS;|Edja_$?IAjdlnmNk^!B4WBY zb{~i~_IB8vT`q=br@KYW(~B&YJs%cvSTtiv&EXto05{F#^4lrhZ61k6$X;HwsfB7% z9Y(*uUieoobKAbc{OkuZ?PE|*t}rN#)d!$r7qZ;iqPQ~WqA;63-Ll6T!zaS*Gqd%q zzP;OU*|4=?>xkW(&M3vdqVd1)0Q=A@#$O?=f|J6Z%&{J|bL3xAG*>98N(pwXp9(H# zwVpKkn)-_h4~4$sDTTADs!BF#^s9<_78RWp`ild^?W4X*Hfi)1HTt585j%x`G4&O| zEuz1q(H8};5B)*x2%y^J7MbV1M!#6b6jNVygIh#@S)uO->anP=m`Bxm(!9T-(N`2z z*cCI+?MfbwTidmM!C%b$sz<8|D*Ol8OAnD{;Tw;;7Jn`I?<=CKU@`uxDuV!JIP3V= zgTEI2ev!S8{Rh$O15k2kQtY4dkCy!_is*_xRPR)rqL5a>iQ}(@zh5^cc}L=3T$PFA zuciO8>c7SK4^ocPwCnX+1HX7I9tu5G zm16QM{41)46C=OFe_!M8{aB18d!&N4-3C?vH&1`EPy5mH4NHAXe($h`=qrj2B1Zt# zbycfPvg=OL^!Gk$>=sk8$3Xi&Dzm9EL7{<<#&hoFGIlQ)Uhr6(=>BH5MaxCs%og|o zGO72l`4blPMuMMod)iO%#U2)w8p%3vx|QHZ_+D;BB;WALPyFB^tYjlwu-qOU`_cNk z&3}E24XPLJBh}aSPA|#Xy%rTSDIQd@!eXkAu?rO$6|Wl4J~zS$Ns!g^8ve(l^Cwj@ z>m+4EWx-rLBA8oCm>meF_0LV8)I_6L1gcmi0>8`k&rQFSv{5xJ9s-0FbUWN6d|U0W zr*BKD{T2T!swn;!fSc4=r@gKE>*?Q;(gR1vvZ7 z^?OAK6?`Y!UMsql;)^eUtF*$b7xZ<6SwIx4jYp??l_{HKK~r;|E)rG*Pt| zfSa_pPCMbYx4PcLOw(uOM%G@)(#le{J{($XoyY_7huoTlv9m&1xzpP^@t~ zE&p0IT~uC-2PCEU`mb8HFQ^2=cfa=S{d8@Y)l@C3+FJz`fUt??zng@w%J(-Fdh9Ex zeFHyuSb5c_RgG0pRbFCmQemC;s+HGiui5F_`{}AUD_=atQI%KJxgEAoCEn|4dB3L4 z+|r}meO+BiLe=Zv0mh%?s{D^Z;MaH{M^>uezykj}zLM`o`kXa;P4#-k>#C~{vOT+q z5(^__L9VZAsZ|ypQxaZX1E7-Igx}h~5sX<6S?(9gX!UnZK`F|p5kOH!kwXRFA!e-zA>W2Gli00~IWmGw(dlXyfXd>K2MJ#d1agsATVu zoY98YHPu;E#fy1HkwXRFA?o{DOyL}fezEan&OVAlq)8q3;-0s&8yqq?ty1RHNYyqB z4h}GVMlh<1*z%e>Wh!2B%?c(V_u)7(y;Xvxyucrxp-3resLm-t5+*ZHObjPA^eEvX zIFV4wWHd3P)L1Y`Gh(r?toIYcO3j`W)pDdtZ7dT*N(~N*YJ!v{754P_sm{c(QU-NJ zHO1+f{e5CMiMpQkV}OC}NatM~t05TnXL2&=EJPBp^qm71{$`3-5=QZDjxGE(wTkmm|d65>?I6?E-hoxA^RzF1kva_nyq%_e#YPW2z% zl_B*e+LbMVlvq(SUe!^sYtSzwPoy(jtVFEX@ZbnfJoIM9$>Ak@ZSfKsDLF$90$zUC z!Q{{qhO%g>UYKu1zx8u+XbD4Ev<63dp_ZQvO$;r+_0ghLJk*o9(f;Fu?FKj>PoG%NBx4q0K3*;s$7%?MtHL@KgW`eZ|F}7bL0H*yEVqh&WN)lyvr8Y_L6V12exn z+qP$^fcDE6lcd?AG+fq?6vc?hL}nR_B9b#KvJfTko1JC&6?_F-gBU(+Tid;z9wc~Bbp>;O5gTA zhzn@uMf)T%Q$8=M=asNI5c<2|2Q)Rfguf@HMJRoWF>ll8jt zA|?^JucW$mj37u64dp>>Vpu5yjF;_XFu{to&3RLw7+y-JUs7|Se!Q;9UDt0#WvlU$ zzK>qk?%+G*RpsmT5mO4i9|VdJ^8}k5VoK_3r);V-Vn`foU&8=#vXPZN%)8CWZECPx zPlS2~t|&u7Sj9kf#KOfYijAABQ!U@>5~jb7!pcN7$W5>rmZdD)Bx>zxk|>A}&Nv|p zc}AGbs^zj0ynZNETuEko)7@fuKwr)igPN)*2K&@&UQ}OJqSTMrlcs z-J3G0vCn(`wf#g{X{Db~3R->C&;KTdm(r8{jX8>1DPGq!%?wC)=KTVHcu0y;@$S8B zTY9NEX+OREoODw3^4`lWUTQAZkC&f|O$;wVz~ZImVf}br(>pvdUfX(uuyWf zz^nJ9XrZO(;HWbxEVRtL*)-?|GILeQOs~D%0$(VZ>2;M`z(UE)g8Mo+@kkwO_8Tn< zeOqS2uBe4r!EuyIe}0o=U%@pN6kPl3xi#gZ=s1cIjpt3SDf=V07O}zEfgtA1JbaxL zVoKz$44Ui&F+Dk$6k@%Dl>&l zWHPTA4q*1zdLBLjl5u29J*rsDL{2Hps1>#My$_a2k&I0-cn*@dWOrr!1aAjne9qg4 zaoGlL66r==+~3;_@c~ca&`cIkS4r^X=}spxwUr7&mP!{dRM(fDlXYOrZgsPw2&Dzb6i<5_f}RUIU}mLa=ltn5d#nvB&ql$WF}^z66BDiNYO~MrCxR7 zukeG1)W>D<-=IAo;O`Jkn0#Zu*q*gu?kz~hlv!#?M=I7AD*(hvCB4b(kC2trCx@iN zkrEbYyO(^;9v~7m!^3okK`ZH=S?i6z%@8dyNbXzoTe4faT-=Y{W8cHboDC}!$2(;sCz8N zfgPv4C?)d#8OnHzkooiN!yxl&aTz3OrCc};tCRyx{d|rB-Os$ijsq&bQ%8fQ{e}2E z%xp@e4u)HUA3T-^)^jHZM#1_XGfuv~%Zvl-`^-47zSE2Y>wC>Ou)f=jgl*Sz%lS&} z&eNCU&cLS6(a^p_5RcK&xoyq;ty^U@v~HKt(7I(tL+iE~4eh1ccog)4Z78&p zE80OqDH_bDZx?1MELmh=Z)87o#dp{gdKinO&_p@EMYTWUR1#34@DS0kM#<%y-IH2G zwG`Cn>xb{LfXAww&v_VQ44^TLp9g@4b*us4Vf|_VcvweCf!TU_#W?S?ioEbBXwPEM zLI@9OtGrT?K#yCUqoBo)?p{*&PBTlV7dJx#cT>miE9b%Tbt`IzYNGm(^RM*bTz0E| z_~r89i^}1SnxTmunA6&NtJD0-gzd~2LuK6WywQre4u3GDoJChYAtZSHa{fnVsz!Fc zK2=+v!3Brwm-G8vIc$x+&7DKPM~yZ2M~>NWmS*_HLzO$dVSwdJyC@K*cwFE5ozVYj zVNw3>YZm2qT3x>=nRop~t|(8vmBSa+!yRQ(_Qwo^!jGMmz( z9iR|Uq3Er$HUoRJwnj1kbqI>Cv~*I&(&`WQU8elDIJdAQqO=b&Tc27Z&R{EZRqrc` zAM^SZ-*T{(1rdt0<2Dl5#zEm`mfN$S)eGG#t9{T(f|iNpKJ~8qz)tGzyEv@YbW%Yb4{I{B~0PMnuKYhoDo85~^vnPhRi)9#%gVI>I65qYdrb~3*<(vBYj ze!JI7qKj7ewO=?Ab|an-!9dTW@pW(xw2a128)mGmcpyaO*jY74Q6k8*`!1QSjq=xp z>??D65eg`!r#FE&Qj^G43#(?i6BYHEE9?VRSpEDMTaz|+5QT?eORv9nA0b;`yLzS3 zJL0;br}~faaUWdFrb?#FD+%cTj-|;rpd)Tg-dk-&{g1l&#Yz8q!*`KEp($KjGLWy8}*Q6AL3(mnWeZo zEGnc(o&7v@)&RJ&V9{UAO86B3Gil_OMK@aEIhKaH#@wqxpO7LZ@{lq)%0tSyl4+StE@NIEQq<-= zq-?3k3bF!QgePYg?)ex$XzJNl(Q#-R(P=wq<%v|8TU?gvk4Gd5?}}4_ToDMnbM7%T9K)Yo!7W5vj55Y9w3|RWVL(`->a^4;VJ48?#U04zOqhdJI-;&>Rfg4 zN|k0Eg|`1ItqdcFvCH4)Acb8rYB412l82l)yRb>!qeuP!ouY4Jr4MANk{($<>?bz{ zo*c>V&n+)qm*iRVk0QCRNA9YueB`hmN*UxH79>6N|D<$ar1a1{r1a2?HiR77LkZxX zJlC#M&22;QTZ3c1tFFzhT$^kEU#=3uwH^gWEotBkGlqm~^DQupgn20?B+M5iB+M5i zB+Nq!2{XECA(!E#xt3w~6mzYO9jKL!m1_C)q615B%<5%frD9nfY^>CbnEihM00960 zcmY(BJud`N6owD$V|?$jSfL;yL?Tg=D9oB`EHks*y&J1Bh_6IKB~egN@Dmh5rI3h5 zB1+Y^RDJ-3L=>VRA`0i+JvS&$ZZhvP?|IL;Hx5M*1%SP`p}rzKi?OP(q>~J#m`qEB zUr^ZC{OrchFpOpNbaGsbs0bubf4SZ6qW`Iyu;~9lOoyXs&k-^#pe2w){cdOeo!Sr@ zXVgmt6QP_`43=uo$EVjl>P28Zga7=>Ad1>ObgUV_nDG;??Xg)o%ptXIeI+7n*1}XK z>-)Bx36-7n1k;wzcopmDEMdBlX&UD*S)sdE$fa2dNJWdJ!Ij9FNh+ZSmF2_BY&k<_ zWZ@@;;|PcQR_VUi*7r#(gJzb$J*y#s>dMW>Jsz-C`@5I|B|PDaK-EAy%57>R-;W4I zGb0zUjFPFq4VkV53z5px-UG8Bvu)STx+22~>tFzlA`8!F)p*umQ5Kq)F~?T6@r>#O z`J;z@44@MjxR4+f*uF4uOyAJz_SQuy!_DkGGGEg@whdq* nGO@T!3(y%T%NV^(YVKxJP8{f#^T$KG2B8E~nHm2F00960f>Kc| diff --git a/CPLD/MAXII/db/RAM2GS.cmp0.ddb b/CPLD/MAXII/db/RAM2GS.cmp0.ddb index c2b7154e307c1e14277d7ff7d1cba1b557602c4d..e75f5b34d1352b4cca9d25e175fe58f6cef440d7 100644 GIT binary patch literal 78630 zcmV)8K*qlm000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*D^73jhEB002lZ0RR91008C%0000000000 z001U40RR91004La%zbry6iM^<3a*hNyKtpGhso!d^2}HH?EAdpRz2w_&wTTFUg=F?h~}`i zQ~aoJ6o=~bN1>>mS9(yNzY69=!vAM^M&VB=-@KAkDavx2^q z@0CuJ6v|#ow~7ZvOK~1kw5>GY>sKFyLxumH;8b!c4qwPON*3Q}RrdAOjH2jkLG{_! z3d*vom6BIsR<$WMQW{R>qaP)&uSFE~#PW>dN@+u3R&(*Dt0l-+uZH%0#9yUry1v@0PgHzqH zF5(N6C8%cee`c-uA1K<4)b_ff?X5=A4f3}S0se?+d^%z_Ef{fy9;OX-P1fMyKy&2> zR~K?*p`$^g3C|aQ?*wi-#vk_nbOMd`TsgP57Yulrznp+`#Wmd3!TD-G!)^Y;U2O!; zH-8IfEi-W4aTtZ>yx6HI{-Z$+#o-T5Qnb$8)uFvYl8h16${aWi(?{k-W_<$LErsH6bwjnESG?Jh@d#1h}H}Z z^l(13?ljj{&G}zZxphBAVFvt6MthGYvLClF{Re2y6M<}H=EP|*)uFeubJ?4n->mYQ zbNaz}OG0(y_V=fr)fNipZurs`gZuQpS^ib+MFp&LZ1)6)Zi#U@F??Sl`ea8RJ)#rXL zx|J%DGgV@_jr>57_E5%vvvrhU3AIU1vhvOMU`>YsOmbff_L7l1S3yCWBxTii)>Uni z-+?z4EJhU`(uMLl?Q_KzTL(1fxxn9BREkD@z|^otjMH-0p+6w2$-~B zF`+QQ4GE zuHIZ>`DxC3fb&bBZC+SGBi`Mj)=L~KsRnaz;5J!pZe#MOSa!c!*=;23LfYPcfO9T^ zMz`2DRcsL{#xkN|VpJE`77S?DMWwy7+|@|J<~}IUc!f$^tZu!-t^*&!O;QT9xxaH8 z?dqnZ+KCK1N%E;yUS&kX$ig3-&ESMGPNbY!FOySyo^>Cc97=suQ}J-U%8RIR*$ecj zY!hqbM<;L{`jThNwb2$j?6=WPO{f5}j^?+AjXrF3(yV1c>y2DFMJg?$JA;1Gu4EOg z#4NWUXPE+xVTf<)+m|YAQ*tkh9*+D0tkD@cztFjzl>X;Wy7_66^+>z-TSzz%RfT{R zRCdo*dNH_(73)*Dw7xqyN)}hVWj;fVG5nvSz2#Kxp%!BcTm!kY z^CzjIMWX}(>2iUhSq21kEMGT6nHA< z)Ov8H@%*208M@KAWl#F|uB~7Ad6X-R+*|^C$7+&?@(oE~fB%cD5J*1N_=1;et@USHn(ZN-FIdtA z7|PE~IN9*0FW95h+F-2*Jk=NctESxsc&|ogErF(en(>;kA*OHZG@y&p1++2Hh@iEn z%&-Xd2o1%mI;T#LQq~n+`#DB?7^FL9;t@q@{oXX>*UD|p&`I+!n9c)#tdo2a1uZ)! zBd)^QAG#owXMnxy-O5Zob59gc^-L9~AmiPGNhZw85wI?KQ2}ExAtMi-w}lS|HETr= zE^+mVCt?{!BL3GY0Nr#g+L9(E%pxdbRJorP1Yq3VY6C$+XSTIg@Z9^-w7e1iNpwwQ3f6v$;* zqw^Yu@BPF0TNLq&;Xt8BxhF40_vesR01zy8bMd=OT>&^^L zf=0^_!+v|Quw8p_Bde|M1xG=I%Uqe&7f+xJNmX9^$jnGDDBg02b?g~=%pQ@;VkN8L z93s^Got5g;dfbXa?FORMX>1b7iXotV0fK0g6w5o(wNNwMfdNK3XsuD+>Qrv5MU93{ zv)uR=B|e_Zg6hngNff2mB`SKhla)fZ#^lJ{2YaW;-<$em-A3m&Y_;-f@SohyDbKXc z-j_F1`o3RjMDw-QEv<8wA<(FgF2U%uiGJ)%EIRk39=4de_)&vc@TJIu0$dSZ^jaoFihYxzMmRs^7Ptr0zo$ z7^KBpkD%deuFP7`chH4{3Y;-!xM1b@IrBPHr#b(z@{m~TdI4D#rxttC)dMUn!#)6;&IL8d%yqkDAeF{)uc5EfsY%pDeE2rp* zWi;Z^3u)b+zYtF?$1!H~L!jv~Q>tC9WXvSPxsniX1USE0b0Af2KTcY=;tVcP5(u&x z@r?HKHf?@$#nCDE4I2JnR*X59OHuO^8OWV(h*b?HM2U~2`3UZ?uH^(;nD97dD7eOY z3`3zrK|k>vo!PZdOXzOe8x&_?Lu&=+K`B3>uw>}WHjHmeubyv~p+!EwaBx{9?7yJ3 zMWHrDJ+)Lg_ay9j%$3;y&;GihV8G#eYKq)Yr@fa@?P};yr@c|%ue*9e6qJL2lz?Wt z8`-Glo-FpMoX@P}+MwMrGnWU`jk-;Dqi3*t3~6Ou)2=9x@z8mq6XWR7kP9Azim#0W z#E&UBrN)n=;HnDTot;UBb7P^@HPC{lo&V`AtAeII0P35^mFX0?Ma^#~wC8kOZ}rm7 zgvpa}4A0!`x08-#R-TH1i8yk34BvSM5a@L5wTq5+QsB=J7v&$M)0wE+Y~4XmPbHBc ziYYLzQla%9sDdW%q9nal>(2bV#J7Ho`44C9dzmv$=Wz7U z!g}GhdTBquiuis8Z)x;)0_~`CjEd~OV4d+}bSTNebVpR#Gj5^PM`GGo>Mf|jplVJB z11HNBpzYZwM|9+=u+0$o`JP1u1BR4U>xvDuBiBdd2ZFYCWc1&EGOt40Tj~CL$c&fP z8SP9fAsFXfH?R3Wpq;J^_Q?c8tQxE}$qo%5*c%|v@n{+~&HY+f(~KK;xgoeWj464h z(ZW@)1k9RNv^g0&&OIQ1T4NgR+wTFZ9+;C*ppgNU&P?cm8M#|5CU~VfmaGjOINeK- z-(rTvVqUPe*zI0*T%Lu)t02n@ziwm0Vs-~t28y<4jm7SxN@0A+0iXD^Y5_gEe4f@E ze`3|rYI%*+!^`V|MrfH2(FyN2G zYF)9uwpbF-##q*~U^=)%UDq%Ch2Z@MWH+M!etat|py9WpG=1M1t7U}T5(2XwUKm2l zVQpMeGh@`JO=1`?g3d~St?~7pV0jijX=1{5~ z3e0jTrSjsXV@v@OZIVWFYFe^ z&DBcHgjD{Jaht7j*P>;`0_ebobyh*mny_9QoYxwWFss>POT$-B(U6>TnV%H&9 zC{XO!QZOK>m`Zz|AexHMj)m4b?bW@0-4$DByGUUt6ra=FzBMU@J|||D3Xod}Q6!?l2DH0%73r#9I`* zh)R1g{NyWM_hu#(ro8uU+A=j#+FJut%cB)077U1*C{m1tBjJCv#io`!<=Pb%uC*{8 z44TISOk&Q>7iitLR6DAYtB?5kNhT@oFaVCigF){i zHIim?ZIW*A^O|rtoiy*i{@cn3bSSg%;RQZ*db*dMZ2w5(LaJL$bZg0%E`SSvY)S{~ z&ZC-SAMw4C`s~AGc+MoyQLixXcA|8|-I}R*mxR9TPD^mxF0GU!XH^ zM!|qgCvpk6ozAHW2WoBa{(iU2b&hoif?}zw9~4nS<46Gln3oA zFHX_O199xTSLa!yb%gTLU!dWJ!==Bph>9FlCV@B-pIYOS}dZ&D;lVd8S;N zMf78;7c8yQgZ4=1e8T00)W)sAJQh?IepXt#nnpvo1tb^NIw1623q`6*}IruxVo51pfef#oo<|qQuiMl(ca-t z@ju!6 z<@O>!^|Tm0xIdfDZTfB%(42e0U;BO|r)GA$+2`M_Gwco$V_tBf3IpkRr$@p$!e0<% z1Z)0WnV({hr_Bcxn8j8Bd>5=;;>xqFkf3cY3kh2mvAoFp)o4xG@~Qxy&*&medVDi- zA1xT4opGDzz<|!kH_Z*!DJCxEIdpD=f#BkcDDlw;5`uX(I4>GjMwKU%Kb7keDFjcW zA!a~k%#{TL8lC#D5L^erv`OqLOI=a5NjOKkjRdHAwn;>D7rdoH1=d@#4+7rK!B_*? z?aiBWLuh5Z^RzjCRR53&CK&|BtW@zEt*)NaUR`gowO?oCT+FmNF^u7Ny6mJ=jUz4n zRbYVg4P;8@-$_eKC@?H0iW?8w-67qYi90E{lLD)lMI#gfg=9fHX+;|aX3msBM`G+P z;}9L8$jBVk%3JzlSt1BQG>O_$v(Sd(E%O+iWaLn8ZO%bv@-^r{ZP2Pr+9}Ja#$(X9 z$Jcu&d)ZiIV~ig^6p~>PWxcEnniF53+=5K!IZVxV0TylQ7|EdW4A0&J9rxx;0YkzK z%FBsru{H*Jg8)>%tZmV(eMMIM8U@IvyYS!A0AK;3s=l*rTBOYSv zPIJzS-+>BGzYPIYEOO$?3Z~0)WmcJ4Fu*yiI4`1=dINa(KD z_*ijUP^_Z4g?2nmo1?@*5E_3)7_k0%cJZ||?25Ab z4zRNZdeNThx2l$Sstg9(Fu-Vu>cp{LbZeLz-Q#g7zw##&ot_amq8AnIslXV`NLTY0 zKjeIXQng_HqMmdGX~ELMQPx8E5|g^qs(ZynFxfl?_7af0F{%R%^HYghGlg@HU0n&G|Z#E>A2=BR6EU-e^Z| z0kU-vhr^T9rTvxq%U;bKoqB}qHS~3DelJR?M$eAO+Ctd!A}9p%iplPxYOjX(U=(W* z&S;4+&}zYU%H(+ReR#DHVep^ZDc+}iw^Q;)KrYW{KH54p(XQZRQtQad3+7_((cm1 zMOW@en$3B^FDIlAc-=FnaE`TU;hd-OyuS?ow6{bVIL@_8yTd08>{o$-rgf^&Khs^b zpx|yRs|E}54-hO)tM#<{&Swh#7-GpLi-&Gp_}bOjTdBdF@8V3ewKK^ht>J5p3g)7z zwyo2s(V%jI{5~U( z4dlHbSbG-C=su_jrAngG-VfIDCm@dp5u&i_JUcy)Gmn(TI~m3nf>N)Aab?~bOQWXD z6K~-!5%=MzM+^9|U7}fIsZ4$aW^Yh^NqwkgB)nO7RF%5dwU}|WG8h6|-a%Djc@VXG zu6iOKXsY>`(3lI?y4j)^4Xm!f_4s+|sOkav2q4#Lxt%fC)j<0iHw!PdtmYK8PClyB zBCgd==e1#Q#hu6?@lqG02j$#U__t10cRdgt1V^p_=(}W z@KH(`1at3in6ef3IO$wU{7`|*@`;7Njxmlf@VFXzZF<}&RHwLF3WBa)!I|n|t(5=e zga3SvD(Hl2t<=n4rUPq#!CRW|nnOkQE3gb?(B=W;E(M<$R%tG6{H(w$v{E@SxQ*SY zbZ2pH*=bkO*l5b7BJ5ob)jx?kk^djXso1JutDBxG{vi#)!2SwLsY6f#St;_chEO#= zfPtdfmQv+D3JlhCf>2b9-EEdq+6fAroqG?N3*LuJInkGB+JkNuvX~>K3?3cv!GENl ztw-unvzI+7%AH5nTI~+c*inp4EVr{G-+5YDGO2ylRmOYR83P#OGAM-H`;)F5JtY=P z$tKwYlVoQG%@qjpux3{S4((DqxgNoe0q;-<<_j(v!*G|yL5j+rFt}9uJVh^;)PCOa zwiO$^q9kJxoTB`UapKW6YIiu5-8j}zi-*vvEP=5OgZ*bKhf=vpyQ%iIr4|q1REdLz z)uHnNEtgX4(=X{rg89}PeY~v*m_EXfnqiG+QIFf6*_>+4(_h}06P{oJtkiz7(Cm;3yG${{h+$p;`Z}Q)$B-1&*&xk^pi~hsE3- zV$!Fts)J4!D_rMqp|FlXq1_?l{wG!;4{?aca|5^8?Z|DKvNo;V<8TYB2|KcPf2#&nwpdTUf)OSk!VD-ZB@};9g@XI7ESQct$c)QTTZqR2uok)Ge&K5s(X>()-8I z^LwhbXhXjBRLlMzL!aI%@SmJ)ylGD!(a3{tJ-15egk2R$sXthIeSRM~zoxLej4EYu zJ_s-T!QpiYQ;*5sVv0{BFMTDTYfiQA?`FYD!I9S@RcjG&s;FH!W?b*!`6 z-kAP6-QbFUJsV7EJE>EiakMk>@-=s#{D?8^pDO|1%P6@^v!6=<7lUAOwCh><^YkWZ zGCNn(%GPo1=Q79q3n<{*9Wz?3^Yk#K;{1u`{4qlee{Sr2 zIX8k_RW4D+F{Lf;Lsgj%KjPDlJe_p)q%T!fX8QCtJTo14<0E+G&Fx0iHS_k!$K)%5hTZJdJkb#t>{h`p`$+ z9t7Nvr?LYce*wwwB45r%HKXoa`np23{?1>BEAnwC-ep3ihZg;ATy`bJVj#*Tq@G<| znV-UXQKg3c2{HCw8`K}Er#$?;&$hmF&{18izxK6HAiDu5N(NmC2x&7xEVfNsOpev` zf=M*;W?4fl=}1946k%|aD<|Qfo9Ww{&vfkf=T>|85DL%R+(tuC`1$f99V?vL&UEI6 zwMQFtFe}Y?2u4%H-b>YY#F7Bs$Oe@q5v=H%2N7d4$Bd*FW7Uxa#;uS?!>8JiyE~1d z$9^g^o#B)#TD!$id6C}vwccLpc{-N8!Ko_e&t*^qH&aW-jqSm7=*dHodl%;x85E6$ zi!X%gv|yt$<+5gJrSc+QxSt0fuTO7!te4hBwY@Jg(~8MoJAVj>mRcz_2{)3&CAyJH zBY)d&lZ7)BUWj~JzJDdH?Q@5!mf3HGXsz)MAdkIavDJk`IYPta1o=fB2I3aHG3l63 ze(z41W2@YPgFYhILRf4pET#?mZO?u0J3Su|oOv+u*nWHul?h8^52(?@;>Ac+ngQ?4 zFlMx9tKszG^nOa1sgt}JBNqFJNapDmkCFS0ps+9JMJ-FTNtQ7Z=L7P?31=@gGh*4L z_vE*d>Jhdij+ty1BdgPkrdHY@t<$k9N>`H*A^079G{3T!E`5w?ul%sz`mRj^dpn&# z`&pl}G3jwu=fzSgC3tRy4BLvOZWcV8yE)qL}&2c5;W~|dKz}LpZ6WjbTG*Tpy=Ot zEQNL4On2H9u!8l#M3!5{Cw|uMOk-B57~98zDRPGbBrkO8X=>cNZi~BjHDx*ugYcc(njlV-kO6y?n%MVABSzCcS^S2Tu@^*^swrIg7^*`ha)Pf0DDcR`G z#4~@;)nkR}S^Te7s8(uD7&JE$_MY@%R6lkOIWxGJ1>1+=ySFs%Jf5D^Q|TVxN^h_a zV~5S)&lj9Zvo?TDJ_VK~Dh$l1#=MI0Azce@p)BebB0;sYBU4o`vV_*rPElKk_hBcvJ zEkSSxYID&of{kZ;i`KHihxxT$$Fqjr>-P<=U~O-~ehUobn$(6GMK4IduZ!*J8-rSgh#cs~~Ma6H}3s^&yIkWpc94nZU+H1cGJ=37;e$xxaz z(`Gv)kM*IG=xx%IlrK|se?G^c3csW2ICv}2Xy3)KUv|%F_sg@yI<0d{a@M(eO?vd0 zoizE98gb$1jg)ATH~6C&P-Qwp4Kj=Eek41q3(@n-R0y|s@8pEX&a^WHBpB{>+s*hRLqNTrfPl_>2g3;%6{7LABuE_(u@Cd3PUY_ z77C-oWpdiv-ygPk16P$A@X1(^yHkc8l>49Wbhl9jYd0%ZV(c%5n9smppBRDk=CxY9 z3u0;#V}UUBH3(lJ!4K;CJf}VA=6)-SW^Fi3@_?0SKAgOiGSvT0e`e@v_0f*J2YAb2 z9W2?Kk+jwSACWtOcE$EgMcl^NkRq5;F8WT_Gtah8YI`dXq{v%#2K^C3B`!}G%=8Q; zM|WC5xi>|ZvA4%!Xs616dNU|*Qq>wS{ED||ptrMVvG%B|m=0hsIMvL~v~Wvrx}0Q+ z6+_GI1&^4p1; zS&y~cr4fQWzHl2c4ve5h6_uaA;BTe%M<#Cm21fOP25?iDRV}`DoISybgRguGf2Rfq zymAm|8~_74N;aqMU~vShmyIicHg}|M^X=l1bThY_rUIp4+X;h?z__Z$#gWvyz5=J` z){&H|k>gYlw~TzOul|OXWRCw$+@TFq6JPW4tNU-fE*zvCnU(K0CSqWsUl+bQFs}a~ z9R}^fn8%dtu4aq-aqSKl3fs?;4!4GCi@gK(CWz1zH^$JVMSEz;&8F6Q9R`aa*bh|e zQ*@)^F;p0^*yy|rqaoDdhbqIb-DpH&1TtC$dLt1Q*4=>|ehf=on3hZ&zzTk={ z@_d=ViBRftUV&LF%3?B3EsLiE-J#TEhXVh?e>Vr#TF4vOx$*`ZzUCrdGy&%`xKhXz z#9$={di!trQrr7NmiiVO!e?<_z=!J(BYTkA@xZI;k-SCQd-Q@guAW+J&mdD0^agc1 zkD}fKE6|?92dxKM@K!)9(~F-ww$j^vG3*hqj#(qMJG_Ph_$;(zwA%AG&5RS*F4TRC zl|;Kkbck00D6*vpp?_vb~f$-(#yQ$qxHG@9Q0W6-H5L`9zWeqQJ z)Y1kmz+}zJ=x?%T?#FNJtA&Mo?7uRE-U&}=4&+*}s3SgkuQ>rzMtKZBa@!v%Q*aKu z-SKtalslHmn!7Ok2+BT>Mn}0vsiHTxax!g6J$%6hFT|pC>8nF&$TG$G6`p8{&UyXH zZH!|JIU4y+yR&sw%YB{IV)CsWEPTm{H0!qN{k77J)XbTa3wD|DdIA+5s=#IW+h$<; z9%QjwNV4s*X~fd^>Ej2ZSMqV)gPSasa~C~RB+L> zPUEdw8oUKFiz0r^{)wA`RCwhUDsm}`e|POnLaixuQ+ei3iu-3yd-2v8*553*cO6-t zIe%>lov!LV3@KlFtIf_5ay3w3o@f29{`*s$1+I?~BKTLBB*d;uP2&ep{c|5JGXiTH zVbGx!zESRe+3lq5D_ehRgL-KX4eUZuYpc|gRj1q{Q0go3$MDM|{4;j(j(U#38i`qM zqf#3;Z9k>fThK`gc{Up#xd)0xzmBF&?un9-F&=9Iy3hC_Gt|q0_mh}#I~=(}WP9U; zv=}rIdcp7fm&c%wn~ru3(rT#%9I4oT=Fe2W(#;JPRoflIKTxY>0hoRc3MF^Ov1{iq zVB@NhDgU-u8$RlEslFPYchy4+UNqqSZ!n-+U%Ap$;#=(f6u!o*hmMgx^>|tp-nybT zXhL|EAEec;h}W#P>DeCl?1niAa_iTuuqkm}v&IXO{8k%i58)2dY=1KJqz>?4d2sNaYLmj7&|&`)}4T z-HT~k-?tU-yruj1e&khp4OnMiZ9s1ng&K> z?Xot>l>hY9R@x+io($%T+;dzpFPN6%3x+sS@WCmH9N-J~u(k_6%qxQt1@p)q3#_$| z+}bn3UI^q1nSlu2qNCqv0K9T#u`lh2)6`7h(iiOEoF^4-*^~Tluv}<`Wu*wtB)uC@ zHpOVTE{y)4$R~p}W;`P2o(VQz)Z{jVQQy{b@d1#p<?10A3~#TUFq+j}!y zoX^omG|5bD?>5Y|$+xUUG>OMz|1jr@j4yJJ*0v$rW{PYvPir|Y3?)UdSeWMgGkE8# z;r@q7khKx zxr)G=C-qE+y$7T6rR|9<;8w*KJWgAT*O~aVBPw6;4sEd!(7?gSTJsz#hzGf^MD8qP zM+`K-VrVYJeUW>7EfzYVvhYV=a3{^$XW-offAj_arCFPb)?XsKgU4c6LN?^+fNyJ$ zJA4Q7*34T3lXyC+)bL^Cp9nff2Q6LAQ6QI*rbb?<+eX)0#xByH#Vqj}bo1?Hayrg0 zT929^#s4@Op=3cC=>*3;GAo+BsH(s1x4NyB4O&K#**hPw;kDe|!>Qrs-PEZ^BWtog z=Wzp^?}u8PFgLxsqBcA`ur_4G6%{=kkMrGzroBJ)4og;+fE;HkokxDmT8|6sXn($2 zwB+y`3tJU{8do9rd9XIJ#79cqJe^(cXRyU-T$Q2_0b-hc3(usjwfTCNWBaXm{Jg+l zBD7idKxbcO-Ru>4VQ*9>YOwv+N+TW?*~o{aZPoH)$BvHa31 zs@>uS-MKZ}n#gj?c#Yqy2{cASsU`D=Q1a7iWzb`>E)bbFp_spEGpK6^1-=|Je5{Ch zn~7~rC)w!Lw0e|!&mxLW=sB3SQ5Mp5ewmN5Pf|-@p4+^7Lu+m@;0sQu1zQL&T#w2Z z+)R6FO9Uq$Jm68T4{}Y~xQm8-fuiI1g0;#G3AV>fDne_1TIrleH1bA+@!zd0fJeL? z7lAcT0H1@x&ae{y8+mdxECCe0tZ7FzvO};JV89prT1N>VvT>UQ*j+tAbR_X9*Fx@m zfDy}jf$CE)J0qPPYS_abX0m>QBNRS7$P-QY<0IZPXjps}Wl6Wi;yYIqxDIBLesH+I zMu$-I)2|6T*nfM}COA+Hy48vnKHE&Sa;EmqLw{ww$`z|8Olz0TQNqqReyH_>$LF%% zvXSvBG6ROpqpk@}S%oHjIL?FHlbJRYIpyMfrP>HM6P&&G<6r1k+pxbS{bGHM0Db_? zW<2(Ss;AFvx2V0(x~&Ckiy?FvGUv-wTWN2yZ&bxK+&Zt_We)Ty6qeK(LT&qPr+O6& zSSj=(wU@QJpF7dI)M{oC#FqFUt8?4@r?~d&DU-MLoTzo_pH#W1Zrm2uARU6)kaDA; zvOD}q@o%fsP)T)+T}NgLL#12y?D5UQ>xN#u@~K6?4|KS68oR>PeUaXE5b)${TWI*$ z@AUos2J445C`Z2Ac#KfF{%jLHdjCUqJi_!mv@bj%HT>~t88=0*rxsNBGONh5SUnH5 zh7XW6P466-PdD+1aKu>JQA?da*NI7SKN~&~_;?IGeV|@m@uVKFbiL1wp;wm`_#kI8 z$;hw3G#@MWu9#pZSzTl2{@XE@u#!A8$%L2RT7|UM1|#eI6L-qjb<^`~lSfZf~? zo61outUa26E!R+ng@iG>`aUXY0Au^s2f7dvp$fc^O78QE(x>y04Ij@wdX} z3K%OFbkn`r1ZuEVwGLpDc*CF(p42M`cW<6`?bE*23}cekATjJrC;IwJ30jh2w)g60 z7{dreyxuN2kv=s%OE2aww}gE_3c;Y*HZ(3aUPHNRyroj5bNUDAtl1Ylj%BEs?ySrH z=V4pB@t9%ON4A)BbFU#2PVX30Wj@96Qx;ppK__b-4`Hlx+}d&N&0*Kh+SYDzb%u3V zXNRO!2QoUD9W#-@nhb2J2Sy!1+r?Zv3)i8YTU4lTOT@XzkFRYD4D_Aoo=NVN~nw zIqJ5$p*2&>ogP_aE%)}u-%UqRx}jU;UaBiPpNAs1bVGG7Z4YX+R#~h)Z-9xf@f*_N zousCH;P7^mksXB3=Z`njl*M0YVa4O#cbRbfPvwoPO+x8P+3$o~h7+n(_hiLz%>2)*p=+p>rNH^vKg5~R z0Phu4I&rl_r~;_$qoa{WZOsKiYC>FuRT0 z%nRi4dM%(RDXz$My12|wEY<>Hu$ccdW9=S8$J(n99KZ|3!dff{mXj;T)B5f#YrOU~ zFYP?nLuhIhb%4baL~o$ABdA7pT}x$0D{x8vLK1dDApe6IjWAuq#e?3Rp7T5>3U?_* zEVb5JEksEr2&O|2JutsZugZE1S++xKdM)>D(r(r#?dKe-+z_ngXf=w`#$8Ws3l_32 zX@R8cZV7L$Q>+MeFENAWq}XJ6R%6dWyV(%Hp*J>D=%}yM^2i43iuR=680ws6^sd^=Cqmdx5E zV9pn+v*5cj1C54AFgilpZx|(S{6z}?-lfM=o$vFdbvCxQ$o(5==jXpX8v|!U#<_}U zxmN-CNhIva1FF%dGC62)vs2b)s5)Xq*j8W#2xe*52Tj$ac>`?-sa z+kdIG!UMvG4{*g~m*-QXxtHl%^2rvzC#1@6$kpFbRb4xpR{QUxa&x;_oDUT8Rp_Jq zP$dc*OhF4SXyHV4r~nodvZ=Usu5o2H-Mx%j%urxI zfpIkrN}bA;Q|;_FO8Ze=poqMtpD+v_1G&v!>9$dw@M}i3R@5W>dlrFClo-pXZfk|y z6TmB3VX80D0sLy?EAJ}-4Lm(jj8!A4K_@;`5PPb1L`R92flE2~n(#``#9JcLmS+mg zTTi`?D6nUW%vUAyn{V)_IKZ(cT8=UO8*Addpx&f-=9jMDsM|4@oZ^n5JIO`B`vPh)R*s^xKUD|)g$HDjh8DVY z+_aOdXxv%?_%84cgHOzFynw16R5=wElUEtnz<iT-u=vsKW&X#+MSIz2PYQ(oUc; ztLy?wwoQTWaVnJbwGP1#dDrY!3kT8lL} z3sW)VU}d)B1*%3W>i%96;65qNwd+1Q53(a zIu60QRA&Zd!D&05)X8IQ@onBs$I(z~Zz%QgvDMTjeChzTh|z{YCO#1DHXA=*LsJ6P z)WPEpd^Cwid(4`%BL76He^P;=MbR3l8r#` zAvF2K4cX|$n-VgtkzD;r&w;&{ z^25fVW%H@+F9#$9J&P8cJRRd0%WW=7v4CP$QD7ES%|1K8kND>4K&MFW1(d(D7c9Sy z;N^cv?)miBCv~o;D62*MyepV?p_A092luD;(`uOvyjQRqtM5;3Jae-pCz!m5@#*{6 z-Sl}tR69?#c~)QDnqLHW8^K604^AziWhpPq82K=3O)~OIMs74gg86OVK>D?hvKVh9 zsPO0UklXkZy3tx&Gj*hBP3%1rt%D$Z`O9O8hO15zjx4S?4z07J`e)=gdjC*?p;U2X zz5Zs^dKs~MlBR$ zd@jgkv=;*8dVJpJYem;%Em$)T@(I5bqU^O&Q_#|bR^opLQQ-oYsoT>}G~#S8IXded z<$?(#)9s0L{ID93g~9tHmeZV>2FF*^)GWMc8L6|-YdtxG>$&(|3)LD|7MOft8vl60 z&9H!51&-0yqjxQSBV84Fqv8w$c2J5sb7B@cCV8)hSR-xY8S?s{AjDmAtq>!~K{JD=%bgD`j(kibq}zNp;iVQQ0v zKgIvFFW-_%S5+^UdshB=pw4#+Xs~|_@rL>m&bm?SkV{kr(1J($m2jH zDqpZ?%oGTZ!w5S9a*wlY;0Fy^!1ryPQ?I`B*ugR8Bi;Lg*J{=|IlnC@_<~W9yD>d+yk_ZQHhO+qP}nwr!g`Tl+oiZ`j)B zbW*9Vq>}DF=QO5RY0RiMOI>#cW5QF#m=T#4E3*4Rq1cXa7EhsqQh|1(`^=k}KaVZ4T?$Ha2HoxW4 zFCH$X-V3^lJF6%c>`rm1XukI8lT~HY3pvbm9)arxOdwVP<1@c7yp(fKTlg)V(q((F z2ZltJ&m8;MjP|GT9cZ7Ku>FF+-*i7mj5(##-;B)BEyOFMQlMz`azXc14KrXB_>DQ- z+rcaYQCYJ3LsvX!PWUNNIq>0S9=k%J@z$q)K*v&r0vd~Jt4)=PV`ZDvtx?O<+ zZ97d!D8g%fu2YTPpJiiT(1{bHracYWZay$TB|DiywdaBHIb{af(9TI|UX|LC8p2$C z;3a39>ym3`kVO*J_iWMDqu})a>VLtSk6!QOkGnzVFxL&shVHQM<)-V%psXk{UdR?0 zqZWt?`fDq}uN!0BR`uBz;M+mqtYk}LAdI(fT=ny6JUd_> zxB^KWXTt5P+I}3r-_%ZJv{GWcCSBLFXK)v$`HOjAzXF^&?~G-}H~G{0doj#8 zND28{I?l&Pi-3kw{6OsQt2v}8KyLkIYob3BU5J9cb7N2A{F^nsf8t4(myPJGN9DNP z*?k5ppN*xkIFpN1OE!V^%;~^L@M-d=MG|9;h?nXS8(3$mm&_g--}POo1;W0TeO1;Z zjWxcM6+gmRCFKZpR4wa3N558#^#oPb*PUwUPpXBuj7Kk;*! zdZ*mlKFGIBqx9I>^@g4vDHsu5sGyXhEHHLJX9E_p__Q|9T|m?;*T5yeZgz!JNP@m3 z8?VS2!W2QAw@&EuapZd8@tbC$S+qAawP{xtb))Fn&y6kVeP04A6>`|_oRwRt@Nw{_ z@?vrCYDu+Bxvv=|D}n^e>HS`zv|is3EZUUH{2@Ln2DGT_>$c`P-@>{%Q7EV$hs9%Q zT{;($%tgZ|lF`zrY(e)Ej6)m7`}$DDF}sW0x7hnMA5Z9jV=xvXLU}EJeyC>Ei59Z5 zKa|!P!zo4|>8+8UIL_mJav)g&Ka}oFZ4&(QC!+BiM(%SzXRML?8)W=hH#|v=w5esX zvCpONmMiolSfTI0XQ5F&s|p$;^G_yjui~A{c7o)+q}4Fz!6AZ~l*2}tHPU0t{R5sb zD)}dq1(QNfGbhxLb|3r8nn=(xQ9PTRcru4Pnqc0yVq+jbj!+G>yGDtVR$kqm{u-&i zVx9g^hto+kUm|^j`Bapr@r^%!h^}b=AGJ#4^_txQ`dH+eWD9|gj+bQk!wyizi^1Ey zn$hreY}+&)3#%LX)4=3^6xa-*JVc~bnU;1ao)jKo z*bK2QxQaeL78J?;9-_}v)Y=-v=AXv1>M3{GMqF=4u&l#oRHm{$j|lIdIjL)an8)SM zivq4 zx7Yk36<1*z3!0k(Vb2)xrk1g{}u+EfzGzwoXmu|uO$X^?{cr(Pt6(c zSv^C{2&$4_WL?02nMKtOi5wz~ZG>lkKir5+*k8L~jP_Vo@XsxpTOyGy2<-N_&}G1x zw_8S=1RbUkrM{~m6^}BS@Orx2%=(jcZESXx&M)}zANBVtLc9D>HF-KR=11g7?ml5t zP%FDYXhWU8T3Sv~2P2(1I`?LHYEPLd251Z@EenLJwB=8BNw-^SUq8V^P$e0>UOX`3 z$(jzCX7T`OuiT147J{k6nJ$OjehXxC1seNs=3uWz)<@q^T+4>lJgC`C{swIbQaWIZZdKmRX2?*>@R&ZF#Ct7XvStx` zT;v!Qabjss9@?<2 zaZ=PHnG1~;{X;%N7FCP1)NrlLKN}j-mewK*4EId^m(&nWiLOQ;N7L-)wXfKFMc7#S z$^9ikTgUF;$!`xF?cH2?Q4iF_tf7XNwxLZIi~J$+oo_deJ@4*w>zY~iU87!ILl2-X z0N^O0<1Kh4;Vq#hmB6Iz|Mp(OK2r(DEJpBIqDwLoE`aBJ&iQ@Hc)SE{_0 zNnnl<0Xky`ks=e`oMkIoam&8rbCjEXWEd;5-^Bl69yRJ@aT`g^wH2Z1qiPk$1^IZ> z9Tn!>2h1QgP9F_tRpB`?od0oGP$jOO=>#BAliLQXhLZQzagjYxeJc;UB=Gq zyHTm$AU)pZxSM{StA^18xDAP+7AF1a9Np%4(gry5`jRW!fb!*mZ1I;cMGvN@@SOQo zU2VH<232%RnsS+eZU`>);)&P0{~!M!QcXpE*_@w(=SWV50U`-Pj|k;9J19^qNXYJ? zfKCPsnv?Md7@UIu&+B7w-R`z951(pIzF)+aJ?ai+r8x27V%DjCRy541fLMm{)VDSD z!r<>cNkyI=f_3zk-PuPsA~`#qY(yYf2~@|}z7&PnDf6x*TtMN$^`#ecb}fHqs{9;T zZdB2oe~2S@jn-FuUtWM*Y`pQkWITLGTShZStJOZ+y{3)iViiW0kpWeZ zLZ%i7Ni9Wfud`6S3hw{JkKDBX=bht+LJvj*V?Ku3(5k}V@K@|*L_eu_t_I5vHld^c-g7a;6KvgX+ox=I(rj zzk6r$-!TJdMU@h7k$Sjlr1c_nw?e;C=@$qWOS`B=6d-Ft^hOj)X$#1T^c+yHa^ony zCeha#A+$t($s%0tz{)^#_h|g2!Y+330H#=tmhWGs5f?QAnEex=d4-!v7BZ2qMP}`R zUM2qgru5AdR?qD|dIuSFp$O#)HaYC7WHMVrw(fGa-xTZBzE})}!XDW|Z*Q^ik?C4t zWnf0Iw3+Rz!siT+1F3v;9xAy}MjDEZkT6Zk15KXrun@()Q=^l3!?9QCS$NUoiZ)0cQO`1L*>}$xqRG8bOD^&Dg&(@d#ajhG@b7 zj)ywjf*8OYgMT9FL+0ym=Sz+7g87T8wMJ&QE4CN#>`$hxcrULQKf^f(hXJnL-M=ZC zb^TxNXp(n8NBii}X zs+M^b!d zgo;io3D*^k_r0{HwswW&SHAu??dG`C&cB*>I@i5D(FL1)+betA^!lh1_oaK9mXSU- zb`CSX(3t^DOPIBx(S~(om>%=*Y2glmey#dCqg$9sv?shYnGg6Gw)G(x_n5l)=lkTw z%68r|t-?hb24rLEikUC|qpEidCOGF}9mVC@p*hcDZJRc3G5Wit1+!{`>Z0LuIb)_bbAr#Hgv~s-Y)V5Qq+CPu=)b6n=b(Q=mX*K< zDb_+3p5B9W&j)imB#(LfTZ@Gzf!pJ6Fpw8v<`?HTNFEB(9bW-y%fQyyVGl?*$@Ag2 zI^zaSg2q+`le*$4-ubS~4oG5jSu+OQWC!Bd8uZoYe+*;qW1wdJbrj|n6%Z?j-DQbx zd*b-^wbdkBBn0CkD>ozbMBs^`@L#SFBg*vnK!($R$ZPVr+Y%|C6YU<4M;zwd;2TKF zM5L!v2Juu&a2|Wj97)k9V&V+>iy8>rcZoLDMDJZ8Kt=~^-QT_ykIT;eR4%Zq0njX+ z_P~HKEn)(YBAp^;)ykg=!T)xl+QmB+qH19mBiQF0ZnB0W@_y zD=z{DjNdhTe)dJeN|)2B^J)~7yR_Q&YC@}{+ds5<45*?-V}*#Sn;wE7005hW$9ofH zQJ26{*~t_I$CGnr1zB@7?2=XV;0$v=Iih?pP!*S$)gXEcc>r|;j7~jpwzMJpW504o zNM9*ooQEXx3z{;b$JP8 ztVr%h`YdhUB9{xk#q~oj$w?}1g3#K4ZwW#*aWYwGIFdJ8knBUG2+VAh&>Dh0U?&lC z_SZb-QR_@E7^Es`P-@=iQ zXWHC98eq76(;`+@uj?ngvi&26GNy`Zh_p{?r=gv`TpBCD+}s1{&)q-4!&p#E1BOjq zrDWyqs$@yrghFzK5Ghr$xX+B9)prwVXBV1H_r*M_l0F(%S1fmxu!u6I25Q9^Qvdg* z@>rxgt=TqG*yUV&HmlChW4*(8P3PXEWHh9FNj)E<6tKJ=;H6`;SFuT0!euo}>9zmn z5lsoD;;B4rWzCK-qCMZzN)@)-tx10haaj59wlteuW8rE<{5qSR5S7>T1oR5u?qIEC z7&Tc#)>&vYoF9MeLC9c5@7dUOF`)X+(!zjY9FMNY$hI2{~P_RjAy|GO5X#SRN}g&8B(5l^4u4o}qdn zrT~u$#WKn1VH`FCgz5sfV|#s4^b!Hv6ISbcWcfx}^&+>Qx(e24l~AqZ=6vK3S!8D? zfteMh^~?J9lzD;1$P1p$n-#lOcJZ_Rp2ap|ahP7bFUZ2!by+X!+V)?;4nAl2eEtoO z#g_{jH!yJM6reSn()RyIeT;t;|HxLMaORKu`_i><71u_+jM%33Z-lh-er&{gJJNk_ zyU6gMfW=aR{}ffd&!q~4H}$Do-~u3A9x)6zSDCXNnc%LQwJ{lezujXao~^2W?KM4>wypjhgkKZ4R!F zJV!U$!#mjWymxBM2`8ug*#;<*7Fk}dvI#nFNQ$WjWp65&Hx;vJ1drZ4IvO4R zC2rxg=fR>2ZkPTPu|Jna5u!Ry($Ik3QCuXHqFsGKgsKouhv71liFro())p~V+z+SZ zG`ktO#9LRAh+@P&uM0penwI=;Rj=QuNuVsck}xORvP?Rsp~KX2{3E#%WPd|SbQqAk z^?*jH26?BNp*ZdnFY1>sSjG?eV9{`(DEy4J2vuxpKz95Gon37J+W3^;p5a zZ|_m7>*H@$?XZQ%gaB&pt7X=AaY0A?8r()}73?~ZHde~i*kWx021jpDC;ZzZoi4*t zR(bE*DpV(m$Bl$XrAH1jHQ<$NZr0H==$WAFJ;zY;-+aO_tyG6flonYK( z5%(>PdsxhdCAxd+JwI#gW3n=SOI*ot)_l2+%`wk4AJx^f7j zQB>_NCB}eU8^tL8C3A)l#)2WZyXY?>!zz=za|36}nME0l!CJhSqHC-8>T2Kfv%$S& zoHe4E?(18V%B96N8e;8nr`T9c-1P#|?SuKwsp_$&XUw>@gh_6zHoX~5-?FV4O{WCGR4`=O5e<4Xb~a}RQ;xWLBzGj> zU|q-nr4ALw($vVHNZixMm+xrIUE+P-pFRAUN!gsJ&FR8qNyOErigNIsp4+eK1ME2V zBg1`d^_t{@y{S@T&_{BeZx%sh8dbiyY6fss)ERc0ZRC1{hJ7ysd%_4yGX$mq}Z zFY#J_^a}||8aI=l_*-@apH3IDa$^`4Oyk~7rL%2J3ES*f^BUz zi*gZw<_aZE;*`y+gL<8yve9f7k>oE4Bll#6AxN)JUUg^FCgd!%rPfJNyUHX1b!>Fi z`&0Lltf!1M^>GVLbqx%4T?=*TcyN6UPu1GdaZ^9qzu(8D8VgS)3hW|=7x>375FRiP z7y>>M^*s}VMCfrs?aYltK`yG<7e7aj7I<-Gs=wZFQO?crk9b=4qBzilRPIswx_$tFKQuUHB5~h-KFO^$>!vLr3~2iciz|e z=Z`i1FO-Y*d`&)dfz9?#4c)NRX4DkkR775ylQGR(HdQm(g1Z-u%x0tGLF{8RvbozG<%5e- zA64gO#@7bbbTDh^RNOsuaO!FtqjcBl9Oe;6i)uOx z)VEmNoiwRLRgjN;p>g^_3K+4^H9P3$X zpzE_#g4u3s_&pan=fhLR+@6N8k>+Z|Hl{T!Z6c(ae)E8%Dc~q3d>~bG*iE+?ztM6y zLg@l4T0O(i)Z0gpBf%8Va5!SLpsK?%`$^h&jlm&AA#h?$)~IkMy**lzRSUl5=JT|2 zxteI~z3j2NOz#GicW5nxql>cO8Hmk@z=mI3jvVqvi<>=N7s`2I_?+T2p02lp01#!N zW37^bEz6B8fY+37pA9A2))}5i`b_hk&Ev7k@;$9*q>7ils#{e!GNAYE8`=}wagHm= zt5fuB5v6!tNOb-=dykNmxURvD#`}QH<)Ja2?j%wgRmFwkN$b6& zd!FtK`QJ78(Ajv>ui?@d&eZl*_tk)6+_IJ_w%J}8=Qb0tJ_)i2q2USW$u11!g74IB z@*&dABOKrQ5!sI+f{_Ae!|OKP%*fN&w#%92k^{tuV4oq%M#&`)x>kq21m`U`n=J~J z)C(`|r4LZ`0=oJhc`?enB{J*3EtgjC+Nnq^Ux#_(4vVXyg(j9fYe&^F^%evV31Af0 zZGq{1!6&30o0gKDmY1X*Mhj56j~OGgGrzk!Q<_2Jcu9DeRf7_?0!_U3H~FkxR4%m} zQvRF?cM`}tRoOC7iG;Og?^i(VXamCMr>;3jNlGuPHfBGxhd573Qjf_#?Goj)>7AFk z#OHXM!Kzr@RJb(IP>PtlF0hidF$1p$vKZlOXn+8iivD@i-!nn#e>GFQhhK;_+GVjWCha0vu1?y$L6 z%Q4Eq)^NDVqqh(b(q=cIfAgL1gh|Z4TIqb8P3~hsF1^Tm#4`+uR%&k6oYAwI+GYtv zecxW`n9&^T#MW z4Kol#VFn|0zN=IZdpc=Fowk6Vp|rj$|x#GjTtFdE5pZxyjQfeT33KOIz@+ zy|cnZx}ogS)nV<0DodFb2J=T`0_k_u;#M^3FVQ6q4b9$tCQueMnF@=K#dfb@etFZ(&63ZbJ%0`29nPKY6aQ)@=> zX2RYslR;0)F+!8@&tK6b07ROhtj7mi@2*G@E=oKX()QJ2xg1rK6t+!1`t&>93CmEu z=T2pZs<)RcBM8fgJGy2>#uBtM7>jsXn|?61PW&YlXba=!l9#U@mtZE;vAr%nx|Bk7 zXoC=GJ8GpU>}Rc2qvr%8{>cx2 z6*H>AQX^1q*M=o6?GQ3gR9M=U`T1b0MBZZ=;-urVJd9&!YnBj1(~w29wF5cQ+1fpH zB-F)|MmW#vGY(KCr40jSWui2_r0Jtr54kl?Zj*O{{>>~3{qRkVHMRtgzWhZbH@)XK z{1he2yFwmGWTPXFj+~yIJbBHfcu5@HEAit-mgdr~dbPmtveRvm5hX3Bo2K^5uNvDP z_bYCT{^XNQ{W7J9r~vFKp=TPkR;BV-7OoSN3~&iFUr8x9yg9Pww%J}K+2`!K*tYEVQn^+g9r0?pnh0=djo_J0%$$_ce^CXJVhXg}qjo_TzEEi%D6N zt|RC+0G3nu!OFjnFF)e{C^}S@>X(Dz8dN0)UV>*SKc)L`L3eSZML+r5aj)3 z4?q;$3s@$1E`+A zV3>tHOw(VXmv_bZc95P|F^YI}*qA8-zvwS&G`XBRz;(9Fj3%6E{EaOVa~+|;O%!_6 zQV#H0jN*Xe)`(t9w_|glrM#d6YYJDqcrJBg1D^>phLW_r@W6XPHT+&oz6|jBS4@NU zfd5cq*CulD$+`V>ph`XbqSQ6#LmOjpQvSpIT`%yu0n)1oEH$;Mlp*{Z;2^{Fo{(b%u_0LiSSm)hRR-T5qNy^U(b8fWhO-X$treQ zhHAq2zrC8b{(48rBiIfHghhvCGrXr)Et6K_={Pqn7^(5>2*XC|pL3U-C7+>5_){|p z3tZ`o+v3OLPh|@*;Z1GspQPe4_lGFKN6AWepYrpn?3d`!gD4($82Hp~DfoirYZY!d=XO2l>pSc4*V&y8-Y!??K1ykBtzBnb zjF>yAsMle66=&t!k4(D3d^(2bFc!C4(H%-d;($$;ql+#a*K1oug@ptj3>$}Mx?I(C z8x(AJUZI2enwO4&%{AFdqvJY+o!Wly+e@FW`8Ji=A3OIE(}ZK{ti{6u7PYByeFl{= z#q1vOt~$X7Z)}Y(H={`Ab^GdaWLb!7J}zM{_7|J+1C&-bqH9NpsZzQ7-o0c0-IemXxDpzGoqwU$_YO|Y zgFj6NPUg&02w3}(EATrOQt19Y#4HDRh25o^9h4;mDu^OUSmv;1VWW8Cg6fJ@&?X%d(*U2Gg|u zk-EX?oBlvLp@`=-LbVKSE^IO6lD+FfAy2};0XAon<9O;q0b1dLRYOBRYop1`p9i@Vs}!#-2TBs(~(C3BkPs}i4+6O2=pd8^!b7`sZ2TV3ZKecSvv2o zAx?>U2?He290#FS-mHuqJ5V+V@e%0%zL?-&hRra`YO@QM8<5$fH-8+$*&ALd&2p=W zQTo^QZ|y@di3`219mTw4eAsLReH^B}DS{KrUR8}O zXatQ@MSH`1O8hQx=f!2Fh0~V$Nvgv{IY490wUI^2>^kYoL(LteF!kU1hinr|LPIX_ z(smfnS>K$W2Or4}9|XEdbQ6vcn*$#s39jkrVR>`UBCCbscE6i&|i$rP4!(`x^&C8 z!rU1V2ckIu5W|w#x1E{X?O5w@qk$fx#qtmj&&P_yYM7bE})WuiRV!6*13lt9vTa2uMgD8lgc`vFoQl^O&a~3xvrCk$< z37v5m%f2~(AKIaUD&#v8eA7nVW)C{Md=SQF4$=!qH8oNH^juzt6J_2*76uXX>=!ai zI~&Ey%2ZJN`*DYnK&6FM*4174xIFb@8O?r6Kh_PqXPI&tO>a*Lfx->@Zp2murK&6& zDa;P0nG)wor?FGe`0=*}LYEv(a&Ixk9*!Ed-(H9s9Xt&9szbJP(|*X#LG24QITrl$ zXF$NJ4&rqZyn|ErId*RP{j9@A3Gj{5@hvfdHl*&qZ%v>G@7tku&s$T`Li0V)P*)j% ziCW>5DjW8mVl<0uqs(ih0k9NTr%&0bIAkUW-BH!J6fqSi4 z?(Xg$Kc^@+6o3e=9WWRcLB0ryK@fsrQMaoLS*QrOOLg$|z`GQMMrSXiJQf5xQj)5T z-CrI=o8wS}fMn`SbT#xW*_I$)pJ}XpujJu(HmKlNlb5?Z-wxTYEQJM?_;$c5ax6Os zCf(N}GS*O%iUY{L@H2r(#PMhNx0HngFp@H5Z_l6iG?g|HzGlR-K{5$3My?69s2_dj z?eyoTINvT^0vyvBFWFv5f|73H%1iCreMFUw|7@gYlNsE%Cb8{K5K5PUEZmd^gI4|a zjfhfdX$aOf8xGc#r%pu~*=?uv)SIXh$?NG#oiNl^y9YiPm&q1m08$oAg4*A3HmgKP zU2M6!rhGFR4H*aN3Nhz?TR!L5$E|Q~8poeHh<~`kGK$jL%S~1?ySA$t^(JmSOk1mT zi*1+M;pep=SCzd>AdUB{KSrWGYecxz%v1g{R^e6{#C9bALlSp{cu@IahK0H*t}{Kp zh4tkbYK24?!}#8UX;L(C7>h~1*8_0i)uGT{M%k&u!VYAh4rchn)xB!oxSyzGUyoq_S%jVZ2~ExhxT&@0 zZ&kfm_kD>ml)e!25X42vXShyEu(<0#o_gEFJhhLJ@UEWWU11$!sI<&)vHGaNHmbec zYHc-@7~jM4%$Sq~Xp|FR>-m-JcBmzEF^*O;bS~GGlW!?4Gs{;|U)Ler!-A2zc&*;~ zvGD!i@vSq{Nb~&!vhqQdlm%_%arO!@!Ok^R_M%>&_jbdDp;d+L^uzIl8nNpsOY~VY zMgxd}Vg*}GZ%R5p*JlE~j@8I!>VOCANLt1rmP2UjFngRk#U~=fmGJ21#|&l#yN>m! zDkqcnshwMpA06)PF{=Q^<#f9i@IPb!GY$1W(`JaCBkJT2TeZ!yA{M;vII*s8X5xoo)V)qKB z#6PDBE?#5}k*}rkr2oyN%HL1E>eo|w^-3MEUE>hITJczokyDl* zk3{Y}5d1}B3}JZkrbktBhFfKl_h{A;wP}_ER}({DY5id+l_OZo`;bBqjOha0!6QpS zRyz_;IO?EPAB8)mDS?UI45g70(!b)Z@V~@54Sy6Yvx7q&<5M9@x!{hQ%pq?$h#j4V z#%v##@hgECs-Q6E+nZ<#Uz?TcKtJh4^q$^0pYEJN0qREY#Sw5 z4BS3$LPFzEgj>p!*vm8bob{zCXg59%9$a4xr`@OviS*2ZCY>v{p5sX}OIFHL^0`Y9 zN|i5VaCZ}O#Wl}lix8|Qd|{Ij+C9V$?w-oY8oWTpuX$Wov}V*|^H+2+4&tBf3xVC5 zRf}QDLk(%{0um5!@f03FZY!gCxorbUVhwjq!Ka}aWtu_~GCxye+Y?6e1z7}(#nDidA<^0=fc(wk z4cUyd1#wQEZYiH%Fr#npqS?ln5|_GY;*c&i(7<0q4}XsFBPDDanrh<3UCMqL>GT~< zd0WTX(!h;Foju`d$g86i5A44kZ#0R>QD8jWJK{7__XrMlNiVr*qjUw3O(|;J7BuA6 z>ytwI15*``W7%AlO_iAyL6Q>0wP&e=Mmv8l;6ye?OCZ$i?<-YAO!4AiFjj4aaJE?Cd0cVoz;?QZ* zpy#*iDF5xVFoBEOjZzJO_1s9|jWV_!lsrNR?poDe6qgZVgFr$wAhVo;P1 z7@P%u^0Mi`ek@P`QB;1+kA?g$edj>o%f^0!qziFe=M1RA4)OI0zk$(Oxf-v>CQin= z026vqX0`*Q-U+=2p@8xl;SUn=tNfJTn^D8AWxQtUBtHU59%v591lfv}UHN3-Z+$G> zaMf(ZFjl9_i?knk0DJKQdVKxU2o#he6X$@ULIj%dC24P8bb`-c-@icENwE#T9`GN( zQTWpvG2aQE3_aOosYK4?4ekwU%P{RDRx-#n3Hopc9BgR$2x_=pd8B&mP@RcuT!6k| z&X7I<*NE^36L584Iu}Vag2)Lp>LQAtY3DgQ#X-*m?bwNzY3(Dfv=yRCiBKH|z!RiY zlhz|Hw?L$_x$930<#}wetQvrEfHb5RF$ls4>;>=J^JqKP1;xdP1>sy%1Gc;fj&Wjv z%<)D8#37uB&)sD$I@&Dl+QTyX;+7@~q2e8z?HzLc4Jg{7V9F4qd*oaygx^`*krvRgWBxY9SPZYKMoz{m-w~!inlfY!9ho%LP|;L)e(5XPWaFZ?&UgiO>7tw3o+Ol!I*4RaxE58>B1cXy5&877RGd;YCbh{REx}KJ)ei@pZiuAWhLlOkJ)fC1?N}p zjT%47kkDqo3=N>~hVvH-!&;(}S)hfZL(qU>$UJ(j4EN3uQ}u z2Spnw0*>`zU9BU@k2#F>=vsE43JTDXRZQNgEWr39-UuiI4&P0cKaK{@_*of#*~3`XyXUt6uh5e^4* zAQVK+g+$Z>fg!+q8XdFstF4c`wpYvQWx`hk2?xlb) zv4dM~Ls!9745N*icEg|bY3PL@s{m*#j-qK?yTn_AQs;$v4&!vxBS}ryO!mr7txB0t z7jTKvBH9T?Y+6O`Q#!uR$EMG4+PiRA55A-Nv$u~6UMLrC^>C}JI6p@4P$%ZkhIfH> z?u4Ya)sZmxB}00b3WHR@!gv`%wKqEkm|BU6HwVSns0rS&@%H*QjW(V7MES&-W5~{r!xo{k~V<_VJ_A?cL;=gq`)w^-KUDvh9CXS<)1o5)}9 zlGmz*AR>iC5#^_~mId69-9*AZWE_|nN`hv!BZ^XuQ<0`dN!2*tB9aQGUWoz}UATq| zj>VwC?GKY_sSVnx;*?Lf74;9*S6yW!hp0*(%M=Is`l2;qNcEd)JkzQfZ@zob0uRIG z&5HP%k)XK7WqN3GgdP)KA@1VP9;exQo>3hr)li>{TE>){0{ryLwIqzEG0NHw zly#Om6r%HJW36!2d32kZzk(a)gdEIP)Z~B|(ETN`O>x}lXwyP7RFlKQyj;G$^P}-! zsj4{d#0V`6I866>;zxM4c4L3HqHec3AQgg1V`n zApkL}z#pk$7@5uW?!@sv99Q7AJ;=ky6r{fY<2<5OeTf~e8S=WzBqfX#R!LOCRXes> z507lh2yY(jms&#}r{=Bw?L*j%mf6(odsG}ScqCg5=kGNak#KH%pgFb4g`3KRTmQ6wd>wR2U*l!%^>L zB0sUwrEq7O7S{783X1F^MQZ41qK=qzWkLj+xrqV)$IFh*LK#A=ZvlZ79hx+53w5A% zVi*hL6w{zr7Br2g?w(w>W=AfUpo`eA0{`Bmoo?jMH)MuLs0S^WtHRlYU_GI1Tbvhz z7@mI-g|#>=(CB153&m(b6J>o}-L3vC9*og*X)*HQupTmz3=b~{OqWj(&93=EJAnt} zN+nV&ioh-p`S=*L#)PQi(r$N-Xpy8yVT8rx&VXeG ziD)ETO|*V4gcK!^Ay2_HwmT`ev<4ycH@Gm}pM1X*tH|Q`E37U`i3%&X;FRk)0f8v} z+64{{i?OvH#k*Z<7#a-kV9c!S#l+)X3Y<>3us&}9rrx9)iAIKnRg81G=3pyMt? zLUt|`rB`mFO}oJYuqiPK=CDPRWr$T!a(Kkcx#Q;oYD}unzExF25+ny~IC(JQ^BZe2 z&5R$&alajIrM9M3tgToO1{EvYEFjd%7Rxj5>D!2GCwZs*jW_3{6wL7yV;5Z}q$Rpz zj3lF+cOxUI_MuyMB1KfgYiqj?mQp?hj?K@y-#vBP5u=x98?$snH4gey*sl$XYhQMW z&8(9CK_VgWB30R6-2limn#D1SdqcEn%^7E?$>&Y7&A6(?C=Ziy^yN8^s~zd}luh>>fE4tPE!vfVED?UPVcX$w)Z@+N;SI z+XVdhhHqx1D5$OmM z{w*wUe<>xi<9Y;c2Vgbwsd3M_oUrz_ta`j0Kc)I7unKB;*K>4y+Tntq*%2{;twJ$B zeUHD>+5tl6u`mBxPj+r#ExHU&8i2vyjNuT*Nv-o( z6b@wO$Q$zO-`u@11!+G~M8zfwMll-~<@xg$6_$$P5GH4#m=FAwO3hM|PL z4KfIU22?ZOrYX2gX|!Y<#Xj>q$*4GJBhp!}d;xL!C)QP&Xff4WB;;4tZ7CDWh zh9@ns`Qly0oGh0{ChrJ$++O(}1_aRtk}emMro56U?0tgfkx&1Yg!kWNtlM9tB@kJp zjJUugAgh%BY0oE73zcLGz2|M~W_>rnDb_$DZ;E4Lx#c!7O^bF9Kd?Lq|MvEc4J|Yv zQ2bxfS++8`-2(}s{UZELHDBdOA^w}*GY&i%)4&cT=L_VR>Ph}uK;l{AHdGpjym&;Z z7QpfHDp8);;9=Xy$3)&}bi5jo;U-0*7VusZBwv+pmNOR4GSLC#U;{dH%V?SKL$ z+|Ye|9b_Rqqkwz2&ru&B1nc&5mbu7tW;Mmux)AO*sj*f(kMURAqatVzHB|Z4;OyOY=pa5@pn!uVm!B1+%E_~Rx@?h&RwMK z3o7XnFsO~Kgqm(n!yCpfBM!!C>D9tjF0IIm*)2$rF5Kh{{R3ig^!|+`tz!m5%z+Xt zTaai%H0V++L+XC9V(G7h(j1<=3A{BDkjh=?(Y^S=Sf`5su(<9CJ7ql3A2~8sv|(yP zJ5f%a0Z(=sayBlTY<~>9*57u~54xQQA&Jl!+wU@d4@tqz`{$xAt8^TBsVjf(6F_&y z8cg8aE27=@cr;?CzV0R7@az*f#l!7vqJ@N7wqn3X-E zyG>7|aL(RR8Ev#$S-scSLRDV$zej4pB)ka?ws3u)2mfwfau$G~z^uld=X1F}-dUT3 zUUst&ZpfP$l=Cxe-hCSE@0)SJ$o5Cp#9nzL;@g_%5p#_IdgP&K)tH12>IjBC z4GyKx{xs90XjVPUAU)X&&$UZHn}N`z2T&%Z;+NN?jw9M0p9gJ9Gj`@uH4@i?kjUU3$7aFyM+}@#)M!*|c9H;9J z3f9QmtB;NYte8g={CDrVEoQ?Vfh6TFgWwSw1+vUfuOn*=niGcP5iW%+w%DK}uq+b$ z&S-|ehVMaF!w$MgX(*x>cJx6rI0X2@j}pO(K~0R3O`)LXSpsWFFBX-2F<0`(k9unD z{w$|~s2?OG(rWe=rjvnHNJs6U!x_16|eaZIg-zxpSmm(ad{Oi4cU~EmdZ~PLFU<~HHnL=#HjR|-75-ZoHR9b5d8pS zX;sd!Jnn3kPHO_29XvH%Yfc*&W~R8S&skzdtyoQUyll^k)?tBVCc_Grk(7(+jBN^f zi6cw7PCW97!Gwk|%0H!c8*pJ71<{`?zyKFVHy60bR*AWCv$-Jk$7M00HZ?d$MR3jA zqNcUz=+5=Ehaq%fVpX)n6PiNabXA$0g66jvl_DA%rQw5m_2rI~y{nh=)8*i~2CkI+ z0m`H7&Cp33sL1i0GXb@Pv$}-!7f|7&@&^K~;{bql3VvzKfpxsL7&*BvtsEXCH{uEY z(gk#7Jnk{BTE<~P=*10s4ZU`=x&MqvoGF{FatL%osOWH4xA{iHiT@1_R4fcCd-{$( z%UUxrNMhxzz{a~KTN$7M4~Ot#Mfm~b${g1h2kc=RF+Ydu=GS1`=_*6$rA;?NtoJ#B zf`s#e8Qn|jg#)LBIrLIheZnW;*~4cOXhMdsCb%70>UFTze*j>G)+l@P~BMp(S{>3YqP&jzcpMQR5cCx%J9Oa-&JEQ7K9`&&}0(j!OZ zKmp`(SGkGUs!VH1zUJ*-KeRc{JEI1d&9;{20wMp{>LM_dZv??_L5uQC;{HUp#pbDM zM)QwoQ(^+9+Y1z4{0$qC)HDEsqL?O8r;N#SBvi#gI;me9O$)EqcgWywCW546nhOob z!2iPPB$XZi(g6mXh9NEZk~OG|!a)i#UzZMk%hMFdl9*)}{1nMlS27hegJfv{lFXN) zJC8O%lqq_vubyD!KJq*rg$o%&eK4-T`damN>ZdUGS3S2B><&DxGP$oVxvz(+G^)2- zm(9W*$yN-Qe%K|c-97q~xII)<9uA0=aFbz%D&YR-R@L|r6bYgTOEw3z+R~39RdwSQj?Spk=Cu4DR3D%j}Qo3CUz#a;nf-mu# zy~b@9iiFq+a(xysr$(_KkMSR8Z?+Maqzu+DY9&h!gXQ;+#A`3}wsn-+5dWYjY|c~< ziv`^#ah<0@6boA!^GCLCURhWk)iAIYW{^S`kH5_C_MXV^xEwrcN6F~a_Yg0yTCr85 z2~jB$3WZUwS1X-xUl*sj0li5A4?OyDVwAh>lMr{CW;#kbnXDnWMJqK(;IQE@wxI;4RIgE$EGfr@}nFx0(-D_e$-qt7wgxK`mQ3 ze2!C(n~f|f!xnR|qB!gzUkO`PlikKN_YhQ^H>L)ws8~imzEi`TdRj87cNrz6HaBgT zDdMb8_HurfcIGa|$|eTvvG=-wQY1}qpj{@Z)Y%|YcJdRV%H;6H=J}9i-s9-CbZv0Y z`z1ooKtMH3|D7EQ^4z@N=P9s&1WP1=_X%H(SXkG0B&7g;_+$(hf-?N^^4uuUhLBs3 zXy~pHKp$8Shd2~B>MS*Fx;FXPHd&sHc^6(1uqaI#ZeIJNgvHbvvAP~^onRD4Ch-CC zglC$PGz%r$Q!UjThEeK~&7?G%?0^Ij`uPO#oT{u3uqbCCc_>+yLp5jMHnE}@bhr~O zR0ePAwLite?k6#K8c7@Ow0&6{JZar~6!wocfiLTB_KnI(bnG_XebkWy#NNhkW=M=3 z)zqyF0I7tf4t0MR!x~(y)iiY5tnTRinY|;;2}lREIBYc7Nib6H+3)V4QYv^K_E8Ul zPPs9pjpx&S!uG)5$0m@w-JSXy^y)DKFWEkw{OMZ%#yLYWb=aq&YbW*QWxsTleZ&s& z57wkAF>tTTlDBnc?Ls#*qnABZG(N}_cn4mH$z-+q~r5!E7Q)1n$! zx09m2pWBh%fwhf_lB6_aD=j(6kk zNcl}+EdK}?Nbk{IEY=~=L^a^$vd3o><+Jk%>KK6Xv;zqwP3^9}psu*o`Xs75S)?C5wM6s}Jd6n*K`` zo_rCb1%pu2TH`w#QumE(zvW%zBkYul-r>(nFrWQ^9k4i(WrV~_Ct^}_Nia}y5d8DCh)Td^d~y!FIN+A50YR+R3I{0$neI z49AgT153QcYq;VW1Q5E5_0ME#M3Ji5(h&?=_*is@yV-Qw(sYSIf);D(G z_h+yVYqrLKIhkr@hMYgbKl8CXfmOq&g`vXT6L z946+jon){}{S7T-0!o(h4==Ss<2um~88Pd`5Khmopqs&UjgBz+cfS(}$3;px3Z~>X zb>A23`c9~i$XXz5wSy;4EZE?u#2PwSUJELe-@5?qzN|q@@utB z@TM=l=XQ$4CCF*L0u)s&bT`FD1SmV2{BEL4V0}|Oe%hz$1(BGIuc_+NYVEDh-hqP& z2SP{1L0L%urv@R~27{#_(%tY9aSIW9Q4f*hzo)sV^C;GS8N+E|Nxlh}*QvVrYRp?F z#foWu+`oQiND|R9zrXF{^7zs%x_=T!2~f&wY3jN!!HCj4G%Bby+g4qB&|P;MY>#NKmqjAuSztb0mJb#6Wp4ITCz z33J+uCVRREl%-(-2ZH-gTO+2^`26PPFSNrgP#Zqjov1si?V7Q<+Bk@%47zP{AkMj` zX)5Ht`3;2GXaWDVHf}pSyz6;tXM9 z+3${?7Vf(4&*!IUj9;?5Z$lA>N0@eBQNir1$q5K|xpX}^bKJmrd1dkf$M{QR7I8cl zvqcgj+|E(d9p58^E%BG7o*O>2WQo|FsL*clSfsXSbW*!T_yI)vAKK3AF|H~ zX7Fn~8Tdg&@9Xt1j-%ym-Z)9p;je)YkpLp6bQxs zkegT!5X=}isdEGpqwKK z=jm7EVvZ~r*}it8XWhXju~=4)%d;I<%iAA8MqBExVBCd;>|#UyPK^d0B9VYsH&s-y z=_XI&Ks8|pSHD(}v3#Bkd9xu8S{ow><;6_prB|_IC6b&}1aEj-{9*1$lGZ`l&K$Jw zG&@u+6wO8qaIrE^+^JTa{L~OC+V#GU#s^(p)r^^;sv1hVW zwQ8Tnmn^QrLs%NohQtEUJQu6Mcr>U?d^_@I%hs$LW*vJf*9vJ6b{eo7K#!ma?JHg! zkt#1+2>k@ac!lro-cE}#eMB1~>rKpJKQs)%P{L2Z8{=V}Sfr5ZK?53J29eqz9*}9X zfp31jRW-Cfw`QixfOJsj6{L4i**{-s@7w22eAKdKSvtabK<4+Agr!A3%VT`5IOsNd z+?L*zf#igiL7W$E79jc<9lkP{x5RS2r^re{uaM(4?hjBd+hfbw3$PX2F(Ng=a+px= zH}(vPI$uq4=#5qlM_FR+I()aFdMXA*aMbLm{5wzU0zR8oO-&R+l}#Uaq(jPyh+4`o zF<37IXIrT4IZ*AV#ZR|b1=Z3Qsr-keI^$1n>!}!NGq`V?T;4nn8PIGY{I1VTMlchI z799Q8KMU}M@%grG2HGcI1UbTCp8E^mXcKZB8RYh5qT&yHDF6NAymFGdDm-st z!PZ>X&XK>>9}>vGhJyo-kEe?}ceN{05EMiR6B8;S3JKW@Z^6cf<9WEd?(YhT3DY_E z19G;v|0DD_2sQ)(!I$d4xDSr}`8kV|gdl6sb$nf_6Op2V`MLi77T`++1~eY7mxBM| zZZ`ZSM2iX}5o+Nr-0TbTVgEbG3Pdw+yVA+-ZrK0B*@5D~$Al7a|L@`cH*^16b3YsD z|CbH%@6AFrf>|`lC-bPG{UdXaVO?=6E0k_& zkO_{d39>@St|l73mcbrAaZa_@kHD``1}tb3od*uJizh# z5IbCk%-?*5f89it^!n6*vhFD2?tEBYRIsP?btw}8DzQhgk7C1S;zSQP!hf~nM70Vu z)HJ*za0guI#>e7XSqf&TT07*(wRc6tQ8d`LNK(JhM?(arhE% zy|GRMmDcC??EQJ14bd+R3xfdbzPH|*@g4o9!lYrMJY-#I6eSGF*A%ti_v9^@l_QqL zELnf-DrVaDk<&y3#^13H&l3d9u!y+x-29>5|Awa5*%U!r8q|rw+dfPZ|NC7_9!jl3 zP@a&yp0ww)%#ncCHg2qp{noO9ag~s4HTczQ#x<->+O-IvWm0T0t^a^G*RI$P@ zGWa#WL*-ZQ=|In|8gtiVl`JsF^kYqjCit6F4NrN(FVrYex%-c%T9U1}IG?y@u+bIp zYcIlP6AK|M3b}fBd-@#`*3)bl$d9%$MtU^Av{HjYy$(dl7!giTp}V%Qx^sT0q*7TG zTL;&kKHyLJleQ53SX{S|SBx-xkDsn^HC?J=vnq~lQE4Ng(5t13N_ZhRZ1_l}o)57- zF_@WDn(UNksyZ0>aI!Y+H=77mcj ziW4oWJOV2Qg6}z=yypaUWnd*#_!Ss~xSbpYqAv()fLCGl7S*}%noXhUARS2#$!~Gy zra23{Fb-swN_ETpE`(sW>>>=kb4eHTR2KL`Jy1{(Ax} zDY1M}$~_$Z6X3Pp@QlQ2p%_lKxV1Av9dKO3l#g1ZA!^zrlqLG~o+3WrX- zHncnlj+z@MU^ZskxHQ_o2uZY+4%kC*^pS!xFexf0w8s+CrR3GXW9Us`B8B}-ky29n zJWqmWR9{%Ef0(6IegfiH${1w#L^51srPO_ziguN~D+{8?F<0jq`}rU||0O8}oXjR< zG`j~r>pe!5iUyd86*3Ca*A?+XaPd5@H@{*1$QZLbG7I<-Kw|WZ79v2s5}^|PDdCOj ztlnAiFv>Bf4RrWVMOmS;!8jSAYTHB^HD4ynlPRs_0{78?tL;m=NU$V=%D};XQdmIF z(6F-_ke7eAItw!Y@ObVe{xpz-421F-H0Px1%Wgyf;Ub^L%6jn=9do=Tc+o<=x^ab= zAFT=u+fq_~ZzknAqbvI<<1%G5vXnC0rWAZM z?bQTv?Ik1S71ceh@FUB(s?9r~VLBe* zmWER$f;ufM&`|Gqj}wX-X2uiIJ?JRVYPg-OMAn9Z8z)KgmZ~!Ie(sJO{%%bEf9J|D z+j-#RNT%Y+j0i&Nj!b}V8Tw{iQMhgM95KxtGFT`X=2^Y;<_D$n5-X3K`r>w(j7N*+ zBI9F|!EE(FHD=4cO}+6D_N+F z**6OaO=prG+^x(Ceo9a+2v+61NP{Z|oRi8&jupb>m{xWVY2q;#e7_L81`{frbrLE? zVqSdN(G*79ojKEhBV^FWSG9|%N$0kL^QqBstkH2;%lW5-%L8O==_8d2TI~fvH5bFQVAZ47p#hFcW_Fw3n^w6OgjLa2lUiuxIYvysBiStoqZK0A z2lDwBf@v|dk{4cm!Sd6K3r}v)Vy`4{-UuhcaOE{p8!cRo*}pi=zQp;%{~VIBaw2Y@ z+Q#7XZosI3tOPue5-Edd&<5C*6E%VF%Vgx=E%$qw@&^Zt*Pp86soVHCn@&IuYPIz?Kl`#=cRd zVzWqfvNFoL(0Ga_f00v6dp591`aFIcrXy2mqhPpQj@d9@Jj(4!_@@?EcA&)EDXy>W zSM{)hFAetF3$RCaBHm zoK2yvjlp8QvQQnt{#YZXnqijsO3|cJ16DwaY=l6!v@`WA#T3Ln<&CBbkv@%tt*%r( zt31&u*rJJ6C1Qc^#fRg}pjPP!*lp)RKCxl&dcAry8-|FxA(<($v`M|(T{2H}h!fAj z!J`TLZ*p0h_9*Ww!g7cmDPom#Degl(K;x<4t7ze``(E$+6gJS&3%@u!#K|mA9z2=m z*W$J9-YuB997)oKqkrccQWbN&@XG00@@d8IaZk(!I4)5&G3F97vJhsGF};{aSxJL5 zcp}-pNh0w1S47gt1%)zUKcxJo;%a5yj%L~M=$5_9Sk5Ykz9>YR4_5Zd(^p|G735~yao+-OE=-~Fb^-aPKwwUo-)ST z8bgUrEC&vS995L`y05D!4tPZaGUy5rkB(Dse$Cu%TR#2i@f%QagS<4ETf)6vt{JSQg6qF2i4*0$pMYn=c)tBw?nNU(7_-Cct(cOHO+ho!CgtH7;8;Wb5sIV+oNv0 znr<`(vCS!=x!0|uw0Z}#u<4x_*R5Dns3u3YS^aHbZaHr>A$Cn0MI$+z-coM(XXzC| zox&5Z_L&k6h$3?MuahttNCi+bZd`R=w370vp`Nl#f>I=Q0La2;qWEH0_COZeEYOUy zy(Sc|`fKKr%$lLueq)En{;Hv}1=FHk*n#wKi#^#ZlF-H&JLNh=B@DChlSdpBrHe^+ zrMfVr^!5R)`Qm4^0%y)IVRxejK?XeXP9N4aSkFbBwI@=jp?LQ%7YgoA4URFHAh}_9 z6s(z;{R($p9q)v8k>(IrfW)m-rSc}Ah?nZf3Cw8-zWEMYl6J5>7&fzYLt-KgTFU@c z9iWelM;33VRfaKJyxC$}S3L;6kT6|#j}!9o(-sxDM+#+VS`lJ}#&C~H*EwW~c6KlT zhcg@6k?2(5U|dFT%AxI+c>!~YMhk)`Hc146cFNj^Yj+XGToM$`fI0vk3RO8G!LAk& z+F;0Wz}-;H?i`JT69rCc*y?%2BF>ZwBAzEJq8AoqKNksDC^1x*U~Q>!!QGNp<`&b zP7%)^VagAvhdbn@IYjhdD-CTqAj%^!ofcwK85jTJL^-k8I1di;Ml`+e2!H^Ij<86w z6p_u{rul>~;$i!+HP=vXaly4qc0|Py5XQJ$CsuC3I|p2uAgd{j6)CUw!iWwgSg=je zHW+|p9rv){G(56^sc2z*rBdUg5VdYodQi}B=xE+jqfZvn2#Z!f=<+7Ye4MEznLUuL zNyT{@?ihkh)8kURwL`#P1y!9UGJw1TLrZxn_$5HZf=$`hL!5KSPyh`h7AV*2>~#)0 zJxt~lcxOvUL#*gTcvdB=i7WRAlZM$h4NaXd_w)LNhEl;33Sr0(Sa27v!qcjMZTxZq zP#;1Yju_w6cCf4CabwSXVm_y&^|`>6wM$@a4iCS*Yp3SOYCH!0@AUlz;m+p#lQHYqiL=pID|KUlxth zL`mrJzB^;X%D?YEqSRoH6F_TtcqWY&{ls;ihn>#p(EDrnkp6tbJ^s2}5+)V|SGNtJ zDJqgQDQf5}8ZpxC64TOb>OU;2*%t{)Z$31}nk48gjD{- zqN*4>WdhqSlGTIm;-RltNhbH-28tHd3?(R}+mPWP8&HfC8R3-8MKdsdYm#-c`+m8ncyz|l9@#J!wz0L;_- z!t&{slE=}N7X8-DXcyt$3xO)Vk&2aNgm6|53SdF#%oe6|$GaGxYCjQMaF*kC_ zd%!g65v!g;!BZ@{OnslgeShAx%}J@7#>GPUx6CKXc*F%`DWdv1#A;{o50irX{FCfD z!|X?xj217@6`z>WxQ4iwinF?C-{z*0bb`zz8nQtpio6SqpsbfmTm+Ftp_LNrqZ4Xb zu`l@uBU|eV0Z}jih=ncFNO9-^1`vM92pg z&4l}EZvmBVWg%C!h^eW{ARfLv+f@A|S;j%k!-QzZ3sY-G4JGtcDUx_cyEIi5KO0_( zb0gQYckNFTMM{;3)qk2qCJ2v>?oOI9r(-QIVPz_wNc5fIc8#NxJXMEU8%`0wwG~LX z)V`p;U;pj2#3-we6ej%+$X@)z=(e8}QE5U4QwTXnWKlQp;xEP@t!o3GV!}u^H^!5cWCOnyy1Cy%9|Co*6B+2?$Ppm$^H~I#_v9uYl0yEK)KgDjN zt?bOk2d|YCQ1FjQSAM1;!(vk$P;a$Spa@MHLIc~xK?;dB;E%5}YpYeBxCTyEjS|OJ ztD`k$gBA1Pys#Y!`GGMURAOsz5)9XmJ~`tajf!xSej?#+73yFoqY@qTitO3v3zDCF zhb;3-#CD^iW^2E^j`77p1<1V zmn9#RSS(d{F6&Nr0g+Qv_o&szGFQQpW1AV^O5#$5Js38EHc3R`5;k0gn0OX-Ei&ha z(SNLYn8UW@_sp|Wlwth#SXB;Dqs)`NEh$7yr)Kil|ukXdTU56$~*>0RcL2O&mz$@$m){&g=-c{ zv9ZB%@)T76{=))AH`w7iHj>8>eu0EVf@EkvfVB+%p7(IfVW~LdF{x!?2)b6KhMw(A_+Ul1(g;*$~D}Om3ws&irk}>k!}^%OG5gXHgfR zq?a*GR08oB(t1lip?*-llY%pDxUj-pH7G#~VGf;w!y7O{b<)W2$4!}SQgYv1g_L-a zOWeX$acMwU-fj|B zT17P()ub#Y&kDx7TQX8qd3D;)Wu;!i1TBAJB!^#oUojFEG@vZ;4kA{_ND+Wv@B{+F zOv$nxe|JT~w`GrDnGB>txHU{-(8$Rw>>xw2li05%WJNLjq4;|!PG{3U3jTC~N%crfa zIV2>SfaXaBG%PEHNsas8T|~%%YS5xV1<(F~Ver2b-~+H!ZL$ukw51FQh*)`=fNv#o z?ng<$HOQFL?81$bAZ$+TuDYal3mmHev4GQHaX{f!xZ%FO=Zua^bg(!zpjQo|9!uyW zx*$B#Mm1&nSu6!oRWyCoCd3@0s0d^`-W@UUwZ|a|48j~s?k-+aq=P-21I9yTGh=XB zyvcN+4-xbfc;jFAr?WsLq#1fX=g&J6vTa!^@S?23xf~=2wDUm{TZ3vhi?#KsAr$;A zPs#e{oe)b1I&d}uC|o&xfU6!k!t=!bfP`j+#0Nr{Ro|}v5X7G*aKi8iR$*!nyWF_A z(g7voOk#nY+)xToAdGENcd*oGc2Qvluf`hNZ!i`Lf@pe8JL0pwqH2tRWwAvub@Jgv zD2xuJ8E8DeS}Wp(P9$mwr!R8-c9N#9)nyPIw)Xk2fBG*wkMSelN77@6)kGds`AhPd zshhUOF9V`gO?L8M!Z8B&-I6*mP%GXFvCCWu8c82CO5Y?Mp_)VMho%93^E2qgg@hxBxQ%)3XfXoSIYq_3 z<72U?wT+E)HqqvgNVt!gH}ik=f440xHdZo&KOO>0S(JA?{(*CS_amaKmKL4T%?2pc z1o4lKUXKui-g5^njI^FPR8%T7?w0f8Ojkl@hu1nfuLA2Prm`qOdyGJZ=!7ZEC}A-0!;sNDjOkV$8XC8?e-JDj>9#`77Wvguv`a-*n(_X4%O5 zYPdr4nR$Ebh8}<;{ez4*qW*Wou)Sh$Q>+b%eaD%N3tVdV&l^^B@i^4AlQ1|0wbNxy zM0hK4p6!Ty4a0jVW}9_)WgT!$?U}+9(qL17{-5N~x;ZLEq*Tl$`dNxMxrEX_8+(e_ zRCCmO5C8kh?h`uCbbick(8}m-stgwYocdG#v8%;v3*t_7VqOzU8pQ4f!#`|)RdRDq znj1xP!|=dyR+B`!dnm450zofqaKx2SbyuH1Wi4MI`Rxcu8Mf<3BEZj7vzxgxS8M&z zH;iCe$xs<{hs6B#9-^hUst=Aj>}s25s5wW+6>vx4-P4>vYMSeWU`a|qKx>*eC=`(4 z{^ZDRD)o5BCV7 ztIv0d>Hz3%M+k?M(MJ0^Ut;f+ei|O`-bvH0Fn`Z^+l-BIroK;OerOr>aUd6T1}wMe zX4v8(r26o|+;}>h!o^!DDQ#CERA3V>%IcvQeWqYv=gu^?+gU7bW)u{qgfB)YTMgeK zh>=-fDB{?$vim8)Q2`!U?Uh-U9tWMPpExw*OBF;vzqA20<_{RXQ8+zJi~vw%YTU`x zzon0l))~bKu@32|B)Dyr(FS$xH;3dr;6q6ekEIFWiPe7tM_Gko!`|4mq!DNp=q5Ro zM6XPs5)E9H(_@06oVT^>gL*~avE#MMH@3w%t6Y`F2BG1fbv4$Jq21>O06> z8LNNJ(oUS;B>Ro-;tC3TQKU#gaf8SQht^pX2Hn)v6`=kphyl@o7@|Iu1iGO%+reQw zS1TB(1%E=f#>CF$qbE!qLQ-hHkTNUOrC~L=f#PAD*9kIs|Dh+RJ*Mdu@rvgfe3W^9 zpxXh!or_{+NK(Ul^#k>kYU2PwZ=8usiC!7ni44L4p~U39PuMpIrJxgDvZKjYjD(4* z*R4>7x9fq@tWnweS5j@H=W>@*EOmr)zfLu7lZS6AMWtpuu@~+NA0$P#y&$zZFJL?lO~(GFlpFx z@gPe>Vo+nvq^|@^@hFaPwZ`rk7o3!SC_dw@5b1Naj@-L6x~9(G-Tb=2C&GL(h^AgW z?FOKmFNzcR?pNl4s4v4`-)q~HhVj89?ti4T_){ymzSdv%QE5kk*{jxU%_-TL>0m`| z-KL|J(!MIikDFC_6k&}O4fHl{qXf*RlAYH~%9!eKUtErClW6{aJcdsI?Y-9Y2aX%c zp~I)OcbZ)29>qXX`Yt;_Ic=uuyTT`MI3TSdaBiR>%xCR+D7P zJU$YQO9|#xG%g_TEa!zjHCjASnStXNI|VnhDP?~(mjpPqSd&qTF73k)O{fay)6^cnDi~Pjl6|yHO@it z(mz2swv`^}OxYl)AhY;iHtLgk)7~PxK;--e`)ym>zYf>SWhk4_!U|M)c)?!%3h$&R&dyqWWgr_dZQ3@#6VJ2c*F=~!p1xSJJ!$ala= zWE=z9ya0J_dNUrn$OuO}r9n#zGvD5p0niT=y6ULf{hQo7Vl1ms?|>E3${n4u$?Ou- zUOnGxI|xWAO85(Sy|tlAnpM{gp;5dDg`OLmdA;_V$XAv|ES!dzFv zP1(Pl?YgKtzyUwD#?kh;*!`NrP8%od)nTxlVLeI9=PEK&nAEi*?2O@#;Z}CZ05{J{_K~t zJip+}HP6sDie5XAK_o9LA`!f8qP=;lcudNJuOTt-ml4O*uaTU}AeTB>p09i(edBc*j={RzWPQ0`j)ukrE(FLeq0 z_Fn)j;V;>v@C$fvanDtcdGla#MbcZs+o-=+9Oo^)m#TE5eIK?=uy;`l1b8=fFr;(K zune9RudM5Q*d1r_kvRuQhCLA;HKMKi(O;pWXS87j)&DwQagOoLpXa1o?r=7ibUJUn zLU}UKrbZcOKpZ)c*j>kTc&(g9Om42M33N__-ifFF5ShA2djqE8l-|vOsQDoD8BoDM zo25OzhY$69fENu!{t4`RZ%-4>{34luMq!kUf=bcI$PtR zLP?a)O3M;u_B)e$i$))cjb60BW_iw}Wl(f-6J9yfKXls{yMPB!PKO!jcBNhwjl6 zQC(p)d6({9Op;;h0AD?Bf9WO`? zu7i8Jvy|DU+lB(z@eIZ6+$<-KMPKEI6Z0AAT#2{3Iv5qU&>Kf0ki+vN5Nz`X<+sk2U7Ii=-X*tCtC^Q;Cl60lWbaa&*9C>q^*Rj5t-jOB$X?p$W-FAj)H|tLA(|j7y z6ieTuRPqIY#cij@+AFH%GkuEcw~l@RQyili;!vr#Ll};hc{U~!;X$-MW5lPJliD`$ zZOKmpjQYsTsn+@%${O$3YMMT05Y%nC0zITnlz6TjLF1 z-A_b~G2djISm-zw*(0-0Wk@_xHw)Pp?)Z&W>z&+P9QM1KYYjqC>c<_L_J{`16Y2oI1xg!J*nw5VdrsxiP9=x)eF~hjWKMzGM>+XxY-jQ;e9qP{0L$bHc@Fbc}Zu@>r~!1r0xJ7MTjYnOn0%mq#@DYOm$J?yaPbrXe?ht zYWBSosvbiKMEtJON-PgpJ%eyzq^puo6L<@3tJxzH(lo9rYLomHkyt$2L&s`#q9$%| zEhm^%Q%ClcEz>4X1iC4DrU}QtJk?nAvsAh{JrJ)D(QSclHkp75LWQ)0ocih0YO={? zo1c?jCLRu$u)#J4<;s_Rwi zxge~Kx?{@63_(67cB>5rLA??=iaf(EPh%HfE}>?LIAy{&q<7k(f^3puQs3<1h$YlZ z&Z&8*m#Y%VAas2pAIV5C`_Z~#*u5yNKrV{c~2*UP?{eemMv+j@#PLpFY-%* zz`VC{-T(*s;E@cgudkp1n3ALJ zc{PAV{KgpDF{s!Q7YsNzk@xt*i5;sIr> zpW{X?pIk(&$>dOsBU%@_yDoA2@b5GgJ8wnzj7SCcoyk6PD)B1Jy`1Nd`4s7EJk3{B zv354y!~n!OcnB>faU7J`Zg-a0a(E4*qcX}8Jky1ZaoVtGoDX~BX^!{D+P>Mrl#nG8 zxl&|!>~dRb?)4&^S#TgW`u`yLN5|gf~L_m9YG-dw4uw9Ulh-d%eRUwov*8t zG%LrW@I1*`R9jffwtfAE0r0tM+77PC-T3#~IMq30SgwT0wvs*Rs5VmD`8{a)_UCGV zu(7de0VR4TLAuqs%ddK01U5tzPa;7ttvozYF^8&v(_p96mMdlgQ308+afzZTAjpmO%Ky)WTkxOtP=1QpI{N-rhhgN-KrAvY;G%G#MkN8=%_khbAn?+Tk! z`7WJ{2UhFKh5AK4wsVJzvxaYR`*uD}7GIIsjW@x80lw45H^LDJLLmx)GPLPF3UBx^ zD|bv3jCC@dq00M$y)UnPbg$CpeFDaO<8`c42+oX_Z~*Sx^NIxhO6+c(f-)%0|jtX*u0`T1)I7{=JfbC zi-cPx9_GLJpyG`JbH2!qP=Ob^#w|PYtvMx9E=*IQfVw?4S($ZT4zrTZZ)}_g@+6F$ z_@|C<*jDT^O7K@tDa&AxQ1StYNn#6AdRYfB%ga8@|mgsRd;aUW32EJ)9h5k4(Ry#Iyvq|YdQejQGE znl;AcVU{O;8Gc~u0j3|ns5^aZuhCG&Gz%T|l?7){MSXZkIbD4lk54Nmg1|S?)QFKv z;A%H0`<>9K$~pOHG6m^opz_A9`#f&3k06HQ9)^JbNY-m;jcRZvCC+q1*1-# zGM%;4$9+@9xm345-;qJZgN28oeB~EoaIO1ehpXXV?{C=F_+I;B_*mf(Qf!UJ+S~pv zk6fF6hR6j58ne9^Dd0bEMuxZXj9=&Od~Km2aPj9Ew6sw5t{)ZN3? zEgzU_ktQ);7o_*NmO1E33L)LA27Jq66I?J!;=|+YO2pbnhQSJ%crE>4YYGFBK3?#2xvg zGhR9vpFnL~GW&$sP>R;uHZ%QKuPELpSR3UqjBKn?w6!Y-@qr~}Kr zXM%buBM|P94ik{&hmzI~Ny!){Fx9FleDW4jE`Q%T5yf zhmP+&a^{~W3X9gq%#5MI@tK-!6wx2@rnc@Ti9v+pxR!{Z(z}CC8-zOx9|{Qn@PyZm zZD^BaAL+nm@D2rj!6D0 zuYG?DWvVPJL~?vBE!(lI|C zd)OpB70;V%!F`j!kH}FL?|YQ}caK;*74eia9WMU^x=VA?ZDnuj+;xJ`?bU%i3c=Dt z?Lf^2c(cfwjlbiw<_L>AKSTtq)y zmK~towQL}4mx^wcOMBlF`w3m=<%Nqx5gor{59iMUPmSrjxu#DoiEDI!dEpjOzn~+J zEi7$qu{;c-<02oGM*_*t7-3;YNCsS#o{*T@+uFk4GjE ziHSe=q$+sBa@R?dto~!k$9o}k^FcrEWxoi44p7K@$*_M1d){nI4&T?%`kt+Q=#Rh5 z=g-vAPJB%-mz~NOok?;Iig$)IxRzd zYa_EqLF)~8tFXR5zPLTUmQ<80Q=+gWA>xJMUJ$cOtQZpp;tQz?9=0T;?AEJ;I94>5 z^Jc$u?4>O&bLu35#Mxiz4~O8CKF)uL(r?Ag&2i}Lumqfg3jHQ~4MG+7D}B*tT&-o= zIK?oLOeuA#yi#anyX!tkwW#+HWzN6dHLOHekE`9!9&;8IGd}-45Umz_QF~7A79xs% z#nXz-!wr$A^YWVs*jqttts)9s2{OF(=8%vBb#HH$9L=5zZ=83UXtNGhzZ$X+!hAwo zW&XBf+9_V<Ab3yofBW+Gj` zkSo!S=kT!CxD(Xr`M$Yf)$Dys4zf+1N^LMGJCe~n@%{0b_t*()ky z0W*%V%PWGDo)>jn>FqTEEuiIc`i*1qa0OTT5!rHHcE?h)&#}A1&oWJ*wfvtL5hH<+ z><$SQuH0R&CVpwJ)dnRh&$cb1_XOOv*!@q1hEf71s~C;?%63#AKXg94)0HA}6o}0T3CC|%soS_7a)2vY zT(e6167{Cd{WMOH@tm;_gq{l~`0B9l`$uf&6(p*web-?ya~NwQLTDUY?flBWhw~OW zP*KcMjbe-z0*`0CiWqmzhklf=)lJV{7)4d?<*|JKG21@J%ZM_xiJv$K0?m=i5^k+W zWGoqd#AFbrE!#c-wdZV=R^@evEUZ|`3#*e)cw^(g`dm_lun#$*m8y0I+JuyHymp2Gv5t)?2ExRZ7J7%lQ)YNi3wAdJsgG5qTkz2c{>i z)2nk>VyLH!3^#@$o}BVCnAM}wNdw$0u-Do|(-uBRFlxD;m%adqwL&q1kfiDWR3$|n z5|3YC!L}zeWYIen4qLAJ6@R72`+U);DJzwv@6tf@9z@!ib&rWV5N=->70fotAT$qu zLqAkiwLM34br)iWTO(S`={)PU;bf^9e+fbtbSh+{#ClqVTIm0ES*)f4; zAE(A~y{O44Mx)%~=BYnKL|C+$b8T1tWXqL3qh9=F#9Z~}Bg3f_>R52)`5Dai$dM=1 zO&l`(<|p(i-#4gIo?L22!?7Yk)eJ(yhp(#Ij#cBD60)JRLW+bPh^2VN$C$4&vAfUU zYVeUS_!V(<;rq`P_U|_#^b-Z!j5)7Ys+^I7E94WMYW}gNdfDhZX0OM;$*fv6TtpfV zJBK~-UUszf>t6CQe7mo_w^wul}x9Y%|crrjnT=J(VQ_&dcrosuijJI$EnaV z1M1ZL2Mj@A{C-rNFo^AmFvggB0&%jANOP=Vh#2goItjP{{`1TO|>B6sm$`@P`yxmjl%?8d2q858G$V?_7d{3 z!CQo#N?S~T|HJ+r{Q;ol?h9q@p15FTa*Vp6XzrZ^3P{erDE3$`ON4?t_A|cRR zvzNq|Z$k(7?=6qhG%)60QRZKXmY5=XF?EzI6D~LZ=9q_rHzA4$qGNbVFdfsyh~*cH zZ{@5~=G?cNOj5IC@cy8Ts*w^F@m>;3iPBje&V7Qy99_jkqS45>Rxt7V%B(fVF62p$|ql5*qL&gZ~8HD|x67 zHIA{QgNTALQ)Cy>zU|{4p6pXU;^Q$_)JJ3X-}@m z#~d6RU(Y{UM`ZahYCpc1)p5~>pmMp2t<_@VE6GNq;k{2r7aN^1TQWxb57DtJbHbtU z{ubl#76#2SPJQP@*kVAooan7NFNkT5eAg^xH~8J?h%t$JKhNS+^a7NjOrHj~)xN%#{timCchA zuLR4U%Z|h^93-^+ZI_;-UkcwmgR%ykOd6Doq1NpwmBy;(JC~hhHGW%Jf9-$ZRN54^ z=uMVmXAJ39jrsQzAwQn+Cmm70_gkuoI2lJ%wJ&K@y@iu|tmbZB;T4lgP7$OY%D{$h z@kjmmzhxqM3Wq*B-ZAP2h5X-4$oK8s@|_P@lMfBuqn4hq)a1iA-7NKVYJkln*dXt$ z@6g)t0^D_YS>0zoT55Es3BXM;&>4=@Gt-TcEKV6F-l4HoA8tAz=iM`-$~X`IVw{DU z)Y+&1SXPTX*ShbuZDwWRF+R@Ia}=7ko3jed@;yqA>3C&H8_VXn*BzU%Px#YOdMZif zXkdpA#8hytvlu0eEr^13)p3g458yWki=t}qGy$4yezoG8V^`SELPW{tnV}}PjATZ@ zq@#8$RbX|&&~dp(|5c9E-{#NA8$paw58CXCw0(odrN`GHI`;+i zd1_+ISbe!f+!VsR#(1Pnq!hGw9ol%__DOiBxxf*xHgwFn9;hTpKjZ9<}@g z#t+i=ozS)+mV~}AB*{FVn*npONXx5^-ZC(HzMM*VtY?Y1IxeQC6~}<}lUZU4G$@GH zjS92(1W?A%vQ9ScWCrE5DF_714_ZAvwl~NR1PaEFF~4p0R0NGmM1jv?cce6eg{^c% zdl;eiQKfq7KAL=;OTu6sOjs&>g%P#gJr}+{fbe7@;eA8H7w8^Rt}Dh zHhogDD3{UmRv)0Rj4+}QuX!~BFmS7AR??=fC&zc@l1&;@>;o+bbANevAn{q>;mPSm z<&P^LCqQ=54b{_bf!%r4^(K7jEK+?HH@Ee)1c!Xuik`F293~1Rgty)$TE_h9waL27 zafuv(I6fpwa=Dk+WS>^(Bx$AgKczDVk+p=9sZ+3(X8kC9 z8nRS!&3g*9!{=fMLN@(XYqPezz)D7vOMjuCNz_bqV($=O@!c{6R;PMBsDu$&^SEnZ z>4Fh1v-(H~c;9azqZ-7xkV0-^9`DGWUXi@k+!o0SgRejQ9#)IXu`h_;ieVs?yrgi! z+r`4xf@f70%`y4sio*&K7LI!X-Wa`p{IF-U5*&Lwp)M{gdKFgapn~m~H?dFijObxH zU^Q&Fe$~$eC1|#~$N-G@Ls(+)V>pcSEJJz=fAueTO(?6ahL(7NV@7ir9V=W@#$-P$ zG=QfBmKP{6Z=o8H2RW))=-J(O;rWa-6RNi{>a)s_yty0W*ss1mCyN4DAEIErwW+a4 zR%BflN^JYZzpE(>8|}xeJq}TD9LIPigl}pq2B!6DvVHH7PVpqar8EKmB=?Rh*qKxp z9f;aQ7avv^`fFFqLARKC-f2S>`>mY1NPXZX_K&us}s+QM_S?43wh~S+RFRbvK zH4n@@H#kY&9YRJHG_na!P7yg^*6$FzpezVm^5&lTH;k@`2K`K8NIj@R7UEbJVdGUl zVGN0{-(8Q6Z$nLRgyrmS0cVTq#`X4??O(?^c`tVP{k+UtulVCCD#>8o_`!*BB71)C zAhU3=MK8y12g%BtR|*N?PM&6JGoFI`sfrj#ajppVQ%Ot+xmXy)V%25 z1hr=rP!5uYiUxvK;q~v}ky;`d{-RvyvT@ikS>p9S1VTOY2nR|k;vtt+mGqav@sB@J z3I|`TzB6{cqp6i6Q(tUn%2p;$(|C=T<~6G9{Lue^UfWMpF9TdF(!9V;tfz>W^vZ)y zelxDgtW*8HnaqnM42eqQ^g&6J*2}ePWbG}G_R2th74F^N^%>k3e!(6V)@C)FZCwhU zZ>=`G9{!nP8JLf~e7HiwO+01FzQ)j3r)en%LiJgJuS`s&A}MI_i|6x1JW!L?j2Rw__0g>w6{Q5I2>T|tivx9H{g1E&-WvuYyEZjF8Bdw6 z;z4CXHf!q>hExMtMQYUgi{}x8MDmW^yR{6h3WbbH!lx4~tEv`|JxNuAY6bQ6r+<7S zZpIGP7qj+{|9*}1pJ?)Xkkr$jOtaXnEc>GU^hDX&i2Xr)eV@HA^k~?@jRi^-v7&Zf z!Z7ysoAZKXMpJI|8)$IaK$OM*=6b;<2+$h5;^&R8l zmA32r&HLzZ-@Hh^{U)|nPylP8zSe@DG=v`pE#-G(?FRG~mp6me)wcxC`~xdVec!#YIc0 zG(p35eWhDTUY0CBkpABBt>;xL&milB79&8gw?NanuF8BCMOX{9DMZQb8>V8Brg1P6 z`}(C*{y)UiQsfem_AC-7!M8KI@}&S-?vV?385mr4-|p$}p$rAzmj1QjvtX^xJ^Z~% ze&IzZmu{%){CwZXW~|&Z{w5?j;h14;MjbmUwdu=dq;zlUuZ{wY6zo$T8M3y6m4G zJ0mv=qr5sNZtN5M(I)>OmMwF7bzcQeuE~Pa?sgR}Hq-^`vNAr=0e3+4M6OiTyT0Nd zv^^42P?ln?CgM9A;yXK(7r@W?6+v|*q0Ro0O4KyX`Du5K!(_4}TF^UXG@tK$ zt^dg)5q}6tO2+FC*e=BW`%4Oo7bfWQKtT|aFxYRI3DWWXsL&#R9G4W@E=dHVrCo7m z8QPVmG}y+M(xwk0*8)g&Pni4}NXF@W$IEg2JTa*@q}srz$*DO+@m%+ zssX>&MPsoaS5!E1K=65Z-NKhJDAIgu?XED506yYsm?D$RwYVxypC{YHB-_(2xF)os zC5DZ)bzMrWVoK&S<_#TF>8K`RwtRyomH@zOTpP)*TLH^`Y^RZfO;az%GzF*9YcZc; z3V$WeGMBey_1zzM2Ei*LyYpnv?O2uN;$tt`$Z8k2%iv;Mgm1wN8emq6&<#%DgNFnt z5!}mi#+kGHT`Y(%SVoaKvO2$sa30VeGsqwih@(vN4Sa>!N)wxYFm^aD(-(VaGi-{1}AgJuG^SXG)?=zc7xu0yW5MDg zTYI|S2pT^4=!VrRA zwKJYbj6VrMSSQfgY`KKIws2830|XaAaSsLuKj5{)osywCxEsn;J*M&2lA6y)aZD>FB!er*b2wx zp%@PvjnT_8bLeMhA z3<#tzRjC`_&Gf8j!d0XoIvewb57}h!bS~ka&UDGBZwiLErsx zi<~^%_*P)CG47Br?Hew4Wg8-mgXQGld`PdS$I$p}e^_e+@G;b8b}GG5*B0u@kZ-bo zJVFH;fY?Ep#bZ+4uS4~T=N|K*XMktO_wea?VQ#vZ8blFbnp7^a7pHhdPq5O7SkURV z6kGINnHu{E%#nSnCc7;Mw}*RUoW0-}fA1ZN^n~Q{hkW-p0k=QD6enr89PUnGnQ_v} z{A;wO)ZKIRkgsmD@eS)2?3`ZZMDLaOhlu*7rB84i(v>A!wjZ^x=q4tU$ZGFW98ZN2 z<`4C?8-JIz&@7fTZXwl#VW@&wvSi} z11m%uoVrZ%iqH_u!xd#SHc1<@kerU78dCYnOb)j3KKlQNN?`~<`-Aha&Y;{C_9vw} z);nr+Ra0;;_GQXxxoke{!_wz(A)5$4#C3LVUK&<^J^K;Trz92cx+RHx;+BjwDi$!7`Nbr`MB=CWH1CezJl{BNZKdyF3ll+Cv zB}bWIUL-}UyW!|Z{*ZDseJgzKOKMgBP68fQ5oP$Tx ztPa~W64_f=!dqbu#Vksy6T;GxJEe$7c93(>x{D^6<1Q%BmJ&Rb|2mJh+pg0ZF8*Ch zX3(v1S-PoOf@X}L*X(U8RmZ1zc9Qy3zzr%#Bm>Rd^t-jAMSjc4j?dB(;fG$w3r6>^ z_i@Ikn9GOnY9t01WwQVAL4^F(u*;fxeob%tjWO~;wC&Wcpq|n8+vYKEQ$aRa8U-)b zZ7uW|;%;E1AT8L*boNzkzzlY6Xpw71yk%)E&Z0|%9aIwT~}vqG!3s>d^vr zMeM=uM>$fa8R_aLm{AL_xl5DNGcUhPHAgP`;MdaSWKqZt(T+37$V&FoKkDw6tMrQY zd}JjYu9X+rVJnb;Gx_S1sG6Q8br{egwo4fd5#yF&yKLOzQCCi4QPTu!-yK-2KTWaq zVsBeWSI+kd?*mBl8<7J2Qr>0@SrWfo9H!YBXJHkJN$*vft9-idPNxy79mlx^2ugj! zzrZUkNFv)xme>ueQ$9`L%??@Y6M1jQet?MZ2{-u*YO*0ggVZXB59K^u;lJU zY+niBAv8^xNX=KBdc43H(;D>xVYbh<2y&KiELKVq^()K`;UT~{Y+%g;CyOp`YxMJ% zL{;VQ7>>~FnA3eTVh$2JWyo?o%A+H(Wp-Or)$Be_JRn=h-obizu5y4e4o{tn`KSWz z#J$NA#n_eP7;6CKB5q9aTo%y8GL=%la_FAp6<;yzb-!P2UOLFIZ6+};HJyrY-1q|7$*=7FV)na3T@AUY2@f_ zlglv3a*`~cx9eP;rZSktP0=3igxv-WvnV)jqVGs$`4e_jgX#8t77r6j0^Zhl1ug+cN}h8E|1$p{LJNfq>%X;=?SGMym{pzlpH}?e zCjH-D`aeFFM18^ss%ZVb$B<%l@Cpa59uI&kje^iez4vuc*Y6Lu^d3QZ#dII5G!fl< zxR>BIVcyQ2Bthnz^D!Eit4d$&y=PzhgQ*Ax5YEk=TP2xEM3yemIOk*(gaP48-~2?I zdXtKJG{cj=Z($n)*@B(0<()6p?8c8w|AR~^b*##$nG8F`2E_oXV(GykW9JzUx~!h~ zIu9aSeS#ESs+V%-s$4Z=IllaAD>k36S`k{*koCJiDeBU38=lz;7|O+U_NzS zSk@-RgY;f0K^05&^3Ar0^gK)rAJjq^**By>zWvq}g}j`r03P4_K5G`={zHbn0Cp^s zpa){()`#>2iV-3~vs+;|is1$1YQlh{FBGB3#CokuR|yiRLj&-I4;6$Q9S(wKwi0zD3so7@)5qih5BwS*$>k5_J|(x{|8OIO|TW>tPo58>B)VR2IU?-kOPve=go zLt)8rgNN3Se;S4qIF3itRYg}^?Z%<5Of?$ho&q3+)m+#EC9V2d|0*&i{*l5fxf`_UgN9%?cc+R~62Rls*sRTYga8hlCYZ{W?rHCw~hXKiI z)r(SFG5KOBmmn>7IE0D4@l3-I?uA|O0X+Noq6xUOKx!~x1k$SCA8I+V&9h(@=^5$K zYdAvF8*1);j8PbS>!g_9{knA8pO6)6WgQKwVqaWTm)!a7i+_u^yU$0?>A5$NNUfFa zwW{fy-Ti2n(U!I8ipf&@x;m|f0-7$s%rxE~k+yHbyuQ-(ogOTu5$5E82Pr?!7+30> z1ZTZJQX=lzzEry}H#p1(LczRw&j%WyRuJxokwjy!ep~!yD5`G9XSTe|HXmV+OiAif z$E93(XcTi+G0Z~IU|dCd%D4B-6sd~`h$Zd;hCAc&FT{|~_D;*_cp8?ODY0@S44DzG ze|wNtY)d(Ti6-F&^cxS1EW~880$#(Rq|L;>AJtu(vmz0RlsK$^Nx!Zo6xjU*^}QLD zkl+0*ziwmX(l*SL>;y-q1ydeSi7?M`TPaqg+c4zWjFHOE4Y(R_4dEmF}1Tc}$(vhbF_V!XOn>?FT{>VK)rb5eVv8VWpQQ zQ5l;&m@ri%%BlvdS{G_}XXCr#6NQDeb&7ZayRsflKm5_)^@<*>0NNZ5^jy^!_4A3< zSL!jg4(d`{KmlJB#kulhX>3W%1#Km_+j_1a>IU)-nNJ_yS;Fg`=i?)odP|4P-=rh% zrBWf5RZrlwuM5wiuD2>U#D%0J&iM;MoSc(+$__bm+HhA^(9z;)E?9jbAD}Gw$BkV; z_;&f=GFo^t+WF*K?qDR%&dttl{z`=|n0ZM(Jg3~D3QhSssiDF*)+tO_u3q>qNE=_X zl?@l~QMQKtP%Tz^cV5fyUe9qtxogKQ{3SyeIEi-bKN^y7Mx_T<5%H}M)~#HH8n$!A z4HT?eT?g0EPfQHpDC1v*mLpaM`S^sOKYt5$#bPF_I@aXKDmjmh|2?o+z6w{JefM*8 z3yMv`EHh5krwEC#i@A!14TLRy6!bv?_ri&2llzqw76kW8^if}TE(@q&8nhHxSN3-R zZX8`SJniK3L68F9@3UVDe30L0Wps_5Ovcg2(VwnD1oMgViPR`$ILGNLA;ldFLkg1? z2z~0L%~5}H55MrFN-PBOlXu3}2xAW+=?O`;XQDYxR|Li}0iYtW3Y&vz<~-=RkT5;nu7kjJeL zl#clEH@8ISWP!wzJ~f%hmnRbsl360elRPCYv*WLy zk2@6VT|Z-xmdv(S-)|?}(`vk2rzSxUsn<`U+5B77z<1;$DMtX@$b_CJuoE3Ee!-tp zZR>~gyP}Mo@vYEPo*LHteN&1>oo1uwv2ehs-;6WGT563lAtX`THYHO@vtx$IgOREM363Dz-J&cn=nOuWEn zmoMxHhDq!dk6HRX-gvNM8lbxSBuH7J2Cn{z@jQTau4YxJ$^HCC4ZL<9=%hXmJhJhZ zZ!wu?Sl2JT1^D?4`=eOSIwXHnyJ?wpWv$x7}Q&%iKzwQYjY{Jv(y? zU*B$R&dQY1Vk&%MT6s;5!Qj|{wI?h5e09Mp-C@c=KepcU;aRJ;8*flR!B8fFF45DiLxg9$so82Q1Y{L&qDN#AQjjI=gi==C& z4W&n|tF=&>g0koQ?$W?13t0JhoF@o1pV|fIi+}SUgD$Yv$Zlnc0ef{$!BeWp!M)?xh?7J(j0Gxm$_fQ? zS#~a+L_SGm8s4j%*#`xH10LYI|tGH5Q-;=I(Yf0xr#jE8`0#N zQ-OLdcLE5i7Ux-uS;PF3-b}uN>SUetq)-{!p5~^bC2nMoZLObQ(Bp=ppTrOHGO>N80<`M=ww}#MPV86ULozRhS?Le z4j~Qq>DtVd#k)kk@s%3JwJU7!1dE8lc04YDB(Hj}FX9{54Yx7=jKPWurGIctg(Fs8 zN6eToZbY>e=(_7Q8I5--t#aX>>Wkw^N<>0uOpjwgM8oAdxd+831kE3mUSMy*wT!c69PYx*OS_si(Ti*oa=6i6Q7 ztY;OL#8WOHCy;O37_5|nqrSXABi>=%LzMH}txgn9J2P8W4yUyk^Ne<qJvU68$)_*1{*V0cJVXi^5f)ldV0&8ji6JF|q;YLWf) zaG0L-8}`RFU@sX_!J9(qlK#gpl9EZ_k7rdn<;))ah6MrPsfed3Unz%-)S5SEz0^Ht zA{m51mcs==HYZaY^_=Sn#xyZ$-t=-wPJ$I^K-JnEd1DU$N%aRcDR{1@f#LRY95@bC zPTdohdNDkAkpiB!(Gg9!PSxk$L$Bg;F5=QU{wE#1n%bbdE${)S%TA+r*4bHF;5INoxL@$`{;n<)FpxpS?MCiv-pdMx*Eok6iTE=ALgdoTj~CAs84)sZpBYt zRW`|sh|zil$p`@oWb<=U1)7d2i;&SzryjHVa&&ovXR!;+#$H($T+MCV3BU#gB6IEw zBV{+-ae(RX(0%%Hj7De4#zi3+<29k=@Aej*d zgqF|zHQHeJdjQ5}7OHB@tRdwEavI&l{D6*I2( zto%qEPxrL^7@Ui2WLuJaYN8Q}XlDSM+HCnh^;9tdYAAXDd^CfT{(qnZ)H(;o*!a2S!{jWYVOqq=>Pez;s)E4F?ulT zE#A-FCwiULunz0^YkwwX#nY){Vz7iW3U{7CF>xQdj5$O8{^al;UgQ1iP)5zai&*&T zs6__E;=F}~13+o9juP`Uh`_}@H19iHQQ_01vs!j*A=(tpp@g|ULY_S?BcPUzM|u>f zzA6$vr@*m4?2B2Qk+vY>>c96fs6Qku=3f^Bhxshc~lh&53}}tt3#DfxeMTG z(F7P&kK(&T3;DJuo=tM_TIQD7_&dG?Gcyo|&K>vI-9-SS-(;u`hm*NK)3bgfi^hal zY11GyckoRAWGjErgxs(zUyw!oWnXKo~BV~nFrl*5JfaB!6hznEN z%^joAO^d5<_xcBTGmk7&9_=Rqf$1rpMuuu~=%DXA0R512<1w{h$a*qT#hi_;)9^Ux zg5|(`vP=zhllqQ+=T@Klu7hQ5*d*-@EstWGQ1TJ0-fW>~sxVII4P*%IU|Mj^IR5E*0QPF(s(% zMWbYvJ7_4DAU=FBq*~fM?gIT3o_cMV?KzzfRw4najV=S)HGM1!MM68`4dk0yt#BvL zL$J&kt2kd4sW;hdLF3do5d<|&{^ekbsA04RQ5TE!i*P*S=SLZs~kUG*h zZvaGG7=H2z{a*5dCDn>eMco*MfP}*QOv>b(#rf_Exk&ahp|e{{Rr^G=yoL>RC0jbP zNIWL;^Q{4r>dc}eS+Zm-Vgr!Pkqu`uF>!C0%~7vJSbXT8&3RUFrVVvo8Cj|CSOAL09cJeGRxE(n3C9xhU8Ez+jao zKPt5n>;vtHmoON|pgxp0O}dminqk&_68VpC(MbA2p)e?C?gzxMAmPxHQZmql!$bxg zKXCXI?Q;{aC4WiFdQcNSQX*N7GB&+4dc(&9-t4W@^yq3V)_mYxX!-Vgj(-)$%cLBD zeiD39R45JL%`{TBeS1Fzun?V=y%lH*kx!NI(}P3y zB9c}u^e;xdT(mKD#2F%O)YwtjKRaavP&=r`av&P_sP5O>Z!(4vVXmmf{fdh! zhmmss7poA31P6Qw=w`&NkL9;m0aVcYq>)cVI!^3JWzw36Sn^=r?11*0O#czxn<(rX zN}hF%M3%Brhf-nhO&T@i&AS%D9WKdhx3YzqM&leLrDGT1tP70uZJN3UB;Q0)AqYTC zbxNA!H`g;MSQDW@tDaQ1ap*JCK-XuFyS#Vst_xA7Ax79oKo_>C=At&Ij{gV`9WPI! zp;4kQB_l8@bUcZEE1%0grEP8&7nsKbA%l>Y4BU|4s?5XU^Y)%nm#On*x(#D7ciUOK zrcH25y((KSL9s=XN`^ZjKM(e@0dqP=p~&7q=QuKf*Gr>p6Na;O!4ez?yK@!f z?v~P(ks5{A5*Ykn!TdGBh%ovHVh3_?;!*m;Ifc=Oj!hh6p)>P|E*I@oKj;vazQ z=1aPf@~BPlgDIuJr8EXl^?s-AWP0L-))Re;)XPNLt!oW1g@=g!U&!cgJ2S{;Zw=g?TS^#ILR6 z=1$2h<7~5y&$3YY29LV62TL2l()BnuK=st*`{vUoQlxg~7K2sNyaMVSLV+kUa9ppl zdoIFn!%_P9lB>6zVc+i0!0_u23ln}(`MtfrmKZ}k{4&ps@`iYR5j39viXu$yzRd5D zdY2YU6%K8%;~_xW+Xqr9i#nu^r|Vb&C5(u{AL_tVB>2yHyKxkp<-@0wIg_1Us>1xU zj1%<21p;th*4y^rPlsfC4Wbs`uN^M}qEO;oNn>wYb&Q`QA4%r~nf$&r(ACln$xW(f zkecQKbBp=lx-PkF#c+;nh9_#?K;rKQ9}3xr1)4dZT_yFx#+*}7O|f1eNNuM=XH!!| z8wV0{Fw=|+u_@zr$2joLsF^LbVFI8mkrYvRqk+m|2h>)x4(;>1`HBsyK!Y}pHQjtn z_$i1fh#54;6&y4_llC)BstrpYh=DvFxsrVHeB*by8ym3*hfgtlzJK-*>p5b6bT>x; z9hrdsH%(FGLX|*+66>s^^;VGw=3zOcJ*;L)H(4{WJ<7;q(ct=_Iq{QYP0ISCaoGb&?@XvMuvs$iOhs1@$IO{rJZ-L$(=?dV0E> z0$$1STXFp0D~JA0wTIM-<4DG#6xJ}qv`(_N=e+B+dN|vHPNYO;OnX&uI#?P#?_!eB z5vY;+cd?O*d#p%_Qu#^sN!9zLCclt`A&1s_QaodL+RONbWeLB-U-hMS9a1hDEUn=s z@luOcuWx6!pq4*a9+OjO`pJ!j+4MCbuy?z>P6LO6LmO9IH>nT5ntxuKM9nr;yAxcZ z?&*f`Q9q}KnBnJSeb2r1IX%K3{6$R{KcZ`(_XQWV79o>)z}ySM#m9b;CowZtr@}6E z6+HS9jz>gxv`YN2ga!HjC}afVg#X{U`6~2Tt0i<}jmtOn=MFM4OBlwI-|)nL*o<_J zZG66W3qZbnpO?t8O;w-5dbe(UPl@vw!=xh;QnQ2?Aj9Y4oYU&RYjj%2vMs=-$-jg7 z8gGfa&=ceZ!u-uobn5~a6OKhf=Fqd74K4v-V7~J1z86V>wI8n{k?{2kVoPVW=g%;< z)7`H~?S>UwmZfj|dnMJ4Jm{<>dqs4a1y0XlY?X&BDx>;`CuirlUc!jdPgQ8m$C>Ct zOUiDRpeNr*7mj_vZPntLZ+hi^c8Q6_2jyUTfXuC+Ha{}_Digv~2x6|pvizIiA}?U=VBKl#QpFG_YkM@<-2imXzyy6Uy? zb?;I2X(S?9qEK51N51uhR81a5VnKvVM(YwbC7|g;UZXicTu$wUMzXMD6OOAItP&o| zHZF2LByz)IKvHVRF1adw``Y_nE4Yb&YwVTmIy49JjU_OeKHR|^e_FqB=gSK#xL(5a z;$GqPn6OE9HRF8eCn)dFC17YQW~*Y;C}cYeQJb!HNW;CfE7AwDr?@g}DNH2^8hFFj zv3Xb*>My2RQdzN{U@r@ytv5m+{n#ufpOCI*9Ttd_}yG&Ci$>j zHaR4;)U^cYPOrjAP@bcnh)8B#@{6g9ti>gPH|Rne#Cb?fRZ29Hmv)O!!P$kbf_Q)^ zHX>AGrZJM6Ykuq#rIb|Uxo~>po%d!7wqM7_vH&*wvRpH{-qoMR*)*Tbwx%lG zpDIEEj3;9-E5aG$vkM z3}RV+{w-w!RpR*W<`8%EWGe*L^oB9O4ZHe&d|eRXd(ggdRP)6mjUBCsVflI7+rjbi z&rGD1N|@N*U+alxiD@dO_QH~MwVceiohz2a6VVHA_aX{sG~$LY+VZVGebFb*MIptl z-%6d~6SXa8|M@3Zimt=3;`{YdNK-QDS`&CcKok_$%6r3(S*C2j=M!p!aK`-7gQ%U7{eJ9x2pJNj!{Zi&UvuiB|LN zV{ao8VfgsmuK9)}7Ce6r#^T!>quHJ;iUL0#Ocut6I?^t+duD(7@xu$7t*PhIlpDRn zJAkl?^xINzw(PQFDV0A?P8lof2`sK8sm_^7Z`||W1hwfXsi)hycLq`8yGC>Tk+6z9 ziI{(aZ(Uz3+G$Ha4sfc@0KBcBc7{tPjgnHo2eJ#ia|ydsm+z6)E@=8Ccym23>3z>3>f#UC=SJ*HW~X{5^29Wkdej z@9^jpABvI?B4=V4E|0G~4)+J9I(Ntt^S5I(o=W97{7zB+riSL+0XMAs^>TE#ryvi3 zy`J+{=3pzRqbwAbV6!k~Yyz;VepHvNW2SK!2eHHp8(y-tGhVBmIX6|Xvyom`(nS7{ z7F;`?+2qsdXh23#wof}i)>52rzX3Y3xlfRJH~5bI;3jRNk+`?Jndmos!W{h+zFGhV zUfT-ev1+^qqpf-}ko-$sO^E_5etUdWA@74E1Ja8mAH!5EAyH_gOL!<)`hPo1dmO~n z`_?PFH@_)@K@Vw%F|eW+QF^Bx6Mg;P&Tya;i9lwy?a05|kIQFH zK6d}Z>+~dIn0WQM(6(Y{8pFa`x*X(vC~p>Z^4#d!SBL7?cBSdlS$FJv9Q+(hMsvbc zB7@F_4LwB5MQh?lq$GQzt*IYP$8VQ{yTGN}_GyVa<$cCwN;d4NTeW`IsaV+zt+bq; zQ!G@X8Ec&7la~XX*(}jP^^|E$Vu{pb{Rf#jO%LveYO;i6VHE9Bn%kr7a{<}0Q&tEm zHBHnYSW)9e<$G@t?4sK|(I-@@3r$U<(KFotX8rO?lPD?Hg(k$MHp|NYCiavn zOm=Ak1XVo_%~NwyoAsj|e3EOO1WKx$vVAwE3hb>BHi+N`AuYJj!vd7&O3>J2kFm#? zW&f&B=fKdi-Kl^KVg}KN>`D%KdP-FjZYUoYpEZ^JrAF{NMvhHuTHt{3P#yA_NpNwh zH93KoEBEOAMXrKmoIXI4{dy>c0k9%)H+9v#$lJ#=?)O!PBv(|0KIWl*bV$ur!%}Im z67zw}AXqCs9e1!EOZ=qo_W`xatkJFZHBiql*7Z}It7%iL*5+ml0oQXz#>NcUIZe)%ymts-)s^+uRS~P21b$W?|NY3*Tt7O4sDJAb zCf2L52;uv=>EB84BsR&linz#N-{76&e_ARLLj>4P^=XGax4(Az#7(-)o7Le5vU62z zPAw#2yy-1F8LV;>aRQMKUHH_F$lJINLTrPJ{oPHCbz+8^;MFxSwc3O1cT>7y<_}BD zZAqEZ*3Y$L$M|j z9U(*bVe#edeA%Ub@(?5X(()|u9JLH261#CBlf9(&Z@wxUiKo5qrh_GOPAX0kt5*%R zduG0`@P0{BepFx(odGwv^$5hapiu5H%Pzi>vB$f;VnZDcRNU_6aoZd5)eT0POUvU! zhtzLvgMrs-9JnJs12vZpqy`xW#ND9&Rvjy&zqwZZ=`-B+fEP{Enu)=|7pQ5n&y=Zh z4s*taQK`_ks(wj<)>qj;uy#D;EOQ=b?mP$$u#Hs(Rf@a^e7Q|`e z>x@o5aM#tVFq8{Y>0jl)UR{XNP_yfhUYbX^CWXQomnOR;_k3W&4w+)G=rIbI>_1Z{ z4X1?b{I34R zLky0>8J;=PieAx2vuZsZ>hFR~`O%&XFQ^|d;_K(J;O7o^vTE;1aNxV>+bV**7lCA71 zv2HWR!A%l92y3nOq1k(y2Z2`7xVZLE$iUMT9Zmtn6;P1I)zG1hhy7QK#^ zg?~xb9@-_u(SVY|O*_DNW11_o$XoNpDZ3L;ZEnzlYHeuhj_Xmux8xsFyA_#>qQZRx zz%&a-_;=}(8j<^krJoRi&1uwPUr)>+#lF@#lgBg3XvPQhrr;yZ=QvlBor0*j$%Gq7 z_9%nlS;AS@Y?y}(S^jU#HW(r_$n&1$$_+p29hukJsM7b!8s1n?O4e3*KFnyrw({oX zJZ~X_muHANSUc;sOOA`JX)SH|et*uu6nko4t&AyhHP?Dpb(87Cm6=@&o%ydJDvrEuXt2u$66-0vDfulSNAyFR4R{nm zj*xzoUErwntUoehUa#y@jVBi^(XTr__=D;aFs=GKxb)E%w~;u&)kR~sVLmHS{$>6P zla*pD`$p8x!;EbctAf1}&CS}<-#lE2VKu?_7d%=0n_QPBRPyu^Ry6?*-y@mv_0It& z8SAZ;I~^qs6ZhP6i>v?@hP(TcgKZWW>z^u52_!A|K1_U-n`?wCoLspsOLR`dHI~Z=DD}Gm$`Dbd~kOe!sP!|fA$V9$#oITtzXm5Z_M;_Kw|L(LYu9z)Vt zsb0Hr` z8XEMmlbK}SqZPB;0a_sblHzSOHck}jt`!|ImhN&!9E1j|ID?U!X;yRi?L2H4QqkqC z*yQ&We+M)o>T+I-_dMf%;aCwSl;?JSb5w%S_D*ykFxx7EhwbD>C&Z!HcxOTdSP|-+ z@Wi-*=l~L}Ak9yNl7)$ZBffixui_X=U*L6e@uTL6&J;F(6$WvYWT{ci6G2+j zon}M^u3BsaSIx@^Z>-f_h$PKNLTyKg3+~;6Q@(ps+YQ#b5`WjS2u&~;yj+EFp0RLk2lvU{R$d9`?6YHD^U&O>1_D@ApxK<6ipK^O zHJ9#^{WUGV5bGq-L@mDrBPI$L(i`a1M_zCQiP{Z8r`0{d2R$Hyf6h_%yV~ppQZf@6 z*;cC)NNE;(5%{xyPj@2h%4*iTF9#`n`)6(~V{Hh|FCbU^G1MAg!b3b`cbwj}Pyt`lnFw3KA zSMNmxIW8(Y<|gJ8nvvnHH54pd#rb>(ousD^vv~91@QDPpc}UqcFViY24w4AW9?T*0 zUiQA!|GTwO_vNRXy8ipvab?n;eV)&>D%$ac)*D(@d1=Ske8Ij?-ew;jyE5V%+*9Kp zDDr++a%16BtxqQ`_;Al|)$aGi4EMsH)X;wlxn^W^Q)L&E8Ruk6ab4O`_d=LtWqfmcH|- zia(oG;S(GefOaSCO<5vuM)G^`s_%!=@(p83^YQ7Z zdu-H|ed17N7i8bHwB;HTsu->1+YxHpo+0WPm8f>RTXpI|D0 z1Ap$*lXd#Gi6$43)=tS*(9fx_7E4+!IqdK;4nNypLtWu50xx+xVjx8%5k3^M_A`{% zlzA4Qkh@@PuLpTBMQ%u5skzwJ?q4{D5u-|_>Ag+EihSj2@l;qIS_F zHgFMN;Q_OlTIO!{oSB6WL@e!#uJ`2k^SiIG=_%;5KHXJ^RkSaYQwbBP?;mG~HT?A{7roX2_E;>)lb9DZT^^?Ox7gd? z2IVH(AWfh+206c+K2xOdm*?9-9?|5ZcVTz@A|^0AVZXkOrLaVhrt~bNUUwuvj|?;z z?PtAfoN5nXFKDXNy_C4bG;)|+#10%(z{XbF-1mRSMP{IujRLaq7Dei+Ne~szpG85pyk7@Jd zzMv(YhNDvv(8OeEw0(?DuHMim=!GM*XP}|48|llMHobZ^_S|bZd6@>te|4%T7ri^A zOVe+?r=gs}ej^06A>%WQ)*7t!P~d)j>3RcV3Qz@i?w-zrJhj6M)ugSpW;nV{v!mm=EjbrD;7!0i{ey3&-hYC`^b zQ(c(2Et*(C^~AiBT5osd4P8IBIWF0)n(Rr*#&B!Ge*x$McXf&wLv^wB%JbVFfb$gb zw3;GcAqa_j(0yrSd<_@zGImQ1Tso@fIkj*LAh8@m7_Phlmbv-NVcw>28{@jTbts|5 zvxwu60Jt6?>B<{!zp|BSH%EXuAgtF1AHGW4CnA*jRcIr+Qpz+^b%5LGAx~ zq{_Gq(m&&AUlIO}@c4FXT$PR``YmLDDMU2rsb0(Z%smFk)D36?-)u6FweaZ}>F0jj zg$wEWk|XEQ+lVx)jxPTX1&a%sfZs-35vyGdzt<ex=D6ZzM zH?F5mYnMvW9~7Mw4T zmC-Wy-Vbl;+6QJur4GK&r9dA}a+J9Heou^issgCu$y0}w?SC6^+@=A(RIA;y9q>J< zEHs!X2N7g#&6|DJPF#@hdAReAeHg)$7gl}k+nU(&G;8hZV1$8X*m~>mYU}yvkL~=I z|M>XMeWoR0m3+E2x!Wh#~1d|Gzjpm+PaeX>Kqg&K|?!M8Wbs|Ws`#yW%%T#)BQ zT%i0^868JwB%lBcUJ;_VNN9)_(=)tzO6bdkNln)#iq?8Tg4JAhq%h?B046g+t{6#@ zg(gb$84Yp_a+v)+L9-g!C0?s_PU3+zk-gi~_yX}v3$}KbmwCx(v7&}YS(DnW zaQO}y-62nJg@L=RT_fwZ%r5PtNZ8e<&_0}2Yu(TKx^e#qML)kwYVg9&K-Z(5Pm1G3 zmqvHBbp(dzbkdTvC6k73b{2Gvr~-3X=^qOi4RuPdmEFFD&szM@o`=0rk~7|N(;%o` z<%Vqc^yc{tE$acm%@vI)Ciov379-Kq6)I}@y?(m>iiF@4#XC&n@Y}gpNe@&5>!)Y5 z+Urt*X{g!L>@#qdCEmj(^~_~LCFW*wmuZJR^Hepkdk1n!5(*%xoB-($(-m3iy}l!q5fLU*khy)GrEHNUwM}&3 z=Chu4$m3~MYv9O9fsmrIL<&JatLYXIPfogaHbD981tFeSlaWQqEl)4?M$J0pdYQF7 z*R1s*KCNk)U!jxEWk_{~ahy`c|FjR!pX>VlX2`Yc+5rfUsi@dX&{uk2+Y`9NPPCw;&-Q8T4ltN{z!SZA^VkEkn7>k zeVG$E`7wd71Jg@#=*m7 zc=-r{v{mV4&SlODyTrpxc6T_2yE5Aad6!hkW>^%nbSj?a)U(Raly z_0$RR#$t;!(siILP@{VyYdd&6kSF3H#veRqdsqf$RdcuBAw14+Fuu#=BN-P!s-WU+ zpsLM|!2Jw&!T(6;47XwG6SRZHKaPB}5Q|anFb6`N(P2;O(3X(Db(To4b!>X7afnU{ zo{#zxzOE~#Zo$)a*y4BS@>oBjEif5>*HUd(mTTY<>c%ql^sTR)&>A0U$R@;5X6BT-HP^VoJ%!HC3kfb*YFh-ocP1<-t(tFlLs2GMf??m1) zAygd3(M-dd^A&m93M?lkWr8&wziZ` zV51e%>KCR&x$;`tWU8y=;t6cEm$%v*o2-5Eez~#AFTZ^DfK~pEVRz= zKXXT=zL+m8B77uhRzf#B_wASM*wnH;Seu#_5xR%;hUcez`1@GTw`MJpi)KHy1hzFeC3=^1m(4fV46f|yac<5DnJYqpBAfFM+G9rD zV9wKj)i~VE>q6S<3>xajU)KfNPwUVu0|m&zoyv(j5+c9yb9%I;&*WQ5lOEV(k4{ro zMiwDLZ$@%s#}+cc&m+FDmGxzd%4JRg9l;Cp9l@h)Yy_3O)z(3@&+e<8HE}ps!_{v<~m7!go zTV$P~p$Gyoal>oL8Tc&Tpm&$i3E5EJl02ww3Pecw*dS8&zdVsy$n*oa6{47qJMw69 zlSV4k4f7iVLhL!!gG$7GJBuw`n9W&X-m|^?f~|`k`#b#Zh}rl}GOnOSM{AGEst6^? zd{90#r8lqx!~yR$-*^_uAvdloq9VbhbP9V~&7&V`rP$qXF6%d+{)&QR``Z`IpZKtW zy28ButmWcN&$}|`kdgXQs*8$qRs}_7KrKuK2KYicqU%a@H|OqGKkEQUd=Gmju-DN; z)JDyihl&5j(kXI#B)uW+*h~-}_p8UXuY@8N&9NY~B}UJ2H>2tYcDpj_HPmt*I>t1| zVdSvF=dLAn)!fy74B^@dJRieJ=SI3`dRDSb*i7HUCKifQpb=y;naFRa`+%{5d-Y3f zF}009=icnwJ-E?0_q9#lumtU`!sFROX0xt0BGlYZC)y8x^-j@L8%A5}4$`vMV;8fZ z4Wsk+=cBO+t$26&zltNy+pRdCh7lwqE<4TiwFaFx zruE|+`J99hP6X)B%*Vz#`PXjz6}vv)%{(f2O;P)MV_P^E(|=H6MeF8ci*PAC7x?So z%8I~kAS@60eS`ee^!3fRvA3`N^_})p>9-N_hhm5nU!k<7nCSV*^zSEJlyQ}M#%hrZ zQ;0FBpgk1F#696RUY-Tz({`C|iK-vOl-8(GAFfN)E+g-^JQ>`+ofX#rSqR2Q45N<1 z#TyzHoG`#54zxk*acJXIeD(y-I>+wMh>e~7VrcjCUWLhpP7O@y4m7o4`lD-1^Q!+x z4Mwa#mS=pbtZNeMM2%WhrDzf^@WJ1r6FKO|)s9tk>8dIouKu~D!DY@fb?0>HH`&&6 zH{a*nH{Bt#ECnh#Cr+*FLphSe@910>=jBP@$a74Vcp9_Lu|xjdoqzCD2Z=ie93tFZ zxCY7J@&A|Ip>g34X^S?9`+n-hai?Pf-~LlsUj4;WcN|)WTR#qc$+Zyx z+iCi%z3l(%iyNiK*|gJPKCj|+f{$uAU_XkTpaD%PGZ)fUt$R2tF|W6X2Sy%Kk3 zZ&E6J8?7BhEmIt-W+2m|wIiuzn}ws3QJ9XG?F5o80~NbjGdj8Ld~R-~NX2Yo=4Lng z5n3jS7_HPh55|Deu0IAl{p?C_?P1F^v{-ebKw#=^35D^cu+B^uNBF_Q+9A#?>i6ln z`RAYz>Bi>qXFeENGEvfT?BY^AC#>Tzfb@(dhH}1^{%G&>t{7NBi}ge=umE#qOqbSG zo{>K99}C%%SWjXeqqrg$EBfdP6x}XTLoWweDq3qW|AOc*orHoY<%hX$8`#aF^GE)0 z4RujkTMdds9EH?@tz0y*7GDnBZa@$w=@6Y#p ztDn(iwL3l^q>0bG833!5F%_vUH`cQ9og&E13gd5E9$Z6Y)^pK-Dui^Gd1J|_ zs!t{}Q&+}2`R2%^=I0Rt`nKe~y?1j?zvbX+-9VVy&<2cJFs3Kc9ak25m*Hd#mdj06>KZO5QuA{4(6u5 zmH5T8$U%vrOLB(e(!^WOsgb&LPS)%0Vd$LvI^S+Wq@k`4X}$W3akM0o7nq>* zOJJ8LB|z6^Q86&P)nrzB^WU&OR|Ti7GdlmIeUtic)f)y;dm&tKy5hU{|5j^Lh?=JM zKT2Bybk2iQtbbsyy1xPcC^>-e*du^^C$|enZ4Bl+@eg=QD_o3yNho;X3hg_INw}P`z^I5_;%wfhwG!HrlXp+ z&99?1HyGAoIw2BIBCa>AXbZI;7HdBlG@XmmWtiVXLW;F5+4^{AHH0TZp+af_F)Fq` zMp_#PyGHGrOIHGSL{Z;%uN5t93}m+#7JmuV6k#;SBCyh6`xU53{$5xBma*P-bj!>R zy=Su8+{^F*)OZ$0g*O>{R+;ZTOhXyYZJRsm!l&M_6Xm-D(K31&n`P5c0H6ek+s3I` z^)<*oGwSKm^hh<*0!6xCpQ&s=lYymnTl?g z@z0N>N+Xau_x5;>9Xob(6n;E8L)-L%)rB}T^+QEnzlqB~*9C7;j$3i&yY4BPPDd=M z{5&m(=zZcB<7Roj_s!_jvWq@$o-xPyMXcP)GOf<0jG1#v7%JQ{5)s)gXiz=TAd;Lv zJ3Fhnz(Bi`+X{JKxgE;^3hKp)Ed9OSx4assa?6G&pu&@7atumPNWC|DM_%}JM!@ty zw8ksop18zOBqyKM$(QE5VdtMa1X>;A3Rj7OmIu10oST)q@05LIi>C z?)>*O8JWeeoO|lT`5pi87ZE%*yLQLF>}*IKTKDA2d8ADCyv2AeZZ(Ok${J<3OJ+?N z)jjPvSv6XJi&6RR{=@HS*G|otxbi?d0%4?U;KVCa_!7VR%ts(0Ee68VsE%Jf}`X>28&s14=9Vk^!zx3q}I2A7X zD*H3YSdP0bGKgK$mzje8hT)X7@Bt5>cTW3wv$ci|^j<(-_y*7^OHlx45Y zg!ea33#)OQJb?>7);{^^-F=6=QqdY7n+l5W`F`>9MwEl-soZNhy<`JS`%vv4^YbaP zpJ(FzY`wW3nMb^V2VVJphqL9k=%Mr&_mGBMIOfTZ_xhEsD_%7(j_HYMHqh5a^W+}x z8X2mK^@b`pt8{pryTxyGBrg5)VebC@T7~|%ic}-rP6uL76UTIQJR|Z^LBRDPaO<1Y zW1UN{ZY@9scsGK}6c>j#hh5j+Z`Gf{vVxhW0n8_ literal 75782 zcmV)6K*+xo000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*Ecz3;+NC001T>0RR91008C%0000000000 z000Ik0RR91004La)LjL86v@+{h2V1N!J&b}b^@tIgZtrjxVs(h4oT495Ik7$;10pv z^^n8eIh>#Y=B;0KdUg};{{i0Np|iU+U0&5XJ@F00a3tp9X>2ZxfKvEx@+bWE|Ka~@ zuq~H^O9%hMh0?OzpVcp(Wh}Wz-LJ~;9{2yRx}Nwwv3Y)0nAI=p^A8Wq>a)Th*MnP6 z4FBu1C%5Vz&tLx6=dT{r;|Rq_`9<*-TYmA>#utIc_qbDOCV~9&QJ{*J!ls}~E`>)) zr%HuiN%~3Zs#@w!MN57568s9=x1V@W7(6-mMFYG7f<}294c%IgVN+z3jq*M zc=%b9?>zYNxa=)tUd8gu8;a5^9Xd<~^=}K8&{i1mDw1U#MqUC9Q zg;`1WL3oa5K--B0v!}M-3x`UUG#*feSy@5dukb6aDsELR^^4M#qO0tsWbw3u!l0n4 z)V!&@0bMC=C=Dy$Q?wKh|B5WBg-=ECrTn67_d-6aJC$E4Y@g+KMN#qK>9>kQ?Frx{ zorfMgb@kcW^Kf=1UTc<*2M+l9gyIhrjpHq*9SiPLp;3le z8hXNYjajoMGUtw})m-#E^@Ql0PjN8b)GW6Vu;0)3*3moy=59h1=Mapo;csc3kElD{ zxlMDf?G4ajX!0M__*-t*7OR2zhvr=P8vx;V z>>fb7MlTS~|InPXtpbcu%%9`M_EI#m(bDLgM?ztPAzkuae!e+xmJ@JfqA1P<`9>&S za}IBL@FhyA_rUZp#$jolKd&6y=c(rW3NvB7WE_syAr@uJmd7b{fUOn%ze#zUXNU*4m?(}P%Jq6?NT4$IAv z5n%k?udr|Bab*RZOq=8jE8h{pq-|${N$zXG5HgZk4Q-NY&pw-1wP0Jp)dVkQzub>+ zbnHNG*YvX?=0VMQUZ^D$YRNfo9X-B!jWWN9YsS*xP}pWQXfLRdkHS_=62S^-!73td z^FgwuXR=eCpvln%dkWfTP|V-p^j*H!Dd4nPFw`?z$Fx`l?Q1<5V)O(BZLz0;kIWfR zHLfenw4)Hje3IcK#VMM}mERa+Hq)#H@GVw6uz%D!j+)iHOoLY~Fl%YSkhqL+{_jYW zZap2EbxQ>EVm--)dPZU&eaDMa=`;pvL~v|x$^ zN-fxSS1NN66BEc~MCk5VTu}RpG$>Ort$%NsZ?#}`AVPdri&6W)cj}$nl*Bb%3w9Mr zsW@m?EM1PKoQvUW4`J^_aJW}J{Co$lRpIZ{oM+&Q)(4=_oWs{{YF`unq|m#0@nSAfRSAw16wnQ>=9=4X_m8VJS);r?d z@*zs+$zbY(sNJD%Myj3MaQ$|1tvOdWXa2DDHZWRdz(&g7|0|6?*T*dJ!SY{+)}S-2 zk$^Ecz8UkVMaReD=kQzx8paNg_2<-RRs4M(l^d$Sg;`Iv(O4{l!cM{Hns&*Lv(2PB zY5stA#a(me38Rs#uh4ICTbL7=_FB*`3DyGnzj5OGX!_Req|oNL4X6X;nZGmH30xS7 zcdVty^@Hef%FWLE2~dHrv53Cr2(*yiZD@bi0)qTvL=^J%kt~A~5jQFA7|K5Ch#;?` zk#9lkni<8wI2&o^uj6UEPq4GSMjnWY$Do^#*mdd2Z+YljL_X7G&ewqR)X+~CNVm0P zPpbA}g&-dq&j_ds&WE8T%85ePKAGx)IZ1m<6BsKEin@#MQpvq(TthxqGvhMy)j&Sf zi|zdkiVwLsiUPYRwY=sZ>H+zD{%^d8+zp4Hrh~2K(3&Y_Om=f+(6MmR=U{)#`0mv1 zuRvifCCe=q3xZ5DQE0gzHyi701x4T*1hNxR{P?XV-P~YO>Y3lo+&W6sO(^Gtex*bY zbGlORZ)}EYKi`hXe+|J(9qUiUHilE&S)a|6poVKGw00jwP=$N6pq}a4`u3=~Q+w)I zpy>_p=>^-U)%i#|xw5;NNPB8Ijxl35Sc^O{i<*B>uDFMP5W$4P2hI)-t(J~kx0}qk zQp-DL%`pqQ*>h+WWg2o=)WTrvJD5ufU+V*33!S=}QoK}PEtfG7g4KdxU8}6735VUa z#ipG=TyAc=bT!@lq`+FIl8hax0gu?WiW>D%;8Yyn!ueag>N7Z%4&2;F(ggHT6uiLDVvUy-OT<9@Om%3YzxA9(&FF+G40@Yy{`| z)~u%yy}r<@99_-$I!g8-Lh~^N>-@A?G~(7HL4J;Nh9EBu?Sp+|!T0rabJZ8BIBl$1 zRjVx%GwtBcs%5y+O{Gp9!==ad#S0bq3S+X?65P`^nJ<<779_~);C@_N7`NjSXnTUu z@0Y76m)0uW;|V^-edOf`!9BRCCI63Bn{A+wpT&A3q(g8dQ`T!0&@#t7i= zNPC52(hk$KrN35?Z;3{m=AtnXHXy=I&hUPMMmOh>pAwSo3Bb8p&dpqtCN^1$hJAU#kjIVo;s-FzD$U$sFNo z3Ky?}jI4W_Vfo_tWY9`o&d43pK_O%1gs!_|a=Z5ZXkuRAye8cm7D0{FjiI*((C753 zv1rW$E=jrdq0ek=Hb|8>;4(%VgvM()u?=q!)rC zf8Eob=Kj)Ol-i%c()x!$EelX+S3GjqFk5Q1Eav2D@DM1#5qH5@%DJr~xxUsngLMQ; z-@6GYJUG&Z(&h`HU8yUWA9cb;Ki&}m);GLtMSr(EEwn%B*5D+#l9?isuOBsKMq<9d~MgSncODuN{g-cS^Y{5DhlTq#AV(4#1|2V{B=XR6g?GrjUt zV6ciR#urwA!4?ZJhD|;~@lq);LlyWC?%}LxIU3bILd7Ff2y%EX07iM>orO<@uWzQt zT~+M?++UNPCIH3_*!#`+lT^A_9M_unx6M;psf*B#eE&iwLAZt+6*uIgDpHpBvX|@6F)+na7SxBcpDX`Y5@e3eQB%HaC zj_g<9XS%1!y+X_Qoo{g*4nIjphR1Q$Ty?|Tr-@fb?Z$kMm2B7*O42`>>(noSW*^P@ zbEt*+^Ec9#n?{?Ps3@@;3sg38v(6oJYfPus*I$Y|=)5IR;}W!X{Lg7Lyp^iGjPIA` zeGrVr?QDQUCy$LI>Nq>;>YUOn2YTVw{>h~%PKnGET=1|tN5vJ7EP%HKYu544qn*@Z z{Y&Z*oW>`v7Hk`=aTwn5d2l+{9cLvMCX(`dDW;4T{K2uDp@x5=;?(c`c;Vd6ssD0L zwVLw1j!irU9(Y>~xEkrqG!^zn?PwE8gCiLoE(|IxXx5%c%J(w4kDABeUr$5AaVS#e zPvrX7uc)iZxRK^n9R~kECaBoD&@+TuA5%&#$C*judnsGPVrMrTNna;+{aLD{%h$Qm zJg#dGKxm^EW6dkOijrklj;zO9#&3Y^W!y&nR4XaVc@+j)r$%?oag71;4fR&ixcdr> zUK0Oi812!F@Cd-NJ~UoM*>Wr7yESVeXu=kv(5&qbZYjz*I^Yq=qfF zGXZ3!flsW=F_nBrDlpqqh2T^$aTQwAS#i$jJnruM6L@NJu%9e`TiTz!p&0ZyqjPuu zg|^O$5wj;d2is93AQU5-R44BVPC6af&&pc~24xu2UZ9VN@afgdzqZ}%sf zJT;SRK>Q%{sCK6Kuq9OPD3@pseSGmcdVK!{yxEw2t68EDI(g`gfPoElj9JJV4E8tj ze4j((wkt5(+h20(S=7FTLK}2+IGM1wv<5sZ@Hc)(k>9HW9T?tMkgsAzNEj?b2!e{; zt-0N&j+=_jW3<({4kRLA|xZ+Xjuik=ta%0n+38_=PKq+Sb-;O=sRU5Q8pfW+XyC!cz}` zKiwL@p#8Mmn0IlM0)N_|`M&Nn7YN%7+J`jK7J`MG-cI?vU(k(^2WCU979Mc<8}kq) ze$C!d{^H4GUNM%Ju;W=&?Vq2V4P#8dxo;Tt#OXVHpfYGnZBPLx$Ham*XzD4WonJX? z3SAGA5xe5h?k1P$Q<)RyNiCRoRYlxcx=FiFGa@R0365r=R`#TqQ^A3Y{ z*K*f~@^|nzOB*zCuMK8;4UWeKHNJs%?T-s7=RXf<@5?pjc@4e@gVumrT4vlr(~Cq( zgeCw^Tsc77i4e4s<)`_FM~ko7IaR!dC(tZM=J{EDlXj00p+%tlcO0R1^Io#>9_JTr z&`^ZnH^@CdZU!<-ex#WfSDIlzwgT2g_L52D;j>T|LF*VQzmnF2G+U%FHp=(ZS!4vbvoR5y6UkoL4@ zR3lN#I%Y<4s(>FNvFb*$kSP@uU-Zy6 zI^H~jl5IU{UeL9%C&%ENZx}a^U7#=J5=#ii*B09kku$-g#wII3eidS@wxFK6PEYpp z9siqJMv5MS0@oo}YtlOBcWp6Um-FtI)HEmTJzdSa$K0qbwgRbVI5>YeaXmdf_>z|1 zEn=?Itzav31I7Z!;&_eeN81ykwLf*Q=QBLv0}5TcP5N2p)@b$?2D0U3gXUE+27_wN zL~*yE=_-0sNOj-gd-C&2uoeu~;_g^Ujqa+9%*jwiXahvRZgy9DPP1=;5+);8lXrq* z6D-!JKxgVWIzTwz!iExWX$*^Ta};0?6+?n2IrC|?EQd+9G7d+zyVWSEpOcC|eQsj3 zFL-aDq8ER(D)szCEfZ#P)iBSQuXU$r28-Y*0<}CIwv*ar{YvSsk2M$THew2p3!X(O zhf|j=JET)o5cqJ_gUHFbaMY<>hT3Ic7CnG@a?{`7?B#DY+Uw`r?r2c~cZime1&?-z z`|(dZH|Zu;AX2<7=uLS74OguJw+%y$!r>Qajbhiv?@5i4#6sh zPNANkFVdaqbIfgQZ^?>+cP~)Xk61z9|8&Q&Czs1+|31CyHkcqke7N;V&t7Fx1izKB2o*a0i6HyRk?B*2V>Np_6ZiiPj*lxI;Za zK7lpQ7PzZc%W6P`Kb^3P=3Q&f)8QWqV-;f*#@8GRiRL_@!5>ol#L=v`;+ht(p&5>i zsgk+wR;eK4vAkY_kU`MrWKj52uNnDY8yDT)QFrkl=PEznBG*;I?xyX{^J@Xdd+0{n zyV}WT&hW@6PYp&i@sy|G80~V=z(q-2brTpq0Y$vO=X zZ|RC?5*1!8-;Q?oRJ~jbTg0HkqBIbmCTXipvJ^G5;hQ$e!42!o-!y9n0Pcf;e9>ni z4c+&E%sExf{yGGo!BH~sJ&qcg%G1G@b0q|QpbcDk!Sr49tCIawfu1~F65S*+qyzzn zKWF4lf0Y-o9fHSU(Csj&Hc6p(&z!M!2=W{fFJ?kF`~GxM`_QDW3AdNKS9*AL+TSP% z{<1DuP7kx(piAjmnoHRv(v=kcq}VfdB%QgShKg(_WfC{IZ<$eaDa|Qit#bUI#)6vm zg##bWO4=RjGFOfe@Ynz7XQCgOT)hI@n4`2iqyrT(!1lBgX>ovBvp~+2AJfAz(&FRD zP7~?hEed>E8?-;vQVpFK-NgD#pW)nz-fi@2`-@uC=)FnxDi(5&pxw7L3>iWzZiR_p zM>O&$nA=Mavw3>UU&0qxZ39z30t4DxGW)GIc|BigZ5uc*h}tX61$3|f1L|_Pq#3Ba zB^l6628w)j8ql0ebE1388}8j3z2R$(Qn;w~%^2PyoS%R@{C3LQcWoEtExlOFvVtw( z@|e2NoVRP&z}ZXF=3O@dvgBfg{8O4*oXku)P8~4)&_%@6f?0D|@D_S>i7GbzL}3F; znuB!h;IAy03xW-)QiJ@vE6&MF>T+%P+IgrYb*lW7B}0tycRsENH#dw&J+t}_tf00- z@V*|!c?||w)zQ<`oMRHp9=5bVMT;3Q^d#2w-aE}t3ZY?S0a;#&@;ADz*-n{%e?cY7 zCHMKJEr!bKYIY)x>&=BCk~Gt5&Na|5PL*#;3-2wD9-)8QoNrs{=bL(j(m5vFMCS+> zt26G=oHvbJ=nRM-g;k>I1@1|ZxFsbhmRIbZMAN>?0Am7*^L_;>!Nid0w6T0<^hEK; z5FIM$Yx3u98!F(#m@!M6h4AU?muh(GbPK zMg^(lpR=M1CYIxk9F{SdTcXi9R7W%un^2T`5om6JeVy*Nr8H%hN?PD6b}nQkGHw7r)`tZ`1&$UDJF`+>Dn-P=)Ewt~@-kA#!11%Fp!u_KKr zAjo;O(j$O{0gW81O#T|Vo3;O3WpaZ(SDE^W7c9&_# z1?|=d&Q2&oPt~9;_m#zpu_eBOHg|9h$1dQ|w96;$>nyC5+KmBLMZ{RA1r@2>+3eJG zyT7?Xvz8NW^K``6tMk37%I>v7`xeuV7hUd@b<0xLu4-JuQwjcGKzjf)VPy7n2eby` zHSHi6>n!-wtwHtW)y#F8c00&4gj0kSF})#e=1mP)v$)N)6M(j)9_cBB6!xVq!&O7Y zF6RFUw6DR}cpr*eZqS~pX&*rBI^Z&z_R<-p%rH%xs~JWbP7#i~Zz|I2;O;{E64Op- z%PkE2vFQ)$Q$VekEMjp#f_73=#AYtrnMP(F;q0QjHSaL>Hx6=#`d6d=HF6QUR2g_x zQ98i`Aa`Qa?lCZcE)PBV_oadm&$Ge-! zT8!COe4S=2luztM@d+$T8{vV|5z=qA7+kZN~9q=MT-!?+L=BsJLa6N z1v`t_P0aimyOwq(THcp#CvR?^&||@3fXstk8WtN(!Ov7f#Z8TBs4_Cs)l5cDkf>n97z*9 z0ke{|5h}Ww`zvCx$yL-qb1O4pjAqVx$HHvNU3I_IUd#KLq?x-1t?^cF%Q`k^4&7d< zz;$@f!T#fn<0kR|uQdA`z4l)AjTkn{c83?}?@fZe`LAKLOFV~K_E5FKDs*9_g~H}T zbq!9J$@Z(|#gEm0kwC)@VoT$JUa0zR?m68{6(Mal!N%{PjoZ)&(1X;xK z4ndT;P&XQwWB`3Db;A6@&IZ!5baEzP#9b6N3_ZtQ1R%=_XDT9-f#9p)#Da>e$nZtECm>)K1(b~jscZE=UXAX^H> zsJKB?wVqmRy~VyJ?jU<;Welu2zq;_W`Bi6!xX|5RR=hQ(%vKuT>LUe|9B1|c!?;qw zNjsxRpM4>vK6{0J`F_FtU8^MrQxWAGzsx*H>%V@WavMXj1QHq-mj+X@FELiQ1o8-NXsM0CG~kBXgzkqT`LH`%jeUi0sT z(WU`ks9Uz9W(N&Ug$Q^I&F=1Wj(RUk8js?6oX41~YmcYVrr%%M5h~c( z&)G@&qhss7X_P0i0z+#8Zj9{kn7>(NQctH%r4)D=HzA_6Ws^H`R8uRu}OjBYj?;9D*53PmtLl% zr{C3J?{b1DdtA|C*PNAf_&2k|fAX8YwDU_z+PCk4nL=A^ z2)nrT1es~z$m?{e$*(To=W}F1M0B1L$(ZM?{Eg8m7t#5mYKq$(UqTnf$Pb~^Hn#$6 zxon_e)cU%Kk{(v!Z~K}XzWzoi9n7q1x8S*Z$qsJtU-xfPg%e3!!>5jt9Z8Z?M}TQw zgbFYsw(~-3CbdyZr*nfJ0pTGN+@VyJnG_LMf$h0mo&onaCczzUJ()?Bb185KosrYR z9g@HuG;J&+ebv|#0C_ytp=15Fne=SWZEF3Ouj$lEy@M#>(Fbo`%Gj9(=TrvGp_3** z-Nn<6P(#TN7kocgR^6IXJOw5OeE?5oyYQTAl*O_nnQo@j$lYq$oni)!-+hUm#vfuX z)i!B^y!H)wt#r3>v|zOg;9}ZS=c9Arg{*k>Ry=wWsP?|sWVvHxCXIaKr=8|QuPCB- z;iT-h0glTrc?LZGXUh6_6Vv4QmW1sMCw&2b+~yoXW4u)(sW~%VLGFK1_{SPS{gNy2 zHU2@GcWyp;H#0Q*COnKPKl?<1g@&5`+L5cmVmu}5Z{&JAkUDMOMh7eZWghuiErm0T zqep>HBue6GxjVs@P84;U-S+L*a+!d&J&impphH$%J;DEIwfu#KYB8Kin`CJ4Dbt<; zYtCNixQIx4k#`5({P>!X_IB!w+!Jjm?-DT_Gv4>3n}4g$;6cs~l2h|SYdt`rbEzGa zIrbac)TWY|RwqsFCPuZPvnmGA%S~#+G&AoZliI0(oLGkrUHjYn4d!>PbeYe%4A%ah zxRp+y{XvPwHZ?8H8qcM0V}_ekejG)|Z#@*X@IFn2d^#W@kXug_^LL{J^C}^jgnii9 z3$uA%TiHp3HSx&>Oxn`@wd=|KoqIKFkKl^@lm_o=h+m!()z3}|_iiw2YJ0m;Ha)Y7 zw(WdPcN*Ff!Q@Mb;s zKRkM!nO^(DC}d>rwDD6eJr+{3T@S>de%d5FC&WVs{_iOJ`7f&1L&f(%W=7;r3z>$3 z0o?(wa4S%j6{IT(wCbwSaUzZ0sAlJ+*~ZTsYm=z)N;Q^2X`BU*Upsa$13L+E!ZJ=0&h?VFd|pApm5$QefCL^`9<4%F6+m^ z*^8g<=`xv4r@c(2x6d&D(ay9Oc=?$P-m$QCHl-e*8Y(ZoT@VoP#zHamz;Zf$-n|Yi zW8lktpCbpP-+5#MqIHjB0wIa)Ubu*bwvI_?50 z%PD}K4%%VX(&-{M8Y({&1V$^VTGBd1W8BnmuN?+NDZ--a;+cWch$J{xX&Y0h6lpQR8B%XUtu zj9K>5ySIhR(VDe(uvkV|tk0vml>UY4VPktoGyZQB;s4fHe2RIAf3!w?H%8%k;o(K` zmhY@xqXPSmy`kZs-Th5An3`CKjriHgH(QU5@icYg6j4i2?JaJUI&nSEwaPhL3npNG zm?6O7Rlg0Le^!8uz;H7}$9Ft9N(m_Sdb)KqZpLGpVze?RvD}h8zQC3Xp!nkBN7K|u z)sB7CiHoO`c<%~i)X2Y$8fgBjYb)9agYXtJ>Fx2m!a1Xi-mvj)!87UkQw7G3S;>m& zpzh-6ap^EPlRv<{Rr)b#A4ZRBbK~-~Y)W=|)aI;tTKhv8)UJ%|b#TRIdc5d8jXC3Q zcGqeVyTrxKtzOKeadR)x)q|_eOU${nz5{&bj;V1-;a^=}XrSd8;&*rIi>)`4?7DAtD9 zu95e;n9UivbS==lJK_WRpg!ZN`Fk~6!|O_F9~XBe4eK*vK_|_TIm$@$E}3a0D#k}F z>_6OUj{Y*=yveM&`wl#F$y;ywg;7X_ z*wWP4qZ${>?br&(y_|a`HJGiyUK%$h4%KMbJ6#xcs}xso`tShFu~_U!EyVeb-) z%|Liv(7vN_Ceq%*k0V%)gZNns)~EFbCr`7eYY*GoiVIK8Go>9hj_XIrYu&U-euc$O z!`>xJO`t8cFVo~*Tg>y?B+pTC8aD}!{>L{`f%s`e?nq{ajlsi9$i0Z~vGyd`PN$lA z3s_6oR5IBw|=yRirsrh+152O?Pt&B9&a#Ec=JHI zQ6-!zdxx0Aw7qXZBeKvtaP~avT1a&*bQ@{>4quBvYjCO0DmwL6bxgQ(pdL1^0M^z3 zh4$1*jeXqB`%bV{7bxm)Xhn{RYSS+?Azcezo#1U=%$o4%S%|W_qxNgi_PnyOBHUez zm;BB4^O4z4I>&2!OP!SPiE_Vor+vTlqkPronf0|~wZU{}&^|nSF+Kfok!G}OAe`3MABA4o=4R!o1-D~6Q+OPE@dEB}21N>U6lJWq zoste*Xv#C4sQ&>9n~TDC_X>Ix{{{tZIObkmO9X|rLs7QqaVj}5q3e9A5#|{V1IejT zEbyPs{g%7~h-4OlYR3x~-0*&prIwWPNeJ6Te7C4dk6g0(8n7PNdq ze!B2-g!#8t>L>W{1+cd3{b*XUIGj!v=w-&%wRtf*z)=Vcl$kVz*38>ZsXA6RM{2b! zfUlhd19=+^r0EA$uje6iF78kawRwFrAc`0FA8~*qsNEN}JuhrgatE+LbUq(af2?zq z?dW!;>t;=w5^BwcDrm1|*k&a%v% z{pi{i^?b=GrY7!i1zdfAbpPFk!V|ur@VqMW4^mrNS2+qw>l~efZMt(X2adwWQ286W zbFiswpu02Z2Zd#V@YHw=eHr*nIz@N@N|0YhA2})LYUIW9k8yXvH$$+F5U+9m>QsKb zN%86|GS&Aq0;S}TS(Dhjy%uqkx7O{mo7 zA5{A-kexuG!~g1yekSjzQMA#{V+qT$zWg?hMophBwQI4~r1g)7q$JY^x_3YQL^kIZ zy;Lj6D}#Z235a4HcgT3msnsH2DViVYMhTXz6y!a5Z>+mD5U{7W=om6sVFAWbE}~7p z*>VTL&5A;^p0%^+r{G4q&b z;DXLA6rRYHdoh^TsQA$mFyINcbv}kaIW~b&Pq1y!)_`;X8Bee+cLEq|E!g)2+n$Pg z#@d*2+kP(KI*3ru+BWBP!SuP9wLfa5t^wJ+F>Bkje?#qTF~i`JcBUt&y$V+Kq}@?F zQ&mKtOb>d3ZPw&CjoUHhww2nzPJ5oUZPrBYh?s)C)q)8)aZJH%+JjLs^Zzuc6Mi!Y z2K1zD2e6>|9V9%#HgeqOI0jDs6O8-R2`v8!#*?59uKYi0r$Ft5Tto{4o3(Td!SPMe zS+mo59dvLr#f%bLYoA~Z7Z=f-$@WyBRo8!VK9rSe^o*%g-4`^PpejFo7M-=N+L`u) zHGWPqy4?QSnL2_s4A!G5)s8X67D`XnY(M9j!2sg{GN&il_BA$^zmW=x_XKkr>XG&m z#B3~VSG)md5(YeL+sF?>-8*BdWrmiUXM*{l60RPN_E{~r;N?e(qnpIGw}5}5?XQt@ zPdvbQ1mQJn3mdL?hUiBja= zr*WYrO?%LaO(v$T+!xP*>3l<-~#%EMUmm3^G zSb2)kC=Ee8YrogXQ-XF6jC^zu;R(+h`Uy{NETU-ZCp-%mTJLt`*LHT8l4lK7TXUU? zoZ>?)wIe6Do4K1yzS5RWIbF@I@#ZY8^q1g#H8}s6U?R<{v0H}7@p(;BW)7G&encA% zm;FK;O7;D?bij%C_565ezm|| zhEtVregY!bQ)?g(Bt5VahDJEVY$ zb!`lh7jf#5v41JJ!y8bzz)yI7%c_RRctlZ#$ecU^jIxm|ogO0NT*(=lwf3SsM()rj zLvFk<%gm^^1#tG{DKJ*N)v?<$ntLvm%tG7o%})+RDYZ`1rPQUF0(ZUno;N-&99?>|Z14vmq=Zlo>Yz0mx03TSV$cB^#{|z?mMR*w}m#RZgV9gITH463s;Q2M}J7)#?N`+ zXM5-{2DEXrb*0B-DotwMp_q2$^SF5`3hgaHm)AOHYHwMI5G;%0+WWS2@J&IgGOn>1 zm%Sy%?pB{8{V8<95uvRs8-*Y;a2x(r#!z@31CKYDhidxeV+k%_8( zFaB~0JAIM93>j}O(P3~1GVvLVyrCgvDV21oIc(b`Lbnu?b`0RP_g8ZixFKgUnG)fr z0sM^;U`-=`nvcvW+TeHKM=uzMRrNw$dOUO{h1Z*Ge$d_$iuSQ}Bo_s%%%;& z!vh?-A^f`x^QcWy1-6|D_o*YRuT`HAws#u_8*M>5e#d!q)v3U18K-2X!2tJy zQgsuH9||xtlGpfDD}UoOT42ws0yhs*`w$d10>W#-($?-FbDAR9IF{LX3I?-G97{(Z z&Je-up2ub&pMhq0M$JGfxl4hYau`T<=nmw?A-4_#@BG2egIcmpj9hK4%kjPrJ#prd z+1MT074N{2rFVbqYA;$kF-&N;*IBVJItSTskFH&QZa4F_?i^rZ0UH|lx!Bwz=`f!} z8q{~RIZ7*`3^+f-f;jFZ@u!v*&&euNd_AZ69>`ar1)j5ZA!RFfQ=aIp%B;zLCVd+p z51F_RUD>ftSc}JU%YLSKcE?1|4DRbY&NuhV+`G#tHAGhg-b%v#%&|_jXY&aOu9k%)V@-QaSK5!7PSC0g@mMe_^OmaKRP ztUU&6*2Vs`poe;%C<|Ly5kuR*9B91JzmqMxKQ2Vw26f*8C5~V_HIJeOh zN=2YK^7fxhmAI+HuLZc0^c_M$yDy7rxBe4qTymz<2AvNDJOb_JE&5Q=QUl0)>S%MT z*4iPk27HE}_Z*4{R~AF-uhlL<-Z(gP4$b{t^*rpE!6+F2iEzxJ4Kq~j2)4Jd)(|ow z;vAZG$;STfbRPz;ma=qK>T}a+ZOQHQSFv$ss8%X(k_|9!BXb^0GL`y2Q8^W9P{{I>!cGMngXHJ?og}b zK=%T-8~pMQZbJjq)V^@!2I14{0b?j6RDo?j-;JKT+!wxR4Bg$Pz<9t>)KVUK<1BQe z)85ywC7cIz+LMa;;1j)b7o{oN(^1-*6D7vPQ|rPcP83@&jHkEv{xCT5S>9QfRe2C^Lf>*M2%_=t+ke^u34* z0~3%I}^E88dBWBZFW1Z|IF|TEZs?fS@IcdPF)8=sv zYz5?T7?&~W;x6i&?E~$!u@vQHLpb5^5dha=Dkw~6jG zXe=8Zisp*KfL=E2b)==ZqHtasrWWw|<3lN3voq0sEwg}7WE(P({^_QkHiWN9*8(TP zTRFkL77S;ouol%gbRSr|hT>E8wp4mVLqU#n8-(+xFbSV4=F^VG# z*c)2YoF6Z;!sKkPPJa=w6qn~Lr{GWfq_#ARZV)~d;T;3_6DTk|S2EjZ2v#40rO!E+ z+V)al1c|765IA22wG@24oYv%4L*%sods^aX+B5E1Nl;bS?_0g;z!bw(V}EM1Bw`2G z1Qx+D6)~2&Y7xrsKaGMK9y5Q{-I+dY8>_Mxb27a9Rw}c~%Z06!g>)Ef1n2U^K*5bc z)bYNWl{~{wr-;^Sg7ZI7Xxd@NKbgGWP0?0tp*%Y7Bl#6g@9H&vwfO~^Ecz8(e;swn zbdSnb-D%d<_IBS=Bg;yvp8B=S?Zy5X+@x1AnsHY>31!dixodlZao%c-%M-j;Pl1&~ zV#vi>b9jPbP`Lt8)?fo#o?tk?faRc2KA*`lJi&J7e-^st)!6jWklS)q1#Rw#TK|n) zEXS{QGNK{3vje()jzuwP^LNEsFEmsW;A@_&+4*`VJE;nPPjFd1x7P^)A|iT%=V+}> zhKu(B`<`ICYayo`T|jkDu-)t7X9+B$J3T_yx4w+1?wfSgpM74<8v zjyd^@Ig&>5S5!>Ng}n=`d3aOj9o-4O%&b|NnSkLPQi2M;%}A#UOfsM91DJL*#3A0a zKGk9h`c=(w;Rg|}FD%vyT1)3xOy?6T@Jv>!v?c5pd_p)1J;-gI_JETQx>XK19(ee; zA;Z!1erC#Jc)J$Adtu5dBm<0YFz5wP$X4hTT^gFvRln9T^ND`mAGfIM<^6;7eTmxT z2~QRD{bA|}aO7hJ{-Gm3e5D&}nlX3)=+1!yL9{P29uv3 zQ`dO>$%TBrAY>hNkAFv|TZggMWDHyhg2|m#76j6v0%~8+e(n^-c&2Ad$%L-9vwd8< zYmJb1h)CCh=eh$7KEjQI=*mnwV@L=-;>jhK|0~+C3Dn1qW?sy6bIz}mm z&o+bY)Od$Dv@lY8KDKUp~lu-lc{2$8tn0+xe{y~G;)=TXsfAwT$d88 zAA%?s7g4MzZ0N7WFo_=Y%sX?^Is50H=DG9T>BED*vKuNPcgUodyADkayJCRxBS9a^ zbwfRTnuYybI)k-YWUB!f(6vV%8{nR`*pJ!|VbwIRXHc7#JLFNuA1t?+L>8knz@uUv zA5PmJsr3@>uc>}&1t7l+6uP&E(^pyL9(~0-u&T3GM{3u<4{328R*&7JV!Q@#Ti_M0&Yh{*0d;U>Eaph^x!WBy=M|?M zcOKTPxxq*GbffK~O47d_FPdd+PqpE9(uC87B=KE%lU5z(ToTtjSR)-+%e=EEZva&~ z=M(c3?XjpW8|4So*iPobcrMHYW3ETs;RcwliQ@S3FslDTZEi>2im@AB^Qy9J3|Bz)kti>wV!^ijMx04LoPpH@en(YX_k0YA7E5H!i|fxV=-`R7u#t?|)-!W2DUDe9~%ciYky=XCH zO!wO_#Vk?h0}sPW;`_vnCMyq{fyfpXb6(rvqk-8^Fg6O-TVZO(ihgfq7oKo>pPI7Q*l7z4CoE3uBWhmbo30K?bbe8ukkwnF=}^0x%Vf05!V1p zgxl`tT- z)uPrnM{Vr34YW(`Vx~s`a&DN&zD%~_I^T~9bDi+77!<31t`Gra^Ivoy%t~AOO|y_Prz9>DbIDcxZfLey8=$Mipa4 zn&x>2iepr|Ru>uRM-(9%_Gc6!~nYXi6xt~3) zWbv9n*r)yZ>q6&5#J#x?pc4IV^HaE-5SZTb!S9@l+g+@a?9kQ$lFZWG9i*dlk1YSC zTHI?Uwlpc<^F6h(5*FD*$Xhnfi7i7`)gFHa&y{6gktmJ+$T4i2DASA2io)Z#q*cQ1 zx|`IqO*5f+f~qgW2*>FVmDKqxfahFO?BqkOfki>qG$W~nO*YynezE@w{@4T@!HRH5 z#^n}6mRw4oM4979eOWk{Ww>GAIaA){8uLmB6;Y-*WhaE0Q-JEaH%jM57hEvkz&A1Q z$gLN|YEuysG5P}l${T-u^DM+korkD(3@hbOsqshqK?SLV3sS)v;$#-bS3fWRI(qS8 z)iN-IK^;nQ1=d^R=WfYziV|~bFyN2RErG=^N8m`ekHFsr7zf_ONm5Mo7~lT(So&zl zSm@HKm_LshGPvEynE1?zJ4kdR!v8{2P|Vi>*c&S*O)C97 z$z_@)Sx=IS_9qC{Dc)t;I19~L9628}9enRJ&Mv$eH34W-**-k!QzX8V6S%nZDLn?} zcxWr#U!V%#lNKI0qSD@dvncu(;$&R3Sf{XMnXcdOo&xG8WmCx4s-KZ5lRx+$U|8<< zaoHom-%vJE0~(h8{~{HmP6vJ0A5Ie5)2_V7b}aM9o#C>>;HM?$bH&H1l?_L>MNMRC zjh@ox`0!(?sw)mkiCHL4Jsk1V=8`08CEHu>f5C4WaC-;Gh~b8TnZ<(TkusZBl(1Lh z_EHpO^K@5%`esOsIMmVh=533@#MQ3^QY0vch-=O4f&USjBy)Z6KfnJb3$|cMJ(F|v ztcO4`Tw(2$li1ApT#BI&cl0G?X>e9jQw7z9@sw?PgVIIe5HupO8EY;? zMo6`WiSav~S)9AQZ<)%BS~(G4={^**Ti4V$e5SVGKzA-fk<{#Iv zH}q7=hl`gGK-=WI<<#>Q%OVm%bY`)PGjHNFm8R%4uCNP^>d6H8(yn9_j`UiWZFLDS z?eCJCki*;ndyfQcSn%dZg2`y5;CYmOdyycIkZLsYWBo|-4=%PN*1*zvJKG?PO9H(Y zDJ+_s6DOmr4{{|DV2ZJNaVXyu_Obbma|`W^B2!Ic>NtJBnP#_Uv85SUEAI?|v5^Hn zbPf~@^fd~q#61)BxL~_+QxoQqUXS*&NoFhs~t+=L+<BZ*Erj7W5x^+D=;Fhl8@}gz%Xek6jWUfFeUoM3#Uw&M_1fHd@en?p_RQWJ#q&MW>J;TN);q*!dW=q*ux$R zcErXvT>=8?Ww{c(m87g*?`c6mQT24#=@AvzdHWt;bvZc`IMwJ5Bq2>3MB_7vJapZT#uVin?f{}Ge+j3st`UQe0}b)77?L6HCqC7u)ESey+REfecTGfmesOPQSD>ZcE=>?z+%;y@V?;yUR`1 zK9v{X*+7wev~&_Y1|>ab$?C}c()`!$akDK~c(H`g^wYL6qZZXna-D`%!{`uQYnT=6 zM5vBiKPg$ug_7M(vb}Sd^uF}z5#h;dU

kC^jpsTz=IdR!XA9uMy9-y1a>onj4 zEej7yaukENdnK-Yn=zAu0$0BV>q0rK)6)oLj#ggItG)gftiNL60z3Kw0(BnO3TQ~1 ztV9v!UX6ZR5pmfx`qoxN-&$Es%T zCtTVmO~s!v08*{B$EAjE+IOmG?xS*A#G`K*2-AnZ3=J*D0E^5pD5JSfHT}g-deqYB zx)caZ?iIy?#|X1-b^E1ESszFX$<8Z zy_Kw(g;iwnMhz0X@9}g&7fP5@zdui-?mZ@mhs(aKhD9zC2=sf7Cx>z|il8PQ2QyRH z3F`xR3~XR_l=(syi>FL{QY@z$K~x94SxaCl>W91ja;1Tw#uP#%&D@!g9X#ui@aQXM z{<)Ft5lI<~KIrtGtLAIdRKCR&vMYm{Br7+hl}JBv?HV2RC&D5!9MCSMqx;W_dA9Mx zIFy9y`!%{G>*ypib#v_Hd61fPVK|Qo%C#UN?-Xm*bf{bSGNJ<2KNvj?_rk8q*aL zawyyJ@Qsh`%VX0U*1}o$t$(0i79<+^gQ;9H`^xwe-VK9zKO;b(3Ms+YOqSB!$3NVHi(+CZ@+4&5=DOU~A6+>PBQT~KVP zSQ^gIM3;L489Pk{?h)#VD|&1~C$DIZifoo-#%y*POAWEUad?=Z@o4#JN!0n4XRiY_ zBQva8m5*{kkVW_62h@OviGYQ|+Dnf08%b!~EXfefu@23oNTLDq8U}RR(3pp$)Du%zB z9ABb*`pxoZpdACjMkfr`_4#FOu)>GTFz0-&T{N}G2q%CzLh>gEytOl4`H7!h+V;K5 z^hjnKHg`1=hGm*d`HP%RK^^^=?;Sef(<~b}BJ`>;r+U7CARFSUt7X;r^_|U{o&t1 zBVcC<&%8)&R}-S9rzAH}zVQ`ZkiP>*=JOpWT7w#XN6z#wbHGeMuUQ$PLvn8gI##g| z>ysbg2~%ePZV`^PoprLhQFn0pbOV>B_Vl-_<8SO0SK7C`1XCJ17|CLpZ2ws1tcsA3 zf2SBh%L7!YN0xOAr7K`9X_rdHq$tJfa-jH5Ni6ad!)N|R%kFWxUtK@d7ywJFvF&UX zu%B8p|A795y|#VY?8(ZwR^$+!8^79ORFVQmu56?!rEJ`ha9JDR6$3BfACBeASEt-6 z^?&rsQE!7)%>qUKgi9@9Ze>thoV=CNL03_Q1z$lSQ@Q#QDLpB6-A|L}WNZhKd^2Ex zc~yA-;_XPNl$6S!A4XwIo`GeKPXZ2RyoAF{rf$KkYJuMu=` zhh`dKO-mW%RU>&%uo6DA*+4Ul)3Z4Vc|A$w#GS4@grZ>)@h;e?oN~-Ek$=bguS5~F zoi~@1K7Eq$qLZ?@7IMtLtGAC2Fm z)rnDth7WIZw)Q%-zAjSxyT7~7ILkq&K`=m*Q9P#I@Hcy|<;EHji->4=|BW6r;Dewh zkKDOZZ_bjQ#CP`t}9Xrfw z3LT%Z^YXzU2PK*}p++FLq0w=kugSbPEy@o)U)itzGZlrK>axxN2iist;`^Q!7=j4% zaMKJe-ov|d+KoB3y+<|xCq`E0rUHDpK%?pUht(m8RJ=p^wvHfUo*MD41>;pN0fPN~*^$PH?=BBB|1Z#$D;W z(V84Zq6*lrmt~^8J5ZuvO1kk|RV=o(CbQd{O9dpH)w8h_gvilAyne2D*42UD?ar9v znR;A7SF`GNPH_Icpl!xKdKw72?i99&ktHNOma5t1Of2~ij#dQkf44EIa-HWuoe5Vb zO^Zoand^UvN1BaAxGTQxS+;c)rn$ze!b?f&uqrSH0n5!8nVrmL|M4}YjGo9>7VoA; z%v@oxe z^VDhfYmXOzuOC<@(+c zQ#yRO^d8}&@)ZHfLAj;hY{_M8QQhY@C#wb({FhrWUmGEG!+GAJknt=owEQXG#ridg zMclF)L9toEAb~^rLnVpapagr)fiE*C7IXhGK3*-?21hX7dl?T6pNvF*OWx)&7av{U zl^QRrOAu!SZc#Lj0Ah9}{vimr7D$jh2n1P= z_`DYqknu=`bdTvwRlj8V)&!0{C|SKgsvvKH|KMti9~A|aPS8A5vNfIo*Beyz#!k-$ zu@Atni_MV(u{!|)q-!7Fy$9@pEr;acU#XW#;?XRz%EpgGAPL-<;ZiE3$zmo_lQabT0UPI@(`?*-;n(niL`vVyUef}Wd3cH+bJ%FW=^o;t@K6FTFPaSET zdNE}gQ;l#3PlC#;?mic!NNZ4crB2XYFr13qu&|rij^-LhnOgR}1N(T}{i;xmpl3(a zC!P6~4kbm$&}ZdZ%<4~mo*?=q@$f#|z4P3#Lhn!a$Ar#JH>2_^c^$Ls!)T5k9Sq?* z4_n4X3qX}6<8pq%t@XT6iPPxT3L6uTygjqh@1j+z56)n(3zf}R^m>@e)Vrig?Z6^D zepITlaZitNme6`im2?LR-|l0Rim;7daUX`0*p%g0MnfucUqGgUwkcyjL4tZ~&G>4*ZQ|qLv&@9kL5G(EA{xVlghrwH?@=z+pQuywGxtDWy8Jkac#< z%WbII+nr1Tz6IS^d~`V^f12(%%em+|HWR`qqOM zPvGm^WV{`fFa3&X`QGe^pR1RPMEIZl*1sDgV#dRLQC6qpRsy{c6I;#uIQ=AskqMO`Mi4Z5x z$n-n)Yr>7aY%T+ zuy7nUvv7=6fH+s~I`!yZpx{GTNol!%G7n&KJ5wybAOHBA_o)`-Ud6Q*5 zE;GT)0{N~vx;DhoI#syMgAFT< zh~yL7y?auL$Rqh77Z_Uth&=|86Z}UDM<>NZDn8gDcqNGJP!Mj*V5l>&^APryE{^ZH zTMAHVv`=WIhR%-UIcbH57^9y0)Ldl!c()sDqSo_gm*cFL^48iqdHTeM5PT`>o%il6 zo{Z?3Q^Zvm zu$Jk>)3RRWVu|ls9XN&%ZnM($!!~w2n7J?WQ3Tn}*2GU0jp89Ma7b1W3nuTF=J}OS zP06?)mO2k=&&6vM;b-|d-p?<`Rn)E9RaCm?kt^4ob7A(v%@z7Qld%1bz~4$YJN8PE z(4j5(C@7pzf{pLT`h$g43cTO>-x|-=803Xjl77WnJ$XSAxUc+Ma{A1n-_V}SF}w%8 z^_23)X3xq_(|NTPS56^uYcm?0_g(=gLXYt%E~JLc_>+^IQ0Z#@>_^QLv|UUfbdGT`C9gcXu&(X%C*MiMxL15MzjF2(~aA{uh)5A@_?4gX{Obm`+wS z;jlZk7Q1YOl_t#_dfJah*c(?5*aTyeAE>$l+>C~LS28=;{7bGs7Rwv2^+WQna(dPY zBlIWz1pLx=PEJEE5EMU^+3g7aCN(t{)g?OUzekkOz|7j{(j_l(m+8H5*QPk-?YS_Y znHlZm=&nE(h30cFHjxT2aA>{9Q$Yj1`*4%@zpUu67cFE)Nqf6*@aQ%wR{{7>xN@PP za7`)Hc0g_UR&qzqNWLP{?ocx$KSVHCKx!ZU?Oa%qy;AYf&`|2hUwVq7mkJ`Qnr2AL z+!o8(Mwx{8niVTXD%2xcoon`bJ780%Le7l}%(ydkeJ3V$PRQV)3jJhz;azY1w(t)# z^&zf4t7Z-B+FG*g=Eb^drq zg5wJ)aLDC*7%3@bSx0!)as_qCo(G|*3bG^&QS_rs%YFVrjvtvkDr)qs&sn5{ZLeSr zCJc3PA^UQ8-Y%om0DJ4WAI#(HBNcX&+EW1Xjvw@AmHhNrP$)Hoq(f)sJYVy^%Sj>3 z#IAH21#uzzO|C*GsIek3FB3$6G2As_rMfOwnn%=`Ev`=?!$vo>YMQsJKb3Pa)SU-v2(~s(k%ehx0 zWq2S%zN;d>jM(1yNQc)d0#}ddwgVygVJ0yBvX)gTUv7C<@rtzNQJ7wURHqbxccZV( z&s{ho|5#=?k$h+p%;!zSKn|`MIYdS6${=@Fh14^9T)+HSe!w#HgO4(GER`#+v?$G1 zi)vR2y(F#oC#L-z>Z`PV-lUAMTOfiu($t_4`Sni2R&dY08?et?ZZ%?0^&Bak$tmjovGDYXz>V)VtkES+pcxR1oXc!n8 z1qBUzn!mkQuW%pYf*~Y;!4Y>~G_QxK9fVo3HjE>oKQg9QW$AQR<_u-^?BOVD=WIM^ zi|v%FeC@R3R~an0*(wpM2^0to0P?DCXNlr}cL}9e#Iujv+?<@`Q?KTmiTL_bZ}PjA zo;E!_s@x4^kQqgGKkkZhpI|7u)cHuB*-=2CJt8BrD;)02$EHZnENA>_IHt<(>+VzH>ipZ1fOT zW4mtwq-Ox-4mah|f7MqoFKA$W`_`S?*0ky00ctGwsmTv4uo`N+7|lrC=Pi4%J!I;3 zXeMuT?CYk6u|acz6zj9!CP%%opMZBNog1jc51&^Fqh*NvATBCWuKvtKR9%~pxao!U zI<@vRp3FFa87W;t6&RW!ma_}QA+~IW;)+J<*G`jfRR%trYOw-4CY)dgplVc+L6)ReHWft^z8 z&*Xa5w(TUq1wCCO-)?QT?`G9m8nL!N`cx%`!)?7sm;?|DEvuw>xWt7_wi4d6a;s51M~PM2*Bq2m?pv~2fz zY!C!@JeQ))cs~BFhrbBo4AJ~7_~kIH`mM$^aU?XXATf1Jq>YKZBF-LP{8Bo%U%5Zy zUG@$q*tpEQ?VJ6^NzO21aK;4u$wu}hDY00Xr3aItQqB~=#h8Wvae$3t?0+{3Y7u-R z<$HsZW|-knUx4DbzaKXcS?xyP&4Hp2u(q8oaTh*|~An*^|qN>Unn??CLP zcb^LMuOc0pMy`ArwABD|VQa3m*|B+q#KXDD7xHUF6g!*uQ~KShzW7OV`tqa9;0txp z3(#d0GwwYAJTGAA&w=Han)umEFd?iGCyl6@?aIc~P}tmlUpv*+p%vm$?lPN*QTpg& z{f(pQE57hE+Im7SLyhdnNAh{A3RmlPsPsYOJ69K{=CAd7tK2aY^5(la zHwdzMR8v&LciYMMwwM=t-1|&1Sfj9@uxcuqq|V}2ebW-1ExmOQ_mxtIo}ck+8QI`h zvKhm3;xWKnjMk0PMU`>}CAFP{dVl?T7WSeqLG`+Kupwv_t0?52fwKxrfi>XgJLR?m z>d;~(N?pylL=y`Ta zgmUY-nFx&U^07F7#YrPHmH0$Y*4}HFzJr+XFJ!EULs$ZPV5i3FZK}~pXt=up-RnQl z5|oDJg|z9(BgE3E-i>yId&&YrlRuWnbYp~i>|;^u4K6#pxb6pd_X^L~dIsAe*CdZb z15yuV=lE`}21aR&!FuF4TIn21%dN)N>y7^Vp(mBCF^bQmY0qwl#$dsA|D1l_AYZ6I zEYP<2M*&}FpbOYFYzQn64k!n-6Z#oO6ECEb%98s0Eu05v^*@BH@7zt^Hh*W}4UseH zc_iR9>;_H~=UIADj`&9*pQ&&*%J)xRDXhoE-s3+x|1&3};F{1w_P^V5#4pl|{!iq^ zzqS7n`Jc1=f1^ZizhgKG?@J|{Xqge~nWaUo((h?D0U}n&e@)8uShKPxD;=;&^}6`u zeKpNDd(xLHBR~S!w5-f+RmW7fD?OkBRLM}Yt@V4WRdy;N!T7b1_klDwkrb34Dhl}S z5Qi(&hp%ftOztWM_~ibPxO`1tV;)Ydsfxdog+@3Oh1y3vF(F^+l5gt|g^ZjTin^b}RVEG&?n;H={p%vhs@mPM57EYkji zS^H`=>l|TriojdY48pXzM^prn9;~ycjg7izoOGGvqV1&NH zHRTkd)fEKWUQK~HvJu6_uX{aTp1)PoltQzwV|k~R*V_)Fk3+_;$t;LR*H@2l{D(C8)~_`5d~}VI5~;YhjU~_z44~=YG%Sh1 zlyO6!`Ea9Gl7aw^jWTTM>Vcy$yH``I^ADNba%ir9IUz<0K84MME&_ykKRowfb5woz z4nCF`|FH5h;_yGf<+mQM-DDnjyKCv!=n?qt>~O6i@FNBNHFI= z7%_tF5Z-&30{>iFBp~da`DQBt8S@vKfkNTgyE%ua9(UrhUT*4ANPVfkYP`V+>jAVp zzBZ;3@U)5En$Pd(%UH7dmF(HMB%bU$-Cj~LR^vANuc zW|q-i6M$RrzRm0Tm&-gThSiaDi3Y^65Af{pv{;7YMp>#c3%F6w zn$^X_+x(F}kH9uTE^!p5B)TX}y*2RR@8$L@>sW?$RBmG?n?Q8;nKyfDY|W(Jsq@I| z5159rUO+5_^;{1H)_EA^VCw5p&SvHVcvbfR}Cr zgqPPR@*&m+Qcvm&=WoR*5{gtf#XL1k+8S-s6XlgSe+A}|a@m6o)=+J<@1w$$x)vs< z@@@PWZqqMj`Y7~<&8bjvCNFTdXwawq7Y+$!>^f)uIn1I$UUQRL8oAjSS?Ko1ZvbH9 z^F(!fGh9yzBS>0>9^mEEvfI6*{i0RT3VJlz_rc+E_p2>p1vhqy9s~4-3r>YV`lpJH z)eFkKEzzP7on_6^xNO$)I;iAx^Mx7G)2JB4ih= z3z7g(d`zZP>IthrbBqKO5KppKP-p?BJ)rWFvT^Dk!}N+c5?b0I?}-XL=^LD2wgT@K}hORi~wwviV>B2%Owu} zoO27eKNiq@y6L}BaiT*t2+=B*7 z^`VCs7|V-FMRi=t%O8PG1EM<>apdmwDA2RJ7COW0A-4mZ(t+amZl_b0yyTGT5r*pU z!_WO8GxOp;MtI*Bti5oBmt&P|^h0J93O7n^i^y!?HS!O&|9a*=r{GxRdod4K&f6jJB_%(S;-x?GhzT+qwf@all_kX8>3oed^9RHYTTZj^)1LSWE{ z?y;6r2pZwt`;3&PvMmVj4RzGncVjOd5`mTt3Kiv~9^DeR3@V&GY$%j)I@ryQFpUg2 zVuhSm%CyF&h9_E&X}oW`7(S7e(N*W2XV3p>Sz(YDcQ9q6#HNmk+{`*eI|RfCT7mM^ z!%vGzUeVG(T4Qcg_;}3kD%n9_Q&{mclk&nEow4zE?z>lx2hMLIxXW`BOUlN0f&yBxUB1nMmMxFszR-*10rVtg1jcQOeqfG#lhl8^ zUAYad?rF-tr@&Q8U06}^6n_aFc8>q{N_;Mac}n!}Tfi@R5*Ie(gRv#&;9<&+SnPwq zQ(1$32#d=yQ}vahTR9KWfCOBfvcYr-`TH(Ec2^;`QtM|jwf zc8jxOd*+$h;zLL6W1~yM-AQ7 z-*xX`8CnvLABSGTjP9@OKKe)q5wmp96Yn>lh+Lv0?yYjR_xq9PszMBmH-TTC)bw94 zy!w>kg)u+&kK$OB(_s3UsOh4b<~Tu6ZZh43&@9`~#&m-o9srjGy3$Z&K@X_coKM|N zX$?!kXsOcDG&fP2EtAOgOa~|1WCBzPnI^t+A=hWLBTb*G4B74(6y^4hZj*LteDGtV z+u3#h0_|AY?Z*f|Y@!cA6ENd#u7QP|=97r%W)ndFqjM+&j3YTIp}(epgh8;L0-`+( zxgG#3M89Yx!XN@=J)@mFm@*_CavI{x8+%!M3F4#jgzuFWHYjlQ7^ulC^hHERu}*M+ z61bfjnmcZ$A>Et*lcrNdQL{TgTUPeLAozkXoDAFXDkihs2pg@W!&P)xrO`v`j z(KW?0p`_|NbU8$gBr_N@voo;bKl?_3bX0Zb zOslTo4gglH{_T+K>EJ$*LxCj-qmO~7b>wtvr}T(05azhIpcqy4IXG7G1Nn(8ukqV6 zMSd9A1{9kF4u~LQAraU6^=62v=91`x!l4YR}u1s^+j8~CKB zZMnoWnvZabkF^O9bnJZ?pDRms`Kgp3^s_>E+Z9fGJhc#ZL26>`E}^>L#rQSBlwGjy&6 zcH1ZEKFjNT_fZ0&54>ro;kF@(dntKxpMfpo6y_y)XbmL8NV4oH6Om1eS@FXr#j$7p ziz+k4ZN)6#?+J0ifxbEBcB1xK?ysBG8iF+^moy-D}|sO#?YjNnP4@HyckQp*-s2q%$SUIgo;MJ7t z)v_!pH_WxJsiZ9Ffh#t@XQ9#q618om4}{#>lfy}JZNQK6D#3^@z zs{)K94_gqn)6Q-ZXHk`a+##W_B1Ix`vnfMM+}k;#+o^}IiD2j$T6GBr>`1r7Ae9YB zHQ6AXgM%;^@q zB4AN(&HLERI|IEeWt+a6OO()&QCG{zjN-K?7JF9b6>nZY0&?O>ZAHPP{Utdk%m+oE2F}MMOwUOgx$}bOjjR z*-`1h6=-fWRNMJ}twMS=UIiVb3T8_l+|~K(es|N``5cvJqPF`mg>8=)u8ks?aG@sF z6=kZ*-3#|*fppE8i20`*6Rq7eDQwjk(=wU82Q<>Q2=xB8OUt#TuyVzX8iKu9P+wa} zIh~AMEd^_6M3Qmn-2)ZxyA=Hvk6sy{>8yV=#|2IRiS$5#$aaFH5WXj_EW8@3G&|4? zQ3rG8l@7&>vF?;b8-ZMl=-KhtD;ffeH(D%Qd&C|T=6(K2psZ`;REr7goxg%mY^pcPdX^ zuxG#BVr9Rs_P8HV1vgkgfW=nDn%){Z$V-t7F3uS>l~Yj2ZlL916>oUO zIjg53i?EGezpl~_5#5_!A}POnO2o7*RU=tv1)mf<*{_AV7S`wb*q^PWOngvBN5jDv z@Xt)6zw{4LBA)Pb=}@EWk1V0x3u88~DGe6$(TV9p8EUNeLbdcL)lHluf^0l5 z!q)}$SDbDEmS9HL{uyeZG^-wAd|u^Rv$yDW^T+NX?tI=L%x$oxk|kQ$C)6`|Ky20V zTzvK=sLJB%)A*LKUvjWTsSA_%Hi6VdOAsFoe>)bG+mBay4i-|o-P14&^hXJ>hF*9q z+q>P=uSCt-pm(}mEl@rerE(tt8EPB90lhQ&f^&H^sW4;J@anFn_~yxY_YN9M4!-sCaLNSM{S)E`IjwR%c^uy$`c za>A^Za;%JN>nx|G>&tgV@-HJ%4gZKr0%miIBv+i})yXh4Bv4S%?3=5WXvTZn9L!Wq z|CJ}^{NdNvmMWdH6yjfw7$ZxLawa4&mlkBx@^S6gH`G?+wF#KuB>}c5Jtf8}-bpqr zYD*wn+n{aaRv*5sceE)e$YF~_7bEgD0mUzY%81JcI1OU&gF+hFZYh)#A{aK|A2P;b zA>2Vi;GeP=0Ln0(u@lJl+EA5L6ykYND3+P=(&AfbCp)w@8whGB|I})*nKn951E2R1 zQj3HRcDHx5jVgFnOwBtd7?}KvIzgyM?_N~x&bn!bV$B1LZPc7l&gwP+2LiC_`?^gc z-#P73|Cd8h#TVXgxrf&xq7dO&7~T6}ZVf^K8|;!}uM1s388_yb??bw_zTopFz6!MQ zKEie~7Pa4g(A_ZAc>YIq4m?k+b@iGua(=UFO;e8vT-fN!ejo~)*g_$>RD`szx8rQo z<=t7_9f1c@;uf%$zOLxBzMTcfwUOPF55#GXB$m)98R`!=E;yh}7JUV%zfxY9{4)$f zx*DOpGGzG9p8)A_er80>2R2F(6u1q9LX)V9l_Ed!Pig?;RSobF;p=0z+J0pPa@&LA zMlPR_!n7br%tnwXa6G(n4atzN2}~kK^<{Mh>fkhxFbQ=OlFBnWxjr-h{@SVo9bJ{q zUSnm|cQz=uV^PJ4ifV=E@v~)$y~L`6VIR2%U{9iLGMMEi0;ns7{Kz7OYA;Oh5pZ6) z)NsyGN20Qif99W!doVBHCG>Gz+4|fZ^nS1-H||$Rje7u0W0{g`m(_mWjr4Qo8_N40 z&txyQ>7S+zv(Nm>j(>e8P>3?Esx3Ivl3V!Q?#omVS3M8|)@=+);6d|zdFi_O^N_7!h?WOD zh-wuE>bd?N#A1}hWYlLvIEwWK_WW~y?%-_hG^lp(lgg8P?>I+tXelCQC?z8jZbS#u z{9n?7308;HUYd;Mxnc||YW=Jn?K0ZhOvYD{H)kO{ad4YQ<81*|#O3Z55LxylyxHebxzhIvZ4; zietN&PvTkDx%jNSx%rq#DQuFS{cb_xdV7pp-l`s;>%(?TIxYV6xcxyK{j1~E98#|K zp3C2iGu#YE#d*G)TQ^>1C@iPUhcC;)?*Wc^>M?R+=p1IF(u3hvECK-iyNNc*BaHb# z@<4Fc5qV%1^Lel`!wHRCDGvdRB`?&|MS^I}Md|VnU-u0hU_nMChtC6EU5Bt#ps%CC z^Ut~2R0tO8&>q0@-uHeTz#zy%mz4TDcqI7B>HZ>jE+lj={F!Gi&J+M8kBtV( zEQ>_nBx~kUC})v!nB`7B4s`F2?Mf{&Hz_R=KLI$vWcz0!I)@`j?7Bb$%u6pz^bL&* zVkdk>hIizHJa#XUnV_nkjQpqjZvYDubiReNeX3czQRW*vi6DkhE~0W6relr@iaMGq zGA}~%=_7ox0GxwBnGQ-V31BNFLkPao8svzIYnY2(SfNyZRQEan`XH29tR-2UP2hMB zL;CnT(n&2FI7qH31$4{yBQRy|d{O`%uw%^yh-pMDV5mjz?e&l{etY55@(-Y**3@&_ z1r3v1@WIckGYCuW7{=Dz;McZW(16B*;J85uH6Ds9$xLXSs#w%4PUC$*EuD@UAJur`-h z&bAPb3`|HkLPoEt7TyADCZ&x8#S!WuJt6`NZ~T*lI7L)rmT=xc3wcW1nYQW*H$0P| ze>&NRgwCRuDnf$s?x!mt+_O9H5lbA7V6geFmRP7Z>AD)Kk$uzBrO*3_`QxjAr|Pb_ z3!z6J&L~pXBj__XqHMD+HI}~N!Jy4Nwz8PXQ*lW8%d_vu-Il*`@t+44H@D z;{RgrE1T*Fwytq^cXxM}gS)%y0fIwtcXuba1-Api-Q9viaCi5Rm;2mb@mAe$Q#I8+ z-959XcdfnF>ctQ_Y*jyh&+NY(SN0-LgAz->*uDwIve+uHVdJ=j(mosZLr%nX45r^bKEXB(ce-A5zI ziTzX1XHP$NIiKiw_;Dtxp_vPN|frK?dGsFvNDP1jU6q%(|foM*;f2zjYWD$41a&7 zv6650&kdO7Pdxo_wRCY0{nReJpG}@agz7OJ8HyD5Yp!n9oPyNdqL^3Gv1QQVjsx*B zwO8rkgAKu_H^c=Sk+pgMlVe`m`Z$(VHIMYDDwxf>@Mf;NC!bfrt(!akc=Ce^b+K^C zeFAJ=rhI4BPz#M1vfd(*1us%Z1D$Mh;`mni+d<4qZ{!B_kM>C0tTUbFzZh8G)_-_- zxeUHb!>oJ{zIG5 zLtM5`$UD30sa8RJ%&eGW`g^Rw4u?N$@d%N-U@@%hc2#-yYqE|&M^+|wenfJ+4^fTF zl}DL1+XydA^B*NIk5ihR?%`^FKZXxWCOWw@R$I>$@BNII@XjNd8h=0iz=2?08O>{) z+xq9jLA#n1tzlBVq+P&=%fw+8x*5j5kD5bZ38juA@Q-ll$-tLQUoDGlA&o(9FWkPv4bok3cQFh?9N6Na(IqSAXx{XxrM0 zv$UU+dtv*0+QYd~Tj5FpqR2KGK6JK4;eKSBAq!msZUh>iSg`OEfXP_rw`rndx}TAF z5v6H&PS&v)f34WDd2bZ6G_j$Jp^8u#tbU`^w!uq1{yRgklL9s-SGA?|Fr#sagt8bU zFrk^oVA7}++CikY0y2ru5fB7eC*IT5$4|CF^32RWk01o=Z>#S;VKx19`sD@m`bODP z=c_dzhGPU#;?mSF4G|_mg(MdPxDq@8BGZCUzo4M1%~pPF(Hu*R$z4?j3EI1w;T8%0 ziHwW7G0I9C?Yz$*t)7QAPe=ybm&9M8K;Wn~de|!l;SmhVv?7tr4=b%fX}U2`jU^sf zh&1zHqHhs7QJ?4}st8(yM(kI^=HIQp?mjNCpMk-ZU=WGE6anT%&XEBBUymm@)xyU4DQSAunJER@9c#R zoQsHwgh_n9V_Q8qt64rBc`d*Zc*yMbX3e_V z@S%iv*)*JZq4cQ`YI`Y~r2Er(p~cZc_AxRlW)Kv2;|-GUxWm&}+zERaS?9ZU2q>US zgOGMT5|?OZ*Yn%tt2<5zrO<ZX z^P6XOe=Bqk@4Dy#zyFXW>9(14UxcLm$E0ZN`7d4{F|Lrb??qxv$4VU;gyG%^(v!qP zUQn@NFUCO#!U80iY%!*V@wLNSkvcB&W{CtPcyHJi=t4JmmokuvuW@dq5Cf;sTI-@3>-Q&AAyAOb#ot1_F*=`D_d}Vs)awn-D!)HbG zT`_>PtGqX`?;XXKh8xqPEZ7JnPd<<0@#pKJ3gz3dMG$Qv2(V=1%*}51UJhX(tLB_5 zStx)~WllYL$D){J7i*>nuH~pY&Y&pW)XE(6HsR3N6@BBMfY+VADR#V&&Lw&tv-UK&4YlQNvMlNN9#+E$y>vOz2Gx0~) z{oLQe>W@MjE-nHA^)%EIXI0g?cxj1}qSVkkaAFh$ggl}T)&IN8|KblQDVm?7ggt~5 zAi~0OE193OIXNiw14M>~h||J~Vi6$6M<}BNkj~lIa6OEPy#}GcLPP)gZ(};rx!uCT zx$%C~@c;I{4a-G9fGq5)DpEKoCML%F-^LTLZhUlfZmu^HUr+k#edifGK0;a|k?4Oz z`@eVczg7F+xAy;Sgx>yxiWiTLH=P`aK#wiM=7=j?W441q6jlG+P<&X_C9%Rw@LSwZ z-`}DwrM1eTd&8=}MYj73+j>9qy|{WS$W(%_TUB&7P`kSKN`KafJfblLZVIYCH|Rg` zK(R-TpSkOW&9dHUYbtZ@B3w?Yy3jw$Uv#gl@b-MNiKzmTH@s^6tsYyApNb!u>9on{ zH7JLIfI)ORb2?FEO@Fm9yAn-f5i*$hi9{dFT0eBKWN4)7hkuHTQ+YC)QG|be7~U@J z`yw&^E<}H2O)(r+ZcEZp<1#<97*Q;-wlh?A=uKd{wjk&r#szeba)I)PiQtWVLj%!8 zACPQ*S2_VrngKw2b1z6+m^$I+jxzt0XM;+=&=tvFm{A#D0uVlpB^>OsyP6YRwJ@`; z6gBNmmo+J=N>srFewyjY7Geh%};&flAJad|;(k{AZw?&u9f1fM=iK;pG)*BRk zswE$_2s#_E+uOu~NR5#!c7H>aGCQ@m12lwOaO{1&2Gf0!1FdzwDm(|Rk_d@!rgQj= z+4gCOVNI`5bQV5~#2EXzK}_C8$sSALay$rYbb)f60bu=mY#`I?MTX*UBIHl9hrfeO z?XloPXK`~aV|76>gw{e88QR@;WgCPv2y&fup=R9099Dl*ln-V+N1I|F*I~Kmjib^~ zOO4ves<(YN&YAE~?ps&N7uH5Ff?XJKusPI!LO2`x%0Y4p)-Y*&GHn>RiKLg5 z39{xIEe+Y*q)^np97f<593Ct_SA=tdz|AbFOrX*%{KVt;4lc8`72ENypw;}loWaBG zSryjPGq-p-`$O;oyodlnvPRvdMrY9=JMz-~4(LRFm{fAa8<8}Reu^f635Q_uHHy-^v^64YUaC>md=i+rMN_(Z#D%p3 zND2N8{!{z1xe0%Ii7d{@H0*mp>6kP1!38tP))4(!{5JaZlbr)}NZ=A$)GHwbt5BD< z(IlS1>Vl;TP6`Q{nukA>UZq-}6uP8LrHYt7PYkB?kr7+k%1HVA%?LSkt|;J9Enc)% zl5qAYFCHtZ8ZYTDqAdmbAxpA&n%^1Jav|bsf^K*0vK?<}!BoX>ZC8*b);AJ$EIgtTN1y88>(Hz+99 z_*ESV$%i@*G5g?Mobaz?`y!Hdh8Hm2p0d}K!PRcTWg2h7#_>& z%;UTNrlBUqaRJVboE&fb%;&gUUTews70Oc$3+;A#sYk~x6mo-0b7*}0F7rnx(%0A@ zibzp*Q0HQU_2a1Vnsii4o}hFE$cK44<-BQn7RfRUm#AF^wh#KatS5+wD5z=;{3qI5~Rp4ZQ&_h+=H`< z=uCf~6_XmYmpyl|7EWdM)V**@IW_{`cCX>aT2*_L(yg^CW)G2I#0T+8LC*?h=OS9D*4hBvH0cEB5yBWieRq*{0Q9Bbw$x5i7Ho^!-*+PcQg39csiRX zDL{)Zg}MK0-dGTA+ZAA$PP2A4!*Iy9Wm*7WF%OJNI|u@z8K>ap24A~a)Fma}8Zg*k zK@3`cRzWO6bBXx@A<;_aC)Af+>Cb6>Y^oNONs;hEwxwnG3=mD3Si`TJ7WYoyCrl5j}OnS(+>&J511a*X^!!o1k+ZpX%Bdszc zxm$|1s@N@e`~YY#>ri>)bLv6TIcI(~*+LA3h)Y0S8U)ZsE&xj_p4wNaTZTU-Srn07 zF#2oC{&t9>!c#T4nXktHiQRmBTQklou6$4_G6wz2hO3XvU}= z+EBFhVOM>hh*=xqnx>A>@(&`IU7DVmZD01{c`#q?VXHHfNWdxyuP(Ial#Y$+a}QX` zCq^GG=mQ#HTVwnJT`6W3325}^<3fMCYGLdjkOxhl{aeCbvBO0Z9g`foyCXjxW?WO@ zy5^7%LT#N?#MtMVi2mk3Jke4DO)o2=@OZ!juA}os^-;|hbYE{8`=r9SKBZ)5(Go}HM zkrv$U#~(gvCEujBdDGG)$q{uSqu}C~L$N){Ts84B$g>-y66XJ~F~2h^GaZxW8=0f&B-Ei9r&#!d*t;`OETo@3|HS`9kHHv!0u20Q$Op<*8?4jfoB7GHMKvf+{(KOt+pk#0Z(j@8u` zxcUUdQOv7&GyNdf$A{B;oaLq;`n|H)2wdt0k zzSksF!ZvaWP>xxi!Rl=zs^@%MRY(LJQ?TOLpI2ilodE5 z2*cA$WXX-8GO~B4>8?t#dNU<~M}rcwEjp`7XxF4%Zi z9R*>#MoJ<446NeX<`v`{)lJRS{&=@<;UbleT-`N3;X`+w&; z$(DVxXdk+ofw>Apu%w2Wvvh+B#du(KR>BLqu>+3;$_w%W0mS*?tg?ns1J@M z?n+d4Sn!il{FU^LOrxnh@eY!q2MyR^#W3)mdTX5&Ud5Cz6JSiqB~}lBrCpS2%X_t- zakr;yI2iE6W{5E5*X+7bpC}ng&h;S`p4W2ig_X*Oz4fYUW~l{bTJMib7mZu=6}dy` z@0PmPQ{$(2#fLoqVU$K2rqLUrAB#zT*y@{geJ4Crnv!nRCThMb6V3L_vrp@Wt8FyJ zbD^v2Lvo3~h&~%!qX8t3W!4U#h!9IU@K?0K>#eBDRw-R`QQIY=1KXD+lGYYgC%7kN zTRrJ!OLvSuY(y8cAi32uh$%+6@fqms+HbXxMEs*D3~K$+C3v4XPMNAa8;FDb+LCX~ zU{AuN$B~*XHI7ngRABB5qL$P4<82$TRszZ3N(jr>e-c0tFZuIt70RVqELe(~eqhm- z_wo5AD=tFzC7m{Ern|pJ7L$4HtV5X#%PIm2pO}7lpdLpr*E8YzI7IFr^~r&F=tn&X$8R}-oDv_2-mL~ z@jh3P3xw2|l1dydP+?3l>;V6++7(5Q~x*(<;fMP*#rK{*wF%-YCU92e{cF2Rwh@1Tk{0_XK(I#Enb z#pC8Vh@v-Qm%uT(w``b`%SC3`C~o8^{uOCjh*k+umNr<%d{(!*RqoroGif>%i*a;C zvx)?lwW$NzMcFmwQW>gc^LENwW3;dyby0shKFoBA4Rx=RQ^c$V62qJve~gW0h+)C# zWr9ab8Z-atg%Pu=h*k`h`bQlmggR9i?rTQyvZusrypTLw9B3{0Tft3XL&6W|TKGFf zYdYhk_LU?-mckD7?kGbPh@WzpZEb=SOmXDxi$eo(iV+=8I+szfZ#nGXbz6w{b#g`@ zAaWxXrvy@3?P0@}+=g%@{MvZ6P)u2qQ?g#*ws(4{Qo|j}<1o7@G}w)#kXoW%_#8lG zQi81_Ug#D?HHW`KV55t#DVINrzTeaff{}x5s0@yWcTTdm&euE9NrSWpuXmKR9RRly z^}6B*`~FAqF%8@hwvQ>g^`or{{^z>uQe#e<6r|KTb_uRmRQOgGTj{>7jCL09{KP8$ ze>71q+UiT?FRpNxg=$^rl#Jf*2M`Lmevgq6qc&cSxw>e@W>O#=?d1L6B(MnvJMeb8 zp(Fx%Y*ZNpRbsy1(Kd%Jq|IM8f#!H}WOm%<_&JEH2R_Wnu*tsj7`c7wN3iM=dS-a5 zm;FbnXVLn-CFBb_?9t>*9x%nmws?}wyW;6CsE@iZj-T#gjE!l~N=gEkdw6{NL26|w z>A6)z#$b>H{&BI|VQIq&)8~;3QuT6r$I+Q`lM9lBm&MTqQl9Fb;~tUP5XkrS07mv+ zBgo{iMIi2)37mih*+V;YenUPRGSQ_e_hpTIgP<8hzOG)R zLJl=fXN|AoZ{QpXM{J5jdr4YGSX%$6_ndZ)v}ns*@ArR?$Up9U@o1Gu7;#7RI@g>p zridqWU(^^c>-OkY0_V1hfr_!J?!qxJ{9^m`T?Q>HlH(05xs{BYT{gP#TPWH{@BP_U zVwn`mg2o-JO!z(^s=*-36RqJ@#G9%EV}J_BTC99srgw>j;&r;nKU!7)=k<1ekpeh* zye5VbIod>wzZ{nr26#9ig8Fg5J=k5BW=W1_XyQ7P_zLZk4Ry8aLcB!$URKtZLqF+c z6;fLzpfV&e%z+e*=I5kk08-O@Egc(=WWM@tAAxp%93(9cn`23T&(1&MMDzkHYloH7NwT1`s+ zw{o!?Zz#2e+?ASGD#oi$52I!gY_}{i)vp6S;PpafE7b6m=z;OcAPDD+-*ZwthXnN1 zs1H{}Qa<+FD!R|TqTl{1f%YVDBKe;aMh&!%gnjgFP=q3DnjU)C;V;UVY7pbDP4hd0%RQnA`sK3P5I|hS%`d8Y;Sm<%c&>F)Ixf^KovGH_8C~ZE zrY_tMs7{e8LNoADq-L?f&?okWN%HG5NS({!v{WT;;TX@%i7<3YC8Y<25_;v=| zi;w%j*m7IkoIzQY%2qlcn3v=tKi&M}CK;9)fvA8K9V{5m4|iz8NoDhS=fZGnZ_9BD zNu0aGQb+<(i^N@)dU+@G;}>_+*+RnERp>)nLNA{c3tKLGLuxwBk~m_5E9!olQxMGG zpPV+$K0%k(&3skyvV48dRBL_N6TOI~`@gW%SJ{*Yr3fi$oXJY>6UV)UW^I#T#3*3} zP}VRV((ey3EJ1SR1duR!=ex}M`g@+?7NeuD7@&%O$4wMDmL)Y{5k`gR;h`t~FPQT` z*bac!>IpRW6%+UF*1_oan^5zP8ksfj%VHU&wqlx_Y1cilB_`7YjEYX4Wn^yQKd}@&Ck|vw^=J5kZ&wIYyR~G9tGaqsjOFS@BKkV#5@? zJg{htZ53K=q^H;d%4%H&9{H&v01#ST8o^V!s}$32t8^{KMSpedfO+%VnF>`eoQMLv zD3~w>w#p}gfbJ@VY2*eLNy562R=!o?h9W}1GAmYbWQ~8cUsV3Ko#7J>Z+0#u*q90lT-1o3$uRama6x7$ok3#-ik!fXPp) z^aznL`*8^PFdMdC^7Dkvb(n-aT+rAh+7i(wGUQHIvYM0!Oj+XT93H8zPm-_}TecKg zBt)nYg8{q=;9|T$^uK>=a3XRHMXxQL+KgJA&%VrGN(uI}n0Ljvd+VVjGcc_$8)_b2 zh~4#BTwL_}JODu4rt4uA)xYRaA^bOw@D?y`gjd;Fk05yYjVJD& z2hiOSIGcdMXE~RPBg)UvUG-l5J-Sh}{BRH{w`4@DT@nDf0Y&{ge&?rUNDi1k*bmSb zHLfe+FNTV9eE3%Pd|K340<}z(2S?MPl!ld{IrIZLD@I{5W+(^p(r%CNTopPQUfDtnogD)3u=S{V-VYYYu-d2 zWo4?Z_dqMGBbdQh334~L2_PTo!S*1FbWlu6X=*2vbQjXuH1XZmHS>pk@d%K6IoOsY z+V#N8qFmF0v?Dd14dp8l6|11%P>^Sfqv;gID9Bf4l23}bAZ&&u=Bg(9WUIhys47`i z1g(NY^x1HRC|czNr7dA-u1ac!K0R>sIGe6k!bZE+f*Qhjg%!*GAPm|+uB@1Q{!A!l zg}3S)DDs4bD}@xo36Rx18NtlR>wkcj3j*8!GL*KF{@Bq(LS+Cu>a4u$fgmWQp^%#4 z+mbz0v*3$L(>0;l^^X)P6-w;+naZ>eq4*PtY=Fj}c_vw=vF3?tats`iN=vzTJv?En zGX&rF9>F>QRDIFe-5$7kPQnHN@Pxa}gsuvC4`pLyxaX&F;tcYgg0Ys}$;`*#mH#I3 zM;EbtQE$=F3Oja(=o8vvfh0{4WhUN%!TUr^oj*5o#*x0}Fyp_GkB1nwaE=g(Njm07 z=Q*CrZK!h!F@u1$TUO1ScGSq(a>*}@F0Bz$UrzMWhcD$bkFzgXr-IG@giz1Dl1LaJ z%jWq`CwtS3eD#5=@e}sM!Ys4qEeqhKFB|ZN=<&J>EZBy!tRGEKCufl9kOMh>$~01S?^3W@K778}w<@EJ018{S+%XnAoEDo|6D! z(5-X|YrT$ajrFw()k^K$rkdj9tO7b{JKDeE7`;LGf^s7D0J-;tMQkKa2OW2!iGPQp_tprMnnzI^CX<$mNEF-H-4|# ziYU*!U^!6}$w=4o3^6nUCUBiUj$XyFh*lo__bWuCHN<`!u!~y-2?bpvy7@0iEy)d} z?)(bd@oeY`X4z?Yi1#V1ibo1&wd0Y^lXlCX;T%D&;BvV_{2ilFO8zWa-RF#pjUw&C z_l+SsuG!QJHtAwhNdChX>Dgl%AxV`JxeF{(3_*#MCL;MR_n4QQ4Buj{ykg%PdcNHi zTe|+`ls4Q9b+8DtP3$|G1FVF?6rRQiE zYX+YnGW?Il?O!f1Hps{%eJbn`1L9`T5EF#VgA16w5SlfH)5 zM@5Rw(r4jCI9akLFl^XGywmNc4^Xw}W@cg?MYo*ab~Z!D zZv*g{oo(=lBXND4;KAHoBii0aiW^PuN-kWWp3Lbx-KN}Q8n zrDF?!){JhkQO-sdx?+OambNMgY_Lcgk-9N)%-$zKGtEG(InXr>=+(K~_isA`urE1b z;*k%IK57+*vhE40Z(I`~%6xZKnFG?Qc2z$)2Eb`4Z$go|N7xVu zET#EgWK75}!;Q?tpj@$dAC665SYH#OgSlvScwCMXEa>rmQf;eMzcrOPS%w<{i(op= zzZByJqtvRIrYkHJ;9O*^Ev0AhbE2Vu2imL(lysUza=jTGxS@f8Rrfq-;u!Zfdb^-6 zue%RiN)U-=Er6Q!$Ms7nTq2Nz^e2&8Pfd^Hnv$(<_=RiZh&epb6=~(J6=-tW93l8(KcP<$_P5*uj z_UVv6LgfXIJo~^JJYq?miig8Por2W0ptQ9ltd#?z&Ir!S9xd-7i;eRzYTB7bMhP~i z7&8C;FumB%y?iV1hGaa)F9ePm94gL-P1z&L7A!O!vji<}&`ikB0@u1AYnOdB9qMLM zSsodJ7w}qd3emIngH?frv3AOya7X`e{&BauIy{jYZ5UXRCRQIg9>wl0m((lyN?X() zuTIn>rs1I9oA`OfeEU=@8`eT32%bD1on(^9NF(bnAz~Jo`M))5XepyGML4*<3bbM@N%YAjOf2!6sKa zVqjtO8Rn~E%-gvGRp_XAF4QBhTO$!1SFnYyRoy)krx^+F;_wG&d^nnFzy1LfKZB?^ zwJiuuuRiwbGg+K8OBnnjSqPZl9-^kKUDw+^bA@h@KV^p_?`W`t_(FYNCI9@BR(TQB zi(!!Od15#I87_QXUqhFwLEZ{tN!fLmdxQ}wNPn3mASjXyj6xorQ4X()rSN(cOgsZV zQctKO(ABfNCA`q1kf*yGTCca$aI20fsNLf7#F85xgtr~N%g-hCG+`%kHxJ?)JtFIS zV|}PDQ>XH#&Q0X~MZ#WUwn@r1)*SHCLrWtC8{D8fDC0X0v(SkIc+(@n{?2PRW(c=k zqN}}W&MWP60^(kh!shC!Y8X$Hy!4~@8cm?KGxQ=Ty;X3P-m9f8erV2?Dsz(4v=_*a zPas!e1mFr&6YpSEWb`{&mikc>7gAFcu{7%7F>v?1i9XdqPQ~xpC&rz2-$#1TJa`m) z+GDcu;j#V91RKF~b_T~47sX`56CVXs6j7H#1XCr2VF-|;k)a6`J#TeB|J%y`Yh~?8 zWsu?S+0p4)AhTfWF~NK7=Wr(O|Cg~Q6V^5~3BmwVCE{;u)Qa@oa|zk^Y=hy&tavJu zo|;&-jbDsB6Y4hCss0kO46Kqoug~0^A8$zLlfk;wIQ$^1U1!SE#8 zI&*X$Tzfjs*o}>c$?8FH=Pn$C_LY)bhNScfpp91oLX+!r{)+-M-FI_1Ed6m~-`#f) zQjO0KJ^UT%q{bh9>1p8WY2Y5<_~sx^4)F?yk+sa@!B2CsspC0mAMdR;VholmF_^s7 zG$hsg6<+rK#RQhJy0Pb({$T-#7p6&)P?jH&NvAlX6ebcydwE zQ!DdyL*|8Fwhc<8qU7WNP_Q@m9>dBj8Hr`%AhtwJ8=7iT_&EsaSs3)QhTkLcC`EnR zE-L&{O@hUb`urvClG$yN9ooU__EMYankk281=HZ$-z~L18``3>uw)O#)=j1m2SE|1 zpn+sCnaOn}ep7c%Rq0>sb7$HvlMhvtkmpj<-|xD@+$DAOSvifb-sqbTWOgK`w4+*e zGUTXH^$FxMlF}M`_q>&WgDbGAlQp7&ubqV2%>S8jBfnkpn8`)Pqet=?6cA1B_!*vv8y=eytJ zs&a~q{dsRE^0N*TdAhW zS;1A!_D4VCo)$^QD+HMN$z$iV#TKeMV2%j;e)@6XIZzAeZ9;9#!>+^yTWsu8^uU+>E2iH12fFOI^R zqUhba3C!>$U)>4Bu#S%b=CskvfDL|z#}qjyWsAuxv924ZpYYR-3os74dBR@MaMbv^ zXrg|fks`nh?VqsU>7%0i9xMFD(lG3;F8npB2B;rozMiN3?C~x7PNJv(=At)Ae++lI z-&DVRj{ft$a^Eulr2O!+n7(HF9mBRW=i|PvM|D@7Id*+w>xswr4If5-K8-#mK_q)W zlX(M8KPtjOUvE=n{ujGdS)(H29Z=&J#eyq@COl+^)1O{do*+Mjmy19fAd`?7t(k`V zhTKDYd-oH(9|MZ%)Xhsd#)3deoc>ENZh=0w09(IfV`Q;aa)V$8{4_7HXJU9Ah zhq(IM%)YHn6)N@0!a>jAq>f0pNqb_F*}KU**^U z#5?OJ>kD1=mwzE#Q{6Ch&FAMYs`y!tsDelL@^((>C)Vn%|V zr5Q6oK;JfU?UTk=2;@q}4`W!f7OZKOd95iDOwU~AuM`ERe3#{Xka&jlW3U0UkLZxs zdth07x~>4H5jCq2>T1blrW(aK>TQs=^_<6Q>HXk8*+L5c7GYhv@DAb7E3%Hc8Rn8R z+nnAAvpy9M(O3bKTG-aA#7}Ue9I}Wa7+~(b8WNdK%eXQ2lT(iYAy9I%LzmMJ)^ZaG zp(xDxBxv^Lz2Yvmxb!O~f_ll<2X04rQUEg@7*-lvNxq}^t_+1W+i3b2Oszv52tlUP zIZQk`y6X_lfDI?f9VOB*M50+<8aHO@K126I8TQQK2vWo+Q6VXA|P>W9NQ(&#ek!NOMt;KBxA zjg&m$;QUherr{t8@u831s$AT_H)fv!u~2JC25Pk=llbPms>d)~zCIIA#?JH_y#kha zIu#r&)`AR@mRkPou3&CyAF=n(6TfYR5>j6H(;3Ab3zWp`eCQ1@ffoKC!IaBt70>Vri5 zpll5P4X|~h5yYA6xtVC{VHxG%yY4pJ{r&sI6i5-K=W_GBbauI#!v@{g-%1SQ=5VP}F?g0u<*o{_ z<3)o)Q0Mb0SJqa3sObuaqf1Tv+Q`^HFdQYdjx267^|Ppi{`n!2{sgidE6vdrCZEVW z)H>w{oC0^zUne`ZMtPr!sznzva|rlg|}neup=koiyqsa*mJhTI2dTA1F;v@)hbvYFoDv?7W;wUP_b3jxb3 zD$Ox)M*ZAn#xucUdcCl>9Z!I+yuI)en?m)g6<8TE2|d8EveHnEUZ5syrh9~?{ZtlX zLBRaFJip13QLm&P?ap}yz6;Tk1$esPHY>1SuvW9wMA|yXkSfqh|1_PLgWeo3P5CmH?(Ji@- zRI~NFd@8*$e%KrO+Zu)kmblXe<(zJ17s@Yx0!zA$&2$SwgTfh?AP1)K&f>}o$_wK# z>#H1BJr#df_qXh2F|ZEj;Azm)NQT}=5g=*$s#r`q;)c8=laat4^~FNp>o=f4 zKq`V~x5VZSu9gI zhQPnCy)gaM_Jb_q)&qmGs1@;8sH@+!W3=Iga%y@lI_#Vg==w;i0ki-d5cYUSGc%|w z_+KZ+`Z_fEtW3nB4_Mp2ud0!alHjn`;Ek&NX&Op2T{oTnyQ7$)4QqYTr+^bDNW9&q zG|KxFQd8WylZ~^~x?<^v=xO~)?zJq^LBv}SrgfW_Y_ZFIMb-X_Bz!rjy6nAeLz9v^ zJJ-@T-tBO7HF^H}dDlyIOkvqD-u>Rh`0xSc9Bgb%Y|LoCPv4-@IyX;Fm@@2JW^lKsfRgqN%wfC;@{1L1}TB_h&6+{rxRgUIi7|b&-9UF z6A^CF)iV*TtbJ?IRhm+#J$xywS zr=*7jUs%$$FN97k_)m3v>#9G*!VuAVM7|8Dg{IZ+9a=4!sisX4^1z!X!6-QN@S#%JVPhLm7eB>=1&}HS? zAnbVm5;xYjXA0MU+(zT-d(ODd*}?Pu z9I;w2DWJGjfK*ecUD44$lpx0=48du8TWva)5eHHi(f>6jS(|qvT4bGk`Qy$LV0ra( zI-io+8Y4Xslfz!cRx6Q7xh>@TJE{vRg2J!SYmm`v$9Am)szA%gGxciPF&LJgaqi18 zw1*y6lTgsW9QxDX1oj}_XYm(nDo7Y&_qCF8}+^g`;8ah@E)iyqo*N#WJ|LdI*)^`J<&rb#HGYCWS#c8QSI8IZpD z?K_V%IFDVi*-%tx>iCLe#5H-Pj|oJPoqX&Fq76P#X^@1lGl#_2sqwL;`t{X|Eq{^S z0uzuL9Z@<%QB{%Iz}#lTH7T!*)<|&w0pmU@l3`cpHM1w&EF`=8e}igi?@^`p1+-q7 zy?ijo=S+J=jv$=X6Wx28erh6IYjc2PPGBGgKPS}ID2bpW$glFk#H)$wAVI}`h6QlM z0sKM3>Fxw6Mp3LpEU}yrb0w`x-l$O!4B>Z4ly3E? zg`Go0-s{`I)!+;UYre~;Gdr2?+I%B#dt;!#w@qjMEdu|l?;kpS30IB_D#l9S4 z?r|Up%+V8jj(HhCdzQG4qnl-jspwl(t6B@1_XeEj-G$xZdM1Kmy&M?$KkKH6AVe1J zB(N!v*#dHvG^-dDGK9+1%kz@Umd?5lWipyMLzv6Lky-I7vz$lJ-2B!N^fmu_fBR?d zghfJ~05Vh=8zUG_sU*^p%W$!&b1P%-w3NE;1z5=W?b={=8H!y5S7$0_PqrBNee1r8 zPY+eL+${8Ki%hc3kk+tBL3Fakqlz>4HhwA}a|ur=D)?MgHd-y~Q_S$1!YbLPq`bTG zS;`@t+BCGyE>QJZ@BhkkBZuvtEGOKu32H$@(>D@zw&+ zNwvE6H^?+-$nfB0-*n!L5YQ*Bx>>T&@_8>!h5l9>D*O{z_WFcQg;f(63ksH5ud`{w z_WFlTR!&pjDqfSfxr@dpU;`1`8FxhTkPM8m0Vn>@toJh#;#W=kFSRRhG|++Sg{u!% zll_;Y%^uy69#&K+oux3Kdj3h?Vhoiggsj1QI?r{CW>_&_JS2Og2S-Gf(j&PBdF(68zp{z43eEg)N z^}z$W?OKLRZq+BcG04e+qapD#T&>r}UuAp@qndBC5GhGGnqvXirwwu z4qK~vP8VK(6@}dZA1{X<40%&W>P?o>o(hb5YQr}1^2M;UPXbstOqk4(i1uZIPL!%j zc*H|2Zpu1vbGqy`wj!Zu_v~&RBGQ0@K6=YM{0VR909>9~C;Wz?$wu=lKq7`RW&b;$ zcFI_WSDZk`5N4X$sT*%@mW5{?%dlzK@3aTgS=z_>4Z0(XN~@6gK|JFJgLoWBl}W^3 zo62$*s=fj^x=bA-Zc7DWe-V_!t-LB)R-VBnS^bKLxTcy?io&10u{g0!R>P@IHi8R7 zP=hd$ZYJ9rTlm!ZG((@fL?G5emp{R5VW!{7w(=U$Lv2S$iHrKBaRMobEJ zQRt3z?hYl6u(_VX`##Z!FX;atdtVt8SJQ82R6otN$N-+sO0Ndrq!HjJ%n8K@XEdV zm-uXl$>OX6!=xhpNk5Kw8FGnY@EaSrwMHM9G(E))YX?E`JHG!CV8kElx+X4|jyAbL zt(BJ;LLp$|Wa>oDz6}EAUK%MV#qOlYnc0opG3j~ugUZ)vadVEpkn3H+gUpBC#mhej z^|FW*Y3Az03#;y$dqr*@2Fsx-BGvI|?isIp(r|*6eLe;gmHZ+~wS-^y#QZ8K8OdF# zvN7F#W~E%-kZMf^Ux}b_zVaDVNlhhRQVke{uQNxm#kKl&N;<-)&TW#w)oE54G8S^X zR{EGf|GSWjc%YcBJ@2~sIZdDjXu_`~G9VSU6Bnmm+X@#24WFOE6(`d35QQn!c_Ez9 zjyB+LzOgcu>$-+o+e<8Z!!_!QjZ@m|TXaXeJ1Mr9?Z^v5yfv3>F0!PdM)$Uf-pjR!VNO7k7>3Psih%!iy^>JotaCdEN z5pMe{8>JxCFB%u=({!6iW<%t}bj*>jVg|^z7GJT$9F4l14E1>`%6~5F2pOSSwSoh0 zK5O})lo2P*yZ`*8&?xSi80uYM<8N^GL9+ie#Q|X z86?r9T24dYb?QPaq^n2y<2)Q;!dgHlui)}f_63dIpHRuMl1!IGrVqiRXS;(~0#Q7b31-0*y za7``$E?nf|7tmovLb@m2Ii3fZN*~dCbgrA``f+vw?s+Y4w1b)zI*u{Y7Q>(sDa<1S z?8ra66t1s z-bKOro3^F-zHT?_aua|SG+?G{f#!E3%WcSjLAwf6q?K07W4#_xtQHqP>sl0@U!Z!6 zUUSA~n(-1mwKp{WHwnL_RYv&4)gePh%rv6SMW#hs5;@-ICoZNfI_V;T&xCin2TyH? zG9K%xh`!!PaKU~Q_mN#!iegEImA=m>Y;w4Z*)aDdI4v0c0cSQ<)a3xbh9a*BclH~? zurQ?i-}1lLp?_RL`;tX|?g=LAblD8&X=C!Bb2UYVeXGTQ>iiNz+71ZfINV%K zH;0LOSQtSdNRgEBaG8H&l1Hf=EBt=Ke4J&3f-1Xl5cxVKBbt}dX=zeTt zC2QHdHT#0dXyjMl0&QU{O>^BY+bw%RL!$R7wOE8Fx^Y`_JCC#hGE*!}c}H9Obc=-J zc4aZ(`v~0t(^LRVX?a27lX#n7-Pev~0PL`k6oO+Dp;g^PluY|Sd#$5nOMsxa3#~8N zrJY=r=_EpK#hnA))w>9(car%(zXziYn637NN;#%;$T}zdBqEeFCq46mSq_*J4=1)Z zwvc3_B}Bb+@%1s7gA!zRIe8yu94piF(lDz@jFG@@+~}Q_yG%O%OpY0*zuI!cIQ&DA zo2s6qaX9!sD!l6!-pJ*`B5bx|g+m_52oarr8h}^4t7>V-6t<&>pg)Dq;pOTEbuIRh+0OvLKU*UPpy{iOH+fIJj3USe z9G9LqQ(pRJ7!NdeLaCT9{VH*Ph!cA%K-LMo()#oJ=sj=nC+UZ?u2ljlU1VKy3gc!? z!Sv#iU9S&rCYlGo%vx=YA7n1@E69Wen}pV-b|ml4^8-Ns{S=}yzHM6P`u>o~8A2G&3~<^>m|r z|77I!CSp0!e;-OqlB*{%p%zN7N$2p(?dznfH8zpZ(2wh?g=B1jm>$vuFk${hk1uIo z_3z(AZr`C-bw;=#%pB9BV84&TY+Sx}g0X46NNG~U%grf1+Af*65hhm!!LuC+4QG~} z&A<*65|DW;#;AYZw^~F7F@!e}mhI&IzLWXv&=Pa5k0kH=C$o>VYQV zqZFMhwAcGn>R&EQka?zF17wws761{3cyMDqdhY$2f!I(*(ax_f{rREWXVIXWD7|ZNk^T z%AlwFMYJ2y3W-hOAd#a`ghxGmK<>nR#Q-!heFk=zwO2o6D(5ZG={N_zor&ai<^F*7Di@?w_wOXOp z=k5)bIJtT`<}pGk#P0M{hnmy`SwHhk@P3?2s52|oGxErZ;pZS>lj~!G86LB*CUy!r zj(u;86bGvl^MroEjq*3hEE}IkDqz3C6Gi#1J+o|RVP+|BvGnsN|L=lxcG^x81ElwF zMAb1}rO8=4!P*7wU4kFZ^zn<_@8s7VipsxORer$pc%h=6@nl*KF+1i%f;Iz~3zNOQ zeFbrRDONS762Qq5>({haZ4}+peS=!RK+%Jz(@hO+EcM6m)3am8MV^U9IzZwd-znZ* zJZn?bMjfT?+(2e^JsQUVHrZ=gX9nAP%w1O7}-5CA3s3Uiu1A z9ZlZq1L{B}9(>Nn_%L+K{nRSZd6LDA(mV8mR(tj|K3l6CkBRMivp zDLOu8v2rqldgkC+HJAqTIA7sAr|{N-G2e)Ep0CPo3Hv#Z^|g2#F0z%eak9#mFG_^hZfAlIG)2R;8eC-vy$Qxvk;>l} z&RpSPFQ$6(G~Ac9&MOXd7B_Sf(9|+dJvmmt65JnFc=}$D?SPd(e^-&>1ng3YlCrEk z)x}y;>vg6sAFK1#ZrDd=1fjm9ox_I;fIetvCpwV7ugI`g;}A$uD^Y+!>nEB!MnxAO z7-@9&V+5PjXZeP>a9& zz1{Dj*Ub3OtqIe0gUWz<{+gJM{I_X4DvzUT;dugsPvdo{Gd46fHjUQ??uw> z(PS%jz5fz#VG=P^9KpOJ;g?m=Nvy6TT<{P>@Lur3g~d~FE%Auwqcyhtkb_hY-m_qF z2U?TaGe)C_UWWA#0&!X9m5^yE0x^s1k~3#dw+e8tnAKXNE(HIpz<>R>#Pl zMm*l-nZ^^PHuE2hACFw){y{W(3yM*uYEe^^Oc&6jW|}GS&ya2}s%>VeaO*7ARIqE{ z*&X=M#-%22H{xyr?D>O z<7V8dW~YQ)JI=|L;dh3Xl983VF4OLEjLun%E+?oP4OmJo_m>zSR_|m{h)BD`3_i1`{9Knganhiev9JTW`n$ zybk4w;d8Fi4NF%b1PRU~JQ4_heL}s~)ZI8RODk=D$OZ}PZ>KyX3=UQCHmzBp&nt8d za3#}Pfbn!JEmTiliZcTcAH(6^l8D-kxh6F$6T$cO{88i}FR@VF7R4z?-coGWWj+c$ zKx}j8pe68XGJ_xew8}UgQ}W{{wZfxm>K(1xZdYPK4VR%xBuDIx5p<=+x>J6tmP_R0 zDD%kJ1vjJwvGuc5e42xz7cg8eJ$fmrNaZ2_eUD^td$9FidkZlGx7 zi?QrB3T>^n=&oC17hWI<-tvGiE;L55Q)Uri_#=6PHQXyvLA^-B>?|KMt4}okgUuDF z6X~s^x}AQq8j|>B&E(-tQBXe8kiwrZl(Oe;#y@*B8>k+`W8nvMxIHd~Z+n-p6dtil zm?m!SG`6WU^ly?b_W1m8jtw0^jlgs4`qD4@1Q92=i^XOohwd62l>#S4B9b;*91nKx zS}G-YS|F_Ub~3aY?5NwSW+psL8gI;zOxOc(n!MiyG$Oc?%0p}DVhWY5xW!!3M+)Dlu z{yxZpRQT&5wdW0HPsI36!5m$ay2#54kTLj*Q4sv~%6Zl}3S?mbiXjDg`_6gKk~%?` z-XcCASfM1%46{YbENhzw9ENnHgX8^0z93@BYt8z{N3YHoW#+L`z!lpxaiMwGfrZ-V z_bEb)2Y*uM>{5cU^JAZ6#+O$axn=Y_>*k$%nKY|^8mMx_eDy(@$C!O!5^6gp zak%IPNF}y{+K>dl6PV&c^~3dSFd>qieDJz7wL{T~%N?g7or|c)Z?PA@eRa;?R|N-d zmgD^LPGA1wV@ZC0HX6}5q#R{JW`Z1;1~oTb#w4-%NmiIJgHTQ$-thh-E9)1On2UM` zb91JRhJ$r^YCn=oohb*nOf+Yn^sg(NG{Nr7R-!U9Pm2MgzZd5GmJkYVjx(l#6xIo2_O9djrc(tQW5utYAw1CC_!oYh^E7`r7X>Y9;QRShXQ?x;3)Y_ zDt6P8B2WWQ>O!OIi9H?rP^jOM`ks+32(xdjh5HMizS36f%j{51Dv?xhD^frYsix6l zAxrQiyqGKP+U*R2zp9+x7cUo#XGD(}Z~mDGj>>URH#_w9*td{*oR`18=usJB=u-`G~5d!%922~{m7vB=Y~}U z*3Zm|1t7s%a1aS2kLkf-HJg>*2FqV2LU)Anfk$K=>W{cJJcVInoHqB&0=RGygW0)) zN_v(!MXk{=qJVp8O|-d=h6c=fEwZ(DR=<_&S>u{AITZ@Jnnr1xM;q##3t@PvbIgIo ziO$&0+z}4^oWFwa%aG8#LLbe4@x7l9C}@Gm(zRi+GUse_r!m~K{S1_>5KzlM8Dq!p z^4;Oax^CDgp`uxMDUhfV{mJ6MpFZpreM3NZhi=W}VpJH{z>7B?-!T8#iRcnJz9@N# zKFt&dlV`Jzn5nbjXE7>%xS}iXww#n)kU&Z-Mr!OFU<^A%Dcdyy{@6GSGv-kc^~8Ys za_vH7bphyYA3m0U$!KPK{mrkYY1d@1ZTyiC+$7yv()$B!OXdXb>-c%<5h<}aQvBX9 zzSwbN2Qp?D@$t;7vA|7+AJi0ZqE+?;4VY(w^LIRdynt3PIjNuT54<4ezYu&#NRQq| z%C1(B(@E=YY7MTK2X4~GYhL5JGUC*p(wnt)Z#q!EJ*n!YTiUq%&cEA38K+Gt*iKN( zQNfu;^LMe4gEQ_|;_lg5QXPnooAW^HpseOc0+Z!^-;|rp^{j~aSULPkBuPg$Nn>@y zX!-9LgrUY`(=zf^3=O8npTC;1G|a7ki|kGrP~FqmXYIrLF#o885L6O-ckQLHjg39G znZkqt&zhaI&pc>NWVM|xJRdhgG=BvjfAw=!f)~S92*0H1JLy%?{s;O$^1-8k(BM}sm?IKV{wcY?<@0rW zt00l=U+DkHYheNKU$uBe`ylaJQWRwh0UrfBZ2e#8|H!`@Q}B-#qW}o}Ysmwl|CkC1 z{|EX%@<^%Ds7U|n55WGXD8L0K@=5epHyKpkyVXA5Yj{Zqud$ph6O2e;S)1f%avfQ_%T&8p2R4 zxzHX1tsK=nw}sKzblL@wQrKd7I*APhlS=4H-bc{<3ZBhUt~EA21JC(xIZZkup5iz6 zo&*`U6C}etqA8Y21a$YFttbLp-0Th>Y*FR!u@!l-6OoY0{iq!^n#jCP#Y&|nrXl7& z3}nvg$@s`)`NkYLSHOgwiv0~wIr`-t68 zPf9h7tR;Z0`gO)MO36UUvZP@%=7G4~^v~1QPbA_N_>WcUmi_HuhG(hEvg;5&OmTuP zYUQtyd@K6P>dTApP^Mo`Yd-6tGt$5~yA`(|@N5y-R3e{3@4k#-lLXwMt~;+opPCKQ zTvmMB)~k(88aww49MkBP&sO!GQ+1MSPvv6C_(722f})6oOiqfUlHwnMZ)xn>>!PeM^k;sca zEV+D7u4XJ0)Rn&Q+Lea6^FM4NDmb@Vv!`?>C$CRq5HpL@;qKrLLausW!`2@KpEe-hG9>;Bpi7Z#-;fK-jD(MXq`fxZR&8- zQk{xVof^~&ITvFIkkBp2v~>va@y)RgR@qCd85t8D1q{ju8&+7+*UDgPWg-UtU(S+< z@Pu7Y1VmJnd@Ui^EUd1!!-ww zG&DzH_XW9gwZAp+6g#plBdB;SvORJP(mPiZ3~~j2l78Z1PZ7U^zs|)ctT5 z;w-=_v#}lN1qQG4_BW9~Dd1@LgP%WqMJw)bOPiTot)$jkVZLv^uOf7}HRp=+0qz8$4tr}i_6c+Y z?@^8T0LM(&B-%hy&`wk~9Y6P)Dtoz`cK%5?5wx93b=dX-1hetFdbi5{`aRwK+G zD_K>zpzhfCRrdo?%jh7cD9a3KF9}8cqqm@n?_;s3hdo#Mrn0Iiy%(8VsqXU-t- zk)zU)?Z|_K%HPbgUX{swc@9=QmLkecTXmky{8A#eT{V!uK^g2^*R=B_Ont~MXvb(uu3@q^nG6_UTEY!T5Eo>3=@qJNNe<*jW~^ixMG zt}8M}E1u@!?RqB5bO+EwsE&`{dC85|Nzc)wgoLjX$Q{tC7NTBj#<@Az)Kr`r*5j@U z9amr)r;a`YJUkD-R$NypC?Pak+Rj(vSmfL=ai~*w`toI#N>-w5;CvFGVVnvXZh1=<=>ts@%1dFr@ zl{+8c{ZFMPGfF*a6=i>;n5zK&*95sr=F}(YgLpWfLv0>7?PL8^;&^7b9g-t1JVmH= zw1l=#QLI2bFp|Dr33sb3817G3<+N-*1xn0xJO=7~x*3uY&tHLeiV<}6kVyUq(YRzJ zi;t|Dh|3XLI(9=B9ILKK?Z@{r1|jPjeph~v1YVJKnm(k(mRuKVG#2l1IP?~R6XrZrYBcwpjFfjLlH?S_Xnd5n6fJLr0DjiT zO+x0#rj+8wa^)3@TTb^Z^IzU-~vK1)UIUnXW$Knxd(uOgU)i^eOobo|v)A65MF zv*(jaoARG*I(ttSlr=u}x&TwScKBTW;zQt}a6Vr@NKjH^C!!1^{Ck;SLQ=4)!W^~wIZ83BTiQ9#&e31tRb``emgM4Bn*XW3Iz6D7h{Ick z1c(^cq${e2ERlPGaIj37CM11ReiVqyVquN@Qx_q$SwvX{)(-~YS>g0 zqbyG}1|&SAQza%KrQHhwtme{q84`Ft^`a8AbH+~7pn)y{d^Ma>1LQ}g-Onl1RXKVn z`l`tZqEY}VZ!R*U=3vm*o2g6#DJgl@H+M%C?^MHOHdTKM_Qg9AGpojlF%}-qYZ_;u>(<7QgUXIY4tlw`LPfYzRkK#=Bfy*(2I^M^{}O>Z{}igzV9D zORG#Shs{3eaR97JSKP0L^Q^l^7B(`(#P8UNxcOjO4!C=o+-soy>7@n*n_sAX^Y2)#3?D~-zT(ty`h?bKkj8wJ`ZhJp`Sk}j! z^y=E1zi@wqrt4n{rH`Xs?n-yK=%aM$_H*7$t3-CTSz=Tt)78olpOKm`8;{*HsVpR8 zkYi%~wQlj{aUm&vf3D(c?Hcb(OU3X}$B=o-lfLnq>2en$wdydHfF95@CNS1+)os+B zqG&xnS_v5g^Ahaxa)j)ScV;hw9UK~drNOki#@BFLjWkiYY>tCnvguq(Hj$3@eFa?< zm>>IgeFcb!uH?Y$8q;uHN=bRikWHyO^RJsayaBrA3zC`3;sHMiwp>Mx%i)wol?tOFJpCWE}auS|RcGK&Hi zw?FzEF&;7Qj$4=}bV_bgyLi+*6=G^2Glir`%EODD0~|?8OEwRPyUZ~M{ao#jQ^t|Q z+Mmn4tVCkxDtirzwhz2V@PR#wdjh&%VM16K5uoLXjIl5$$CMwd}W59c0-sqCdl7)Zf}Dzl(3wfPXNbzR_BS=QtNI<%La8zr(ScH+b>bh&$E8WqiiBR~NZImHnw=Z^vg* zG`S6I|LoQC?B|1FF;0gY*IE4G*+PAaLp@TH`++_4BYu_k2&{S4s`LyAXa0K1TR-mRk3tloL+Kxa{D5n|dOd&R)jW~$Op|?z@ zPh(u4UmhE!ORK~_ev&@UHX|G{*&O0csKZ+PiISN<{nGh!`o3`J*65eMC-2`28qI{$ z2kR}Id*8~GMUn-+#Zf{XhA$xtIB_;R+OtLXODna78vwC>V6~5E00zu<^-eWRrEo9S+s%cd&ge7s@EnZVYrG8FC!ya%tJSqQ~)wcZE|qF)pQ1F~nt4Bnzbt zirOF`@D(}LO-l*z;)!EZRjvu(5^r%Duho3SmzESJ{YybK;6smYE=EE{I>!hKXU*L= zv8;(p%xkPe(Ny4w#Us0vgfad}zhd6a4X5sc=U4A6Pl=ff!OJX~a@X>QE;vCq ziG3ApYJ>fWMBj50=i;W)=~8v97^#|A--i`+#bR`IyF{MNTgjVOtFhh=e&7Zs)$X5E zch+p~D&$!$QkfuYGD-1-%Pk8JrZ7NC3arFH%n9ybCm-p}9Uhx&pj883m(gL!-_d=` z@iO&++qig)?4!A!4)_Dv zLS&3CdG=<7ShXwWiI!AN)iM`cItFjgDzz|Y6H+W;@7}ZVGW?`R@ z&I10L%KJ-zxVMw|E-r67CKbuRAIK-7@HsHxQj4#Puw$tuU`f(<|b-@$x5mph(VgYoIp z?1^Eil`qz$IBRSMiTi%~#3UKl1cxlI%Wtbk^11a#L5`rfyu)4I+0rRn(xEV=b}1~i zRK?c_%ZyJws_q>FImKBCmdVAYDhbN#bzeviuCw-FpKY!{CQEMvn8XK{%d!>sW_u5; z!RznhwkC24OCm|$J-~Sbi_$UhHRwlB!Zs=!9EF%2`=qkz^w}p!wtf&kx;V_FP|gH| zfxwV5sYtF6j4Q1QB$Z}KA^k~L>aBZ zl6;m)Yt4i(w&A3k52SIKyL1V=>bp)V{NC$jd_oW|DDhLh&G`_gMfg~CB8bh9G`&VC z%XqP%otQnI%d&{#qPfbd#wAB+GpR7$kg3%`X3Dh(a-?SWE@J98HcO4jlQOTuV{<;~ zQ65;o@w0&{_{2zWL7zJT$bzR^3z+06&V4@YZn9dP_L8y1w$pFds}^Yii%W~GU`kOpB1T4KKRW-Es@>9=8^TFC zQ?$q)II6RA^ZJ70Nux@}4|kguW%G!tKUWn6ke3`0*z+Hi#TLbu518~j2l-3D7H8&i zagJ75KE;Ts8&Yd;9?h79R=-<2Yh&|`H^ST)F;DjKML1)DPH|-s?TjC?~tg*s5qW+cBDl#PV`><)gU?uE4nFFB8^&jK+nnKHEt2|sX-3T-BP>ZV-g zs`q?-uGk?OVxv()nn>_p@og96nmTrW>=0Z&ElJ0SI)!el8}f?3d;p}5y1%!_Y9`#} zl`ax}xJ%J2HO~GNb^T$N7t(jrSlXW{sEI7HR9f=-{i+k;^zX3MQ-z75`U+|7 z)N}a9n-Z0}Rn(Q5VLphM8%{Hz&E#WY&rrLB+9e7|@eY##NFi}JZ=rIL$Ud|(A)UB| zlAEYAc62Uwzkgn1d`ftYx#E8H7KcGEJ|o()m5h&FbS%K^QquwB zPod41l2|CqUxpdGJbR4N!eE+~?}-*CN!;zt-)rfl0(lBdfsNUEqvJl@V&e7~KxpySOgL@=(k`3rceH(uJkpw<&uKRnf3!#uTg z%vJ6oR=lXK4b!5+uTmPSC0^3;*qj{x!j>kN_}jkuhg_CUHfUpnEIxwVLeDjQsI(F) z*G(8RuAh=Jd3|h$B3%>nlr}lUQ2X&5YZ(;z+*g~N6A?m9)X*ZYc_*i5DQ4>Pm*?-} zNqIMHI)=TU&-U!J{=L7S({oP4ASTI|!+wIlovkjpJtfqNR8s0K$8W=38}>;Vs0Ea* z$LB7s3Tm)c0;_FlrKQm0R)nFCgnA>X-+9x=X-oI`w7^?&)xJXCZr51We(p<63g4_r zJ)8zu*2_i!J5){9&1$Qz7Ip*WFXtg=!=;_yaZ0Va^JBF#?yWDAoMMhnto z9N0c3T`Hai$d^`hkZeURn}@hjICxuK*37MSm~6L1=3BM7DJ&FCzMv-}|0;r3AKT7G{3B7yl9Dky%xWC(F#G*>P%k*Ml+*i_kIEe5a>RP}B(w1DkH}ktrqX=w=E+D%A#b7K$FN0_O_7q%%rf8;!;CfV@SU!Le$Rve1vYnh z$Xfw}tw)%_-kS~-muaF2NKW^`>yYa_nW(1A^!KKS&8d1xr49AvTAbM=J{`A zyCnZjxJR#&JiInGPX%+V!oGF79lE3iD%)2+guU)&(YITrbXbk&wPRW)W{#6&dl>;~etCgMsFM?up zFM>&KcJmcOQitE4)k`3p#m{I$6%7=0FVg|^Xis@+8V4_-c3O*M1>fmkPl%~bw`)>lf5SMkYK!P7eyOt%d^*?_@km0W@8-y`lDBuH2S-%H1I zBUQm4NJm62&~}eqNkZP@^6a&E%&Rx+3?1!n(D>dYoXB=c$K9_SqS^j9oU$d~yx95U zV=ruo)jt(+%X%g4jd4-2@WwY+sNDxjuRmIN2bML|HW&yzH4l(UMrd#3Rm4HzmIfXX|6mV&wH zzk7|nVAUxo_6BOwy?j?UYt2;u<#YtE4YYS4k)lZZ*AjtE zKjdj1kHK_NArB(GK6k@(45@B*($%yS_RcvsK?2447)xEb6{V}nI&(1_VEaVUjnLlY z^?oz7tWmzDp!H6_98wqSVHpRIUMe+F`lZ>4-Z-ltx&r}vxYg+_PcXZ;=RJj5yS>Bw z7bC6Q7p@<61*0F|6-vTJL2#C3fl|DrC3!CT^>*IzaX0i7?yfW4J+PkbqvMDo(5ILi zdS@?RuDrNBj=f7YtDfg-Ibc9eS_ZAKtA&~s3K7T21lL~HFjjo5n~CtKt1IHY`RUua~C(k|XY zRU?V-_KDGcq7W8a#hXA@t4)QEj=Ih5z#p!tYgAdnuvS}4iI*~*O@tMo%N02Huu;D} zpM7=_h}0a`)irQm${NWAiL^oOOH7-D3;0oIvJ>-ZC|@&oP;$L6L5LO*c|6*iV}ivt z{^cMH$1q=N=%#l^lm0@0EYx;WTJ%F-Ns7w z4T=E83o$bUGUgBi)#rv=T=0NV(-OJax-zcBF#W|l$@LqyoHmj`z; zF&G`~j#lJiZzoZ1@7y6}OFY99&Ve~j$Y;k!RDhGs6s)x!2g9>Li0ngTmM+6n*lFXE zP*!nUZ+Y|YwN_%UzF46{EUU%jM4FA~Y(uP%vX0VD-goBA?l7SrbcY0t5=(^5dX=_? zb13Pl4bWy{jr{2hT}@wZ^jj6hDMk?UMo=+eu+vcQI+Kn}#yyD)T?{s>8mmA>mD()@ zu+6ko-p^0%rGxpHH-8zyRK}fP{7|E&GjgTqEo1G<&emT(Z=zd_?@$3=K@BZV-^r7_ z*9|CLFd>~7&=+d>OHo~~&R~>p>j2eVA&N|_`koSu^HxDJQtn9u$ITc#9Bl7q%p5YP zc8iWr87FsS;+C`e{ig9Q3x6_IVDB!tgg=~69P-)V5i@S`#?N!#V(*2Vu?6kQUfwx? zqlDUV&MAzpH@j6ShH86`F-ElpLw&)s9U@FU9YC1p4|2;Pn>ZLwqCXOLGahoM@T6#u zH(G)f9gvTdi-9k_84A0H47mTwxeEXZZSDoVlY{vu+R5A~+9xyObGhi2wD*PMvqPVW z_Z6ggJsugz+MVZ*Pz{!GY~73_k=xm`SpIw?A0lz+shGMdk9QlZk&2n(6Y{|%v%U}l zYkqVD#PWEY3h4H#JGxN}VG9Ki-^=^Y5xmblJ6&)7eJqUob`X0=*3ry?M7+4B=-=dM zV?4AeQ&uDhepfgXKr0ltEo`u?a2m#WW4;nGaiZe)_Yh;~xGigBq&VJ3V<}8Hnvh?n z=!uo})-_Zx`B;i~HQ|_)k3*@aM#B#f8(@60lyofhsh|d9CckFP7v9*K?~UKPRqseo ziv9PmU&+_|b^*pidE9GmHKaa^9CiTPS+>T73<>WyQ#ub1NDH3`Q_LoMvahvm%`pw+4ttVh`5wjK>n;a$7g=$ zl&RnDtGu}aw&Iga$fd^>JseDP5h-)i!X8#H!EU59zePbaa`COat)eAoZ`)fJpJgAK z4)bVT&g1Nw&ig-cv6K4UnZ6n||7)kvN%PcjL11y?70^Ul`}ZT#1NVH_WZ&Omq4HeX z(x%b^kY|&qa!#g4XZ&TXc|v!R#iBtoGV7h?9#rc(_Xnal2hDr7jap@<(uuk6 zj}l zglDF;riW&xp`;GeEPe%*tibUC^_wQx5|pn(`H(gYI_X-RD-xfg!cy#r{rZjF(GApO z(CFY3x|L;ka~&mBu^*!E14;e_2W)Wz(0$x|omi|p1=J*&3%EL=%JJa+eZsh8j1||8 zajX*ex{=ZLn5WQ-F!S+{dd0g!t$kqm zX8fv$Q|Msh42fnx1LW=YD-n2p?K1#{*{Qu!Q(gt}7fa`KmhK!+LDx8o;Wt_M(mLYp zcHaE4Q%**$8Ak)~1BoU(F|fxAn8mQJeqo9f#ljE*@Uk!I2_0Q^$BA6OQn4^Ga2V7| zxbJpGFi`O1hAQ+*=cIW5$qx0VK;X0aey*ml9YJc~0$Yw>{0e1~*hwC4hC@sI2LVqF zEni?><#$BEr#Xfr`eu7Xn5Sz1Nf;u$eYTAVM>^D-Lc+k1M@>kcrP0^skdIJ^cEl(CrR0QbSw=C5mUJQCB*)f(!?H^E0()+E z`5w-*c|>zTGHwVd}J;vDlm^z-0MCd2l>bUo?k_hoWPlF@Rv(0vJFa&2D}o96QQ2FAq)95 zImZrZ9Eh8VKttf)@1)*_B*$1E0MmaG^ImrkUbP8y)`(C}m)9Q>NP^!OP zo&4SIJACbhycg-eE|7wwMRg(_KTWTk5_yGm5c@A4P%>zg$_M~s( zcp)W80(Um}4LbOE53e4=i(IGtyt)VHk(%##)N^fGgat?SV7Y{|G1UY^L

A=spdo;9430yxy*ty8v99M>>pqx}w53 zWnw|Zg){9ulSaw!T4aj^8=qMD{HD$QTo)`NKMJz1KFy2mk0_Qd@{ zFPUC#0J=xY0nhAm9TQndeyg<3dw=&XQgJonHz;?L^>A2`R?luMdiRrZtRi*sIG1tq zr(KRxC;0sPLH5s(q75G^;DacNT={$1k{3Y5fR4Hthn%O&{J~rdu4oZ$zgV1u`dCFl zX%Q%ZEY;Ig>$Y2cW91A>o*`AVbfYKwOMp~A+lKaLYdl>ETZNy05lhOg+PAWOY2I(j zQ-K`YFg996iq2@>=D*_6+x<6BOX}IiG>)6?H(lyQdi9+>_zPX#?l8OG(klg~M1_() z>@p$Gph)B=7*1U7&Q#;;U)5l*5ezvmMi0^}G`8RLw^r(UFI@IS9Z}|4{*S881FDH` zYuI1|r9@PEARsCzAYejALQ&};f*>^_N9?jhDw zuSy?V18?0rxAA)jvJH2Y9rRe&3ozEO)FD6C0I&2pUh$$vPt+t+pw!TwS%LmR!_lz| zwWcHaASLRvv5{}z+tYuEV?wLAk{dlfp7Y)*n`N8XipP_Whb*2GLQUi5D)_n5MHZtg zO7Te!wXu`62eRk9m5+ukf^6OAt99zJ#i#4??Q2a&o3e8rJvLMFuJw`Lit}Ep)b7Cl zp*As@f7-V8b*f}-9NjC{vv9~T<>=vZ08{aPKp?smFB29-!6}jCn=oUzcw*AaM#z z&BXM{^^S=xv6f#hmZ{Ifd?eMYS1Fn<=Mt6IhhJ1$m$gYv32lCo@A3X4%Y9xRz4es6 zYoB0o?TFaRuv8)W%&}2K;}&?@@4FoKuEBlBgo`hPu?;Bmpjp?v9`!mq^F+)0DO+=Q zIzt)551wbR^n9PZ)ym1;O0}QH?X)d|PXhn(fv@#tQpdypd;6y6WO(rDLFhm4fJE8T zQcSVoerEm*?10-KtZp2cq4!}YMvdVtkK!MUGRUa6R@J&fC*5!_BPO}^_XC_$hbcHH z&9OOmh=fa2Xe|fKWBxjY|8BT(b!Rc!%~tb@MEH@**G2m}%btaXs_{Bt_>sdlQ146r zcZJaAx+j|FDkQa)Em12|=pbE2+6(Py8_~kYO_Q;(#IB^0J4yp0U-Mn;cp|4p_Sy`a zSiB}V<_q1mh4pe*(iTmGdG>Rad1@bQ><=c|d4HUbt!gCq@cHumVG}8sJH(Eb@qQt) zjy(D0^v5B){3zq&spgF(Clo(&TeLKj$8|3ZwXcc(B6(+P7Oe2Jp4P7Bxbv}yNYfc% zaV?#YRN{XlBH5rn@E~g5xZ6wXOknGDK4;%r7BB5Tr8dsh?$k(9vXa`KHoFhFjj%dW zs&7PAH#dx%TB6N2B@rH1%inMW&9I%ArJ!o-35lrpdUJ1`?rZq<>z`%&mlUD{kEl~) zTIlw&Ew^L!hr4qXn9Rl%C7&_h+VP0z``me~JfdQ!pkPpgf?u(t5!Jiw{bbj!2qllA z(H?GU?~70bt=xlpV(Vi-eOGu2Kp&-didz$6^*RLSZ&IrEochRi=NN{4Q7R6*D`s7H z7h+sBYTT_e!B9mFv=i5ZqNBgQifmjo855c*MT2WG7wx9v^#pc(B$vR1gl_?lH(-cvs!JByX4t z&oSH=)1pIkV#F0%cfogm&Q|-K7y}os!^cA8$12@dy6rfKS9hLAri+p_qL+MP$`)mf zqXkK7$-PmvSi_%H3$G3|#AC2^*AmZ3-f7?CASJr-oh4TOK#m2nJ7aMU{t+KnDp8fDiT~N(sEV6|b;e zClPSx64^=1guWGRP1$oC|4}vn$%}4S&BKbJ>m1?O+P4=Hl!fTO&zHLq2h!tDpC@ec ztMz&`KN-ooSPHv(5-DEqnd5NF_;_I+wb>#-VLT}_mjsuTP z$+f6o(g2q7-w8_T-&DW$N#`@uI=!FDl2XiL=C^69z)jrE_(JG__l&A#B3?+{Pl?kx z_zeGzT$EwivuVfsmPV(lP>)wW>aw+Lx7L6xL%Tx(avAMb zj=w_!{41AE?R*fNK@%|{9`5ye1Fp^GPli}(dn*9l;5@HZNRhytztcAJmF<{S)gV?f zH=j1)BIiAFCZ`qqa59?*)KKwoWBK4)JYdApC8c~K6<=tK;RnY_TR&KVcX2N;QS!cc zMw1rzSQmasWrQ63uqR4)90`*86u(dkBGzwag;&XEh8q}U)JDbS?c0v0gO{pu9yb2f z(B%hg5CWa_<1%(Imhe&0I3GvpY3N{1gIDT18H-1!=wf_+y^w8KduhDy&{;QcL2~p=Ppqb|6kEYVTZF+r* zdx8_F)9{S30SN_Nc~vR{HJ%}l)H!phVQlzW5tPFCKn&&HR`afae#Kl425zVp6h;)i$xj&PTh!=c3=#aiQLyaQnqZZYS;G zL^nlP4*A-b62>FVL+WhFLD>o?@S7>FH9!q!O*6avmv6(TZ6R-%(9-PmnewHMPlolO z?Yv?%Vjy;j3|gtC&TVy1q9t6+uA=X&^xLF@TC#=&51#1v=3Tu z-)TWs^QlSsW%6zTITOAB`Egg4zN*S@;yn(zz zTE4E7{4P+eKb^PN1FK;C+XDu{23pF-Tf_|8LkO1ieGE)% zcyTu5a%(WO0#15%#uB3bPC$%q9<=?eeGN3LI}RVT`2z0%&nFkpjLEpa;_$)7r>b{+e0PT{fMdri1$O9UG6n?*~6!29G}}C zx6Mj2>NWbxv6m){+jHO-TFQF@O6vwnU9TUgb5#~Hxlt~x@p#}HuM{jO2>3<|cJsfK{NQ`74_y)kYRJFF6$1PUbC}UmC-$- zfulYiyaKd5?qQX~)V=7^pRD5yNG8psR_kn~Z6M{|ryDx;oQ!7=$d_xp(-&C{cN$mq zn69FjXW5ra2Ya?8TPPB34x{uwqaX;k8r2aVJNfK}D4pg5h9+#BPj6W+3L?7rjAd~# z#G*!iq@e9YLfyxkO9~$%ix`d;|Ko3Q)$H~86C!>C4gfxTC76#IiWk2C_;UVe>Mk^2 z2K;m5Fk5mP)WJTe^_M^gd$O;J&*iZhccu;2)4PXu`#;LE8?r$g6zM(dRNXvZd+mLX zvO)HL!6E3E-dlF#AaoS;u=rg*PZp1VIv?z9t@09a85S*OgMhM~>Qd77M zXaI#BTw8*)Sr60d-tmmAa;xVN03|ce*0L6Px>SDL6`%jS_)55K|IRYlCC$vG$RDMQ zb;cqvh#0EC2lJz=wyaI~wft}GgY1!X`Oqs$XyyMv%WL~3su(n<_&D_PV!5qa2mAg; zM4qqmdBEA_Qw9qAo){3&8~GM{=|ccYViz@o*Z06)>3s>pKwT{T#Rz7d_%r(9Fa&?( zns_niW&qa zol4W2Q7ai=uf%Y%VQ=1mH}E;=b#DE+g-dS|i%0;FG9x&_r#SCfp3@;XPE zC_exfnVET&JzkUuTV?MsGA_pfq0@)7?i`1i;6-Cu%rru1gKk63xB`@!ybIn$UrjxC zUb`i?$$0MoI&yyfa?1|W`Po+S(0S%*=DFHY&t#O=(Zb;!_uE6(GXu9lRBbtEd{h2; z(a+W$_DGz2!wx$#p>_D@!Hoim*Nnp!jtXSXqrlQ%>m>|6BW(~HFn3?@L|RCEV5wSv zsg6TZ_pvB&d#HFp1`T=M6|~V@9n%FbUq{lUCw9`|9xt2(r+ZFbS~EMxTF> z#3$+9IhReD6#S*H0PEmLFLOk>#z5BR0 zSeMgqJdC2+vjEsnJxHQDRCAi&bKO+Gf4t$(D?5CKB&4fnq-8`xb;{giHb>o7%EA5c zB|3todd6u{Q+eV5JXTo2M-=V(hO&O)$mwmBz%mMqmb#C=BDc@E+y;NUY0{ZLrZTG& z@AYurr4n`hCfOF=&~BbJNnjbT1DBegV5P$SKi#r$)BI^ML>Py*l+)i_r86{qu};Y~gyJrRSJb}Nlx@E~G$!_~x!OVl zxGj4cc_NgT*H7I2wIKV9=K9uDf|2#Ow>x|O+7Ov()*`vho~emfr@55ba(BREJLQrU zId|vaYE!X#vwFsun$pQ5-rMJ#1HVcBikrm36P00qB+C$IE%O34Bhn4|P!wN$Zem*q ziHt%^%NgC6v_8vu@j+%tsw84gT&mEr9T-SVT8UbzJB4m(aK$}_e+6~XVCXN79)^ma zv@ebEAu1t^KG~4TQpoR~r!^HxWM$%Z(T(33eCt7c8*{EvKNDl37DLe*^v9Oh4;;BF z)vq6DaOGF~*-VF3Om%>J0J~Q7-1g1p$3+j%Q_9e&vRW#`z_T8Nu+E(+DQKhO=(AWt|fBdO=pclOgYvrMNEqi+jv^@i1yb!tGGf6f??;0E{pZ0oD&j zwWt;*kTi2Ll8wp)NTwVnykZ{$6CQb3@0RR4GxC7|R5P)^=dujv9#F?cQl0O?T}Pi< z#{g;;`Zr37&WgYd_uW0XZGjz?a`Ka2-2p1ps0fu>)76aEjl5pjn&l zq-*g*(R@}{q?edR)u*i4CV2D%mkOqIacLO&Q%T}VudZ8hpr`-;ae4@T3k*alF?P_k zQ8oLWu^jnvL<7vB$v>4$GDV)Vx&IFPUk!ZRs`O8Fku+BDOfNy;hf|%l4?}8Lb()&P|%!KKEWSGY8(ANnvjS14%-Da{kqEt9iO=Qcn zbgc`RH<+IC^VE$#^R-L=>b_r{3Q=VwukZ>`1*kVR4$wunahFOS_i=#8EdtyDa5U}J zLG^Mv&tft<2@@YPMxnQ%NM13n{pGUa-V*p{C#CMHME}btI493@<6v0&B{~LJA^_N zrdbg&(0sr#>{vH&mocl6^|(=)Ve>qwWY5x0xtF}qV8MkyWEQ5zJF@C!cr!7} z4=4kpK{xx!;^~lqgO-T0jkLuovEOR7jw-_s+~0DzlZchNvtk`3#8wI-uX(j$5Q9fy zBGpvJjtyCTxQPkx$*yobj6S@AiMX|+l%T}gbawH!f$tCSvI=)B z9EgLk6J*YkULLA?&>l4>od&}S4K4*4`bI---9BOciE2b3<^DA7veqB=QkVEm9zyS8 zZ`561Ti?J_U;*G8bOi8_!m%Hr-;4b>uk+>RE)v!kvNG7ryb7W)4y) zca@;8Gj9p8+US?_DquA#o4`WSM4!wIX*;JU+Fu+gu*%5)23sAt4+PI8nMfJkAPV6} zYp@bWfhT`Uyo@YtTAEFwZ-3%`5@(+xJQmy=O24&|@xu4kvB*4N$@(wdEOZuH-!hZB zpP8gilSewSwztci>6-vtP~mgd10;ASXdXZcl^7q}K+#9~I-gjZQv6Po&ukTZtIO3x z3gD`c*>Se;QOQlj%_RZgjZ|UkO6rg6w$_}zUg~Z&*5AaN@jo2p@|>M*)8PLsGyBvl zkUC^`$T9$}3Zp!IcjeI&S=S}2m(Zn8Cn^{gWetKu4n`s$yE`kS)}=aFS23{tQb?^0 zvZ@nIi z@}%92A=IClVEkVjB4x6~TY(aZ?0UIzEL zeQNnbX|NO$D>~*5hFf_#qXyBP*%PHqlbX$Qke9g5r*Gs%}m4~D3vBPrnu01e+} zQls7nsoSR>E90C_S{;FfFK(v`09slan!elzaSm!hooF>rB$esJ)m90u(bSB4*o{+XD4A%ap91PryNh9}jNYGm`5+I~sZ zHFi&41rgfAv?>UT^2LZ%@yuMuySrA5NFQBobVEePD;63#35CAMWL zwWzEXa(YDvh{ao9X$^DLZiyk%vmxS@SWOe)ysS4g?jQCcln-8v1o}wx7ER>p>bG10 z#_3eXd`fT`Ox^^14-+4|Wg`l{i?|yRq|v`1(%^i$&VY+f@SS<^NmN^H`lJRr7pJ8d zi7!=s9{-61dtOF6%rSm#TjoN^i>XL`pz8*6UGTuQBclro?lYZfUa8f&k>}e#X7eW} z&eKvyKY!KM>4wC|eqR)DonDEO1$8}u)_vu&RU>(=YJCNIJNJGRcscx~(?Q(tyMYt* zW#X)M{E)X1yh9RV@xboUt8RykqE{mC$1Z7KyRs%TxxU=R{~|{}BX60jIeB^?#c2xH z!{QdR-0!VCT7G1cV(z5cc?^@O7&DKjGB{nI z>On3}wg*qXL)_YbG$`~}T6*e3jP=)a(W Equal15.IN4 Din[0] => Cmdn8MEGEN.DATAB Din[1] => XOR8MEG.IN1 Din[1] => CmdDRCLK.DATAB +Din[1] => CmdLEDEN.DATAB Din[1] => WRD[1].DATAIN Din[1] => Bank[1].DATAIN Din[1] => Equal14.IN7 Din[1] => Equal15.IN7 -Din[1] => CmdLEDEN.DATAB Din[2] => CmdUFMPrgm.DATAB Din[2] => WRD[2].DATAIN Din[2] => Bank[2].DATAIN diff --git a/CPLD/MAXII/db/RAM2GS.hif b/CPLD/MAXII/db/RAM2GS.hif index 1ad5e656444fd79bd4f82dc810c59d693fb69159..bbe8de77bc603e6e1862c1aab82adadc6d0fe75d 100644 GIT binary patch literal 596 zcmV-a0;~P34*>uG0001Zob8lPZ<{a_!0(p!9W3>-9g-Rc2=ta^BxOpVgCtkWF($DD zrUMf#D*f&=khPV9wjH+9CT!Wf_w4uQXZuS{OI26!p%gg>J6=|zkkD|)#u&kmwa5}^ zPZ31!EylMP87_=J!cCpq! zl`2Yl;2_yI(QJ*E@%X**Va#MYAi05AaS)6Yk8RrU3Cy;ekZ2uk0ni&+qEH)Q3n{Y_WwJ|r&=x6#}q@%=3FgXJ5|b+ElWypsFNAdEb+ z^CEv0E?+#(!yw*7+xaH$cKxC5#Irz$5{3_#cr1j_i$M?ugGSgwUA>NiYe?+aVtQ)f z-04n)tW>AYDJu(I&#yI?jDrqi@(QyjHOv!T@kD=`XH!U?lv>^-1*>x%RMi}WI@bH9 zq&YrR4SURz%Y2m6Z<>B$*;CuG*wnH1so|Q)GPz-zG)4Qp!)*Ky({FwUd3!u)ru0=J zQkS39@-)mJU-8HP@AF?Ln)AFU&s}+Rj{V6W=OP7&BNN*bgPPQ3`kcGiwlOs^=FY@& ijp;95gJyvH-GGd+B7f9#`SbUqwaU2S;N5pD&>nzZT`>Fr literal 596 zcmV-a0;~P34*>uG0001Zob6IgkDD+My<6&ku(X%_ptgth)C!Qz%-Hkhz4xVJg|13?SIA6&ohV9~D`-uJ))2vul}uwe zo*;;(*BD=8WKCi88Lp%j(2b=wwZI|3a;C%v!D8|9`R!|Viydv2l{kqM19X0NVYH^E zVt3oE2n+@=(gusUd|$BQ0p2lvEa70#WLy>Z#=8@{+fS==T8h_4E)!Q!C@u8^*e=%Q zr__1D4g$pcI-ITXG8(?OJ`A}^dLmaa%@2~({Jt3*K7!eH6Hrq`Lw6o5eQ)D+Q$Lwf z&PqW`p-RKiNi?1Es^EeqG8Ldovf&93XUazQ7;~N=ViQazNjxPMcWgF7BZmWGj!C6O zp;-FT)r>^FrrB&o7c)QDZs^Kedz+T*4w4%M+i>pE=yn!*{_<7k5^NU_F5=$O4?>sj z+|XME%jb^sz>hZJcD{+)TYse6@XR+*g5d6q$3X}^7x;nSTZA3d@^zHLh{TVLOplE? zH|C>KmAXx5j2F4#^J^s(7oe3fej_GjsW!Y)l`BCjb?O%vUx<&0hVMnL20m z`v+b42mNXP)COo=n0OuMyvhuyvOY+CtoC)sT+Q#(_`Dxv?3;00V%rEOF~TG)v1 ijrR$&kRcH#48{MH+ur)6{;A}RF;bxgc=sK`bRSR04<*|G diff --git a/CPLD/MAXII/db/RAM2GS.map.cdb b/CPLD/MAXII/db/RAM2GS.map.cdb index 467e09579451655127ace82aa417122ca1837a32..5bfcc1930a3e1f259a884c135d62fae5634f9967 100644 GIT binary patch literal 17971 zcmeFZ^;g|m)Gl0#JM03*-K97bcXyYK6nAIi4n>N4Def-C-QC?`TU&N=V*{c!(; zJKm9ztTk4WnYl9Md1giuj8C6Fl_NrYY(IUn`dHXLT6GggCks1UVrH&y%-@)Zg+Uh9 z#>5<)OvFrFj4UjSEKJN?#46InvKG!J#A3!4&L78W`a%9HqF3bK=92}~f9*e8R`LJ( zZV)Hwz84xxTHuZ#YZaA@R>6yPTZ6J;fVHUaXQFJ*c}emX(h2HuaZq1!%(KoH+9sUN zA6Gpm_MP~_6UmI=NBfNPw28L+)qUQ5_w{|QbI-Hamxp$1Q`0?6+Dg@w6!*GeE4B5k zEAd3vlGeU@yP0~1aI8dj@boMgKChU-?p!VK2wd5dxyYueLDZ(>S;K&>Wt~(actTuF zQafHOmXD-*eP25hPy`!_%ah-)e zawoC9U!#~aoQy0Ba^T;l72`VTP0c)&|9iRry_x^(D*qb@|Ldo16dsg`waC}W=$};z5e6yc3Q>u6W>#kH%T1YtJg>peXCVts?F(Q=V3+cU z;VHv3c$7d&cSIiKR<>&NK3Q0*eA)Y~`re6DPUjxZkr^KbTg#xTqhr*dv6uu3N}i`d z;hJ-sb&lj}wv{Sr>c#<+*UXa89tH-{`7aYSx07 zcAwwvD|7P1FdPPstpHnKC6Vd;Xc~!Fv*552uu`=7QSGou@2ZRKgJTbwv+72;%VuS& z!u2-_HDv+JHlw5STa&m+HD8iinI=>7InBwS#Y?y)v_XW;!pj@1*K1!-BcS_!xM z80vU3`HJfug$K2fOpxkp{@OyQd(SA)IH1mx=GTy6RG4t~w7<*R&OwX0p0hCFU8?s> zQt4LGy~#pQk3dVcdb@OYfoMr>*JQe>q?-q%S7e0<;_NG|=t;WxR$_mqp>QO2)iCXT z;?O2p^5+TjwsL+>m(8-z)a+AafyV{1e^q;%07j%VPO?2RNu0^9-ZelZ*ITO}rYP(E zVOz~}@?l5nzcWGWK4p2~{Tk<9xwco9yM4(f0nnDzja4hsn$b3>G-VCm@+pDK$1bnK zDFtMpXH%L)NTKV1uTlm-Uoi}b?Lnb0144l=Mp@ZmX47KZ-xMY%7Pe6JuX|HR;`m~`OBP9#0FU$ zTBxto5Zq+ZDd^WP+P_A_XiTBPYE1no$QcJ7BC4!3oFz@QDrS6WQS6L$7z|0 zNJ#9jh|!zxqc-S+Oc>o1o9LHsUd%d7Op@ zP1^l?VV-^C0L>%Nk)+T#F@}{DbvFT@jDny}m?r^4s?q+v{-joAS`HP+_csaYRx|2} zHI%0-$4ALaspHc^9O_=e?Dut}Bwo6&%QWuq>+9A-H16jrS2J7`KmA7b(&7TP2y1rE z2Y-&nWyHPH7w%xw92Q|NXXiK9I6XCKnXaU;tG+C-@P1)>{AY}MDkbUyrn&H5*fM|+xWL(3Kw3V`o#ilW4aNZY2y5#Ojj959dP=xDqu+uNGSkbiYnbmTD>9H zQy7I8X=wloeR2F$;Wo*~~>+@K#mCBc^#0rMy58NWOCj39mN6QN8|In}|r%<)A z?&5)NADWZ~y)>P_$B^MSP(UE-AWUh};AL%^VBl7K&2hL&OeDMLm*-cavSC)!L+NCxO*}qeDCd=65wH2P1_!s3n!!IR+p8 zsx72KvDpu`k)vwb`2~zrt-{@QuQvL$S?Z1_EEGY)vzMn_Ih-3s+``Bq(6vu+hzT0q z+xJb-?e;u>>FA!eOj{Ks+Tq9a8rj@@Xu#(;{xlZhFDgXDKqHR-d>GWKSqb6YLLp8M zyP9VAe8aR!$KGMCzEHx#5RgD!p7loAz)6WKwpOuhLDV3>5CU($5$CTIMW?Z#_(WQG zMp!v4@-_V8$Dq$=Tw?Sbh`?aSuTu|U&6zjH@N&P@v%iS}zHbYJv5uGih#DMnY3!Ck zLhg`o;A5v*Au^QcrsqH;^-$mCHF8o9yKQAte3icFQ}y$4PPsd z-#w=rAARvu>kw(*%pmhG*oOs)@|8s0jpKB@jF@X&ZMWp6T{2X}_v5%?B0E7RhZc z#uaFmVFWk>n=U<;6XG)PioTkpUS0)I?)2MQ;Vr#X@xEGjW{CzpG!9IfcbrS(Ir9k! zer^}~t|6g!s;B3M^hC5nF(G`(7STJ9+U$Viyzq^k1)i(txHg~1-yr3qv^M7=Li#1s z$f04raSx7R1KfpVU4Gh^(PA?HH!FZj#fs(JKsFA+zK=ZqLdVBaMsBuTJrz^}CCo4J zeypu6N@<%OJ4x3_AV$s{Ki2k~fW`_Nf|nyE8pdHdx+k$x#bi?WlQ0||kquah&W72# zKKEV_ewgyTVm>l}wf>V$U11CA{HJ^}r6OJZ4}8a|@2~2Am-ML<==dW3`xn&aqbn5u zb!no*i zv4B71I>Yy6d^GI{6K`<*pE}D>pRI}gG#oNmW6(wgo%3Hf)Zi1U4{*#N65TqMY~V)0 zy?}WNJE!!We?A!c2S-`$^Yfakx_y3;Gi`D9hTd;{_4b)aqJb}^`b;nw-Ysl){H%_- zM!wU>Sn`+g2wUasw-@rp_G*1J;X<%t^lFYx%Ga)%v-C@|we6SQDtgyRYSl1|-Q$Dg zk2o#nlL?(BqiC)VGH)d0SlnNw;#aEsv3Ai;G!>p#Qmn7^j&kmbRgR7o>F+ z%KNG!qeXhTYA`8smo3Pr;xMvH5&-G?mO;e7`4_{pABO2gCEP zj?Y&<$pt`B9%(t2JEE|;w4=~_Ou1E|7BdfiSVudmevJqfXy2x7%LQ);lDOrxOGuvT?p zK<;<;Gq1Fn0QnB4+(w({xs(W-oU^X-b1?jLQTx@HIicyw9f`g7L)uVNb(ig(M1P(WEG%<4KqwIrxNLBJ#Fg0f{TkWA zizOdL7WfWN6$oP90VZ#rt#GAVAK|PM2b@NqTPd@ANqXqi)>Us?n9+AI-lDIbA zg(R5Cg`rkSoQ*OUoC$2G(g}WAyG_}~$@=vcrI}aOU&4~>A(##XKb-s^(fB#p=A(KG zSqV8o+s*oqrxTIKtj!gigHpv07{oC|4gF_JX6t@7x9_jhsZBKXQnk_V(Mm}`zUHVE z$;1Y=elJ&j2Y20)wYtRMF|!%EJ2=|xYP!STQQ^LFLs*8K$KyCY8wd7o4d9W}-+muD z`-7WxlSVyT@&7^VXpG6#@z@^a_Boe7&7H(<(6K?ch9;GONcmbyN3IZ=rcPFSvFet| z|LtPp<7ucA!Qw>v4-wKk;r+b05`>sY614&QET_9t3dxFhY__fQ@fH?yXc??TI z_j-zbblk98z$9TXtpm6s_y5O+`=!KI*gKwm zpLHg11BH6+Z^)m~7%}}0I@X{H1iF(#$trPPws)My)*W~4{C|rq|*g&qSE>Vr{4LynYM*vF$yL8@~O(= z<1zjUC0LeUlBPwwN2*$@K8A}WJJ3eaCSbt;cOX9Ug2Az)y>Fd_p4S7JN9+UF%IEI> zW)E^et#rEt!{k{k)ZR|~=}SMZa8Smk$=c;@8 zns0`QkpPElVO6LZj&lS|O{Pf)TH1IlIX5aO#6w%;y(D%fU!d&Ekmng8%vM7nIX=c^~aS8^fWWfwIk{veYG_Q4%@ zrXiwAK$#UO!OtRRSg}D|T}_&L-vOsMaSvR4=Z*k!gcq3v_*m?uFQ+&G5?OmBabLbM z*ePw&XsD%UeEEs1C`{80dD;;D>ik*5tKmi4_Uy|L`SGtmbn@yb2|DN<0?bhb)J0t^He?14?(>)3&AHp+k3oF|VSt;u0zZV*or)ZhUzWB|ukYt(sXdo4UDQ+7%I_ZR1` z>KcYkz+7_SfuipLn&%vkcL=|7h2m2Zb^IQbE=DW)FqGtEPgVGBQ26ZQ3Fl+UMzdo5ZVdxRk4o0dJC?0 zCLY46(G$v-J(KdB<`+iRp3aF}> zapOXLpph^B2k!e;T(+R^K%;Ygna0!tV;#-ry;T%t7QV4}y_38szr3TpU3HIy>nGoX zxh1@qP4#9i{@RpQ>w410F{z314w^cyWuPEHo(=!E7P#8-wYYfVZ{b^M z{ch~z-y1VAj{T;r$0WJY*dnI){d0Sp3~O)8sN^cFq*nlWJQL4)VSp<8EgkhJG@!04 zC><)J^Hc*7>b#kX)I!M2#T5ng@RWe)RrxYk}4lXn|vsgQh!XSuGEK96PxHpLF^7S@Ps>21tF$5ruPKdMw3vcXNcj)UX7`<~E>0DYQ3J{~?LnG?3%AshxZIIYRm+__iZUj)u%B z_a1MajbZrCg0aUm%)94FN$hFv$L{GdJom-Ha=L%;1Yp4_Jy4L!pOIhZOigk=R-^OX z>e$ir2EnTRDxefxx+JUzDaHRJhTzm1opAqY8V3I6I_;2nh7|0SVcSJSA zD5YP|tGWMJvKhWdU%iQvCE;~dhp#S9$o!*3vJhfjexCH_z$@s1jxz&AGi6f+V9_zq zA=~x3kk$s9?Zw%Vz@44h*LIVc`u*$})A5S})oz3m((-UP>cYV&KO^npjNX^4E#^ot zSoA7D-q3whL;Z>>p`pEwo0PFzA$RseaUwl8_sdp%eNxWL8{2&`KkPO3jfhc1?e#hR z2j+#<@+Lu}*~B__8I%S42vM@(3@ou}N`s_U{Ut9?6tkhXjjul4T7U;cIDtfdnUC5g zlmC0R4`CGqf*JfP8FTwVi;UA<#A}pcI_w;h1&YL;m>IiX!nhjaCO`YB(Gl9!=Ovqb z-XeWzJGV>W9Z?JxQV?#BzXI;foI#*V+DeTCBV}n*?CCF8t#q6)7)#eg)(eR(+rJcm zciqp5LPaudW}xxL3#~4yZ0`rK7clLT*~!54CS5y$72!!T0cxCjhh1PWP>j^ft4CND zh@Y)E0a|}@urE5(IzM-XM!_N9#m)UpAsKR&S7?}@!Y1M&@C?+{ph-30La)7-O=qJr zw-b0%&1UM*e6T*Q&s zvihQ@gb3R1^PuOPy_Ir9KIExv_ALr}Xig^snDOuXVFSuKE%rQi65lJH8CXA_!(7!N zpg2Pctr0bhQ!*md>;if4n?F*-dkKU`zL@yVCMHNz@8K2hHN^e0ctdwLWc!@ZjV*_0DwmEb^5LdCRi`%^M#d-V*!HvzvO67poVuvpq? z*ms;$v#pjCyuBkzQj?(g>)(1`<0T8Q6`Vp0*hgrP{wM;RZeH-+cRK+#3j^0ihEK*tiFEPLOLnsP+ZJ;bMw~OBI$Q8ZlX_EQElc zxIgo&nV;Ap;3FD=qFZne9KoXC89wKCYfdaW^7RM1b2D|;@8RNCR!w*Ag5Q-V!2`m#PccOiH@-90TTM&yvD+J zD=PibSDX<_KRY2S!_&`K26~<6qDC=E)`%~EV=06&^mQI7TD;7*DF8y&Lqh%C)s5!m zB7N(kiJm$2$?CJyu-F1$9rWXfuznw6XrZ z0UkJ;?xm_d9C@!rTB8gFEBvZ?OP_^3Brw+)J{aySDlu&@VS?2aypAi;_)E4)ugRNp zx*;;x<)YUAup2^Dl>?&qt^Kwjr%;=B0Tsq;1ts@uh0={p9c58PEF@@3wABREOLvzv zJgD3fl`SONy2j@PjSJfmg5VhGh#Z%AESpF8uvEVxS8+81|Allk;pb%(MMGo&6TlB^rLiJC!$TU93(^SnK*J(tAn zuaqd@G`Sr`hx@9fue-CgG!3q+GYKSsRh2n_h>N!?u^ z8Ve{4P9!>=?3Wu0xKcd3a|i5`kH$ppCm9P&NL-}#PB`-}P(Hh>`8*B$@lt06s}Y1| zUeb=2gvUjD0n?4zRa*P(^|Uii_H97!?MFHFk(u!uP3#+Wn?CD+Vs}yNIi~K+(?y}9 zfjpavl>$@>d2ePdr(-`M4~JC7YO+hZ1+gV(@NUz1Ruy|=d&{0{NjuKiWI=dCKt8k0jmo0?16+ooHEaLqVLS7&B)9NAcfTRj&Nq)M>;txPS6-V4c_i}cR-KxH?v-IHrY zf@=c<+(sgpRuxV_HiUC0ivwf7dS74QPq*!~wAz0-MFL>;(eJM(R5=?n2Kv=*Z6Olr zt~+v@pC~uG#&}#>eFtqmpU+>^RQb+0_%%3cEdIoU#fJ6i>1tg-7ap(*pozxfcsvcS z7#rcP$uqgmEV7NUhWGtxz5YXDE9R}4jc@V@31J~Y-U5bQc#da9I(z}3jC_#N zN!jD1g^s+ubMQk!)K}rC6&zM4%>)u-&(7@iE&iGx-<8v+Tu-Sw~C4#2!h{d?V)iti&yBwN-?!*3+&~Pd)*aywz zxzemXLfc@fB2G|T&DhEFRc9v7-%GWi3v9FB9Mr#MS$%P=!qd}M#@Hb!Wg+Xa9u^## zP-E!MzMYVe^Pnq&7)t1+<$aJ`>bO$J?76C`Ks>^QK{6p9AiFbsGnz&O7Z+D z6iwiPlsoY=(YQ`y!%^yeJz3Dn54}_WWkP6hOj7(rUo4LfhEH!Iv}d{V0a}VK!2MZB zAc2*gF?yGqi*JZTsxKwI)T=D66#tUSh%iwOaTPIEDOt)RZr(n5l%AQ1Ke}Vkh8U-Q z9`Ve6j6k)xR>oouEs#0K_TBOi;WN%0D#D+ZJoj&tqL8w%&|@~!ys3FjVt-_8R@XSK zpL|U4QmGP2^p1gSEsu|J@|pQzL5ow%3_-1!w{u9}DRweZJd&r=^-JVoD}OKJ9j*;@ zC`-fxdtSR5XP$AFOjh9jOq96Z58;klEA#0gS?08@UO3BXyV!ig#J7!Ss1Gf&if7klL}7&Z7zv?2Ih{LgOMD}5G0^VNNr*hT75`K* zq%Q61qrW2LkZM)F3`Cpz@nT-By@G8I7deR=K_E9@i-)Wb9qfx9HCX~+OL6do+?m<{ zo4Go@36hI6b1W3KNo=ijnIB}XYm{b1HfEm3bP3t8g%QZ2v&D906boJdrk?Wz$@Tjv z;*I5(SXy1ZtNopY`;$;_dVQ{_%UN*9pj%nJVCFO_aWl1Cvc<8OQ)hriI@6lXT5;&c z@gATgo!|R%@|)iC{Mt!bZZG%w5S{(~YHXF>Q=sJVDCLB~hdi`;`j&Ma@Xj09vJiD@ z*}>T8IQ5kGOAeA%cvU9xdIGv8Q00ZOs_P9Vx`gYn%?bx|=L?6%QHeL%@4ni8{c(1d zj4>^g&EHb-jA1WBAAD8M zoIt^Z@}*Q2QlXgy5vi=^EIjit+wy#W0+%VIaql^#Gvg&}Gn3@(=9Ek%q<7s$1g%!x zoq`(p(4s?ooOfC{DsLBi@5l!k2PKbuZs3nzC`y7va*FD^>|(DSeOeJ zY42|k^ivW}b$V`}{oQsrXH8?R@HXMc>4T zGyBTl88`+mOk=pv`@XkIkJpp%SCRB}nN-}|J9EO*x*^OJ_9YM1W#phKUJ_F`e}b?N zJ4=&M2PuS!jCCzewdQQ$wiC3X7~zbu4u4;;CrZsE5kwTa&iVwBtG{Wso|KxgX8m0P zoKg%NDcKGBze#OUiv{VT-wjYR@b$#&|Dn}sG+&y7!x+1Epw0p>0?+mu@w3-%%Sh#T0#Sqay^AN$?b-@#yGjqN z4&;jiS~G&l#cBT4=xhF-^-Si6EOI*)|>iDU7QrI4fa>=GII{{@sK{1`ho64%@gMzpHH!kJ0c zhHOLtwi6oa!~eA^^-iU5are$k39m(sPcaIL{m4QfmSa2?)b@SY=Nh+3oys=5#PY7= z_b4i&SO4;83Ove7%YzVm!x0nLVd8AIjqlD@`>SVdcm5kP zt*$39uDg$LqC7Tze&GW<7S;oY?&_1~p>mgg_BG!TO4pn19gQ_uRI=lv+O1F7$=d~m zEV4FJA-CVU^cg$LD5TDQPULerAaXOAmG;B+EUJUv*M1zEJO9nS8(3fZ@jk(PbHCwO zX{%Fk&RlpY!CYIUKG7n_`f*luP{~u<_E(DfU07pWT~(}O`$(4x&1DJF-6U3w=?Z4m zj4o~9Cs9exBDHo1IE}8k0wNq}ukC=^{#nxYQ@dMkPcSw;A+Dp`8pkSUNa9bJKj`3! z<5?NyCEIz^bG)U?AcRcKJKuZYw&{GG0R{(K$gbWgP$l}0*%1s1IXak^L5gyAkkhJ* zWSX1)82f1+O4O!9OUw50PJFIwiTzdJ>FpHt&9B0JN-Hu|=TnVZ;{UuG53N6o6ESmU4tu8R5 zjPSAsi0VKf#6_L#eAL&e-OI_UZbv%fQck~_=_?&A&&N}CitSfbv|cgEp*@q)>L}>O zIx$D;_i%`QeMtdw;i}@mA;vR-7ku%Ij)w@y-*$o-

    o5u5E{#Mr=u`gS?82!0#H z)rYwKt4pH~obir8T1tc&9O8mDPKMY5@1!B26|jKW)A0oF3LqR?q2Y3w9`c&_sPoqd z-$Ll_aEad%r-nZH(>wz9RzEprIeU>86UHvDIt)TU_I7Zv;t)>^Est?Q21&-E%&Wm{ zr`8?-3mDRKwJJrv7X_(L9N#D&O(H`)amyG)b*qxl7Ak=ty^Ve_ss)9?8K?+ zHI^ebMbe1qyK3AD7oJMY#3S=5>CK4**37FIA7qw4Wj0~2Ufu8pU1f8gD!E2Ytn?&F z=E>sFJ20E^Xyxr2?=}-gxl_kV9%3k>Oy`ob6lj#zurAnCks3);Ws8#Xm7^#?*~uti z(YO&PRq9+H@&XQhoJKqN0AbE;Wf%32lq%7p(s!g(Wiyg_!{^gf@5(dAvDM_76yT}= zQBv=AZeWKv>8>px{cCV^aeQ~iW~}3%^AH}}c(LI*=qS&r0OFu|>p*-INt4uJ3zb}z z@P5l-^&%F)L^YW~m9wU}zPf5MYMgoI6zd!x$p&P4c~X94!7z1#%x4?9gOHTlwEBVa z+(Bx33kN-N@M^W4C!ySAvC+?>iW4GJU3XF?yr_oXPROliHl9~Wx3{zvDd-Q-w%K)XwqNH+ zOn<*iLUFD;3sz|IqOW>s0ckX5wNX{n!XP&I=-o1_88u|1@bo3pG*+WiVe}oj&Nt>Gen)b6&nxc(cOh>L+E3a0B-qkNgkyw$$?OVRxLm1UjC_`~>n55fP-0BWLlSWQ%a6QNs@J@SVj3aP{hAVw1 zE`8A0t}o&Uu>gTz&Vq5yrAUsr-zsmWvG7gHxmE>aRdM%ON6EGH*bvI6qbn&Y(A3Xh)e zu$n&nslH~MuBRHjnxysq3u4}n4~@G{i?=2XQp#AiE#Hm|W9;w(w$J06P0^=8rAOFH zs|NhqjF$2m7Y{n_xFtx#E;ZAWx}g}4Rz)CB78~oeDS^|j#kw7%ZzBEOW{2g&aV)v^ z-k#b|N6OIp{jQm&jauzdh1$2WSQPY}Q+R{&f>8vMbC(w3_%1$@v<5U{%`!2VQ72?f z@;Il`*+atgJ1OiTuEN7@jzK=I1l+B{VIBO9mLK>{tm^)@&Rc0Gv||1&}_2nfuB)l;1>t-|07h=N-6 zD7c~Mv5_mr7(?R$+EMnL{sA5BkxI3T?c31?70NQk?P!cH!>gnwXLszhuW$f9PmFD# zcld}2+Y3gGo569;i2ECp%49U?kTbyg8nuopSKnKV&3PAKNsWY8*UDOQ%0_lg8*tUt zh1&aRjc`BF|?V4$asTHD6bGSjz{~;5EJDs_Q@nB; z<0?4+#IY|0Sn&P>2CIgZ%yp7*KVUn!Y-8cg#gC9lYAXsgr|py6k6c@0vjs>tTo!kF zjC(n4b5IaEZ4M+!+ovwIKqr>5NSp3(ettxNAv6hr(e;eFT_vsNa;C;YAySeb(A};d zQd38VeaK!i(E26wQjhE_gAGLvwVbEibP5@vbJ3*8S(WWbReONB4Lf-PX%R?#fwyV) z*Uz1Bw#Mfy^x6&Rt5sMfw;RC-A|2vC)kpw1Pvvt3TV_ zn)neGR%pPG{5H6c*`sJ3J@QfnVX+#H?RSQrcnc?eGBH~+Ve^FFOqk{PvmB$;DG<_N zhS49IP%N7V{0E*^PHP>D+e7b1Rj}a=b&ZZX?c`Q(BCWBKt~A4- zrxcTWW|o>4l7P}%B=wPUWmdqU@}rBYpe|fe7KJUco2C_&JAuWi4G2hLm5NdPj1}gb ziX&h%vMrex0kzf3{wz7Pew8YAH3shP;ylijMOD+r~>$FXlCC z;8|v{qhsr_@>3Nnl-&gluU5^>6DQ>o*8z{5J7Tr-X6lrA@_kKq-<5*UROb#qiW#;a zZ!+oVR-ChOup0|`QA{^{BneZ}w;si_Jn2eHC0qBJ=7!}1oT}c7O5x>k0JFd*8TJ587v1i2a)_kmAuRufxyEQw$spn!{ zo(6SlQq->+ri)W+lP=<8!qsA&43LfqZa~g;VBnayU!0(fpKJN9L8Mk_&75w&9tAOy16L z%Q1&#Rivi`7jmjqM zb^Edm0V|+ld=2LEMV*Z&cTIyv?cRp#>Rz{~3uLRHP7o%})(Ya0b2u_z<6)JHa7Y@7 z$hUCIZ?SH1p>}Dy6=mx`<~{61^2uRATd3q3MHRO-=-9-ucf~%v-h3LJeRqjp>Ovob z>r_}gXM_(fBjq2tcGM6cWF%2-v2;M|dPD2;6GW2}GzLQxemWfWPeh^)C-S4 zQ$}}=@cg}~1oku}v0`^*cb6N60w;dk#!|GGY`^2vPak4x0dYH50`b!I;_a*gaU}9e zN*rcMD&mrg^qB-n)8p*Ip$BPe7H4SY6@h?_c~(v5yIKU5r=oheNA+)OuwlpQ?$z!- zQgFMVZ1|j?E=$D1I&>ypMw1pnjke~-7dCaEC_8Rz-^(~8p)7o37I4xCE~*S2aFcz+ zYFMb7^8#-Z@4T1v*oG&?&iGvJ(PgG8YQsghT~|3SUQsd<2pXh=(No@J0Ql-?JO>L? z%tsR?tzK!>WSlgI_$G*6&80-648oJRbEV`Lx(|?{o5ZFLE`*l?Oie<} z*YK_}wvb+*jufMIbitK~{#ZYIW&NJ!>>)F=dvPG2TwEj5{0*P=6IFNuj<4vh7$QlW zUGnJ-tFnn}wY-*eN|$4e;#K4b?!sfe9p6_6djtwcK9h*MW8uU1@1a4KmxS9=T&0_+ zVWovCFD=yU^LudfCmwnqQ;~_F88Fbk)5If#BJ6!oqhv|HjErt-)Hdc8pptl)uy_dh zNQCVS8g=>xis79r>fsObAM0I!{Wh%=#e=#_w4LV;Y6nV9|7`Gsi;U$+aL4`M;pr|@ zCvfxEdwua+VPKo@e|oN|jct(b#IWa+4dO87>-C~b?X9@7Vr_hdgg#yDKsY$T|X z^wuG3+{AONU+yKmZNZ#5>Oq!Nu=@09TwE$<4{1mQp-R@yteLHiYMZv#z>uQbz)X>? z@~Fs@EmCz6jak9^gEZYXzK*rLuf8rOR{7wj@d$3)O-(I$a)##OES_;9MOy(5Tf!!K zmRE#SL?Jjvl3x?ut#WYLrC2MI*=^z3eMQ{s8vP*fg7!N$bUSKo3V>QD+n4;@)c&Do zyfMc8`sh8+jIx_R)K(n`9F=!c*Mr$`XEc<09*~`fdBx66W!Ji=nSI~)!H$~NpcmV-L z$d?SN;SVmFNpAPxcLi}I&f`f2aj)%))3~#Umv^X-uC}lAhC2p2xG~ge*d)}B^RpXS z`(|@XbRQx3ySG@yCTEC^KS760NoQEt}$&jkQ(IF`4}7B z&CZ5X>vE0NcWws(-D;ctbMEDb=)wx5x;5e%B-em|m~vQzds33JI6}G*bduF7Ggv|iqMe}ws@y(>48=drdXcZ&gZoQ<`j4ga$VrX-m!el$^wNZgAL@So)l=`%t zoz|tdxG9A)*1sm`TIbT7zvoE#NS&P%AXiQ(G<@|>PVrp?)t>r)#cX%@gpDVQx$5yv zd<2Vw<58SI>*i^S1zAr8$G~!P zO7jKh$%^A9L-B(X88tQu)DU^Bn#pd)&SBA}&uf&HM7_;x7m{5eyEO^yqQHlJQckzl zYa%$7x)RS`KGv#mgBzXuQlJ3GJ-adIztILRBBE0mn@PYS1H*NZhBCWLq4{e=tp|BQ zqVa1F>f)g;#=ynfO%`92X6>YE&$l0;StYBYz|NtAqh^gry`?5}I&q`*GQVM#B!}9q zzJ;JkctOUXt%urmws0S?ZQ*gc7CpMYleP0vBwi3QZLJXgtkT4<9^>xUK0XzG;VyR+ z&iQw;}m{(o%5o*Ztgo6E)QD9v;H+H$nX155DY- zXsCJ8^o&Hisu)D0Ulab53)&zLd*?z}6B`*nVBSpb(WbdQ^U;vr(-!}+BNO~(O^Byd zUYk(+Tv(n*yt=4~&5jE9fJqoc3n8y8Tz^!7CJ%i_5}jw|KRL9>f#)y71p^C&yLztG zLpmasjj^oB!FY40Hk4jjI*NVxL%l?Mx=Q780^l+duPCzXhnUwk%n|hM$vkO09~C&G zS|3i7eJfQTV(o*tq*vE-d?Me%8^R#B14HfHARzOswoFY!TXC2D8$Jg=Eoz2lNn_6v zj>a}pL=^Izp8~iq_KUgcD+?o7pDAy8Sat)rZ<{3xz0MZFZ({5$=?X(T1s}?AX6*cI z7vh>%#|$Riy<3{$S*Z zDOLthyD3AV{vz5CLz@kU`xRg&R1~tM74BC26QLc4g_%8@#Mjhy{rnYdv~G_?hEB-#h<>x>1} zq2IQ`^>u=C|4f`fabNuCQZ5nCPOCw!!kU*FS^hWd{kipIiGxLzgv<;K*C*lbV#Vk!n#^l)?)7Z9 zh>C}7P{$EM>0n}Ga2_xZy9v?uyAz4@0}#3xr#7cA7Q=qmO{m*aVivTolhbOXJq0K~p9zR-DM zrb~<0NWY+9ArK=pR=JiFoSewZnfBJf2rlwAC0yPnmnPt~eE zr>koBwfA-HsxEX85Rl3rpx;*z5bN(3+jpsE>fmSzv?FHbVq|9gNi6JaX=6gn!TFQ; zCl?b73lqyvW-el7DPkE*CsSfE6HBM>do}#P|N9k-BL6KwEFu1<{LfWP{D10hpyye> z)9Nd_TSOXxu$1I^nh8e29Lok$1Z);*@!T?ou9CuJ?WV3X)9{|{q^x<$e>BO=9+5;p zHCQbq&CLs>k`=i%S^JF!q_qEvrlYK70T7f+3mFps&PpjI7zm>y+<&w^-L*b>U3DJ4 z@lD-%ySW{Xz3@7AJ#9J)969pmJiYvR;(PM(<=tXqXMeCtUMiE3?G!qYr3EUwmybS` z@fxkUTCSx){us>wTAp4(&CbgKubcxtR)yV%?8qo$N9rVpxHL0_awUmC=mS$2ltP|gAbbBav*fxSUH@=Ww&Z_QuGc+ByN@W>4bSWY&qS|7&<}do^je+?vkP;CG6We80**0VtPp*ubw6s(YzeN3j1?8R zihPm72Tpl*EG$Y)0HYcWYJ|!t)gu4Vn)YP3_(A-X1;FPSX_KSzh=DtoV=OS@--daz zarb?$c+MUa=(FkC;FbQ{X7S>CJ7B5yc1b%0zcWEokgH^{W4DJeQ$lzAq$gNct=GfG6JXeR;W&yvuh)FGB*#ur zy4EaRiVu^4)d7H`J1>R-_b(UO$7>_|xS}lqA)SM}Ny@KcnBU;|t&5aZ!xt!cN?Q3y zT-#A~Njjr+^qZI>QjlaFsf?!`md0H!deapAI377m8Xnf}M1)U?A0y%}g0+C-lQxg4#G3KF#+9_&mM1+8tRd}4% znRk>FTgB@z$}FONR5}-p7yC&NVZGDXjgkfRhhe_z@^v7-zH~b97lO<<= z62S73Cb%eq`@H2wlB&Z6@$?|0@JK)lslwhpp6##mJ-_)!2x1@N{7Z(iP@YnAH$AVp?_*&91@ai?{Q}U1 zcHEN4_XqmgxQ@quI2dW@HEi7+5C#^v^LBQ=S$o7^^B)CJJ&^7!6GpyWVpV#S9en_u zv{#ggCqw^uM(- zL)ln;4*6Fiv{hf@eaZT{H>GD3*r3P08MbU)bkDz*hz12F8=C`!t4~^m5(BUjdtFhRt_Q1jJaQKIS_I%XQ;uj) zb}NpDx`H`ZgJj1-o@QJmsYVfjmF3`6L* z``rJ7>%#WfrG*nN#gZ(nixj2hMa~Q3YWbEFUfS-iv0P$QhhDNinJ~m57-tf&dBcD+ zWB#P6xMri%8~pDGwxuweyA;oMK47@(tPk7xWCI`gyypLfQS(*Ulj-qh4?`X$VVPmaV%?hI#k1Y>@$r$Dg%rV%x6webr{RkUc)3mXhyQj7VAxAr2MRd9fNx_M!9 z2(^LUaSs5)&C?d;$D_M#e>aLLFqzp3``dFOWxu&Eeqw*1%tdDg9?c30w28SJ1P>UD z=E3L)YIhP!#OrY)q4@HOTCce&Z|#c>wM8?7V|;;lOy8y43Bm@lr4B_H*X+E8(=h?s z5>BSjS22WkmJ6@57CAr>bl_$TCj5T!3{D?=j_z5kH~kuk7Sp$O=zEKIcj;ykw?nu3 zkH53W#AIbl{g1z!cM7R6GP4awD^mh=FnDLfA&|`G~6DqVhWagkXSjDd^ue+gbwX(IXn-;-v!tS)AWL0G{=583F~!e zgG&h+aVcck>b>3n?AhP`qt7-H3CS&-v3Nju3M@Y}8^qb{xXwaZ`!?ywKWeg_Q(P(i z{rQa}Rrg~f6hinFc|mY;VYWX)8>f7tdlDV7I1b`@k4Y+$+fn*h<~j;JpPuWeSv zHhKOlRGHNla-E|Rq7R_av%2~0s0dw3i|(AI3WZn~G3ZYPSy*fx+FYU1Eg^1pZin@S zRSelod4wD`wd@abMAce9l~W+k;IAaiS3aDjTi=+KX`y+lzbOg*?>)}$nxTck_=CmO z@rw*-v~Pz96RMMT>y6oJQbIOf36^Q*$pNJ7aJ23sN8Jjgya3iuY7`RwOJ=rJI)~NV zvlmd*YlPlb?pv#;(Rbr%Uj`<3%!pz`a{kSE*;FCda|N=*IMxn1?KU**6dJO-fE34` z-0zO-gQ0xB*d@sfePM8s?7NcwLoX8?xS5>VNvlEXOPJ=*soiMU4PDu6A?B&9=N`8t z(K|$te|9#acj1@Fn*W%WcqXGj{<*=x=y$d~+g1;ibXU~VoMF-mZbO$ekRRX11rzuM z*7a?NNwTUvKvEktt!-Rd9i&A$--dS!6g5G(@WtzYc)o@`gk6uSdo^bZmP|%0;QE~h zEazik^p}O#l(I*BgO}LH(P^6#n}w1FPg56ARfH4P{U$=GkRsNjQZcMBl)|{$C82;(EJhi#SHW6AH2&W z>`-q5#*&#%v6U~=yb!kc)|+ApmqHX`*Z=|EATCa>xULufE ztXv_L^t;b3%MdRgO!JZQ38vd!rm>3Si68uenwCXmiY5M7t08arNQprm&+d)B5>xa& z74?SgKg{N)tzpF}4(L~OdlbJV+x_v$uQ@tv5`XGs)qI`NrTj4o{<@ z86NuvE5*MzeMr2xo?vV~91(-Y&JG1r=kdG(m$HfEdL2;pBW#-iv8#He-8^1O>T85^me8l$jA2u&M<}V!bLJUjK;eBPX z!}L6hFB9Hf(2 z%ok^5y~<^kky7Iq1HhCWQa`%`7BI|2nxYCRJi4lggHfD_XrIa+Amm|D%5M?2-#}!{ z`GnpAuq6L4c63w8r=+HyUVKYYIU);FW@alhvXcWV9! zs-LI`vN3a!dMVfuhFvxuS}YiW1L9d+W_PHxN)!!8Ia9A|{%^ljFx@ zqh2ga7NA0==< zxIwK#iQ;e^jzk0dd(Cml=^uWLT$bVH-v6PVuc|Kb$j#nsA|H=i8mVs1%;Xmth75Yg zPDZN=h1ECAdZurD{IlDR#}+UEd5R}Rp;r_uzM;Y+=JwM>;G5%EevS^O-{tjBAMgFh zQ4IH*NlWAW_K+~BJ-ktwX@J+#Z^F7GU>H~RB&ny*NNy0{mWFJCK|m4}=vN-@&3#9% z? z)dB+mEiOU-NmQ&Ys<|U!zmgP59Y5`CISCLl(Ep3seaNkp*KSbt@%vWDOTSrN&yo>% z&1>hwP%6QTpZ{<^S2Ouhv1u!6a!6qT27?=ss*P{D=1)0F%kBCw{YkSi!3vr4v!hI4M|*NkHBp zcVPbZTSOoH*#BVCq5cC?bEe(9%lOHJ9k73?@l(I$xNQ zQ}pK26aSB1fpzaWYI#XjOZzdi?Y0bm)A5_Hso@KoC*c;Clr8RCaYFv;)AwW*`CC$1 zctK9=PvRO0=>4;;L(haC{s=Gm{wLd&3lSCalVUUt06+HK)I+9lhdctCn$lcyom5^X zHx(|7K%IxaVr~c*q|gRbN%ox+{7hID&EWrtDXSeuRMAvTqEFD~c{< z6LMc!#~X{PuGv+RGf7XgkN5xMWgm)hgNn`Pp70<}BRnpy2zDIEVd9Qu8W^9Wv< z=ez8O;_5CVR*I&@Uj`fY&IrDHW(J%jOrMFk*ET;$PVE5EMop9H zQgDpLpT}{)le>v}soKbXzR$SI4Z+eUyQNyBm0c^tfv1%sK(8r0Yc}q5vVK>|lQzMl zh|m-fp+YR7u=lPpx-U~FQn)8C456+5k|}o27`-o-m)OSB{m@n?trwLcPv4E}Sl^)j z-J;pd_OoY1DiUl#U<=)D2Tm2G#}T2>j3%m%;LLY-?1d z|FL0j_Vg*zG8Q*Z3gO0P*_)r}?g2GfkDq^luj@Vk?_MV;!<1^+IrnqsG2K{4E?PE& z{}AbuAu8d|A@OxpdGmKu=DGM-S0+>+7E>NGxoOC;$)+G_75(hLA|L+X=c-rt1kd3P z!L!YxIGj|+f43XdO+9xx-ID zN-`w7;o8qxASuolO8l!@A|3zDtT}BWZ&KGzrt4Em-XEECL&K3v%Tx9ZI*@ODi-i`C ztg4ou8xGQ0efu59mfC9m5Ow9N_nn{8s*`GZGIuZc5>}@&5`oCyix~0}-y(&mv;$`! z5`_zG7DICj^K`!8v#KPg_%piai{xGD{R`R0=<{HpJNcB`v7#dsE^zb;Wg~tV;L%}q)&CJrk$-@z0mXi z=ZHlaE_nySft|_}IHYUV0ePZVQde)Hcq$32>Uzc|A zSTTOUs~PwkMpjfL5Y!y<5%TdEA{C*sj8_bFGw|?LgI+l>d4qust0~0E0khD0%KBl* z4RGkP`~)hWzYx26B#J706rC~Q5Q>9wVY-q?X~vHK(j<}7X43!$6e0a-TsPhi1(Mw^ z=nkQ%QvXR}pkYna8&5pFm6VOcWs&}x=^{(P!|IE*GE%CQ^H*E|w#QbGLE zW(imgJ>t7xNY?*w(y>@PfWD!Ah~zE%raEl+Bg?+yv^*7h9b=~!e`mrz1V*9JqBZOw z#uy!piI@tb#-sJ180J$FXkp>obm^be`QT4wM$avOID6AE-rId>6e0wM9LZ7Gyoost z>wpo6R+7*I_5J+~Fsl7$($?_eCvGON{^KgpZ`zu^&Hn6-Z9TM`fWpnMs;Ja-s0lG9 z$5ni6piba`tv3m+OaEdB=5y%NoU8Y3t2fcV{vohK5Bm-oL?oR<(eNiyp6J>*1Zf2% zxIXCfTN8P^T25xyZYfsWf!@ zs+h#V5}3H=m|ePe2yt;Slrw6+ z^J5RNY`!sX@Cs--3cez@L5u(0j1Su^^QgR(!eS(L@^DNtpF{mr<_g)eBvdiV3G&s* zTwM}u<2GZ*AlAv*f~F?|H8n4>Mbh16Cn35!euR%K5`gh*LMQh6-E{+)iDEYVx7uHf z3HP(bnbKWxy19T_e@^c^Z|Ju2)3e@0b$1gZNWtf4O;XALXYxLO~VX zwet^(Wqn{3BE2cEm=Lyo)lnQ~A9W%pq564b7Yqp6Tfao*n}S^u34J|VS}AWaTIoC3 zKi-R2T1ce)&JWFKzKOOc?gOG~`EO8*C1qHDYj35}66PsBpMZqk%ay@^+E!IL!>N9G z?A**XWw1+!+eV44u-+d`^$wD4IGKR3sU&RN<3>mDjdmpaqR~i5h2oVet1fjihs}(vjxz7%I$PjdtnkM7?~(97AG_K0$AvK; zrrN1w+poCgfBcC0Pu>>XX7^g3Z^s>-B}&d;$pmY(5D()SMzX_NR+-t7U7~CR#f9RM z-j)*yqkG7WW^EmS4eO>w_&~^hp#ou~U%efM6p6zps zleKd{N91*DpH2E+F`9d?DIQ4Yw&(<5M1A2B?14A;9%#bb?uAnSGTElS6>iBvp1@vC z*RuC-apuO>(avZ0<#14FE%Idq*I9w9Xr^yWtj&j+69a ze(ZE&c#OgqNOpqxlS%&Gwi{>K#sR8q52qlZ4E9-D_dj+|;0!*QQfOaA#e`c;-g`wzyr1WTLxgLO&&u+A?H4ysh(~!%GZC68 z$64V6Tf)W4%GHWHbzJ0l{1lU~kflhoJkyM+GY9^PsRJ4~JX z=^io1hK<@|@`OY?Hu$_Ir|Tv9FUk>RYg(lQVs<)bc$z*%wLVa6Ye4M<1jsLz%Gd%X zQtwR$Y};}qRHl9*SlKCXs@n>7K&H}Gue{-i^nZi0aTGf2K zv#QIPqIz?e@nOs{6#!DbwUVt&&7trP8HPCVa#FmxlLQ?7@+sPD28TDb{b}S#MkX};Y~&j6P)vWdPO8bAcj^&$VDI7f>t)7P)vFnsNap4y z`-cXCOoyw$UdE}H=y`*nb#*3f^CgwbMiKDFMqxBekdGB3n_iI@DbJ8SWYc}QcylD z+8iA7n|`zPZ|;Zb`{>tOIy9V!>0GY>tWOcm@PDQxAN=4@r?J1ruow7JaSl9lx6x6) z!c=Hw`O+>c2ci;gwAijehptmz+aU=2cv~WXcB6Am*KM))v)|^#ANM36aq6H=yR|S( z-0C`B?fA`ZUMFV%&Aou{V%!Z>;0v}wv0%2 zK42PUr}W9?lx2CYmK$S2j*sJM{6|v5CfSAfd(_f&{@Ux5Zf0EI#`SE%U*apRWE>nb zWhgD5Dhm|EN?&oUz*?kwnu8QsLRq&TP3Y&*#*T>zhblkC#~YB!;U|sIT_CuwSTCcz z&JSmCE$_M|QM||#rYw1msW|jiLUf3kDYEUmi~Z}$PDQymmwrsq`BN6Z=D0M+7eBd{ zon2yIsGb+w&bnP)#k-{1x>(rR)#vg(N*wruVm-S{?;obh#ePs^QRT=?tX8U?w`+I$ zW{_W4WTPtDA+gnhr|3@H&6S_Ss1%1?rM0!9{APLd(Y6v@ zg2`A)CSgh|8v4vEqXOdL*r*zL&AdT_!mLDBn*w2wr5tXL4gHgIWT>!{1)oSFgk&=;#p!!!QH2<_+#57NxBN zg1NP**ae~Rtx!GIaCA_vMZxW#EKBKdw1=yao&!<{lU=~`T%~v(AHW|i{4jIoU1gh; z+TPw)5hK=gY!@~IN}%>65*Joq{X{H+77flV>WXxMZXRs!k-vk~l5G; zbSlc4%$ zLNv?*Je2t;(d8pvHWKsiW1Nol=N~mi<>qzG&;G=tQcF{A((iZhmwG%+WgnZ{qhe=h zv>XWIFw_iclSD{n(8bUC27w<4gsP6So=Yrc?0aes3y5aSl{cxMxarvwXTl@eHHE+R zd4I{>|5p6Oud@R7_%=)FhdPn1Ry|UIWiCTL*ps%AWS*Ho--JE;s$4ochY-q@Q&mZZ zO(mob+{Og0*WDe1n)#4p!}^@| z+c~PAmir%n4Ku|b5f;C#*r{1`eD9jtRa#W!CJj-Z7KkjyPVL z!C@-tdeBWf3EE|S61IkWCv%;JY}YtmMm%&MwK+tJ9?ExeW77r>_bu(z2eS~;F#Fxf zmg327X&;?U0L~iKBAY>N{bjOuT&A~NnRWc)oKAMg%GWxina!1}p8GY{j)@K@MpNf; z4#Wr8l6M2dq;kgfYj6CPAyXK-^=mvX8vY0ilG_Hb`YMKDms0RpKnk#qC^wP z9y_oQyDu*!mWbj(421mcvJT1Y8-%6KWV@H+>?>{1s|Z1xKx^`5tt{)C{NEd!Or?0u zC!GRYYdk(ZQ7{}cpKjF?)_fekY*usC_I7B9C$ExMwgNlIs?97ovfVt6nO_}ClsU_G zYwxvsnIxg`64~ZN7dS()lFnqSR1+>&0-I}ZT2-q(>-Q)G4I?f3@e>QHq*V*=CW@;1 z0~MC@Cm({qt8E-{2uy($ycx&up6ZqkNu9eH4WOPH@n-I3wX9>>F$I|B7Ho#($Qt%l z;z)=$vEBr(9_pDMW1T#hY^|bJ^W9sPDAjG2OwJT7Ig!Qh($aRwc~F5uqDkTkFgd89 z8G*I=?y3XqUOa9DgDe^5N%mDc{2T%zewYD=KA@)=HYd&_xrs$9WqudS`4x zb0_Dv$_`AmjN%GNf(&b1W1y|Am7G%v5C_Fpn^gC=uKH5m=bbRX(vwf0&{TFey* zIsa{F)q`3+lYd&8Z|IRP+y%M)bKvPPU#}0F!30ewc(u_jap;jeK_}(0bbWz^i?P;6 z`8}#NBF|rYyBXyTP_23H=q`ipLXR(_PpSG@Gy-;SpOud;r*F-OUd16uEl|&U#7-Ea z+iTJX>Cn;>EAv97pq3$%&`YYzi&hiY@T%6Ue+LUWl2)~p!&heyYu3lC>fvCJLs9)* zbs9fKZ`&i==K4iXn-2}=7d!s`EwmQ_+}qa7QOMbvu43~73B)$bHYyK2{^ zY7VQ~2g2A1BPle_@{6(on#)ugcgeRfTW(|QJsDd=Q8j}ISc^IgEJe)?P`@#ny!iUN zP>q(<-Z-SsZ%5@fpiwF7)mdk~oVw-AyhRRYkly<~=?U4?iwt=p^_jE-m%ePL_#e6^ zQP~TJciD>Tr7Cy>q%d(b2 zzkA+;%k$!n^yVztq_+K-zMmZav$-O2Lu*Ir3p2T@Q&w`v zDE4S^*yZdDXx(jnHDltL`OOtG=e>4bceI2nB=BP8 zSn50#o_O8-HMSkahL*6Z51va*QZ{2q!)$FO^z%Ek7dnj%v7)04=F|nc#wEOgjZGk7 zadryjUf{@80d%qgEO5_*ke11%VqR-Yr_P66%o@CG`x$MfEkH!N9|&w@T^rM->IHQR z6%*^6b;iYTIU0|?PuhECi;afpi|nBXdKcQtu^Hy4+=%2TTf7XCl=H)!);%P%-1KMI zuZs|(wmF)bRxgig3q33B9|8|Om9bs&OaEE1m>_<7eLYlOH&Di7;PO0XNh4X>qqHG| z=#){t9&q<8gN$16G;uzhbNBS-0UH#_2(ns$CQErnAEHUVI0nGvo3BL7?eJBMySdS+)d!A&B2xWVFB>*0nm!TY-nxCn`{Orxv)UY8kD zt1D0Vqv2QLp@zdXAx%O-cenQOk&ug6w%D@>1Ro1P9U35E@_#-TwDM6GZV-Nk(mi4m ze$4^@KYPL>!D!cNy&aeteYXli9m(< z=9usb>LoN%Xa!8lh2S&nV8g<&T0eqtS@~&f)vkpO_-r=CORouOwpS*=43}+lXt+B! zH{Nm^X5IK(yWmu0;}sH=LB%;A15(Pz|A@#9qdq|%Nomi#m5K^xrxrfYVvXZ=HCC}8 zFF`T9rdUWHSuCC*BhuzAjB$Hw96*+rgVq|`D)3l_YKVrcpV@mf`v(Ibb`#ME)W_Oj zo=^vI5a#)R#3FlCaM^J(%mQG#Zr7|9NnZ{Z88t%g|5-yxEqxSHLCj;9oJnn&E{3c@ zb0h8^*AF7}IQNzg#z3`9ea!Q#>NfyDMcZ+=a4{-Wk;T(?OXs{x%kGJdCKv>J^}&5VesF5@ zfg7IGF6ktPNTf^^ywOK?z_x|tx;_}0RQ?0sbuxfBXwfDRUoUPHGkLB^ycjcjA*oWq zlw8k9qSxT0CaSBVgpr7RMlavI%v1OuG`BX5^qFk3B6TXnYQ{7Y>sd>aL}mUkHNBO+ z9{JXK9nh0dcDmGfe@PW4=T%T)g)!$@W_L_Y9;VC++=0Vl(2hPR1@~Gl2d`AV!HfC~ zc1Nput}XgvKDFt#m~&LBLU+zDTbbmjL?@5sno;EeRTonSyxkk#uf91c(XY6LbL2bLevl{^zU#jF4X;EmORyxc8$d4Dyl{xZb)#ON9SW0dwYXRC`ahcWFc#cZ*rCpoR_h2-X6zO z908e3);PaR0A2Q4(jT*{ZY@2jI+Hvt>||#7vN?_OFKRD>-f2Kj8Ri6_&&L`IesksR z4p$$1Aj-Pku=hU=%W(7_1UJp2Ol$Ad+b#eZn;W4|B28>Vb}?%&!mbgzUYzQCxxKbR zW?%D!(#|~se*j(ST_s`}dFa{VvBq!x6)i9d@zFHB1o_P1E6Fqbtx-g)rBOLf>F6u{ zjhYjpn~Cm%t_f1p8m-CSEnD1cI6>3*RKarPb&M<;63(|tn2?_rSar<{H1T!`@;@4F z?%L-)(52Q6epG4^vJKZe~hv)OpJ;to7Z?`_ZpI*|4wZ8SXW#! zS{Kmp!!QAa;H!ofSKI+Y{%{EXCJ6slsCDiGoaWBu6tk7I>Lxcu4Av(N=8QTv3(-p_ z6sE%jBzyYI|8OTE!0b3yic?l$#0KZJUpa(l{vi4)R2{aSiv899IFBYc+4;E z&B`;mh%x`*F*VDE%%=yfo`M#ZmNuWI(aGQWc)paI)FOSU_UXrx&#-V-(EdjV_MSTxRJdZpGb%xb_#qEmxRtWhBbHSUOjL7w19H-BQ6 z^(cuw!c}~dkLe;m`2afWSZG-DTrmwR_FBh1)V!?o0I)3}i#H-kA`CdbV@SwgunI>= z;qCTRGgaNrly`Itkt^Np_3t>egSaAMbwZho3!$Y*!c!HX@qR zVS^Uffd3wS#87W4J8~3@-;y0m#EQlvxhGT}zp9YaS>DFuBeg^f8Blx895;L= zsfEi#ai!#8%&6y2J@y_d+D3C@q=$5T_+SYJ7czA(J zZH9WtNxG7>m_1Z5dYqQ`cF0!;s>fs}5(Yt$1AXAb}--w4@CyHME~+dCG*A?{`m zfF#tht$E8R5MxB|!S%^0Hw0+5e9?$Fb~@Zh=wM*jw7Dt{6^X2|a?aKWfZ;{l!}R=? zD#9Q<&lC2MGJ>p1K$yJo&9l~S0*kR9uvhzNmEd$))&*CuV z3$^89EI~&kAYeWKauNKGpPrtK?V+Y8F}>T_P~ky-7%*E4q$D;!>E5EQJ%!W6NjH>2 zJ=xIDq^ABx4(4-vb72PqNYmpz(DHCMaXT5PK6Xq@j&UmXdMB>H$y(w&rE4rU#DDi` zl`ID0(ZYYwVyFcJOpZC#&CjO5MM2!(7|-M_FlCP609XTrp+ygxyJ+U(8&MQ~W0o+H8(qghHd74&c-*jt4Za zS{^8QqgQ4QTD^d={FEJLHoE;J*b9k{)y@oU4T7m{Aby{=i5yXBr;T{~?OUgV%wdSXC5!DRJWO&N*@;K25W zTOY(e;|SMdFlv;>NX8r<{1Lg1H4Ho0f1nBLh z!kC};d?ZOTQV-&#^E_FKD;2v>8Wu*CL!2t!%ZicYv$*UVle=BR*uqfRFZYsPjxUVL_QDJbQf4WX~3Xt zrLpKlHKpUCZ#XiquHZ%t8*nY8)oOezaxC;-6lrqdV|TJ>@-5tozpy*mzPKTpOiP#9 zwb;4Yw?@C7KdZ7TIeCW}1Z?K7!TY+DO}i^zUJ=}!sS#_1suH{c%aBT6ev@}Gg zM(|mNv+Gwqw{To}r@hb`a#)+_S%Mnz?s#aB!AD3##5HM|)L_4guz1q{tL*uE4lZqS zL4v?n1~92{JMEG;#AjybZrrF#Ct!i|EN~g0{PudQpFBX@vz|I*+n>L+4q9#}d_l3c zJT6yNc!=YBaHnU4ST!D&=Sl_sIX^U8OFRRL#gW$Ed!A770AAYXwq*>93Y}%hh^wW; zX;n(l)VQmHg$ephC1ljdW-E6sRHTxCXD zwXOdi?s9v7H--VROu}#QckmWr{Lg4v`kNC^oe~lFT25+QghT(3h*X7HF($etgaQBP zmR0DPFM9kIDI|w>-bEr$Ev>`o1yhD$u9^nI z*fXTwJO~w|?6#H0_cSLja&?{5d<;mlK=?bv-FRu!TJzFZ+5|7rSJOblZv6uW0Xxtl zD;h7{y5c*V_tzNuxC7P@UR*RK<%CJt?G{L?vE9Uin*s0kx)*qG$r=Ja8g`z8=)~Al z-n9ET!o`?Q?iE-SLhR#J54M6jrApQ@Wu1UxYg5awW4RIJ!TXGK0;bvEx&~R(M$Jgk z(IhTXb|?g#>N(>&m6cMxTBvh>5MVj2__J*zOlZRbDgNyrulyjt`}I$KR{iER7hXZN zlD<7!vRm8QgOx7Lll!Kkv7VVMMn&^fXWd^$ATd}ptefa>S>kxHhn9depd z={*jt`nSVR*xC%4JNr_wa}u?Hh-k_!%Xhr!1dCzXM?xgKDF`p+e-p zHMPj+#qYO^Qj2|ZE3Ow^`%v@7ZK!^zrm2;P*B((X6VB)65S%o0=7jf|-a7Oq!tF)E zcR1mkSChKXDn%baV6S2+mi?CPq^0KyT$WZS>yH-8OVmnVNK`0WdNlxV9kP}kJcp*$ ze!_=#jJeZ31c1CX$css7xtJHY5fP{g*>{}F(?YWQbJ)y~uIu`fB2W26fhSL-<~kOm zirIiP%Pzi=wQ``TF)m)|_`c*6X3s)ZHDr2@=K3<3Y3M)H7M0(mZIw+DpGPGEASbGT z>G+0Z`FzC`c8B#xhb>vx3(WHnfV4|j^_~}Y!^&nPEQt=9XOSeHvA*x)kcedb{j%>0 z%Z*GnB%oLcKRMv-vt^`g^!r%TZpmOXma5vQfPSI`OOWAFrHpS42NUVA;Kbtk* z9`X5gO4eeZGmx0G_KP;1%MPU1mfkUW61n2#S1JYuG5kx&PPizY-Lj-)^Pt)k<|?PF z;8-Q3JY3w3F!9!RVpT)xREF5ggOR&SayLU9bi>V;FXuXX8Yb6VI+tozIj4N;^mHuu zeI7z8>-7&dlhS5PJGC_#=|-O1lk`Q z8vTS_7wZqyP$YVFfx15!>3_~x#+9~6$;^MoF{4$C| z8evojq#$$z$74TDWkno>YpPX{l!QJR<5RiWdN&gxO#QzC4P4UfU6r}xs~5fN;olfW z9PxTWkXz5>9n(LR)Bm}XwmAgIT@mXHD2P;n47cOx3WAb1Nu3+wJF%o>hb3Yx9q4zCfj40M7*@oOAu}tRKB69kmB~V^(8M3 z&v`b@AnvtSb&>GBLxw?c6K(xL)gO3D3DXB3lSwl|E%QQ29$j`vOjawbBdqb2hnG#4aLz3 z7Y{}q)ao7ICoEjlkEXj?^|anNtnuMIp{(C-<6)Hu*0^W}|0qRCN0w3jU>isxm#m(`~XGW;u{ug-k=_?bMiof12S? z(`1GIuI{Bsp=5v*B$AzCtAul#30tXF=$~CoS3;n!S=s1OnxAUM?J4X2=2605<^t1m z3HuJe=t2vvkJ!bGj-#CY)b;Zn6y^F7ofvwB`CE|6J$O;qV4mDpcm)RQU@Lne26d^G z&wb?cY%p2lfvuBf5vn0EL1N`+pBFM+)>lC!A`5u7q>8Sh?Q3TNzR#VBsVs`~7don> zVAtUF^aXuyH%2rD-ddN|J++lS1o`1MqiKU}k)p+!v$ut8bz01b52}P*R>4+?Z4a!T zfHa2z+Yi>fwfygVm;NRSu8fIg5;)+k#|W2>hi zabZAm<+u*-B@a2KxthMtGX~w7qCReN0td59y)+IyneQyXrF0W6o9kXws+elQUqF7r z(4Eip&kAQAQ3?&#Lg|ti_krWk=Oa^D{E3$oB`3rpY0C{c(>=v3%@*E|LMkQUe+k{u z;T|S3OT*P&piA|Bi#41vP0U788VhYs()NV=;ND)NaV#xE6 zFcAUfLM5TQnvrg$!EjwTEX?eAB)(>@n^zxO#+x?qkP0v)=+BPC*nW)&^U$LvbNHXj zMvOgrcoF|LFL;J*2kw{BWU_z3-LDlcEFS?g;qXcg-2-$tCiXwL*Zh5iE3bkNlD}4L zQ~snOUWf`^b2a|8j3BU9%|?qfT$-hsI-$(t&!KR$OC5hu*Y8~8!kXedx)di+I1)d- zkxj+}YBsA@+3->$$fZF)UD-^R*<02~xO^R-1e2qu^qrs*U~@OmQQ3!Fi0y6TPYC>C zfP)DZ!>24}UE9YrOLD9D5#4urcOL03R*KTD!Mu^+-o*CsL*axC;w(ytox!|)*?2^$ z6&JeDW13BLQ6${-05jNCaW37FiwxZniB+5)94evSbA~!-LB7B#kahu5wp@iNWi-e9H4tot5vxHLS*2%dBhH*Ah$^8~3-8rl71|umaEYrkU-nBIPXRgKO z9&;*Qw<(?RQ~q-3|C@UM5~UXgDRX`nn8jh(u w+?I$o2>jV-J+W82;gsJI9arC|lx6QklZv?BzgJzawLn-d&F20eZcuUq01m9u0ssI2 diff --git a/CPLD/MAXII/db/RAM2GS.map.hdb b/CPLD/MAXII/db/RAM2GS.map.hdb index 7dc3b492ffaf0fe3ec2542e963c44f386a0acadc..1299fdd62e6354c73ab0e2bf7a641eadd971af57 100644 GIT binary patch delta 15833 zcmX|`1yCJL)3$Nf;O_43?!g^`27(254t9_P*Wm8%?(TY!;O@cg;P&&pU)8@=*LK&v zQ#-TWQ@gW0{SlD$5s-0mK>c1wi2n`_vHuwe64d{*|D8Ey{?GS*udH=>47 z$B1VRi%78mgw;;^SgC!#m|S1!VrRRUJcB|Sm=tyUo;I{`P}Hgpqot)qom(ocK8rzv z$WdAv_DY4R^=0;vJVO3UzV%FQetzx8s6IN%h4^2NdUBMtZrZd$+4fmbaVx z-QLAF#w5|8)*2d7F%4rCe%dVcN1CQFlau&oDoE}GQb;D?z?%zJHh+i_#%a=Q3{C<_ zx|+!f#^<;#OjYU?VHbmvhP4pcU+*70@}B8;QUnk*69*qB>&b3ZIC~)f!2#|&4In`D zr*8x-A9D$BQu)FOh0+`$p%|~V&PnNxoWMkjdPpj3(=ay z6yJ=cE*GXhcxI}OR)t?Yq>9_HI^oGyxisb1_^9Sqi&c`d z8*FZmQ>c{H3iV&hWfhzOg?jt(G?cN_9wT#@1>GC5EL9UcDOEB%dUyLg%h|* zq6cq|fBew-5T}S?nFFP;ky$9x_L?aoe{YF1Q(c_m=b#hsY2et`=XVaKV1_4XO{Y0wG3$;zUC0Y0HH)|r_Ha86Vu^!xUpu0@u}1DUZzugmHt`_c zdAB(CWLIK@DRx!^p+|e9^&|ND{b0*(OJ#HG*;m7I*FwMX4bV-;cEnVSvfGdqAA942 z5@96}MGXqn$km9K`T}_83XawwV;zy!!X>iklS4UAewP30{g?Ed%dC+s`AYzh9KRX% zv^PnE8vzvG%|@!xj9hbdmFm)XK~2iaNmC2*T@u64Z~1txD|u^B?La6C4;|_sc+Fu% zKOH9T{@#=5EmpoNH9p34U}&Vso&-$_meFjxs3>-cpK_B+TsvEvea7486RD+IZDH^m z0h1Xgd}Uv$0qGXI^l|!eCQksh?-Lf@#X>%mkD}M=?&AcwPX-Q{z7{!e)iMgg&oJpHvg9lZi<3cy*i3b#F1>l%zkDx{=x!B^mvxdVh`7jT=& zeqAQ!3+p8<`Wii<-n8wD{~$N1nZ<{!$wc58>^SBVuK-Nk2sXWy)u4aR1!Y1faj0E} zA7;WTn5D<&FU|(}KP-6DhoI%s8pR^!NIQMocwI?c;sh-?dd8RYEG^xO0eP`x!Elsf z((_b}W9b|{nek_N)3zm$EH6ef#z_0N2UK_qf!k|C)E6;`^6Oa_KmS5dDnFe(hH8k zhcm@>!w=%*OU)K#jeq6Q!S;?H9^&kCLbn?0rVW@ahVUL4C9lvYEfCmemWeC?&v_P# z@0erxK^0qOxO%*Sc%wi47zHCd!U{^kqf5k^c)R3cxdFEED%ZzymX2tte7q4Zdln}q zz%$D}F`K{oOA3JS8tttL6`sSb*pqI%8Qe`W$xUjH=(hDe;TC79P0r|4H3aVFV+vi& z%IL~6Exggy9@8vm+1$CutU6q>5({$6-lo=*6T!W?0nH{`2xleNJ6*$1}9<pzetc>cZdJ$(0E z=%;V3Kk?S3QeA9&EPQO)`=A)nbvumk1i^6uHPAQSW&91%Ehm#QC#U>TKFDwI1K*-X zzR%U(*&S+AM{NAaRY8|_^yqc6PRv039bI!@Q;aHj?4#MG+Gw5nsfh)X8`OSgFa0e<2lw6CfXR&T8}wv2Q!fqwi|uYm2@gLN)D zV5goqa>r{JH8xtvai)IyI&-ng%7?9R5{Mw31_hCgfg5Sy<0%_qs6@Opa+M(65$lRU z`ocxA&@-8m(}LRQMrp$TFLxZ}9p!IgFv3vEjW#? z;92~)f>tb@Ff|0cPy+C(l@YQIV;%ubtDM_}tWwERRt*+o1rinKZkg$5`q)WJ{2^n3R{o_B!;NT zg1>buf}`{V;ZLt{(dsM;x@dQZ^1B#PZuh)iznedX1}>?4wYggkye6xC%)iNdnQY(6 zZu(~FHylTCUE`j;>WGXoh#x{@_F4=RG#oiBvk&lD9q~GRbM@{pK1oexbcrdnUKrbhFcnjbJW=l^R#q zXyHSKOGvSqt+!TpkGtXKFataS-8TV3}<&2GgAK@oXL1>{&C7&@%BvjmZ|%hV4%JE)3;1f>d$yShM1^8T{gz)# zDOJ*5uwfa;(BLHhZ=lAS<&JJ(hoRuP$d@(W80ulyfRH5Ea4Mh5g-}v7 zwOD*71nG^WvFU-k-vme9)!0s2ePaIa;Zy?ed{#^M?#pN=p5Q-9#P#CsKZgesZJ5|F z=|j1y*pRlW9*Y-el}0Q2LJbWbKdkIQ)2o(0iUxk{7BukV^sG;h18JoiEVqC3WKQ;u zz^bx@$G@pof(fR0_}J6CY)rXZFdjM@Pj)JKgE%sxO(!j>@TYiXnV@A|LEP-=J{^Ix z!a*oehw3(_XFT`v(B?Tw@o({Hd=G{!8vN(>RGIg~m?}P}2qj&BU-O%y{Wgx|JKQZZ zY+9<0QQsP3w@*yjff|%YkX%-OFZU-8m0c`vX$2Yp37IRM3e$F*)Fmq!{Eo1(=BK?<5D1B5Kg%&!%jO`DXFVfv}VSJ>&gLz zbMv?C6sX!W{~?dWw68|s|xJ!(uenw{2%yFc{|gkWCJM-?^J9rY=2_FF$t`F!E) z2{hwIaR;=}!EKk?x)<#|b>(vs{=7cLvpOQ7QcPK}eqM^zovr5hkiG2km^ z&em%GktlUaw>Wu5F6n~)jNRMs`OVkQ*!k@=@^w3pogJ>;_^>1PQDz$3sCdgJC7Y;1Qh|8aMkoZxX@mGd=%&20BV}>bu4;!1WE!t=V%ib?k{{+@rj^x4XVJy zXg|#_WwfF(zgz7e9tyLT!SUH=8n(<@S{KN+rdgVUePr)N*R2xd7t+3kDvzzrmm&Ml z4hIT!TxYJ_HiMDE%WSmNF1875D{dQHiI!GUJz=3`*B_I4?rFFG5!>Ym+g?PW0u5TK z4Q#QL@5j|FJr=KiT?^8YW&Ei3)>Q3SAb5|`FnKsRIb2~$TZi1TxQO4L;y3c17BXk? z%^Jh-@I-)r89da@{_cukfVnQ!shg4JSvt%%3ETHK$qNtGrOKXOGQI1spi&U;S?%;V zae>odob~sg^^2DiX>X?jp7Tt6AmF#RHExf#DFn2SugM;{lVAOAw22lC90fHki<^rk z^MpCMz>q3*w|5K2^NE=!L=D`eKJrG+j+m>n< zMF85qwf>nQ{wZv zE6tBE2SlyhpmXG^mO-r)p>sS%l%`CebblIKi^8IE$cGn#w*zGA?isV#(v13`YOgF7 zw~#>La!`_UL!JX5NdS;p03f6h0CEEWDFT3;xP|h*g?j!1*;!RXRL$}C%G$J3)0+42 zw>vdaMN7cMz)#}dOgfqwh;_%oM~-?I0A1iI#%B5;1ZRwCH#2poa2e0you8#^nQo9H z^gf|nhJQrA)zGA=Vfgj4c90|MaP1S6KDt@@X;r7t>eOL`T5-FBEU?7Jl5pLcXAh=K zzm3t}7-{u9?21S^d>XhJjA%LA?&E{ko^;R4Rl=K|*@+A4v*Fg7CN-J45^J7VdZfW36}iN4RT`hG_K?J0MaW1bqo z)JFYM=gGokr`u3WKns?oqv*8nx>}3t+{n9SQr61Wz0IW9B2b@YFsq_28u_J^ul9DH zpUObSRT$uteNXsbS3DkhB1v6toGFHe*#tF?kEID$x4*3$8`mw^*DCScD7#SSqM6vb zyfG||aR`@;X)TTM2;aV&4J#B5@I&0O!NUZXuNjKH3x>dO5L67I6+Apfw6Zhx$wo3L zK>=o&yW(ttcNw5Hc;kXKuQ|GGH`N_qJ4`tm%$WGLywhXiqh7NznnWWUd;jm z&C)-fQdCLn;YODR?{{UqTO3_-JOW^FkMr7)@jw?4LgMn8x1we0sw5GhL zx$~d#sMU@tD+PD4WMpx=+^vm(dK9tM z4yJLItot|zfIdOp`cJyGhHU13Lm?${E%|yx%bI{C{xW(Fv$<8@bjjk=&%7?Y)sE5U zsH2tdnT`xpHr3MV7!JdokHjCNGM9DlZCSRIUj*P``s3RWZ=U{$Km+pMGVWs};Fb*rY&oIY!|>LD;{D)X<-^0|kxF{X3oP2DGmT-oL!z+>z-8JBgft zPEFv(t=&abZq^dsV&B=QPMk=<6YmNdWFkW;6px%R@&=rs=VSA+VB%l4d2-b<{~C0b zxELL$TL6e|Nti>$on?(18l!R62^79cV~PY8(j?V^Vy%D?aUx(ShS6cJnD)A5BU#JX zCR|S<=CPbY)5p+Ky5;Wt!Jn4vx=w3sBQvXSjGYQ)%YU>S@-=h9RrFVJZ$|5`5<&r4 zUyZ%~%yEk*AD3EC@8pBIeHDIJa7^=WvDdPpQm{rfz=|=0gMT~MQXK})J8kB#n^0u` z!bhTZKvH*t5I+WDb&dpLBsw$Pizu5ShEuRo)RSY&&pdc`N;+(8TKp-?$-Uu6T#Ee) zJ1AqU@99GSB<7Et6jq{^}o>6FDdHdYB?AW)l~?sK zkf;p_^0xy9k(IB$V;vnSWvUPF((AiNHjpV_jvr9*5I;f6fNP?#g_O+R-@u|akWq%?{E!^^M0c~bd_%<+-? zLC-BwZA5`d7BsK-h2A5h1jIAd6%m=GhwHC>>D5au!A zO#I#19#gZJjKEr^C{32%p~H+nLbrMj?W@AE&K2aBUj=bKLe`$-tP=jxNewK2_EZ1y zLD}ZZ*=z-NbzvGiL|>VeKu`96_~q0PdDuy>;9;zIm`Jy?%{fwt^mB+Hw}uAd86Al) z?VRZSD^3*ixKp~i2!5m;++qZ-SJS_+h?|V`?zVmBBmTfYIFkbf#DvDb<68LQRHM2X zhq;D8tl*oVKAT~0!k=}CqQCGx(Hubg8ik3$gDm6$zWDj0z9`@>=c^uh__#ma=wQXC zlK39bkxBGx3TDq9StQy`Hq!GF<_8YoeH*pLUVtxCnsAq8G$Ga%dmcz22IAThrljMp z1wa;i1$Lr{gz@d%)@fdy)w&>3rz0)gR_~V;&q$KS-U+H}bea8Y9n(nq0leJ`m~JuuXpO0983&p8h<& z__nmUz|+xf?@;ZjtO^XhI!O$&Sek39yBn?I+B&Xg&9WVWCJcbSLaSzT81$SVmD&SoR7m$J&xu}SoeHCg+%MiXQ z>vrka#NuRD$Jsg;ujC{tFZ%=@!$LaHig?tumDnvBhpxmtyI9YAx_GMOG8&xbbsC46-3ed5Ko^wl{TIC_KF*D&qk2-2%_6YWQ;| z`i?IQC1UsC599~_8*QUK1Pou;oY;aj-vMC;*q^n37xZvGPT98`Al~ldL>OpNsjX#m~#=6@lpDb%td0v zqxRpL5}&`+jCvvCYlZlDWa_rn)Q86IN!E~z5L0P@;QjPpg09TPdNM#CwjYl}+g2uT ztY##D=^8`Nt)l%im{s`hQcz@jMCsDSCd$hjm zt;dl;&)rAvLhvneoEXkAeEc*;7vb@@!b`b!(qj>MvDin(ePBQ$pNdJ~t6W@m5ZFpy zpoG$ZP^uFWu<5$(&+X1Zx}8Ergp}dzR%SBn2XXUGD*A#mXBG7RATKj4OS~2O2tU&a z7#P`cqF@Gknf5`es-eFkcwDbf0ZgDqxR2&uYVlWW#7m3jPU8;*-F#EI@;DIwVtFdM zdIOvvanmDF_AkQmhZog3Moi~Iocz#65zcx{KqQcO9-<)-=*&(0!) zLLmXUZ-18%*x(Y8cLK-dE$rA|U*P)(w3c+|(d7aS{9>JCStmlZ_O9j0QDb)XjiDMc zVfD&1#6EcX)t?fPP>vM?3I|W{fw8(czv?Nv#`gu0S{aG5NeK`k|4JbExHSZ*zNm3! zKhXo1ekyzO<4O7~I3(u$f(mZn=7-USEqRO}@`TWmpeK^7V9fcctHkwLZZI`%EUKP> zGx>cw2T^_YYyjWN`HmGZpAWW)8&9ebx$|7Z2`*R>NSZ=l$=j`yLm}NufIjAJa6?}v z&P}@6!j`-Z53y?}!V)DM9?@btL+q2{S5EMfrGcEdB$f-55ZJs5JU+uwJA~a!|@mnjrj$< zJYa|!yxlJga3QQwQjNCt&r;P8LT2t-{#QbncdPJE;NiLYI`4eqQ8=E1+Q#S<%?O@; z=x`B`?xTFPk@o@j@14Dc*EqXWsVb9McQ|Ccps8;4AJ`i3)2vitVS4^n^Up{3cV2m^ zz8&|-fger`B%WzI5d%aw52n7U+gr>)xDVXzMpl6Acbh*qK)F2o(!!md^F4T`yT1## zcbYM1Td;u%!BVjwq`BBB#x^l~G$C*uL^H2P`7i3Q35_3D72n%_&}7n{UcIe{P`mGt z{otbHucK$Wa1MRPPQBucU-yqN1WJ7yMnXAnKEgBk7L>q|h=I zVYxK>7Z$rJ0ueK(qNiEK*?WpFlH9U?Z!60`^pD*l^h7h7OFoL zcgA)_M4v8RaE@u{ygj$*Ni%`Cf?{^_pXU>smBjSnhvSQx28e?Y*id~y>u?71aNLi( zmEM9UMf-y%7FqHzg=N=(CJ@V9LJS4I3Y^}n#pZ~XWzQmscejvRh7j0HJSPU}Z$(o4 zDmAUScA6S*S=rWp^~==7OiQa2n{#OQ0?9M4=fAa$yp(pStsOMK5+ShB#mvNd-eq$F zn1Tj4AX?J=WXzOKdudU69?MCgT++7%87`JGenWhSu(5%LBbV0va$h%a%y5A#`!duW zFo#~Skhcn^=Nyjv%d;9VoHLL6RhPFwIr?uF*6LPeq8%1#eCrq0^3xkA_w%Vp?T)y5 z%ds9pU&O}xE-uQX6yx;yKIM;OV>o)FRG$jqzki*g8ee0_BDLR1oN7*Ug}_7?Gh`6y z1^P)DF}-i@lpU^o)A6G9TzvIY1Wy{|BuDcA&wr=ud3dc-Vkc;Cp5oWKKQHwb{o;O} zsqxxqym(+jIP~Q#0I0fygx7r+rlqb?U6Aaj)t&WFEaXU|`ibG<*b#L!#V_q=AQUq? zSGH{88NBb0M=KX>sa=aV)vS0iXin8FHI-)*@uy)C?}PE57UjbFL_*b_?YvF(Q4r$1$JlKU2XxaU z@9vV>?Ff>C=ZD}w-AS5ECfFhn79U1bFKijVpYG+cqmAF3r3AULhN`jE7Rh*9RTMrv z^^1&Ac2#K4`N#+gMaf?}#DlB1y<>c$D7#9u=YlQRwrDYrCs^j#`Vx*@NR^3HrpQ$H zuBhp@c$z)DCLz-XJ>j!LYW!h$f%YPz4UeY6*E$B|n~Mhx5tm4nM~X6mUIsZJX3*Y=g|kJV_hHAfxp@Amp8V2cyAt)eFg&aTMr*G9}T-)NTm7M6)FF{~Z}~J-8O41+={Gb8FCxY(Xun z+)aUByet^{R4uOq?_PdhH&Y~O`>M_~f);k|r5Gz75Bhe4x115u7kLZoBQ6o2g=@H> z{@3XB#&pnyfi�DYJ|-68(^<`x-awvLUR8Y%(oGd)r5}YX}y*=Qv)J>I$cYxqc0L zjWn(j@UBA<7ov}lw<#vBHn(9 zmvL43R@7$XWvb~_QCxQzyU%Km%eVHz$GPqPE z)eT*D&R*(oGXmCpu+23B0$u#pyF!i&8?-_V#L9l3;NN(7 z1l?Ca9pyv6K&N{Tlh0w_cIUP@u^`2Pe@RB=>ir7ZssX8t+AYmpP1klRLIRhvHc!1N2y+yvVn z*B?lwn%*Z5%|`2|*$0=s7MX%GHAdj)<}84>QaCm$m@9z;CU7g+D~~4z?bh9?sWNWt zR+uyFYbOpFE5gbyHH1AM(V2KLeIFq^yuchN6^_i5ZK(zAroIyt2U->FQ!cEezCA{! z^28?%H{A+8An*@Q} z4f)0dHzTOeqHPcbCQ%X9r6$4&l4TAG(@)B14+Q~i& zKd?ec1AOwjun>m#lBl&7(KV9E$rRxF}i!1g$1A=B}^#Jg?qc#e>2g>8KXm~ zE(f7hS4Kr^cV(oL)kQ`LrljOAc>4h}UCL{nTa88h+XZ>Ob|=;Bwe4LxRWv_fUG#g- zKyS>D57wwGgDvQ@&*ll5cM(hICkq6B&3xNM^|A`jq;?F(r~QKy>q|y{Vlk;^rrF^~ z8Vk9-QZJw^=}dBODRv{)vnb=;!3!BkO*cBemiP6oK2FNJYY&WUg^I_7H_`#Kl_f(* z)Xld(w;Dzn-^hFN?Dz%U%RMBkbTSBJYJP*&JL|QHN~8Z4s}!z(1h4x}Tf8ML#~+uC z_fAq9V-ORXh{(pHAMhplz%eo1<@H^MV|_mveEi9c-!5|pvm6`Gt8pFmhO~~f1IQ*7 z#yAUX;#vrg8Ov@y*+<#bA}|4NIE{e|#Zor1O$2pEl#fyKq~=>0ggA_I(yv{j1J4D- zX58fbm5JAtl@FU=fBM(0(+~+`^2TVd$h5~qKE%~Xl(&UC3G{(d>$uqZiupi>Z*S3{ zT~uA#5`JeD(~C-7-R*F2IiLDEQg$sjMCVS>W$iGixFb(Z>kL-p7boy6I@JiarVGE3 z+qk%0VQ)1^LUG<|&$ro`r!TkY*j-B0Mw-*w=AzlTuQ#{IC@|#yHKgOT(cY=uc%2pZjJ|l;LD;ma-2Xx{f%V= z8O){+{y8fwbWp!~_QAZjRcF(n1Q(OvFo_bAuRK3ER4ye-dj;dyd*Qkl9j*Bz1@N1Z)=yEaGy z1!u3xsvxoFDik20NGQekO(~`P3JOf9qH&__n5~S@t;P4f0GmudN(%m!6LkZb`&t^Q zaVue;ksFwiKFwe6+{GvG8)Uql{`-$Hg6i!tned0tVEN5xdEcz_DkvuN?M8M2EcC87lkpDVpA`qH(aazl+5@R zL8^}&uA7{!coPrV&BLfyJga@(Pg#iS5`wedE8&91M&se7ykV|JfU4&rs&l?8|Kp?* z3?!N!?Z}MZa+CE(9*y#Y%*<2kLrKPDJVNX1*(7;}6K7!k_zMW^nB#*QpD%%*fzy%7=rvyJy;>vX1_2AK0>AcJC{4$laRUUdem0D3;*U@U%|vCS8= zNFu%PtqP-$B}3SAUi#k}lIfN)gpUp6nb4=F5AjkBwLB4EKep}TSpj3T$tVF_V3g1m z$G}U&@)dK^Zi-q1H$|}#DtgL#(-b;+lt=IQuckgAnrzPAJK`}7f3ErLy5Q%49`IHc zPU9Pe56y*Ux=sCw4^4xK4=vK;@ZZ$)Dh$lVP5$!@C=aAq;$2V+`Lq9sBGJDS>(DI; zQi;J8dS(ggWb}8eY3?Ni=Utbf{Ruf4q3Ew02JWG~{SpEvT+Ez8Zlui5{b;*}kpPs& z$VJye8DI(%6&d3GNbr5|yZ{!zisU}J{$u%o&{Lca=WF~rBi8(NrsNyVzno8G5xi9j zM*a?8jVb`jjfJ3WFUnrUF0a!T!=LRc$~`qJVEn4xlU{r)?4F@unl6G)E#(Jt1_ItF zO=GyuST1JR1lD{qI_7Fxr5rt*AJ9Ih#KzQH`sXANqH>gB6C4!=67Yz6Hne{es1^>q zY$fIpffO~D7fg90?f;%8_3<9eb)!yxUEn6pCBrme|`!>SH3Q7Ct zUx9|_KOqSBQ;bVD-Dg(uwkn#Gyx9x#5rvIi&h0k+l}#N|BfuXgxp``EN1&zt)xNyW z_5nou(@9N$+nKs9ke{jRi~MU+2xh)^;vO|w7rb~gMjc8&nR3F_B}-UOIr+O^Z$nw~ zQS<8?EDsREYoxe)l~3?v`oW}w=`B~i?0qG%koO%U?$LGiTURl}{Xp*pVi#70;M$tt z_}-n1dt+DE6Q|Fj=riW%j;)G)G{)~SXN^0tZz%ru9FHRrz&HFo%TX%b_4eEnb{8a$;FAIjCbUVs^T{Ktjyj$?;Z&z{|-=SGr0 zx?0I>6QUzN|Fn-)yOhZ}+Qu2$22|;qbk~B>vHk^creP!Rs8tFC`*%w5sEx^wCHJ-S zr_*geJGxI{;n?HrS$o?{{(zN)Q^l3?^e9MxN({YlFW@am2z)qsAH;#Z84 zbkz2`8_=fXlQsNf1=#=@0<}C!Nf|wMI%)?PjBv2}y68?cF@VLyc<}9?tTJxkDLKk1 zCP-gCC@xH!RSH;pZ%s_ zgNI5uszt){q)E`k>=Yi<*NvKQT*2u0nSjN`I7xSmIhM=%+}ElwU-p*3Z2g|^d+jVh zB;4_kAM2~cQfrdh+uk^Nho#vg!OGx}{bmtG0qrg-W4xWRi1R#~^}a<81CmlnBwi19J3wfCm@YaA{Td;66OSf&1-4&0dP$y=eClbOCCFI84ZOV^tt&g;5FE(V%#()YqYQHrUQE_@#NiZ=Jv0) z-R2XWSjV=zGvS!Z>X;s)8qr%x^%vWMA(RyudK}r3u zYv@_=bE!)^9>j6UXIef$c}dZo16H0}t3g2VLPN1krg4R|5{Bf&em#5M25> zD{M(IENelMBXt_CL}ktHSaenWqLRJ`7Pze%{8zxx_6zj%c$+eajT^|cF z4tG$p&tKv1-jrV}Ab?iUbD@TRu8ENHR9)G-Yyih@y~k}1!ga-?d3KdMTF0^`esVXe zN+o@uQ4`Om>MT&KPO&57FHx+2>qgZ*W&W-d=LRF|~PHM-&4L3}Viydyw z!7dgr0%%S}Z|i1Pw-J3NpOO96>-r#|{d61XnNwn{JNel2S)K#>QLJsLA^1s9fQcft zUnR+6KHaU%aIUA)7YX!oZKQ|4;U5hn><`0+yZ!bTzkqx+m5VoKt&Gz9=uVU zFBeu?!*B^(+e)`v1_tDN_AGJN_qe02f3;LnB1t^NBhyZRM-Y*MG$)bK0WqPsqaM zGZV#t3qR3zF6Siy)VFuZf9U%yojv+36@K8GGh2s)+n(uIhjLaw4C_}KvGVxCM)arh zHJ`*mHC|M|=$dw>Uuy6iPQZq%f$pCz{?GCMyS1I%Z9&q((xDvF2H8ZEzaDEP&T|fD z-b24d8={{%1-Jw`PYl{EdB|bZgXgR0X^?J}ho=8&(me<|Yv&8ok4}yE->>7^1zTsi zhAZzf@U8W48Bg}Q<2~9+PY=Y~UtiFPx5uv^u!BEp@n4M-E_eumU?Sj$+Fje0Met=1 zz=1EY!t#Ubuh4+C9||5Mq#?ioueU)-Tj9~j?=Cq6xlj8b=c1zJeCPL7`F%&*cGA1m zx8wlm+0??5cY z{$hA{<)4El1p*0tTSBASi_eSjAjawBWGkeaj{ho$%dubKM*ey)4uj@g{fHap+bIg> zS|O9HSS8CQt8ycQbPExnD>Up|s~>p412K33c^{#jG7-BuH5U?QMsPL#jW`~+EJMjG zuGZP=`!0VS#qjo`iaqA14^2o)9HXILI=Y<2vL@s${Q_>6Pq4x!@6Lm+Dcm$YkICT= zko=uE9w~;l*`SEg`;&E+Las8L%J4Q7?!+onVcFn>Cw}AMf3?p_H{(`bwu|0K!6slR5+A894CKuqq$}w`hBMW z%cRY^!XZxp5!{mNyjko_924UBuz@P2UXbUYX*98^?KYuvCojwR7ANp#W&bQ0e;uDx zYpueAOBIWu^puyF?Mek-W*N@;t3fwTg4XJ`5*upaZ&d%+@^n*-vDRnSjo_`99kvE8 zQ0$kpk{dhbJTb)s&xc#)Bjv9*S0nCE%su(`GLUoi?yd9OqReTCY6&1>X_+}B+MeM^ws8hz5{w> zqA05KAUPV0Ag9qkQT3QqF|(uw-H+u`wT|P7d<_2zfgj#VRYum6VEp}nQe2gEiYAV* z4Ycl?G*+tO16UpT$rv3BFW?c+51UFCSM?a3(x!>pmP{jACVn%IZ9B62R3l`o=D38e zB^B^;%Y-#-sAtf^&+`UoRVa#igYTiiQ99hg!K-x4gQ7;A^s6p)d88GFcEi!`_}QmY z8-1H%W|HPyN|R{tl9fRI(ON091`iY$o4*o{SW5=$tlYleO0?i&_Joka> zO`C_|$(R+9(0$)s)+sjn9K0tbZ1d3~#(MoqoHSPrSZVhHN~7>Y9!F<2oHoLp)?fnF@^bcWzWV0 z*(-YeBevi*vK?DyjVyTglGomv&li|%@?%Gu^%xY4>SEo*SOY2&99Eq-s)1@#i_)o@ z8)MS^%V$eOzMfOaqE!uTqL9L$KY*!tS|(7(f3K^vW>uYCu~M;V5?i-T{ z#I8`Na>fiKCgeDRQ;q4{pUr{t$%|}6O@?7x|}fu$)`A>@B#|X zgVh1%EOH?+BwP> zSrbh#kP)*qJ$xV4m+PdRQQ~*&n?q|#Qko!Q550P4Dw9Nx4*a1rC;q-?f5#Ya%-c@wCbJX5uHEv)M$%yj-BI? zm7fK(Y6*MW?-M|<#;sYqrNm6;Mn(;Y!q9|y)+78pVQ}f zl;mGu!#_W<%Ixitr{s}t>2Qk@OLcePM5`jld&K5nW75xEXg1P_p8Lz}nH%r>h|k{N z+MqM8n&sTb<)0tSlj<~z_^wh#G!t1@3($I%0Wpg0{s1f1w0N%iX) z0snC!opj0#Cv|j^y%%VXG?Xw;B6?D42rOOm{X1J$I)rJ|0_D!V8z6fQNsG(g830?E zka{+&iTJv#Xr7!0@oV9=grY&c-$K3r4?RWkqW{yoeMMBN8rKdfg5a_NRq|I~bXqMu zF6pKFvZ0+jtdSF}VJEm0xo^W9g+KeThDv09X81{qsQ-MSZibG`TztqSp#;b7?E~pl zN1}}~Kb)05+#3hLGKf{yrFuqYMvToSXXmKGm`D9OkLD7xr&bIds^(oYXn>#2gR(_k z&vnXA`1|3zcT66GgSZvvXcW{PYRP}t3N}f24I+|j=!|I)LtBtDKxm?1nxTuXG5!S#bV)8bkaFMJ3ru36BA@J>KTm z2&1dvGya9ur3&>Js{CK6^ucGvBjg;ClXLhZIbXj2J(<_DSB;P~t0D^?eAYXIV!Jn7 P#4A1cfNl^GgN6Hl?bEtl delta 15824 zcmZ{LWl$iovMwyTIE%ZxyDsjqzygcg;_hyPySuwC?(XjH?(XiF^G>~Ax9(JZ)16M$ zB;A!tCSN)=p`bmXpwZ&M?=Db~|2%^H|4)G6!T(qO=Pe}qU)=@t%o8@9Ow{p1YYj<+ zDA>StXt#zI5joNI{0xqIEF-1072}{kBSlN#?@|#xZSCpBjlvosF*P;y55{5<a>gLq1K|j_luM z5Urpw26s2L?!zF`4&w}#2w^!6Cop>H9^*_-f$F*K`Y{#}Udzp;PaIAp>(ZP8NaANl zsiTz$8;~7K=fNr$QGDw<`cao&_Pi)OO`w>6sY)Q3Rp}^g#{U4D$Rt7S8gY&J2BhMT z!?H){BuQohcKKOmDYQxYoE@ni+5;y2uenSxi`46KE9zr?i?Dde76x-US_PnGvA z)kP7XlZ~U54g(4m56EIwf=F8qV%iQ8+NkE=xaG9J`|einX=Io!b6d~kjgn=>|6nlW zCMX!L&R1tJEhM~AC4Y-eQab9P8Klkn&VrwO-DSTDfH7+uzJ=EF5cW2~*k;XC@VN>{ zIv}`=;v`n`>fisnxrx9@%5q+6sh=$!#e>zBq>?OHH!aA>C@Ih~Ezl~7#i%R#i*e^x z(6+YP^a7t2gX{g?wk$z*7A|9t5vin2^n)Xx|X@uxuFyn;2}uZ^-F&E|O7 zS+utB+Rc(8|Dpqxu@t_J95hew>{VAdfbHc%{t|U)zUChPF`@rp{=J3HKZxR*5^=Ez zBVNiKI_mDSIGwNRdsMt6b&FMR%G>}TEb}|FD2hs}3E3{&YBdGfwGLw2R12{@YQL3e z!^{2HqhVGyIO|RYgf`Bex~ALZ^ToQslH}6blaGq!x`uY;gLgA7=@v~9@_N}~FYgWC zZ$}N9WY|6$wODB{S|syxOgC>XXp{*#pQL6`T-&4z%p% zwkbe`@3D`+3>!>1@1f!4EyOM72?+|5`F_Uo_zXpSI-$e=o(nvfVi2)iSE;%rf9mi5 zUB~R>I7?EvEK+ip;BqZUFE#&OXxHV}VM4v=Ij=-tlVTNRm*%;u@frSB2-P6|%3ffd z_0emt@SXhi{&_@+UbS#?(5@L6@#!B4K1%6F1*SMnHmJS4nCjvwYnXDU5kZo;-K>s(j~vyR_x7fTo93cQp*4x| zW3tlbTYSr{=BLoV*V_4uPV1PgWo4H0er1aZ$=aBtk1_Z&tj{T)U!RNs!^hu`=AEp~ zzcN$!kPSqYi+5~f$EJsP7;uKn!E5#(b#=0@g}+yx7|!-2B!&mJqsMTd<$?r zFECtbjr%%AgNAz9Lm?AyS2_@xB7#(xJEs@z2;F_`L^^&UfbKCtjHE;?9WH7a#3t=V zpHsblkFAlp>wM*iXvxJv1Aq74hwI6!l*S=jHW*b8bCMX+Ly7whNlE>Do}r|2(JR59 z$Q=S#h=0DMa7>z|DmwBaq1(JTX}#(Md0eK!8cf&t9G3@40_qtz2uTKbu3~%YH=q`? zMuX*<*r(!NvoK8)wn!AE^LK@i<5&Ce77{+(VI4?rV`%9`O*vBAN#s?~T1v^VHVwr* z%-8TPV-5zrh`b2C3OK+fJ`^V0s?(LfeFxh}!+A4bq5YV8kmfr<%sIV_iU_)oxko>x zy5D^VZ}52_8~k@QLBay~Ua?fJh7Yc$@ee!2(d9EC*>CL3K=2+`@o!iiNfWvo(i+d* z6K4vJWKQmDIuiu9^qq2ymJ*!0a=Ex{iVD?zfjD+leJVF9t9u>dh&hp?+m=bEO zIw2pxsP^|j!+!IPVgkwX6SokSX%VcF{MT@Wb{iZ87hx!Sun%wGyr&ag+^KtE?|YKe zMP4g0O0u-~qzSb$m!L5Gv$QV-k>t-7Div|DceUw0j*Q!uFA$qxSduOyvZp{R2v0(8 zR>!lyDBrov%p}nAsT2Cf`nh~EY4?)!s2g_|M^O%dcARUhPV>EdfP{Hc%GPB)tm{m5 z2rKNZzFE&szL4Epk7P!0R(g9~pivA{WNdGsepa>NP0#UzPad+!?AL7`5KQFo%T3F@ z&c0BDHd{pBOEI|3o+xG`C1@x<#$lL+?)CSqK@if9q<;|FtbXbCtwikfH+^`8}_KdhkoX+6B>O&^HXZsAdd=8KEL$VoVOA z7f8Sbsmy@7aVUT!cAiv?uQd**D{o!aM^sP<=e z)9Z0m!l_Qk zZ4}JYX4Y7j1h^ULNa%$tHaP@=GH~20{MzLV<#&jGX#D){Po7-bTb2k*&tPMWc2!Sw z_&fvCag5Hvd*Y5h8j1Hqc&T!SVAoY+q_tu9;gFyW=^ncGBcM^bNLPP_C8_hP)7jVt47^rIL~$m%{EmE9NU;W;46S#`-J5ll+TTTPTM9O3{K?sJcVPTr zoKVX6zu_sl;`zJGUr`9{Bw?Ri04W@p0?WM@)pMGURa}rU4#uAET)*5+2GPUhOITVX z{m~$|>*|(Q>b#%?*|L>Gdcc!Hrq+D%k0sl%govIOMb!G>`Q*Z*}Ti_p>$g9A%!&BEK~YPDRk`SY*uxN zj1?WmZ)5UM1Up^hYPyIWT{5~qm|X=tEiF-kNf5j-D;A(st*y1&2wA07TScleI%UnA zv&b0GA73!#UHJcsvVGCEZhkLjlUG;qFFr*V&0hlsSz?%RKe7MHJQ({kEvF$580h@R zfc3ZTHRfkXnl2VER@I8<7uvt@{)L~|U*#{fV^^iVk04VM>Hf-`(<>VW;!=(FBP9kF zZdAw119DKq$tFyesD}xaILDbC-veehK)+)5@fAC-?YhPen-v@#&T&hEw6xd>-WACW zQe{asC&|{=a3|xJa3`HFsC+^03*s-~M*P@o`UHXM;brWeN^ z9)z<4ckqfDSgeDpw*NOH27SdP78l;=H~-I&sCh>@)5?9bl$ z{&{2^ns-IdS+&tcEEPeKuqp32xxWzI`I)7JYkbAOD%W{~H9$Zan8}@9Om$ss)Yb=v zP8Mm-mESYFMxF*_(*)S}1Umm%%ilt<`(y?*shIBWf&tSGa-R1$e->8ZQAb+i*mKGn zoHu6&mXMmp%nCT1s=U^pP8`{VaoBpsS#k0d);BngjaddkQbu6_UeDzXwGB~zJ&foYIW1iEO>Day6ZV||1x=)4!64D6>dH-mMI zu90-7*|yxJJ0DO#amNvzT_7PmR7Y5EC2jfYn%OlFH$k~pvg?YFCpcFPG&1|@?(A!! z4%Ij0K$|`&oHBl2sp{pXu@CP-_R9?@8CLIPFa{nf57+Lkmzvg2HVdm^EyZk}RBGzL z43$rItu!y$mk6?-7_XEd6T31J`SJ-#_cXg%?s{g)=@ z#tc}F9OE;uJW<-DPWBtffW=xT;2gCEw;Pq(4VG0Z& zk0k~8CsEW7v~(<5(BDg4S$b>kn#{`mekx1G3a4Sx?v%P3@5f}#-#;Y@sPdpsHUGM-5I-S)t#*`g&Jozj1K0+;^G!} zxHuyM%~I}`9WqWSc1xscYhv01rH_nKIT+_xet;HLXW>Rl%$F!fC-iTvG1)bPsg};IoXFJ z(QiAEVi(OcJk|x0uZior5(yGJf*Rp*5zW>7!`?yup7ASYo)tJ41oD z53ZJNF!w*YDOYGGx#&ovJ{J#2QnQ#v1y)o?Y#24FrI{=dys|>QLcNmUi5Pr(=H#by zJ&g)ZcX7DfbNN_z-SjAYyPdDzj;v@1d1z?xnPR2JIM~Z!aJ_#&4E8{2nnxz~t3qS1 z9jua_d-O2v^@39bUQS4Ye}BdcN{8OGgDR%_4@)gNA>~D-EV3Tl_7#`a>6cSP$c+p! zd>~;f=7=he=RMb@Q;J2_UO`vN=5g;Q^TrQTvP%A9r6Q5NQB#P4P(`vDTs_BSfjbMD zOsZzlKZHH?V4K}wIoC4q9JoCrPPe8aF|82!jbzo|_GI@742fRael%y8|KNfG?}=%K zxOtBK3Feynp7sza0J(Uuvf+A?>>4rzxlKNls0Fr@SEChm>Xq?y>ZMR*^3O8ijiGCj zS8xi!H6o7+eqb_C*D%R{X ztnz=8EO83eWF>1NMSLh3H9#HRUX1=W1>!AtLfaK-fvE@W?C(*D9?@gdr&t&j{dC)o zzq&fuBI#JhEMCdSP%OK;?qgs@yKSP)okF}=OsEo?1i4!v-ZRFoQD8)ry9#Gesa-#Q z2x|%%2vSC8UtYQdthKZck}C2G?%V#TAV`1-u5C+y5uaEr(Pxm(jiHL0hhvJnH6(&T zkk|_<6n6Mw*p7-CJ(RQw_Kk(~A&8Ri8Uf`{59M$p?h*|hFy0yg3mNxuhr8}DI180h zCrP8Ucemj)s!c+_@6Yy?a{?UO)bGE*D|5ysy_@*#AU$FUqu3bc+p^&{LTK%>N^|A zGKqcu9w##bA0V$|2i6&c^6FuZg%|-;i2JFEhtHwf4PGC_+%kYW% z!YK4aP?+dL!Rp2m@vgQ+-mbkpi?eyvZVhdZgxpRZgyPFJjq$(2SWr}nQbt_Ml6stA`#himaQD2R z%?#U2NbV$L=SkV?5e`UopF(b!Gl(Pwz)Q?0)r?|SuO;D#E5V25z;%HH2T_K=3s-&+ z(L3nOd&~!g!pl2ozJ=dwjJEfkrNn07-M4(4m=1ztfIL5mcs)G+o)7leJtoud?nizR zN&AoEERuJunNrhS@Bko z1cZW|*v*eVP8d6ydqGNEtHhF#Azmm|t>t8E%BqS-^(|p2(*Tv#YqF z3yV_lnKwod;Zz`&EQ0v zYmPNk7>nLhT*La72^Py_OSk~+DSlm@V93Zr6=J@dk5}dDaQ&A_BogyPed$`KwQd?x zz|WtV*}SD?g?pjQ?DR-&wz{RYw!OAgzdv=~WvXWfy8qZhu$*0Sy-kKVfx0Lib^L+*ejsT2vS=1tHLD4x#>D z!UuWz+vAiMVVJz9Em(Qm(8eG5beX_vD%#@JnFVx`r+3=*H{VYFw8!fkqB~c@s*N`r z=fr`!!KH`N((fNWPA;NK%&hg=#d0U~r1F>_fsWBeI@dhu;!up)tjzws5)WB?4d`U^ z(tt`KQdHNFPa{%SrAQo#W5$5{TzW=NSU*9C7#yMf>w$V@O~C*+IK-GQ;IB?ktZg0W znCv>V#fAvHWRj0PeuY&EUks}Iwvc3SkL-!-+E}JG_vXQ0!ko7NrAF?fBuAoMFrOP> z_0j|O{Ji6HP&5{pZphT4@~1-?#{_~E=BlTpr0X>zE#EiC1oVFJ;!o9&TV!FMbZh9} z#89Z?-FgVLhJF-D0}aLBl=YSyzX?(HDyUl^(9Xjzx+s*i{e2!`QLdzB*lecMr~g;(hJF{w__xzGejBX6BYB|M zojj^^gR=a4d0Pe!^p*UVFD ziDkq{VGrbBxowbqLf-JT4@wfBu+m#(hCZh2=~ibB?+5sJt&n&{g4e#F7`w^~&ArV4 z)DVoOsP5wr-o9U<^!qZ+KwlbWuh%mG%K5>))4^G2v7uum&~PW+r}g$G7kw$1>6ze| zSH5&{r;tg%v>@SoCA@dw^MEu{L;XsCdwEVEy~zX38uMzuX9^|EC+y)u5eV)x` zx7u($P>P@-FP4V+Oxle^T*K1619~AX&67L>_!BJi?X1?t+oKuMHavas>TCf0TN+I0^;Tbq5=!AJYD}5wdh&g;4VSD^3HGz;#*K^Gg z6M6>qZa0CFU#%^ZH@M-&>;gCm{6#w+4}N`VTU+Eo9Rzj>P&Pw$XJck2Ux@(hnJ5x1 zpF6Ys(r7Q#W9)p57%!~rh#`LOc#dB>QE&AUa-uIR`RR%=bPWj% zanQ!#qn~Pj+^ZUXHpMWfaQw}n839kLFJ%f7{3N8coU6SPe$6#o>M7tto}Lr8zGD^7 z?R$3FC*`4rKD4W(t!8LjUV9Q~s@CzxM@m!+gE%ipb)My4cX1r{aQ7A-w^ob^>&pwv zOUug>lT)ip&8c-!Wk7Mt(KQ*$>xp&d4Dx&Eu`v=}dlduR5ZHNMhnNdmwkGtWN+2}} z*!CtL5`JMzN2(V%%-V^2K8u|fUp{t}IH;)&>g3IjQv6fo`)$J zIi>xC<^S0Ht8A)%t%MO;MpFOt%-X7#I-I%=4VFje=*j-1pqT_|W4O4<-IZ{i1(A+k zAm^u4p?O}?xmkgUJ3dij;kAZ<7WLEg3Ns5^qA{hQwdVk&JpQu~DOHZeVD`OEwW95v zbn1!5kQ)wH1r-*^q2-9+zJrVHLr-I9`yNv>Z`S)7u}*tZxIUz zL{&Q(mtHO$p1pX**v(7oX482L(v*_3&C0e3j=o?QpGDKwMc9jG zAn5zYx*l+K2`YOUYmAymPW9=Gx)Lab{h>|3FJDqr(NLUa=g@=*E{lNh^8?CTLDQ{( zEAkK<`^-z=HY*HY5KU(0qqj0}KQ_T8wX7G>9w&A#fMCc-#76J8vV~(1A9V4n!83_- zKR>7g#+(n!8>2EZSVB%toKl9+GHKS&6&ND^03?9ADl^fU)uFHG3?D??6soKw5qrxq z9Dj5<;RX%&Y| zi~{r{poyn6ygqz&m+Ast?6qIpdj_$0zI$blk$E6`j%~$zAZr#~N`*b|S415lMO~#D z)iOCRvn^Ss(qaI_$x0kXsS}amcr3v7WM*RMZY)C0&`w_Dm-a!|jxOKA_^3S1FLTJyA4!G1b^2 z%-|5@HG=nY>Ah1qxADbJbpNXsUe1?1-yq()1Up+mz66V9&<($GW7>sR{gXuia{587D;@ ziI-G#GB%EZyQAV9wd3wL7C|K){@>j#Lg;C73A9cDT?a+Nj&{+VR$p-_P7u!1ZO5@h ztj%S*0ed0Tuv&ZYSP)TnmHFX9;t^?V&)iphQ6RQB{#{`7%uN!bo#i}R~wFy;^)BnRw{ z7qsBU`=_xTzw15?OCJ}Fq)F-ynyO3&G>9EbPrlkG-NHQ{iZ6T7m!0J3uGRFqK|AY@ zhq3PaXq}5jC-}avA6}U5MsV3qKp}36S zvH$kX+fl++d7Riwka6`2R?{JzVuL-OISjEdjZ3}FQo<0eld;N6j_$w4vQ6o*M);Dl zomRiXb&db37?5FzUcE#n>Z{Qs)EzuWw{0`feXD^=%Ga=d$fE@=gdKx+g(I|lK(h7I zfCgd5BJl?@qcOI!&*k$SI)?mP<`=QurLtBtWQB-3sRF0E?bgptfQ!%|)8l8aiv?YQ zNZ8hXWVdP$qI}zpbtOQy#=f|gHO+w6ZIS_d+@WL9Q~Qu~;Iu9HYr8ykAeBFwr;@7e zPn@dwR4x=pK%*Iq`G@8u(N`sq+LhWF>S3bjQd(GfaLGcjfV%N-xb#_iy3zv1dVX&H zEyf(dtTStsyn-!Jkd%bA>Bt?;z`eI~ldstTPvpEi-`zjf3MaQgG&vbxCQMw2Gvw_; z?j_zGtBH9$wA+D?Kl-PGN6Q%+)=&IkKA7D01zg_0E|M)4Uda?;>+L>(94ANURgS}U zkr5ic46SAWo4>}Suv)8>HDk&we}|2R+%R<;g{q5f%r>m`yuk(zw6XQ$mjld~@NTeB z{}{fUa<#5XH5z^C(O^q?gk*um0PVO^{&mpa-Q$b5fnk+4_G~&s>t`ZZ^}}#fq3JQE z?oO~z`i-`y6>KyL!5`Q?)h|chK!f{K5#}rs@hYR!YVZI@8QZAgY+<6QwPZ}_gbdGA zJbB_&|LSuw@i-MRkIj=g(JBj$j)yeHI0i~>Fv0=tH*6z~bxW4CBFT$CyMA9=_-)lU zYfP)#$C547Uf1t@s#@we#j@K*J0kUbY|2=i9W_l-DV2iG`@lp`-}kTq7Ymr_^2?vy zsL0SfP+}A~JO`B!{2YY|+rLYGhqNicOXCuhDnk{aFVgsBrVN4z$}_H6{y>Bj1`OM{ zMKK~}Wvfo+OQvH=u19Pfk+pBiWZ6&^rXZU}>=;5UcBa5$tlO}7*tnRv5O_{0WZ|== z3Ha+R>byJ(5CA#2A6LU3cCM1#%9W8Sr_!aw&wV}x_a#1L2{AGMoE2}?TZfA6U8&T| z7saWHU~n+zODSZO#UW)=vCHVnBcc{ePdWwYPm|u8vzoW?D{=y!9*EQd1CLzo>leXx z?f~_0*#)S7yYZfw9@5Lp*3k+NdlHrw!;*@-@cPr{P=Fj}QL1QtzvB2~thJAY^sMN6 z_ryXp%P~%;gKjoEH=B~y%GEU@QM5ksd5Ce`lxSXYwY8oEt3d+(kToSvq-;iUhHB}2 zVc@hmktb%Q#r%u8aD7M|<>MzIN0z-BK;~p(mpjAH7Ks54C7u)^zqkARYd^C)R?5>x z*Wrvb8Q^AbfrAgU`u!96K|de<53hbFXrquzW)T$PH+S80CC4)Tw$^fPz5tFtVo7Y8 z%v=|fhRz2`6Z5u;Zo)@fq*f!55epQBZJPSJ?h}Zt>j^q2P|k{R&2*h9I<(Hikg~oJ zB!8S@Dt32&?rB7uT@Y!?Ua=URjoDWpkF%)g=m5L5E@9NWDCwMojLr+CVb>yV^J$b; zP;#o+AR9K_9ICsy9hzjB1s;+K;H*#*PNpeX%7mC(L?IeFFPG2|Pl& z0e6PELw#gnh!}I^jZkUp2d=Fd`2FH40zFRWIm>q1O7740MTz$p!a%`R`W2sYHl{H4 zd!r9xLD+Owkg%T=SQjYAsk{gs=*7Et!$?2M6L%qAl4#xPO)@j=)GQx>#OuqYIyG`I z@o6<9Nb%`H(;dBeA|1%is6UWJ`b z4$rsU3CnK+d_fd`7jx!wYD}#K?eKy`lDrv*jUhbXa4UtHvAzR`P9T1XtiD#PLG*wA z^50?0DxCbW&isYRs>Ja(50yYIT=?~!8Gap)^;!g`ZZd9`niU93o#3poZRg4XmXXMB;Np1k&!pR>+9ded}3%dBj$4w>v?iwsFH`|(njnfjO7Mr zVu&Xr#u*e#txfVVmbK*d>mc*EF+FC}No?a>dm3<5egW`|_kKCjLKzHnfK132 z>q3q~C)fvVG1vrkz{`h@@;hoDRotC>-`rq4&W}oK$@+|)2OK+W!-D&Za20|DdHpbLJ&{U^BVELjxfDuu^ z^TiY@aPrKH+3;KTz4|j;n!GlKq3Khmu{Q9*nF6iewakp$Rx>*FUwMf2J@HX>eeqEZ zA|r$9zjI18^hR_VzjO`aeH6MFJNSIg%ve4M$`4#+ibXCGe)xRn3~q(AuGUqQyj`%I z>k@?6z!PJ_p6UT$7va5(=g$6O3I}98Y<9+_`5Ii%wJK6PqUxIlE-y}jCb~hK$D{KF zQ26#U`^o-@?h`~+dLo3QL28Qs3x^tHM_KA*N953Mu6cuVVpl_wuDRMgKg=&e-uN%C z`xio5n*YqV7X0z;m}?pFZ2rUU3E`{m-0et{zdiZ4%L|Qh5bJ^6`DiiyyuDZJm}4!+ znS0eeiRC8k;=pV4YY=3_H0EL8S!4p?*jcS=%H_B^_`S}Wk?FW#SxlrEqh5&HRbx58 zXE)X}YV^}A$66jcMapQU1-H<%bW`M%+7hFU|AbS}#Q{S59NvqUz&dvy)LMcUlDw7q zu%SsE&ycM`V-uWx?MX+k{rIW%BGsuKJM zP#_9DO+8{88^s4ls1d9RxgAv~`P4}r-1(32gQTmr1pT1lEf0bXfO8ov>{#L8{+xK! zX{C8@`d#v|9G}nr0UrHizoOn=2=WlubphF~FU_;M$~}^IZ|B(5-u}$&zQE^3w|B)> z)F2kzv&UKTl0^;KS%LXB+YfAIQ9*vD>0OQszSVl$)6BmL`ggB9bsf!^t-A|~ zq-qvPj(>7V{7vEI&Slc(`|jdLb9Jfd10WK9q(h!WKvaFIg1kO|TLP%P+e<(mV7t({ zOuecJKMs7KG+=f*!P|CAE=Rpv=*l~GD(;k>k5txk8k>bXA&Y0z?ExNd2kQ^mfl9Dp zhJ-#2MH-U*VdOSS9(fI=QiL7*vM^iW;k0MC&sg$ap0$i?2Cs~p%EXU(t>eyS;Y-kX zM8$=xe`baTx#^o1m)wg_?h`|RbLCb0#ndIws(V)J3<1aqYsY7UEx+c{))O-K5rCgxlu0Ddou$ef@;YX^o+g>+I@ zy{jj>hmt4Hl~u&*cKDft7{b%gTUI@R(*oB{xaK~|s=bbQ1@I8_%)z$+I@~dn^CC-0 zgExE%?Q$p#)7(Z<-tOGdd)bZvHr!eU@8D{3elWj(@~=K^u_6m{9QE!Du z92MDZFi4z<68 z?Dd*+%f(Ue8{$ZK(A5bcsnm3oQ14{#_0x4dlUHRPx59pqz8JdPc2k3i+3?oX+|^OG zb3w6gjz#Pl2@~9rQ4p4K2jZW~mq4W*LdnxK+m7++w1lNb5YuCH)G^mPW{)&~SGZ6l$@MT>MUUFWk!ZkF7;H`ws?Z`#P85wlA0K~9<#MX< z^R(Fl-b6s;L%nkkoHTh8~vn^|~0yS+E*ED%dtlzzsmc=P9N&2R~iN3@N9VLDHRkX|d&mgeIbmZ}F zo2vAV^+~&b>lM|nGZ)hX=u+cqJbnhL1?<|zqYs*Euqtrq;k~k_AIe4!Uq%!=X44Aa z2)nK^@Xgd5CuzR%EphP9Xw{zZt-*0{y|--kPaYCDn%IJfs|elurECB^SA`%%GcZZ6m+A*w=|{11wN_ z4v}GXHXyw?K+UElCQX2(XKHv;ETD45N3f++YaNzeN_>PIvp%IfpH?i?&puC&+}#IE z;doE1@4uv;aG=k0Q1PojTe3`HC9>uql*;hablw=XOB?f0sx)Nnf~c%a(n0c9V!n;{ zZ&`!#I%g>+@DsQ^x}KExhku5Bv2Cz0%O4FwN3gIto7!bN7|%8y3z}?(lxgg}9bh&W zGTXI8esN$fh}G(cS}gh!arU-MEE{TY9~F96&KQ2T?mn7C+g@UZ287S>6Re-@MG(vz ztKYCL&3(e9wG8jrTGwB-42$i%p$NfvI>{~@*-~?Ro9o1$vrCbs`VFJEfnOu{W}-2| z%d9wjN=QI6Y)7T(MnczY2X2_kJUEa*u&$)lG9u0a_=uyqEwfH~_K7=_UvL-Y@T)_= zSHC4bvYk5BM`FnlFd~i|E`FXPZfX_^#vV-WZEHQkYYD{l_@~>4XsS z!W`XeM&*1#u5vue>L0zQIW@n!nwOSW-OT&(*b&8Ek9y806^fg)W@6eD2bvI&gY*(6 zV61i)XrYp~XN1vaRI>$Lb$3 zt=WeeFYlY-nC%l?OJ+yK9Z9%0F5;X@s-3Rz2mXrdUoOYdG7mvh{~pBm#A(*Wzh(TL z_)evA)o^z8-fs`Hkp%xiiEHf3FsyV>dS}}oucHI^(YU-Vj>G#HW^vWJ-K-C1(~Hl(JHv1ANz;ihuFp9vxzSZ1%ZsTH<*l2UNQPwj}z*)^9+*Bp`Xje{`E;{bQZ~pOh zz&RCa^qFYgPny8aIV%lj`qwY3{U14|2y;b;w8phN|C^!H!SiolPo>NVvO9joXRSBh zQP;Rw-Zxt>bnul71VLj2`#$J&En#NWY9ycf@5pV=t;MUo#07G~xJ5@)N@uZZ_xU5I{`Ebsx-o2fnTd)3l z))gl(bf>(uO_+x^zLovJ1zRnLQ5oK#X;C~#jge-nj~96OBJW)F7?nJ=1cy@F>w|Pk zfWUkfy;O$hr-|)|q#{BT6(RcFX;z=P&6o_h6NoIw(~IzwS1NCG%{A;h*%7cw#%+{# zu1dqVpBgFl(_^;Tq*{xANDLe1WTYk;*hX&X;jPHRdZ~s)xF=-E*Hyd`Ckr(TVcW-7 z&Z)*CS8i4n?&ri!?e^NKg{_x^WRh-#?Y2ic{5xsTi6;CDt2FHKOtu@LR_jC$1G^Lm z!@Eh}f71UqYQHed)T_7q0I>vTtt^+)|Au^go*j{MytFY5Puu9wD5`i0Zi!PBV@9u)+nB!7&~5mx&HkQe1pX|n zs0wg~I6U)Wn3s5}w7OD+J2|{aIom$E%qBH(J!j{)b45r&6S;(DQ?{?S%iaK_Y!6SV zx5*aOd-yovR#>^53$j&5y5mvqWHbDzLA(fp-WDfKe+jZ&ZD91fl3bgjT+iivk;D_c zyCZ2w3$TrJ7eI@Yi`nI@3Prcp$U=OA?Uo*i8 zgX?DyfrTDtTOE7NKhSbVlamR&nCBL52FqEwXq0d9B0I_G8+|if{c~4IAp2;lLbHOM zKHz>VeYW?_w)(hIk_m#wP_>eiY?!{vZ%)1 ztd&ZgSC&&yF5d3!g+g7P=gNBMz#zfVp*w0sl%Hmx+ghoK2WOziBMo*IIqSoq1>4O1*-@XXz~JzE(8;yKc~9g)j7>SZ-Bk8vokV9_{?^ z)P=Y^Zmx-jXGbsEx!2Uiw@nYxR*bsZ-%$vntx$CusFs+6*QUh;BIt}R$K}ZkM?V5f z2`{YVsR~yyGJcQIA+c{JMWmPG0P zZ1-;7*GNi)D0}n#6_qEuBG?g6TH>V6qmFMQ9O&`V?uLa$1oU_N+N$ywFhlLt+gy{K zk6ULGmx>PFvNzaAROjAe(D%rUSEX$0smIieUrEJTpJJ$?6h*BbbJNX$yz^7BzQS*N z;ub5Y6U;uZh!r#$THU26h_blkvuVZeZ%fkpi8&zthHphgqj~_ z!;|G%{?dTs?UW-+lXXC${0qa%HGl2dxq1oFzyGe!88=Eox2vkaw%xolOI$zBmzzmb z?}@+aBPx69KIMe`GRFA~JkQ$dV;oL~A9qGNC2vsXpuwY+N|#CZKZLcn`yB3QF2Mj} zLniOEhL5IY7%M~!w2@22bt?P~R0Mv!Ds5i<56Me<_3QNh1{fm>H}!L^>qgSkH|Kq& zq}x|ce{Yw&i?)ReR~ttUMTnRxp`3C0tAaAa%j)%`F}qtk796_)1f!)HOj4&GQMP>z zYx=lMzJ4p&FWQsWdbOjzZFeFsb*g+?Z!v~S!6mNMuW9f-YUu|(IjfPlj2PSu3{=1W0Q3g6aAkqs zf4qU!cMKU0<{Gk6wOAWS)TxHuNX9Etdv#+Qu{DTCvZst*zr!RI3s%G4ebOg})9}PD gJs{iWh+cp%J zwqV1wVJNnCrybs9sROvS?6h5zn;SHNhoo@ptmsYyEl~8=My-O_L1w4Qqclyw%2C9DiJ0)ATbW-NJbr=NCAU z^+#N0ipkCj3)`^llsn_JU;3tDro-cFNBR|#gFwIy&Hw5nn2q{q{DXrG3AAr3{42ss^k8s-X(3~(1dY{c!0O7qW`+ZidN^&F>Y!!DDa1tfY|TFmU_xl`TJN9| zl3S>ZZUP_LgtDR1hS!=(C>sb>NMF*v5Gng-~0nzM_1Ii#ue;0DRLuY!l!icvN7-5|eEAO z9adbt36~*8n|A#d)gV1;5!DK{Ir|e^e)8S=>|9_hQPKyPzX?P9zaE~zO6uZ|$d9@c zn*F%>?Zfc+Ugb7|m%b=4K`Sre9zKkiSH6bHF68(3ALRSHkFXC+ccaiBaQQI7UT|YE z7k}gN5SPi`Xfn#-u@@Mghd<|#?~SL^ap)z>Uoh7khbJ-FBNIJr&#?kY3_N8`-=e-G z^6X@Kw2UxPeX84glAmKcdZL_g-M_$z{g5?6_HR6WpUhsud>+N!3F2;w(iWah9^H@7 zuh-B`7_e6+4|}J4zdrvsT-1egoH$6#l@W-Azbg|M!vqE}$#eJ#cHRTC)&my-{+qoq delta 922 zcmV;L17-a13GE4xPk-7200000006N80000000000008X-00000004La>{iWh6gL!~ z0R&=NRTTwJ9NwjnNXzcb@|oP0h(xIf+I9niQ{|oUObi}-_Z1tk59^E%GUIFa=h zE;GesZ-s^1u;`UL;Tr<1bwV|; z$9%(WI631dP5(yf#;JJ*@PE>O*aK7zSOHW^&v?TmJl9T?{Ja~d>Fi>X?C7pHqB(M~ zv9k%&yx0QRSAUL6*wF^7k2#^$7-mvJfLSoya&ye9arRn!1Kx7b_4hn?EL2!{jRL2& zv?GwZ5hc`Kl_b(a##99wtJ8qhwR^`52ObdZ72_J3ksl&-cfL1+{rMRnx_=A? z>pCe)wttiya3v!$wpP3H;RxOdcZ9x2R4bx0$SUTbKgkPhyXcvq-)fZCc}%I8FJHSp zhBn5;Ld%q|S?Rn%UoZPCT2ASJGKezVg&gnDnI5e$;x7t}u+E63ciPx7z+7^M#v5K^ z`V0a1>T;_~k&T#_CZuA;9TSwU%wV#UKeZZEVTw9$T3ydX7`UdlfFvS02_W+hsmv19K>P~15 zLVfzCski@`q)($P|3Xx|A z)4fH6k?KR;-Ie?p+tE|ygzNtSPVBp^6>@mv>HA>z3ikJ-xEn#-c~QEAr^Vy@5&FXl wx();O%H-kTlwVfo--nC3aE=oPi8&Rq@D6vSfC&_^0Y#p}udw+Av)Ka|0qdN^kN^Mx diff --git a/CPLD/MAXII/db/RAM2GS.pre_map.hdb b/CPLD/MAXII/db/RAM2GS.pre_map.hdb index e7a5a589e4e98aecdebdd38c8f7875c382e5329f..821c5d9615e9969c24ac50d54eddfd0d51812dd5 100644 GIT binary patch literal 16802 zcmYhCb95)Y`}b>OYuoLXTidp6+s4+mZF_6mw#`p%wpo@jIF(E4(10e$kJrff>69Xd$ zp^_w_w1u+?p@^}C^UtvnUH@}2!S#QhfJ)*17ylO)2>j1>2fpxu&C*eEd6to!Q!K`0 zo+Ra_UXd^j039QhM%L97R#sjtD{I^@L`T!IvXMeV zLyMOy&zp~6Rnb&<^(C;em@u;t5s`WO&h>g~JI`vES$n^5LV=q6no94QSwHVtUbm|4 zn&F*!Ulh#0VYD8>Zaxt{i-dkJa#H%BW*gHxIwA(GEl!HNrESYA}3T%a{%$w@386%yD?IiT(7Wi*nJv0#IBAD8F|m| zj;|it7Qc}YEpkV^NWnv~jn3Lxp!Z)lcv6uj;T&dx`8Q;#z*%kD&X& zwQfD`a6%|qc4y8tnV{m5UHvmxY^E=2p~`ihwasLR#y1RlUNNb4N}sFSzDRB-o=6X2 zdp`y+g)u#iT8e>QLhrS=-1fR=DE1slNmkxWQQh3|r>U8yx|v4B(aj6HxnrgBD8R?y z=|g8UC-OdWAgZa4tJR`zw z1bw12e@aEk$MxGBy2bp+)ak2KMKH2f$W3tJZ{|yt1yUD`=RKItI3yVAHKbs2Z@<{a zy4^B^Ov6Fw_>-W}HHDZ)tcB2NdIGD%F4adaIeHzm$XFArDCuuStOv`r$K^i~N)8eq zE3Eg8e70o(imoL`5i8_su4^~c_-CpnI@6xxCU{O3G!~Y624jWpg^iRtOB=^Ycw6&z z7M)cK28pMKCfPN*bJCBJ5KblMjJ3ZlP+|4%olJN7hb0cLQCQ=;;$K6|em~w_8{|56 z&%p3G96D}1FYH{TI;+fNJ?8jIlSPCYX&2|=gQ?V>r9nPYo}LMDd${nY$%^R@9CYy( z#`=a%-!Bj?h^~4OzJ=LBdB@Ggp79eTIQ+eUv-S=cM|@>4;S;X$|furEfbVFve z+lpgisNt!dDmk7>hUcC;i>M14iH&I-T6pd<-t8r$`xp!;)x{-dn(bf()427UK+S#L zj~2_m#Y$wmHQ-jCaNNw-UioGJ++wfFo>6av#-;y)UkiBP?aICqy5qmvY{n9H(>@t{ zg3 z@5c28*?8;;-)gBodj~@$eCM0M(Y_tw?}V*;+Jz+ec#t;C&ipbxw;T>+t9X>7gne2~ z%j7Zd!R3f4^pqidd`?a>nY>-;jqpqu%nWOs%YACEDrG+C@ee%GT+4+w7F*+8GtUZn z_rKhNay@!PMugfFXA;9t{ARB!F1eTa($~M#AKz;=56zyI4E^dcGDmU-oJl=l06Rwq2 zs&Kc2bKo-xNisPH{xYtC$pCtOU?aXkvZTINazsJbj{!tWk59&q+4TYW2UsMXr74{wMa z8>1^W0lWKTjIZ9mbSEm+XbXXm&F8~eZYL(7wqaFo!>aT4g z_ZiWMT4*@c2}VW@@H2glBciF%Q;?CN_gAoGZ~z8tNBn*6k)mVDAj4Bkoa&RNbmk8d zZ0^`1)nWy+ZD3wk85#p7M$1raf; zZ4SZYW|5h6z@--9f^_J0fbALxo9=5U`c9g=6cc!Szm(8N$AK1dV&d;&QI2W<0LINfc0OJUNX#~I+f<_`jyc-@? z+|gx+TQKK)30(G8A;1~}FW3}t36z-M0V*Bxg}{Kt$=z#wdix_x{0O{=KSFjkk2X~$ zuiC-##1biSI9x(}LK@P`)k;?gp+Z9tszzIMLi#+vcY}uJndu zTcI4v7(`0EQ4%!$&1dYxRDTKmmePB5#rpM6%v(5Q^%)6^ct^}Q%!?qN=*&krB<(+8 z`$us55!SJqo4!mRn9^qWNf|JW+jUTqb$cIh1{7U;0x*e1Yd|>gtw7IdEdNSW1{9Z& zvH}g9R|Ds)jMrjnq+&5zN8gZ#?~0$$n#|m8N#~xju9fg$KeJ7;%D~LO^Y-t0!xNv| z)8=Nnw5H5VacWPTnBvtOIW-`x+_i=5QK!~DTz&NNTl8~MVuxu$fEjuD+82K6-zYEs z!#pZ4gEzJop>?9Mq^f?YXUCr~$Q#F%5otO&d1y}?6Kpz+Et$L@&j(2AG#rJimg$~Q z*d4oF`X>98@x7}C(gJ^FicO}Bu3_IQAZz?tUHT_V0mArHGOP-&Fl?;isW;t zwo$ydN5xjpGF$96{1N|6UGJOF1*Dh#_LPWnZo7)ZlOdD72nGaCY0*DCefW(<{c-Z< zU=-&R#eXTqaP9V#n#6)BabDoabiMABo=ZO`ogc>1!ZAbtZ39L^SCr=5!1716IzPDq zc7e-GhM1$I`FhjZu+X$_YFaLn-2=bP$l(1Ic45O>9mDmq?)FU~uF2+YzO9Xu54hFh z{AmiKIdkwnXPV3jw&9Vo&i3T;0Oghy{a)$F^Ai2)*nA<7Ej#y&ez(&Z?n^AwXGqx3 z!`gEG2F#Hp z>96q@U#L(HGHKuxi(YFIRWjfdu@Nya)v>rX?mV6-8aByp=CI1XxLLWvWJU4D-;hTD zcF+hIlh0jYLPsoD0_L!&3i0*S)Yd0UZ{ek-5=+jFN@$|Ps7$U*o)F>E;!$m zCsyHu`*!H3B}spCy0DakJq+j;DjKvFtM(*=fcrdtNF7IxNwYur=vH}^36nIC@}jHJ zAt@73=PO}FTvi+O7VAP>mJs>lkZ^d(Pv$`j^QLwU#a?q8Cq$`gRM&Hafa2&Td!=h& zSJ+cYFXmL4E-a?D&f-XAkWPmVizynkaE>X%1lZZXO(Qu?8#zrAIZX>WO#?YiTRBZK z6Oy6j5)sq;%284}q?JV<<32;m6czLG3+6?Poq3%4_|MST!& zU3khpXO~48*4Lm!xp4Z*S{TmG^!m)y>5jsG=?lcnxqEt>{WoC%`ZAO@=1AoYXX4@y6%{h$S^+NIYt%``*m zul%VUpdvb}6=m`J0+|ywS>WN@w$}sPcO@@cg}OYjgjo%G*^M^-jK2`9rH4tO&e-gr z6SPJ5Un7xz6D+n|h0d&dm8-x({W^H`h$RLyekHFtJ0^gEyoP|mGBFkvUoDYwtrTh4 zI93!h@e|8H`pGniaV_;nkQ2q6@--&)m3=__V=rvLklSil8}SlImj z;m6*5|KT-4Y3I4VjS4VIl6@#+=zc-zU{J-mV8+b#!~47$bH@)}KXA>PExs!p;NL^t zk)4eol2wo}z1Q7lrQZE^;_xoWdh6ax)kmeMjoz)7&gP#-%`_vi-9p%4s*y5OcCNW{ z=&?;)H>=6$|2IFGuJ3y(Zc@f1`A?El^{v!#?g~(C6A{@KlQ=GQ6wQL7M>yy17m5tI zK84&?(Qh+-_OV&RWUHcyGixxlX0dOqhY+RSm}66sydG`y43)cX zMQ$Q&Z%DTDOi`);WR(EBU$A$8@szyG`18?p-Z55Do?=Vf45c2e2nN1p2pAbSn@cD+@i;fDhc8 zl*-}K6!#runMr(Myzqr2rf8p4Oz&Y{xb}_#U(s`hY2~cB-R*x&VQtfTGMB?xQv@%n zc$=u1>~iG?8b4Pn#;g%tn1*y5occ(jxZSvJz;cZ9Q<_O3{xNd%wHig6{UxX4lM~7X zOG0^5j!5Z9;DLid?i}}KPGuHV0`+l|-Qlx=hz^6%zj%K_LGW4i_|ZwXPNnnL21sH@KP5}Br-HRTR<{8=9)+taZI2m zCpXhS7TuO}-qH9`VmpaM9w&(1NpL7ct+(tcbTY-BDZd})OWQ`2IO54HA(f7^wk3e8 z$8p|3qr_m55AWqOxuRb>b5Ya2+05C|Ri+E^*t%zJMIO*@WYG((^9tINh#* ze${7NA-ljq1r^qT+`SV2Lh&g0D(*rQgpm6v>Z%;%ov;*Q6dw_KQuxBZRamQeM|`!> zL`biYnbTgc81Dh%o0x1v`@BRwESiAt5YUWqEn6aYX-u%ACM`5FYyJ zmX6(&cdjqRVbQ+IZ4W(nO+|ng<1XsV7xi2>rS_z3i?)54@nl+wM^4U1z-bbh4N>g6 z+Q*@R#&JcJd%_(v@A8<;xOl$^z~Uu9T#9j;eTRWDWqcKVWUYVz{XpLV7dOmf*B-Zp z@_A)-x({8F)D}^pZa`(nmX|0Jb!Tg#BAu7)klTc!lBU8rC1%*hI!yOMyNgh(fAHq6&`EWJ~ zC&i|n+5>H@f80|q--~^f%QtcKN|zt>*~BgYrrFe~u}&0R$)M{ws)#YNJsqOouHuW$ z;#|d696MzTyk$xcB6wy=j2vf+PGGCD7-8m75Y zex3{bci1ZYOwuGQF||i1{N`WHrMESsF)+tYDE#D}gnlyV-@bE%%P=iu)k#KAC*{Y~ebHj|JHDukO?&VM1h zmMY~clSMg0$Yt@UvYXxvb*Xz%x+>{?QSDyq#VDgUbge1;>o9!F^CsJC3nxF!txpOk z%{XNTL^Eu;V4ZXR#1e}&f5Wbhjv#rWHSat-9QaPxdfZsBU%v&o@ed9ftk@TRxiWlkLjo;DT`16RD7Cs=%eu2K$l@;2NO)GaJ zd{~jN-mFu6PPrTFu(>z?S2}|F;;};*2QGJ6ft=yevu<$RX_pawuRp9$;0Nw#j&ETU z)Y+@+^2f;xF{HuK#~Arn0ylTO#3Q{c^5lrM4OH7R{;r%) z)@-}uFwfy$zweV{>%RiGzQB73{+$J93Woc*7Zz4kwaa`&`k1qY2;!Nq=Ff11;`>7f zwyn!eP$fKOU8gx6c#mW`A&hu1JJh%%HQ#T4e_|~=0B4lOtDpMCQ zENnHDnAtoZvsiVo*;rg(TAp8J*j%4wY|l4aEUZuQWMLSEhu9n5sgjYQrUVJ|!Hc2_ z=xl6pZLKXW)O*~gG&kv3aV^)ZF)eg7`#8C+IGbsiJ)71IBCp_e3gWExcy4T>SG-Jp zVeIm;B}Y&;PBpu@JUBPYxyd}+hBS5I@$ld+=-}ZsSaEfDZ3xk`Y}Fls6a00aiGBd7 z?lHH83^Ll+1qW$4Y!ucfT`^eQiwFznSyFFznEs-AQui8r3WoLg&5rGBaRd>stGM1a z&0lyHZ&$WJX(0)^_)?pvO$|IABV%YHRbba*k4}RXoN?0Zc{SioN^kH&jN zJO*d`Z$fVEUrx%OX+!!6Tmoft-I#pRtqBI@C^r5%XaPen33LK&6FdTH1C@KF+M;>v zSj}Ug)AvG2qX;5Sb*Cs+YkOl&Vt`71GF4wNw#iXV%__O7V!+g(i;ly{!!fLx zS;PV?>_Tdn{rTpHb$wwbN=0fIbPd1#>t!{#OIpsaVbFU$?2?8*LD1d_5dav2fJy|5 zw3<4bKH4^BX*Vx%#8^RH$)Sf327?}lq&*|o?zG`DuC#Z5L;4U*vZ&xiRN!)&*duHYkxqgNnm#A~jh z(?h?LK0mrS!6VCjB=C{(oE|n^G(r#`La}?=#FOM3Rhhljb@}w@(pp>#3)d$zAxq4D zyD}O2((gR;Nx7Ml#@cWn=(r?xtfLuqX271Jcr8PEYHr(a%q;BVVq6;-gBkOGH~Wk% z2bKo)nl|$T#lPO3N*bmGf`>JbaRZ)MtfueL*it%WJX=u(BguQltI~)@QntWAHBtbH zt~c=P2!LI`9K%y3?0R>)KG)|-3n zQ$6*7bFI5AlLyv@I$7H*q9|z$$=sN`uN*3dy9V=-e5}e zo(#l4*>MnJhQJa%@AmHkuwR@mcikv@BTd;qh1sj)EYP5e$k;N)x3b)k^{ZfgN<<<` z)IlIw;CTniQcgDv>JUC1Nisr^WT==P1NBc!i=LK!PVHX~RV3vCx?$vH4~omj7{}-* zBvvi-0Yjo$l0m;I^4Z#2+!_#V^tQ-Z#vButvD{97=b>h5oPb}^X1j%c@#KB{7Imp& z*UivoQ}`X75=pW&cQ(2x?%>$DnJ1Y$_DVJ8w=;)Bn!kI~zi&LX+b)Kfs`|^9U^o=v zYs>rQ8d&yr?2lx|ogaU(MOo3_^V^HRBCSi)(6CaB^bVwdVxZ9AH;{aUlpXWPt)xuo z7OeS`(Hy9Gfb2To>l8!QKV;cNled$}5Ypfq#LK8zJoPK5&@U+Y=dnAAtB7xSdELBY zIKBXtL4FJO7i)lqhV0#1VD+!N>tBN4!vlAF8T=p7YoNQ7zlSm`10i$=P8o4^pnIU> zO9Ss$!r|?kqwFK%E#~3Kg6b6(FnLWNd$2NGZs1>caqjzOm<9l>*aR+Gc=GcJrPW31 zUA?m9w%&TD2wU)!^y>C$XpohOl$J^fOBkTBSM-f&CvKMhgyaVFz{}h%Wt0omcl3`< zrL>^oa+=3_rv9am?Ji$Oz56pqoX1w1`L!;gx_by+*t0tVT2{&P_AVfajN|J`i%WK{ zE1&3Yr&?S2E3HC1#|S$b16)S>Uj^Zgk)&h$=CK)R~!{9|c2tR1jFKz4zqcoBS zfN7ay(v{;5qbWPjP-XC3D?7UUn?aFcjqL57sEs5{G;7}Ni8FNZ#I(5%g^O9x6AMl; z9ZF6{E3%yBc?PbHP9_>nO=$&-)YHy1qWb`F` z&a^oUGP^R*-z5EtjfVWt64%`2=G!Wyp%5z8@(Y6>P(Ri%;(;ZFO*WD5iyo``L9?62 z3X@&Q#U}2nfnX5)5@6`g9$q~qD)N;(lR-I{M6W@)z$%p?XbIKw7(?kIBekUI7&YMG5W75)Jr${gUni7 z|7K-%TxWf+5e~uh5n9V$z5nj<3q~CxQSj}Vc~=K4IQ?A1;e+2x{LHUZa`FnH!VBEk zfp3h<0Oi|0_mWJI+sj((=$D$^IbZ~!Y0qeaH0$Vx>0MwZ*)U1ZO6zMl?EzcGc`nVv z^yyt9kEh0j?KNsZ*RL}&N!9rdO|tA-E01vC!Xmd8aZfdS-Vv)PNl&@TBFG6{UY*LK zT1N4nVL#^VXjvTD?Ce8*!=S`O#FYaKl?70IC;mEVag-fAFnGO9*uhNVZB1{69QR7v zUv@AaTDLm>k7AOyC21|_1d~bLC=_+us1MEiTmgA}k`ZhoUDYqsy>DjXw`40 zYXnoA?gqRtHh3zl+dk(8?T8;s>(&b7JT`t`Mrd5qTmQ3OvC~3)E#exw{fV7wo4Lq( zs1_QXz}tc9RC6KjAKDJeAomP2$ZZLc35~A|gJByg;$STcu4L5^jOH5ymvUQ&uESX2T3Dj%n1#IHG}WOnsXQrmNjb#o1P>kNZ+HtL1@8(44cQ6 z6OihCAJUC5(I)fCrWGLdcm}K{$a#mJe2;uWW9B~Y_^AJcCAh^B+v*E$yQ1VqRmKpn zuj07qKg$5G5B2HeKTCf~&)i!;$-T0q_Nn&{gZ1ZxJIij6kAnYp>Rk4PV?j0%oRoxH zMH>7nN5UTUWi<90=-J_JTSX{%(x2xM5od!}SRj^8$Cr*Q6=Vy$vRl}gb%xE6kx7Xz#Nr!>c1{`R6B^qne+9(KQIam)Uv^;u@{oWt5J z8E@lE{?-P2>DOXOe};gCh3KT;WKO3a2^P#0Sj4LmUTZ+T^1&kX`E`rBBkC@v&(Ql&UtvCb0aYSpVUoW-k&5@b5J|p1G8A z&41uEn{>w7`qV32#yZjCqo^eZ!a)F;SajKa@q`Zhqpn$Dnm==fqzL>+5&Y zL^Ax6za$<&d6UX;$N-^Q8FwwX+3Zs zoeZ>av69h}+;rp)hMkI3E1{Of|ICiD_&qoryA%mmSSK%Ar>^xGH)7#_8(qu&Zk6RrH~lfbeF> z(8)=RSS5!`+6akA@LK5$RrA)>Fmr0&+FJTf{$@JU&WTX9Y+@WQ?;Y;bJVH}Sp7U=w zKROFJ8&r=j`Jz4i=kql4Sq1hij>a?Cf;jhHh?3ZpfC1SgiC2x8Splz1PWc{uLu<0J zLs)!KK6m!g-f)frZ-mrzq$VvtrSDRvq%8f9Fj$~^8ybFx>WyWZz41> z@(t&Yi((umsJ{%U?U7cNL_h4;1kng zfNW;fuDAME&Jm#R#Gj|GSr_X%?bM=Ddhxboe04ZPs)YQb?PB_3zKzpX(hiDNZm;Cx zMcwA#RWdf{hLdj|pyncjy)`rA!RK}A!q6kxde?fDUDF`UxjI&Cw1rTGfJj^RMu`^g zIJxz7ibRc|xv(BSg`AwjA;##cN+sk**mEe8(pF4I9UDo$4-s)FwnQvXuv{I2Cy2>J zsn3a?oGQAs+;+aTSwCU^aE?9GMq7qK!oqPYP6j((S}~);Mw{U$y^>#8yaQ2U$+CG~ zL&8o|D3o}9R+t<;h#TWS?#26p9QdqTHZww9g3MYY;!Z=?wUHWF2@dm46yhW{g=(U) z^m^STy1oL*C_V2o2gKSgORtGB^{%-<16sH0lyJ-&Z#_1!YbB6t|7Hvmjy~Zq+&w4p z_M#Z6OO+v3Dy@3{Y4_(VTJ>CHLmDEcz{V6pY_8p6-x4Wq=I_pk)Y01^W(=MB7Wl}$ z#UM5!ejk-D7FQP93%kr)Rj;p#j|F`$1t|COu79fYt>Zjngj&5SW{`QtOlCgdPAza^ z{ez!3lp+!CjCQ}w+|I&INM0Nn@np?r9}R@uLWx{L;N;#BC^3mSf`K!Z4h;Y%3{OFI zTfr7fE{#mxQKz6qX`c7#n;k?4D&s*6zFry_aV74bacmD=Og802paVAwP$wqQWs+&3 zl1B@emvZEk@y{rkKrOT2l>RX~P|IvNI|QZ8aM##(GSddl=P9wciwhu#sNgC`XB?^f(S>$!<;??ruRnH{oa#k+BmT!Se72~!SZl!NK9e!pIfC`7V|Mubw=oLbK131PDUpnsGg1eD;KP%Ln(A}bIj#f10Xi~) z-Grn~A&+QPVPMg&K&vz&3JuFHy1f^L+VAVdVAjPM=o&)uPPQ)#^J*33FH0l6l!+T2 z@j8;wg*v?^-K(7r_xp3hoj=HxAT*F{=rY(NmOK>F!XILUssl=?CU`*dsf02VzBdoy zvX1qKa17Kg5DI^=VG~@Xei@`Kx6Q0E4 zhVLWidy6_GuI(fSYV3lk2+YH&2&}`C5St1F2yDZt{5FxP2rR;r_^g@nT$1Q?;^SatBn&P6O##2e7YsFm{+-VCpma6cV;|~^J!`~4D}#z7 z=ZiYQ!c824wkm%ko%xVXP4Rj~za{fZ^W*LptslI)R7^NqljQ79qvWiaCfR92HL~*` zFrEe^#w7)AYUQjd6}h1dFQ)gIf8O0L1>Lh1$6NE%0(#au2i=R*ncZ*#)m(e+X!AEG z4EjAFX$Np#nOqN*(@)!bH4ivfvs~GiT@zTZGHy;iM^z6$O}~L8LII#C95#z!Rh2xC zJb3w}9(T_`CsTB{|*P2m`PuFa0`0Y>(_69_Mxk~qFQFN=}?swgILhNi=07esUl z#XmyWIzaHD$g>5$rE@F3hDb_nTC^}{#$EJPRM&>(rdMrlK@(ffE^ICIKz`%lZ=iGE zA3=Z71={j{%iPL^h9EzWm><5Ow8~1|`w0ukPQtDRn z_8G!w1lI$r+o>9`ONNszWZc!1Z~u!6;cIaE%h2Myxq~HYtR}oNWMwiFZy{F@j^y@a z>1!%8*7n|2RL|o^l26lv+m+<^Hl8P9o;Or;gj$qTVdBt*tKUl7(2kSnSD;tmbGy*@ z+}iea2hAsNo@}8N7e0=2*0XD?5WQn4U8|5A$Qi4`wWC`52A*d<*8{TKY4P874*-)} z@z3|8+#z^dUGrmu*d%7Go@HIO8Ay{i5GY&t?jfvK5wpY8zs|UIdaj|{mNxX5LI9gn z%$)M8O-?1h$19n9&icf~T06+B^LfBlNAt#R;n{}2+xaHK&m@pPj=f^0-y8u*X4!?) zqgVuMIj`J~%VMTIH}z=Z!;(Mah`a)L0tS@(qOOXghnS|gO{D4k&+13 z4I_<9T-)ac60vkfARaZ8-b{ST6y1L+JX)_5-K@lc`6zm-KzmL z;5q1o{*RE%FgTJ9sO8|n)j-r-PhWjc5%td`^1d1p-9%Yqd4qi$*8>c{M{8D>^T<=M z&SScapmkXq>YrNB)WXaiC`y+ePbWNroYi_SHSzpaXn^W9Vg8rR>WjWDsLl>ki;HXN z-{1%$tQI!0`;NY$+flLP(2$Y0TlQUH6Gvpkap|BNLkd#KSvjGR+WxP>w=?#h21Tsu zn?SxoA(sm^$n*2@fyemze{Lm|`uT3D;W=)7_wdSG9h7=O#N9^0CVWvb+ zEd1F00RbGbx-oX+b-x#^rtH@{A)VYG*tcR~=5;ySeSB5HB2jZU_hGV*V(=$}@yCO8 zQ(<+cf_eX7c>1_H>ou^2HWUt3aV0?GMK`exzzHQ$z*nadI(vL}y{-06fWc-NodyWVvTlW7wDwe5qe@dj{zZ)vV$(R@-AMhO|1q;7SK(@#7(oz zK&B(Z+RU8OCwg@LgNmDz`NTW#e$+y^{tmx*o2=@)AAe08Ee(HQ)_CjC7%_`Pt*8kZ z5I?V;0+79kOS$M`e$Z)~-I)yjQl)!n@n;3o)*QH=fc#eAeTlvA^GiVd`o8P)gKqU2 z8kI3on33L)>46MH#5JcnXz3?TmA z(l*aYoCmPSz@+CJX51NhyN^@z!24SBzTCd<&P+q<0kh+ru=4P!)oSaKh~H}~Ag1D_ zLhvbAbyVLaci$yl)09&A;qSh{BC=?0Ro?xTyMvDns;s_Be6-JAc>ZAW<^Bdzwd<5; z(d;`POP>2TIL74T#^HXgKm(_+27%H_C+S6z@`7JQ6=tSUg3v)u+V@)C%6&HDCcm@N z_`USEK?EQ~H}=w|eF}>!ZKtW*`pe$421l+6+OaHc*+I+a5pRqSJ_lkarR&mAhbtG4 z7Q2}T!xM7WL(rBe^(nh;w&QQJ`aCK4#g+Cr{dDO^g7=NoeINYR777Bx(&TtSRWO-2b?>Wk7!=p+(L{*wX!tUfo^Df-SmDlp>ZWpcf@+d z1D!uMl8H_(ynnW{5WiBqZ(5E6@3~^NiS~R&Eu0Bo;is9uyQ;yNwzomBuFd_UcxdBp zr_o2HzB>9&GuDJm>(dW^7)b`?hxNkS!AuQ_@;xupk`mB ze3eP2Ed2H>ZNF?SQV=@&)V9#T%RiZy2p(0;!j#C+Uq>AC`Eu~KR+N2>T%A$0UxHZP zc&EZ2&8lZ94jQ#XyE(WtC*gA^V$d(YxMx`-w@5d7b^|cFT%}xp-tT+B8i%M<6K?gU zYqVRhmc7S&etO`RcC+7%K-*~(0ei1V%jYaG9j>3=PyhVsm=^=^D}0HDSA0MxU|GC< z%t$qyoNUYPX%? z>7o6EWk0Upj>YKoA|3XIR1)4wkCeZi^|}h5bD-J3;0$t&Kjyj}N1FLyZ@A-~w0R## zPL#i`cW=%1I>eX@ufX_U4@bp|wX-F=DC^-s?Q%u?!&9CJh;Du40i`EeYXpLkfkRYl zbCQgAO8(7hPJ-j$om2R}FEa;h+HV)TQhV%p-q|V(iL++MN?&6?&)=5N+C}%PQr89ymX(`M#~Ro@X%w zc|8hwJqdX|4tYHdc@4ZtX9~fRxP?H-i6j2YkBj% zBl%7XeVPjr&8O5!(dUzsWjrM`&**3r&6urZ{#p(mt)b@E!v3ZoyYO(9C%iifdI;jm66}wTYUA+y+ zZj<&N@pU!uJhAp!*=*KWmIqD|-8MqmZt)d2E+8h4NjE3fxAnD6eYSfiY%HyOKUk;ks$R*3K5nJLN} zyVAArMZrt>Q8f`PEOkRPLGZ-kLOFackH32+>xVc3ol^kpD#t+bCeuW}8**+Znfx*{ zYm>^D@T$he!kP5kl$?2uW|;g^GycF%u<_4Q_e}1*b3-3#eVcuM<>ayiTs^KnqgG-C z=0$<6XCw6e{8$P@;(kOey3ik(5B99Zl!WrhKyWa}#Y?AqNTU>+B&Z)w&-61@E?aw8 zQh?&a!e`Ivs)s0lWx)&Kw=7s@eAOCqco9D3wFEhn_$ET!9fUS=TEqlVFoGtL9~DvW?-6MeG1W# zA{08ntO{^&)QQuR~)Opnf(_o`3NX7g6V=0!aA;tyfnm&kD!jl zRa;sVN+FXWF8&@;+Q{P{B|f=2%`FASChne@O0wl-F`VFG)3h{$`j`j@cIUv?UNdyd z95}-i{9gelgwq2#W4`nu?M%z)*GT_B@f9J9n(CWzf6+pUouZV}LXH?!&m!Zr$o1Q< zlHx;#y$W3)A|BkFc)NysnQ=j{_z?huL_k05C{BB9d&6$tb64K2cZDo4LNgwGAB4AU zaYz9!7LQx*TE~<1vpHoJG)qXV5aP-Uh zjv3*BKdR4-v;VC9M1;@(kelh=8wcsuM7HO)+UKc-L)vzj4y5qpt0*A19=l9~pBXhZ#q> zJ{E{2bVoB*GpnUUhhY<2$_T!5@{o*7wL^CGMs2Bqr2!X9|IIjH$W(*Q^d+*9;!Cus z2`#`#>qKyWgN$v_)@Db7|N5UlpY_6@%<8FPW7!Drkw<_m zr1q?HmDSG{5HK2jFsBZ=1ZVLdEyQ5fNqBA2^LQ>6QefAG@^m6yap}zw-@IwSE`f8K z6=$!WEoLy7yNLweWzieGeAX=mHqI9~!7lN0mr2as-WwOdFX?h!L-MwO*C9?XF&Z}n z4hRWQnY%fK-lu;eXyNs;yse7`%K+CzxeY?|+$W0@sC5dTb&r5yx4|-_#JpP@&!CG-+L58sS;y zl5?aIZ=noBx@Qrsh&J$xtot;|5(2{-u~%0;xR@C5RE<0P#!W8scSpI4uSq<48?+fe%FoGeF+Yf0vS@GqU?2_N=SZBYOTe0`2K zUFk8=JMc^PA??Gm;)S@`twdC^zNb8sPAuNhzOSGdcmyPc?49s!I?S?HK{O@)c98e!{5=sR{-46Y;YC$lF-rM*oV%MxSFORdad-l~TJBw@cCpEG&y`730Cw2)j$1=Q! zW+o+biAj8%*Q7ywww7oW4no*$S_-HjyE6!zEA;Me+E;^lue+Qo!ObfPx?+9hyqYjP z7vXI^W#i+*(7|#kq?s^}ZKfUTZ&vE5xYgos%){IL$8&@&XtK3nOR86<_O7J{*V$yr zi58TZ1k_MBG}cT!?_*x$b~oQ#>cG+%B60ol6DnnhXXa!BK40d4%P|6mVAqlrjY58G z5{`oi`;7VZuSZ+2+ z6|E;yghN$MkK48``|FW?67+Qh$lbIk)nA79n11}M%-Gu)*>XnFTHbN=oA1@97?4=? zz7BEqP-E-K_5L5E*AUm79o)?LadDQxiBlMxMu|ojX_vFDtBgHVi8 zM!0gM{b6&Z^~*UZ!GoTB5aC1W7hv>H4=btVM}9wxZ@<6YfxT6&tWi}^yM1oyP+@}a ze#aVWsL=-VsxS9nTx@MwxS1}eYn)2%i%0A)8wWRo1z=l!1crb`g;0VC3RdZp01v(e z<}r%jgen*be?pQ81cqahsR;beadN%7ux;6ru)VtC+2J|iiuJm(F%q#xHoEvkbbT{0 zOpV0K1a%_Ctb0r=KFz2$M`sgEn2cO=h+A~^^)7@m#a%EUR7_O19R^bD-}Wzd<9n?| z6oE=V=9i``Y*t(bfi3Osc0eTunREn-VUc3$-=sEjsq3t=Spg5iqcO0yM6d>_4E^rd z`8ti%(g(YobUGBUrOb4nCA!wTthUQO4NwB22C@aZA;s|SW@v@(P1Lb(WpJncTiU8$ zB>z{mp{3ZR0yZQF6@)F|*rE!Af2L(~8v@1OtUoH;p}=JoHA5;~sLB0bRW`f?HF1+2G)sw_Ii99kGE7SwoPls~ zEnUHFL^{babYpN<`Z5iqA_t=2D*Cq%@hf1quB_9C2}EKSt~qIA-CzRqz79jrzmh8% zW?_qn(@T(-m1{H_R=J@r+xHq&PN76Kk{ZfK;L`&&SW2w^RvEA4*TiJoeZul{JZw5P zqp_f8PvwAmj5PU+1R~hdO`5ALz@x>4T1a414(m8Uy}KR8(#0F?If_zzRS;)!K4{$% ziJ3JODjiw=#c9(#`By67X(6!{HHOeWE|3Y$ovQ(MtnkGzNsvGp8lRnF8R0sRKHWe$ zbcReZ8+95YV!PVc>n*7}^7X~L7tTJWN<0i)_gDL+t@4{ozAx@q!ayCNJ`oqx_f@Cc z0aarx^`Bafk>ypJNvampm(ZMJQT2L!<`$=wK*j(cD7E)X6#EQnsX-p2!WM z%fpc##vx2RW|}R<4PL}?hu^QbEM}-KFv3IGg>W6=v~N(c8HsRT2z0{WS0J8N;?~!1 zy6vi+>WNjX-pkHMgC0WieG;*xRmXWxUKVd?77{^bhBE0P$yEh1eR0wL7>S7b0QGC1 zLR+Z5(M}MEe+Z6MUR*U)s!DwiIGg)zkROcbz^}3I*aT3W?A;tbc{!WwJ|}%(z$h{_kfKxGEa#x|HcR6?Jp)m| zC}L1vCc~XnVy)CH@(+k!5V1gU^K`Qns11+u5V5b?LKq$^qtMUpbU`|&+v?_8s>_O} zws!0*fs^r3;wnDSVtX1z{5 zI&S2nvu#yZ?6#Z&J*RuRWoDhwQ5lwj<_TK(2c%k*KCv6Lk_WN(rTMG8RkqALj>ClcwqeANG{=sL3f>NDt(>x*pVx?P^vd z8`oc%PS5GrU%rPq!*edKe0t@;b2g6e5;K@*ckGuHIwm${9Ls%Kak_hbPu{P_?4w&To%gHfe*gdg|Not%G0Xq} literal 16587 zcmZsCV{|3a(snd)CiaOZww+9DYhs%xwlT5oiJeUBiEZ1qee&ks`{(=db+4zY`l;^f z>a}|BwY&Ci3@|XTDg^K^2?l2UwX=O`byG(tOM5$FW^M*%1}0)*7fTxxVh&CwVkT}z z78XVpCT4D86=`BwOJ`GJF%wJYuXEYj{}@}AZ6*Im2e7Y$2YHM$+XnVcf?VY#xYl@4b$V*r-hBdP!!)!IMk_Rgh{v$nxgnzF!qx(+MZQGx0Rs{IFjeYGr zr`|*8D4LU=o;N$a3T`J~CVqEh60Ws#iV(J@+kt7PA!Q^wk9MLupZ3`?PLjXGl%06$594sy#bL88vE7yEJw}3&W2<*m&)3Gr4FE0 zR`savQxd_}-&)NMI#$EE<9*ZgGMyQl18L|d*(GBg&Dr6UF0{+mH)xLUq<*=70|58q zoP17}wV&T(sE^v|V9~u8nuq+}D>@UZM_bK3@`yyjcMXX&q*8FE0 z-(gu#ra9i*QjhLu?B_fTc??cry`IGIXOLE5xIaqe?@@8zhUIPd5>M@dY z&V64S%k(EXJVULB&m$KD{@AcQ!YNGhd}2kzCQfWpdU0Y!-$AVNa78D+>MVUEfYq`zO<|?}sK_-tBJtCa z&w1T%jCZYgE#yzQ2)a4rYL>AJL|N%r(9HTj_9BDli zcZ%5OG`FOs@oA0r`?Pu!NzKweJNb#Fi$OYaC>I6!)1NJ?YHJSZj8DudTceA5&DCM* z96cC9Vg?Oh21SV)UvoIKfSg86CV!iK(Fm|^Wq@$h?K(EOq}k=+?qi%0=b* zoDLej&DXg@o*ySH)Px>#Urobg%)^Wj;IRjCn=$XD?$mS%zq{vYz~7au*`n!KrPXC{ zZ21kSvDW#n@PmUek)J_;wNXi@yX<3+Pu}I*usZVShs!SWOEL#bUA7D(WAfxaVOfOS z>ZGGxr%@GASbU+;0Qq-2r{6O0sT`7~(i$~E0PRkXOEaqw25fGI$9tan3bi(!eLhW( ztoQXlz=?sds)%Uy|2#s7x!>yEpRs|%kAXhm(>K*nXA}s zgwIm&DJN^GO2ZpP3iibW3RA%ak7H~XA2EF@!5d$Tb%hSUQg@9wT&Ww@?(Ua48_pGB zJe4~!z@PTsXmXb9Fo)fZN(xRH-0o9CwY>}MR;|oi7Lmw$> zjr1?ujz9w$hni{%)tooS7AZW*I?s6F@1FaZ%h0t-PrJS|$OK_SsX$ZGpe&QXAFcV$Mf1h_hcvbYDm+ZbjPeMAXei z_H`wo_y5K4x06MGgae{G7pIpIdEh7JR`C)GTG=TiysTwopqJ7B^wrGV89K&}4XuOw z7S0@xiY`AJTTh#N^pHpshKX^jf1?ImxolX&p|ML#3WXIyA%q+urGO=}{(_L5G=O8` z4kG`zkNE!(DF*S7KOpJ;RgMs_ozVy$`53Z z>75*ca&jrBT3~^twPMBIWAR!67E{0obrcyo8T}AfO_aZ4!lE(D$f(?;f};Ds-%#So zPDMmx(*8pTd=XDy#42vnLzme-OWG1C8V(}MhT-o~VuRO8L+VU@Av#nt^MLc%2N06l zLQkQZXE}8^(!XhF^Pk&|0UC%kQ_(n%;!r`s0(0L~R?4O)?!zq;UD^h>L7^*6LPDN` zqQA22f9_vYLz*A`e}r~hMON=@``yP!GVmzRg7}mhCF`TTC!uJ^dzKdtf0m97so!qR>QHFcPsoNDYo&BCPjnZl({*@}`c}h@{MS z3`G4`x}Cvl30_*>Y^|*!NG5HqDtA%D-GH)DMDn^(SZ<`CMz4OHvFf0%& z^X<4meeKzTHX5dyBzXenR)e{QQB>sJ%%J9KDSQiOnH>|)DpxyGWHMT&HFSct|J5!&AhzpCy?@EMrw>I|=rdqq)R`;_- zOW$t$xh8_lDf^|r@yy{za+#4$4C8V`0dsbn0u-26M$FyJc8izWc8@<;-RD}%Y9j+v*t8wGH%1Dm=)X<7#^F2XrC`rltJ9RnpLk!G>`DRg#$j@WxoT`XDMyhCBHb z_(O|u$@TI2)AdA;qf3i0(5A*fGhs4WEs80~G1l>84$uB#COTrPbvk`*Tiayi)$D5Z zs8`IT(A<)gc$Ji)=KY80h&=H*A#p3$?XqPn&T{n*gl0xHcBt^(V{N^ikMB#Nw&dMz#M z8#U+JXI7647*k2EScy-Hq8}{Ot{_|yj-|c487n+#O&m|9vCE*`_k3qhhb4k6uoAO$ ztQPIu7S%2*buTNkFDnTyD=#i9ZN=4jWRaAevBHchP2(#QZfRpNX)_TgPXCRx0Nl3s z(*LFm4nM1ui-?>2D8eCaQ6#(wE9aK`PjHBZNi6>@r3 ztw=aDUPdJi1OJWVKJjvIbV$i_+9%9SWbQlPQT+F?o)cK|GZr84%fn6{7rH2utzx4f zW8qSH!s@BatdUo(ezmh)^TKYS(ySXV+muMELG|uepk}BY{U95KD|K{;Fu_N60XJh` zELuaIB)Q9M(jiUHVKKRKwnftRqed}&%6X-Z!!CzR3WtSya~@n_+c&v?r&w&@724BK zm3BhtwHZO7+40|vci+J~IX+}j9oTW_eDI8ApDd!7H*%Q=o$Uv4=6rC!2$QIpO9^r1 zYvSJ8{R#;i{`8D5GsfG2U6Z7k_QBkK`NG3)X1OCNq=@e6|*S%*yuQF4~%geQdt zD1A<1W$4BWX(R<3>0e0wLh=_9zYtGSuo4UXwCtrwHM8zVrIa1N5*iKDnq=OZx*X(s@1hf9bWOKK95 z>LdNqpQ?<@to2oLK%o6@;qBicWd^RzhtH+n)n7Goi=h?G=Et1u#zoQQCmQStZ;Xk- zp(Wgv<%iaE&9e&~b#ub0wdLU1o$ZQWxcCs9T2+YRSo0JTL}#wdXk;?q<16MwX?TVL z(`~UQbpFyNt0CdHkuOm(Jr&paThHIMuv~7{*?QY$Mz`;H^0^rrBl5-6XUeEj!@dVE_8GE^Ea|I^nrx`|&Bk;O5^klQzk3Tt^SKg*zLjXu^WZ!&(SFv88vHvZl)Cfd;DoIeBWNFhU zepKI-Z+H3q3AL(^M;Ry@nE_(tumXQL@J;W4{{!$L@0PR!x&*It#wu<~rvN zoRv~Y=7-e$z~lJKa}x>0H^%z%9HbAn4W~hVSYg~pplgeMVQc#lU#HLiN0{(*ccGCQ z2q(3o#ld_mkt=9PMeZq7X`N0^Wsm{2TB59J8s#PJ&e2rx*h7Iy7{oqhN?c1`cJ(uS zz1?BZAhMfCdtJhGdY0p10cTDCX-_)U6@@G|J(cb7&IYKdIi#$Bl}kg`eH-=%SYvV1 zxgYPXEDtD%(RIhDSs!vKH@;P*;1pBwLYGTMExBdzB1d4#UfjheKWCMV>Vqu0qFX(2 zQ`fp*`?KY3#tP%Pe$D5_IoVw4wfZZZG`o$NL4cO;_Gam0r#0IN&E@-aZ#*~Z?F%Ui zy2mc4s1x}oG~;_=Cv89Tot21s$w8dYFLzOIou;dsAj9k!e_6GXXT8<(TR}*{yLBhp zu(grpLeGD_xzcsiwqL@{dN!cX{pE%9cE zgUUGZ1orrIBQ6fBAU2oWYvsa2)R-4rg9o>BavgQ% z?WNjUl|N$RiV2m#<%kTfsSOJOykF_oS#dPvviD#fCGDkwPRgBFd~+Y8sm*=Cs>~;? zzD!2ALP|wPbnJl`XOYZGdZR{%rI)FUR%^Jt4+W2@l=g5z45r{$&B!ZRgU|AfP9SAO zZd9^w;6(E|K{6~a7uaEFM2BRceQqA<`ztDFz_*rhFkp!JDsNrih!;WoM|=yJa1S_G z5x=)EmQ*T`rb(12B)tUXW}vvuPr>syqO)YbZNzY(B&iVShn=G2ZaOLlAnsZ9fzMva zhLf8cSQDt1pg#@Yk#^cvA7pgHn0&WKaWRD-v@fiG?q(4wCfMQ%(5Zy9YBu5RG>w|#FS4kihh0L%oi&^+!aemf~qgW(qdI^QJD@Vh;HYB&WsTDV)^Lp%hU z#!yItyJ9dBOn_{nlsM&(7_`G4;`Fd(mKi?x0_A%{Yr~x;P#rDW6)!xO*`v%Z;e{Ig z2pXL-7d84v28KCe$2~Shx_Q4QoQAcs7DMu9og5rNvw7#tc#)qPu6e`QM-3x3bmey&@=HP*w2FY=;%mvd|vAhPK^VM&KR+SUD|I$B1~`#N@k<%*y&)(* zqPTky#rtg24kw14*+e|C=2Z*|oH>5O9`!DClo zd{GZiXmctg&CgL4K5BZ4Yc0Kus1dF^b$-Wr(;0sn{gExUv*9!_4H@d^kAFK*s0DrK zeumT%+@6MHl7`#57nD?XwAsE#+8!^4ks_t-`G2tHEDz}iU-Y>7x}})%9VW}1uj^BP z4ED$bq32|cr1Z2DRa8_NkJ)GsWzZ8iZDe0$>k?!(jcDiJ}T>GgF(AHP>((I-Jm6U39KG`Bm>ZW;2!XI8o7N#P^FLt1X1axAE!Q^ORf>3yYJr`c#!&rtR5ghZ*Mh7F&Irlbp7;_S5<}o~i-6 zSD3uSm3Pk@2|v!|@i#5Mp2cjG81U31Si2L;@PCrkK@R`Y5z)OQjYkLS9*4M$PQ-Yz zCXaA@xoB2Kkw}9I8=QRorR9dYtmO*|sgV@-x&s{}AgS;+b*K_0+$HvjK%KtGZL#GQ?IgL+9qCf(upi-8C}}lz7@wf7G{1_*?IeO(`Q&+s$!sAqhM^~ z?l$5Gl_4D+COD8g#`55Jn;3+j2+tG%|Ew4LnKMoMo^O90*0n(7iJ4dVc?=7}Rmn)L zhHfrNtp=ahJppn}P>pRyj^EX~G*sDLS@hkT$+<}=Je?+AZ17+3U+5CpZd_znw6$Y= zeJ`Eef+6?bGoNoDDN|j3Vq}(J=O94d{`4xViPuAMiY+xtt0n6~2H9dKXP3oDjQ*Pi(ar zx9r*8M@cn^q7LM*8tE0&w;H6;Z&kOREog;(eJrk@6b|UoL<0moa>z`=VR9CC$a%71 z3q{g&k5;FV3}tK}LZ+vlP;fqDOo~uE=VAx>0UW%-@@`u;o>nk}`f##hm-9!BW2s{w zID8&U7tH=3hfLsYK&AR>!G5rs-|vk&qSC~IM|Y!XEIB7^q=yng^s)bPGPFdJ+;j%u zRv~MIj(!yM88_ySiqd}|78kk{NU$)@ISJJ|V+&vQ1a4|UzI)hRx2tTM@@DyxW~mQ1 zKtRpTR>&1v%JP9TrHBdCkcz3$1q6k{=bEX>++Q#)2m7{S%Juy&#z=qtS=*qZ~rThUHk zu|NpP_US=Y`q(HbnPnX41FWVppwV(UCc68<70%@D-em#?a;JC>t>5!&9>Vkvkvq|* zx5RbN7Dg3q0YS{SgGr0?_K!;+SboRaANd+DB3p;ZKJ9|{1fc1PkNm6qu&yzpS3AFB zcF^?Ga=uk13w>+{w!>R`KqT#c!b#0V#M~=^#{TsYtK>)Gf}Yx%gowQ59deaLM#s3~ z8WA^%TuDzZWVm4N=q=z7&L@xeztmr{E;Gf|K@*ap}teBa_s;gU*X6(Sw`}g zmGkce{);j(|InO=-|^L(NX>Pa?>x~fvj1+){AQiw0^FZEvXus*i%rsPKd5C!lx~C{ zxjc9~4}bQMektW%fnVa{3jSRk$Ga(X`o_k@I0xxE5Udl9UIhp8yKvyZ*a1#_LQwH@ z01t6e>k5@vh%C)-EC#wEVhjuBTmL#q-;Q}h(cXXJ*YY{@MZ?A;hYZK41>%f|J4Yn= zJ&A*0;yjE*xK0GY587dVk3KAnih6W#{LSyEfx3iy zx1o!hQq{tTERP$as~OHmMWB%BNt*J9JzZ8<+-_g14iF#jVu^D|Fw7X1I(k98SmPwD z@L1C^RB(CN{5S31UZV?{4FGVAVIL@a(i@FVXR!&p zwz{Kg-pzKa1z$Hyb;Rt}QV@9FO?d3Al4*^&T4bK0Mfy(&oq?J~{uC7;y>Ik^>MosL zEa#B)4L{Zma^Omtw&m8{3B7#YGn%$*D*pyh)M6>-h!(n%$v0@lHG&_`h9R{1%*g;3 zb`lv+@H)%3ZQ?8dk|}e^{NG-P!`d&W+yea!!K-G#tVs8A@EV;tca2EKSjdz;y!`^x z;`>V*rrb^yUO$g>WX?3kW;scv2(lq1M@X|3m^J9{E<#4HHoJGD^_c$hm$Ror7v?qc zKa_Lh83L>8Dbu7aU1}70 zQhnD6!scr5)Vb2qFsH86)j0kJ675F{iCF8I_n(r}8PA$;4=aCPILae8_*W^jMyPf~ zR(hv^nAI>?lf8JoRYxRCiYGHFjIWd!c99qwJJ!xyM?c8^lU0WVf_X#OS5|3H#}6HrY^hs0De%5^8dBg#OQclLAfz-;8u9VURyvgkCkvs?SG=3c5?ZN276RHz=&Zork?8p?!}s8@ZLt*Ov}hG12I3?$yzutie<6VW&A;E}_Qk(aMM zT{xMEKe-uoa6w`8P%pt(%gf7lNIuX*TS*d$mFT)LJHOu_-sd{6%w^t)joW1E1U7W# zD~C}+!#+C*w08U;sL&Xycql7R@D~46^=b3-F*PZ~Tc3abt!uL0+JRBEcvg_45)vKs za7s&2LH1!Tk33&&wO8Ph@!A|W_S!1=R1aA1V{bs&5)eI$jZ&DW6#~px%#{utn{;;b z$geO4+f%Q7KvSD4#LN#a=5q6IGGQt4UPl1SaVx7b?YdIE5lpl*7%q{awM8aPEZ$Z9 z{A`^)OL-QqZv;h%-$WPDrzrA+3QB<%Mxtyc$z8cyiX4D!9wpua^$Iy;GAj}voEn>j zS1Xama8~N4Zeq?{Crv*RR}05Kvz+`f*ibOyoH2^~WG`|D89lGkK6!r5=dx)$9Brsb zp|zzs;BPZ?p)>(?`a#+xd{c8lQ!wpKu5CPv%$pnP1i6EmGzIwh4Cw&mp})L$GDqhv z)SV>G256k7L*i%Xb3)ZKb&yBaa&8Ap{gWH>CU#>rN7!R(e?hEf{vyCLUBwPNf zPQea5JqnFXX{p9Dnda4cHets2l~D=~+kn1h&6?^y^pgdHycPmeB}>tt-vs%R_%aCt zA&QJ}?@>mR6u#ATrWLa36~9XDtp`d;Cz|hhHvu_%eiV&(hzMAUvPfBint;9keiZ3O zhNk$QwG;6+v3xLW|TapVDyb(HxZCte)X&N47S4B514Ykp>A6R z^a}jmSypNm)6{d>@v5nNeGo=Wbk^nbuc~H^kFV{H0ctWD@MW8DN;Cq{v_k z>W`J%4*UeuP+}OSe%Vqf9u-mf=quPJ}){;(*RFV0faG8P|FWm%L9vZ`aC*HTmazdg)SQ5 z4DoYqdw8>dcHcAqOc7W6UwO*yzSCOcp;rgPPZe)dhe~k_6oIhHNTWw|42b9f5CB5G$#EMyJw+6Ivb>Dx6?1Ng3!O3yP^hbS-Pk6!_HAykb9dbri> zU3Ie!G@zos7BxrG{uu@xuS=p;rfBk`Qz zfa{kFWkm0B+_0xt3YGlLAmyY@M};Vx`H7B5uY5_1|G_moEoHa(D|rJ(W*)cU>@|}} z`*K!!zUqtZ<}jldnf=#zh{%3lqa$AbL40#3`d!<8f2kwh&6Dg3Vs<)Q^*1mV%UAzN z{T0c6pR+UG?M>tUsw3HV4BsP`^LA}>_zLU<2Vpy^5PpzC!onM)eVypl^CvaK=d?#i z_beyas42oa486wSSc@7Hw`y6cbpdMF@1O?IojC072r&kmI?+JqfT4G?gD&oqLx{g5 zhumr=adhm{Xk-U06Q5+Cz9!12*|vvJxZ95488M=R0_zgL8TGw!pFE^@*uKILhW> zDw>Ia+$xbUUJWD72Rex2LrI3lRhL%Q!%s%`<)=)ccP%DnTNiopJMhacC07O&k}KQ! zV@#>cN`MdK4xR6d+wTwgBQ9c#TcNuj`5HNQ=({SUE1Z@4!K3D|gIN8Jhd%QCc91`y z@+5%2@EAFB-l>r1Z+_#L8Jyj-+RmEO?R14dTt|QLOF5{oLVsvgSG!74hkNxtqj?HZU%3~9 zwc7Z$R23I082kN={2!mh^4fd8{$tbAcfTV2yRgj@+biA8;hMM1HzfO_t$Xp!7%H9~ zJ{)!dm4-U46-C0nCpYWv zD5B@H0q1=xqQ{;F`t#1{Iu>%WXns444%%w(qd;ZB^ZGi#%CS|!8r1ENk#Z1|sqhT( zIg9Ue`Py@wJM(F)0;EC_S2VAkc zYytZtdGi$cBSG+~AOIgc;V*=3R^IEO^i@A*7QO*6;NB$GoXqbVu`#=i|i_)b1Q*)>FJAwVa;IqcF zp5L*c=h~aDU(puie1+S^KjWaBy-j()<8PqpZ+^!NKR=*PH$c))&mxTXVS4+>oj8hu zjJmru_w4?+^)0W5A;2Hd%(hIvRqb|q$fNh%DDO1hk({@8U;PW%$%>d^iXM~r z?AkO_hw?_Ft?TQ87l+trzmQgY7lFg1jQQjF=1yR2g+0t>4Uo4ZK6`T*gp&Sw1RL7v z65~#0E@I+!c{bdNlJ2)-j2{=3{2i4yz7oEW80GqFsp|fHOv7VNGGX@|FXE4@zZ}yC z`Bm*lqYEol?ah~IFbio(BvAtC&%_5a%d1P37r#22>PvL0Wvep*xm3+=Svr>%XU9q7 zEV&fdY6eEw9!Op2iq#9g`bIj7y=LHfLdp5zBRxAOsNTbWbTYU2o2&S^nyQtnqYp5- zV89N^0_wABd&Q2xx4ApAbJ17V8ZL}XoTAWnHBcEw${Nb*oy!F8S$=x7WwpB>#e{6k z)n^7TOLEYg>A^54aCW0Ap87r#gdsF>OIa2m`2*m)#ahzk$^Qh>F6!mRUSwauIGR;_tbsmy@aa zUYnb2u*Fyh&7Hx77Kcf|1k#FuN1Du;>ccitJk$pebBT%gtP** zet7-lXJDO_Y6cmpCGCRs+^__CKg=zpiGBS!6UuGFS6PEcHN&sd1}P5qcJ|re(_}8m zf?;AiCkq;(%=$vn0m`oJX=aeNn_OwDCO@jC;TApC8{5*|5mxYP#PY~PUv53$C=<}}azsLBIt4_ z;X8w<`$SZZiLdFE%Jf4!q`-`(B#=s}=Z%F(Dg`>MeC`a5|p>Fg*%;&u1l^-Xl9o0!&KtY-IMX-U`Tm9 zjh;ev45DP#_?%m6;1eNxA4-TVQ^4JyN;jkMtHG>5_e0ZDhYDf5tlS9NKOi0ChfcXS zeIzdHq>Y2ws4Xp6pPaB0xiOg|NcpL%QFk5sik+sAQ{GuWJU)aje!q=@4p#v|Ema>0 zl6(2Q$l!Hhl8biud^gAorXneXW&V&P{a>;#VguXQ*g^Wrh`yH0&(>g!XihcXHvO_* zKa2*ut8w0Mg`2v&P+lX1k2x_ap7BMv1SA-tYa}_WgMHAqb<%syMW89(ZU~JUC)>>i zlgN)ojm$b)ao*_%w(aSmkK72kMS4G?MkW1T@v}5RUfKvI9i87;HfJDkHJX18@@fBa>lZzX_uGO5e!@eVfVnRfApVWAH^8;xcav{I0+sDJy_Aa^xeo)Cd4ygAD14Pczd z-WFt*%PfT)A2L#g_Y!9wv_6F$PtL0y(cQzq=L`NCzC9DUKOJ9)Zg^QD!WQ;}wRkTQ zERR2E5QJ0X^lN5Q2--j?zl;c)2d11Nhm||^m!U;|NPJzHLA0@^>TB%ljIQ&V#`@~p z7xGY&HBGtmcRd*7{MC5y1rmwqPd_yCbSc^`oBSqW7_}}^-WMa={J<^3WGdPAy3_Pq z9X6^4lKjeW-q%8GK4ZchXRNmF{3FfYx?ps;Kp>-QB8;FSvTL+0P=4iWJ0;%)z*Uy*zVF#Byj`UWlIz)miU{LTL!G)mcu2(*bEG5qhkx*pfz{tyt zH1lH#*ag#}XcB^ZChz-pE`@!|eOpgzFOcHdv!uKvUxBgsz1Zkl&@GIS)BSSD?i!}f z!1)ij-E%(SOt}yiyfkv*zgUrBE>Sr=?{Bpjxjr!pBDspPFX02*j8xEmsN3!+O$~J% z{#&K{YhcSJ3P9X3$7M8~9n%&Bm3i`CGqWKPb%aPoT&Az#3%qJ29JLajrkN zq~7SMkBK0o1+_a$di<0TyD%^r^FGoquiJW@+}3uk#}f>8aXK_Z3iKTf?29c76AAad z5mF6kRND-u#(OXM+nelcVF$*rwA#vauu1PkQ-^%8WIJVKj=4MZn{ETEc#9fgKBClQ zXl)(LQmrhN!meVArB&6|&`kb7#|JM2ZF45%5FEZJg&2$G^oSGA`f2Rvq8@5aW>)U+ z#PZzfLM4|3sezc{kGzy4Cd2B}kC5^1>c_{oV1;OV`TVGEq4uv{1~xlOJ_=EtYleV# zJlmV{hBGb49062)L@2I$yzk>g*io*7^Th0?0)RicZ0pNba1jLMEd75OA?Zk>6 zoG%FSGtAq)j&cQWWyGZAC|YA@F!6(P3si>$`HI>?7&QmgRGN)RT5vDIOD)g9LtFtQ z=;T!GRI&;%tu9#3>CIDT;A4Ub(yB!H&6axO>38?H8*x{jE?BgBP-RgHwcd{Z^#~Yw z(w<+(%|w`8E52DH)FERtMl|dEsi34u#Q~y&_c{6e0G!{%qh#P1qPe=Gpxx|{^nI6# zT7?^40Kx#pF>aQBWf^etlaRSDin#9guanFyPsLUsVfhtCiR$vf5(e!Fz`?Yiz`@wY z@KTWkEeXUIH#F-A58eQA;bQ!+smAx-)5~J9e^uF)25W(+#Fgm7i}}`R*qD2NAj+_* z2KE);o>L06E4~@T)jH>*K)?V2-(|mRGtVZ4w?R!2Ufjj4QZ~xXo90@q2ny%Qx~vEi zT8E^FcKg6{^icqbxOb526KjS}7_TwJ)B4k=5vjR)8~*5?o9FevkOQ&qN_C6|%><^h zsWN)%nx4YVU=g*cP15K)HGZDoxk&KBH_`9JJ0-0+mkreE5)RGgMa=y?CMk6aCgxVQ z!Vi<=MFTgkk(nMKL=XMu#7jMIQ?@TDTZLZSJ$gL1C+gktZT+rCcSa1~5ykpfiEHOeL8Sq<{MXPAH9Gw{yOJNG}abe2Tbd2e4=*Es} zBCO7Xl(M5=%4bXuKhi-RQM|(jV;|!@@u$L6>&`8jfLlIy4nHVILmc*s>nwjSNZ(k6 zCFab#uMRZ^f6Mn`jx0EL2Bk@u#cHLxfG){Yi^fyP~hToF&|2W-tK}2=n|G0~hpg0uvp{o;1mx zew51zx-euTXTMxGE6J&>mUv{EdGeJ+dV-{3?*-f<$OYER%JmnW-b80@Ms$%Uej7h54cdV_@UDr(>(lf_aV;SlVq z2+0;O@XlJbnN0>S0dC!S6q|w^d*udL7=OG;HuK@CYsd{nkBWf3jiX}j;1DuIvTajq$O8yK^bU$l;> zM%FbSSFIh+!$R zC>GPVH*J!@VrJbirh|$`3@1yfRi$xygQK(|QDz?$CxYANFe3+7x|gT~n1aI|Dc+=Z zEJscfztp65G$=LdyW=sH$X(dSCrHMM4T90m_Pq5lV@F=$)+dZ48L!j=G}-&BzlxV+ z-ws%$iEMu(?K|?YBd;L$Uj4AoZ%*0$$rGtyLP;)&f$7Z#97DV^2(jdkoIPzYnu(OeOM?s1KB4i80s^ki-CIL6i2Dhq66+;G>M zxH{O8wWRQvcMSVbgKXU#y(cNj#@>;~Z%_T(XsQpzPj_%{b!!_{F$_Yj&DbVIsw8s{ z44En|y&^i7vGdOQ_U3aR_p6QB5F{k(weetB??Tf! zY|nlE%r`g47J<;{nN(%)r0$a|yl!sow)QOP8{Au2ciBT(=@cBHawbte_cxc&Y0C!? zgJwMd4YC}|)(lJcj2(F1QB0+OF+qinYcC*Xrah{_0Lh@U-a0Vl;mxojWP!IDbTQcU zQxQOZUQ=BqA(p)Eg&;gSxY;ws&xn!`{X%`d&#h9}bA7)%xpau|-Bh2Bq}E1%Z| zTc$wQ!)HgeWvzNepIanaYpXtV2nT$Baqt?5>wd6xPM((U{}Le_Y@Dz0z!bj!lQhJ@ zJF`0NQ-NUmVZwH;HO-ft40b&58gRjc>drHsh9h_yQ<4^LS$LaUtBRwd9BvXrCx4XTIg1x8UWmqV{`~9q0I) z@=i>_0HQ9=B_aomaJ%od4K7chQp&-3nv^!GfqJtnWL(iX^!!3y*R?*_Xg+pQeaVTs z5rr4{KK+sxcGeTH-cYz!QS$>jf9M(7QY-J}q@pJrxx6m#fwgpQ+W-1bTa6Pn zYFx&E*jmkC!%IrUiMH&)_vNLmFN9b$`mbp*I|C(oxX6(&80v^As#qh`57l42iXr(H zy&l0v5lrujYSRj{O1%UNYUjLh&br;$!s2@dlIp(usEwpGxoi+9Wk*km+rNW_BkVjB z7wl$ni)&O9^EK4x2_V!&6*fhrdsR6M4+%}lf40A^G3uDaiZQhRGo#O^Sy$)J#^<9r z&)^Hh2j}PO$;V_jI`X31u=0C%x`9#*U7$D4wp7)7E%wT4^nV^bOr$j+H9zc$ddwH3 zX>t&|1osOZ5CW+zt%jj7#@fphm6A6l}MyihVjfgph$1Hpnzg(oaR1U8hYgILBXkJCJha+!4Y&pb!3Ka85v$L|~nlv?KBt{^Mb3W=}C zs2Jt#|J)lFGp^m{fDEmnWHo!)p!rqYpe|SY*=;8K{RWlPtXC?x*>8}-*7T&e>A3Js({ diff --git a/CPLD/MAXII/db/RAM2GS.routing.rdb b/CPLD/MAXII/db/RAM2GS.routing.rdb index a6f4920ec8834b3f33e06c3f6b91d53427f1035f..a15b7e9822f8b1b2dbe849e873877ef5a0df7d66 100644 GIT binary patch delta 1344 zcmV-G1;6@>47UuBPk(*|00000000sJ0000000000001`z00000004La?3&$c6G0Tk z6A?iW(N|FkLb3HB?9A+Lvd`^qzlPZ+Ez&j<2<^@6 z%sq4N$K1Ke(@v-J!oL@3{iRoPWFBm;R$yYrdcP zd0yju`aFoT}zx_u`U)^%fb3gX>2Jh};da&fGCgpGadg5iz;CugP+Yb6>Yp3^p zIy*S2EVn-!pN#(;%*NA6J@49|jpp+j8=acZt&-1SKfU5}cY1R1dlG4FkGt!nb{4dL zC~3|5!z)@x(|^mz>8&{ZSaMqQbIZSG?8AA$#~g5z10vw8J0-DIPTiYcP9nAC zk$dAKH9+mBIkl!J^T23){A(7uy)$l0w{@oE_UqBlNNtr}@4{{0HQhGtRi680GCMju zoK7Y_?Jjfq`Dd}n5@zZmYo4FCYfl}ez0z4Do56dH(0^D9HJhb#+N!qlY*x2`NawnA zzL`6xt;(w1bY59SF4yI<4D|YT>bWe7smSKKY*v9@-Az54G0=Uaww3zJ@@Dnfyq%Qv zlO$=1c9=KCOh;YeZa$jYqWLgZhF*xyn&#YWpkk4RMx8ti%z$Br!{$~6hNJSA!HKNk}f;y*_8|Jp0DT!Y(T`RL4QZmOFHyI#8RaFf8A4HP~7OTeT>q96*D%7 z?L!2U&`Xf&?QlYrE?60QAtFMt1u9Z=LlH&ID$q+&lZ?S!?Yblol^m`dN6WkP(k5FA zSK3G7L9h842ffn@8KWo?P|38QQiEbHJQgt6WzsERsTLR@2X|*(nJ{kA`ywiZk$Z?pmhRU2isdGU)=3-^SP`$v69U=CUAPfXMP$32p z8kh?grBCV>zy{`GWx&8S4G&|fm0$qXri*Ga_!Y7@tgxD^1iw!STLD1_RDc0T!oyf9 zthXh5$1`Q^O6CEcR>;7}&rIf;kbjZ+k%8%xIu|aAxmcMnG`fKqJ3{QoGo|^R7g7O? z62&H0Xd9x{$+j?>#<5G>NUER?u^+p{O|N?lF^H}UzFO6K13eiuj$)U%=^H2l!>$r; z&&DoslUQ)Y0z><;=&7Q&*N@x>)&GHYEP6fUD Chl?oy delta 1300 zcmV+v1?&2^42ukqPk&Mc00000000sJ0000000000000XH00000004La?3&+e6G0Hi z6A?iW(N|FkLb3Is?2o(rcy1pQ^d(q)E~VB$O-h?m@SoSs-9`5MY1t%gO|jiTNG5Z) zJNupQ%+Ai`ajVsO7T)t$yWM&jz7Hqo)6w{-^KEo`*!i-5a(_10^RwN49)5ap&G(aV zUzl-r`+UZalj+$>c!!^^e6_24o(A3PCEo4(bYX>61Iq8_^(e>z!MEXK)0ypZW2^IZ zGTlF}J-0U<9glwPPe+q+bKj+p2aV??G+GUw8#6p@f4lgL=g#E#{O35sx;XAGgW8(I z`fdiRa}lh=$$v%0^hTJzuc$hxLVxe1vl}w@!7Si&4p?S`NRV}_0=Bp9Lk`%TT#Pfc z)t0;TmYRU}Lj`SFK|35B{g`HKZw=duwyw?xpAWxhXzT2H8?=2B)3)f;%>6W;9-bad z#^aE7ucG|yw_IciGEI>+%g>t)Q-?*TB5Ou7d9D!{8-Jlevm$3xTLm=hQ$U9Ex^TXl zk<->`bf@Tq$|_@dT`a3Ww{;~Iu&j!yjOKOGtaqXMY#PwafgU1tQK^4b-mHI{wUf-Y zF(wvWDWV_vSnJDPEZRb~&c<2{g^MvSL|+u~P^B7KdYP!~iWwC3-okX_k)0jHBFrd! zKh_pqxqld1*qjzIuPwzYm|^>321dq;fR*o+@e(jEM59Q;3~vQzEWhZA%L*r0xxToJ z+;C!~QUAgWXHyfw3|tHnTBCec5%WUC67DKeY$@Dueesc3VEw?wWbj>CzSl@`RP^~RAx|P5S_7p7B9Kg* zfn<)#9AytuJ&c5i1+HWUCW0BTnI6=G$$x5QXe9$Q)^?%?lGr9-70^K7KntwWPQk?( zndH~HEsmll6_z(C!DyS~OD7lDfs-7nh)| zAygEIZj^ZtQP-u5K$@Z8uyh$nF=im@64K=s=fxooW?-VU2s1Ep7OOzIvO5i@fPYtv z1@#d^1KmrWCbV5iBB_rs6wH7YHY1K03%Ud>A0mdrL^z0X|6N;`80~k(8OaT3AQRpw ze$|6O@*avxBp?acL0|+9m;+uRw=Y0aGa(3^_Y|op@}9EvzOaCG$=GMw)JJ~lCyz|^ z`n(KLmiH8)x26Jk5W6#QW(vxC%70oSh3PtXi6htv4!X696?(b3ODvfonXZv2{tpp7 zRc-IEC8cd)bbD4?ug;61AfozWhf15qMn_Itg|-s9YM3{7iQ`xRR&W`Bg_w2qGw`YW)a+pF;Z@7N9a!W$+F?{D(6bc%8EU-ZH| zg?&PMWRGHE$8xoL;DLX`76Hbx_IGZTjm{eKGX{l7_Mh6;_ zh(}J1dUQg`V@~y~n&$0B#&3n>&&FH*yX;t+`TC#at&FXb*mGw#^tTa?j{CfDAxHd` z!=g9QtF-;T#SB-;K0c%lHpLVVi#Ah6LS&l+wrM_)$tNUY#+9`dYF^+EK4$c}Y~Cvo z#GAS0P8;!@RbTzrV?Y0Vxv#I~`eZ1}o{_awxeXyO3TT8Ox0qXvpu*puYBC>)`n9w= zTYQ2sFASBpwUtZiHkt!2r0NUbg(bu*F2yS^FQlq3B`Pl^k~g<IgdaT3HDWs@-DQiU7LO@uGX9Mdk!OgBEmD-pfzh1n+ZJ zs3~NyEChNan#B;K>9r6V6(0yqqz(C)je9>pvyv5=y1dSQx$@fJ z$XjQoh2&{^3bjtA)~WG8rQ2#V)w#p6BHekKq_()?JG3PJ5Ki&m(~51@(uyJV`HY5OjrdohCg)tZc=SKe12B1n;1KUR zz4Is%qrCeQ>t}ogNk5F{pT~4$nbY3f^6o!Kr!y8c&Xq5s#44^GXq^jvQ;Jtn5uTk# zIOmVu&4S(ES*j4fb}V-MJiE1C9b1b_2w^?pFh+nr$S~ zFjT{u&nl4kR+`ZCp{DSPXSih-e%-TLtrAVK;d6D#t%9?*o@}chB&0qWDjaXoh@QK{ zcivP^0YDGqWQ$)J&C~?frPo4UQI>dJY19yL|M8v0QaUTI5EozRe&-(jL7Goba8t+7 zhM5hec&6YJMPEH6Oe*}SGF52S{9<87crA2Da9VsMuZ&sLd=x!g6gZu9P$DoW!b?ej z64#%d!W=e4a?(LK^#SFQGJ%lPA>1*}@#4RV-|V6uedx=P^I-p|LGOkOVZ zhIqydrUo_Z3hz4EYTsW+_AvvClB8!PN70KLN(4g_~Xf!+{tcVIDneB zX~R#&^6S`@*6*6XE=Oupu^6KD?iU=8#)mU*%f{QhEbs~nQr-ox2+oI?cV5ytiU~Q3 z5X)_PVTKA0^uq=+uQG)tue!IJzzN}{GXW4ZA{^EGZ9;=?<4NL=l?cL$Jzvb2^qMpMowY1r>XM%GJs@MM}Yms2TZ z2_Wa53b|Q*jEF-q;-#%``3?5xP7Mv2w=n;{SKxe8#476oGd%`6;Yf_rTYv1r6Bc_>Qp6edEtvKhp0UMlNs)QK(YRS1te*Vi z+h~}39UC_^K1Lt+B4Ub@^I0A%t;x!HO^-jkh0(xR6j(RLKBzWYWPqy0 z=oA&be7`P*{e=XVGqM~Ytra^;CRI$sn2A|ln9%pZpCF{Y^qRbw{ftof3HTHm>PVUt zGxy$F`4DectS!4LV*I1K{JVW(M~!%aW;5LNU&dxt@ppx%QZ$>xyta$#0H-2X6^PSG_|tLt z(`oqAF?jVH_;^>m)3`%guI5ni_hs0?twJm-PP||ftV^Io{Zz-3jevK zMNRMPGjT?Sj-C8}0_-#q2npWgK$Tc5m4=ipXUK8tINynpVPys0vW~cHbu#MvFS>gK z{{_mPe`CrUlh>ctA7L;Uq9|lDNw$Iw%7p98xCLl~yA$&&-8$ewxJU%?eb3&);4{xi zSRdP>MmHWm!tsv~`=7A&Be=9%SNEKDIUOzRK{XlQ5!}ZQuSo)bZ-BE|A8jHZH6L%6K;huIDU#xYfo1<)zy*DZveub=; z; z&$gICtgOp|*M@7L7k83bfM9vbPi#hNNYMLG#f&&$mob?t%3r>4RMQcum!*8nC2`c? zrj;x@Yvz~*pE?^AC$!!m_Mg100i~8; zk^7{mw-E?}dB&UXZKMfMLad*#9L>9Vj2um+F1N@`O-~} zOqyixil-+B7ath~`Ci;?R<#Yo66#ZeFwb)4YSykenRG@j2Q*g4EI6ic1|VT5NLl}5 zTTtoBPhoac+%Skw%)NYblxsq<8~e! zj&}C>Ww%vAdroi07Jkf=9mzI5wOH8Op4}8HKDc2vDVuz**}}kPnu*_e)v4qOd|XU? z%eQ_xG4ei9n25p~nko*V`hano_fr)luV*NH%M~lptxy2~P2=OJ>J|z-IVc{w(n^_n z20WinW1S6f#?f{LC~h=`pvOM_L3D3d#oU3MPqI@wdD9`Cip`$CcU_d^>WijQ71cuD zPb+AG9Y~QOi+%Z`2C|S#fFfD+o{%aNfF=q7#J?(yMz!!~pLWNi6X?`6B)FTq>SQf5t3vRaG#e4mvO*UHe+*vWSK{u zut*Id=dn5!k|T+5z7kf%VW~-Hb0)-N0iHh&?u3`@ViBacaAfwcw1aW|m?%}1($ze! zaeiH+wX(x+3#i?KCu5?<2c4;{vp8JoSEqf4`71SAILDGf0?e#m(@0L!Mo!a2PSZk8 z(?CwsR!)=jghXID;a@{WRDc4;7!_rQgKoXUC_@!`*29E73@<)qrFhf>-%{gD*>zip zysb1`p-<3DdybXItcobZ`YEIc7hYf43gbE!!x@j_K-sg)PXust%*THU1FeGRCfLI| z#KfQR;47XrsO9WahK1}?fj<=fFz^H52aqE6N8$&`z0+v*$`&LkJShkxLmF zF3W_4>5PNMe`Fk&RpS476>X(A#US^=L8xP=p!DT3DE0nmvgrNE{9)qw zEo~@U(m0Yp6`dJEsbKY)&6U>INn+9{fp3480v{i~Xa};Ylo!EgC}@+a@ihupfJ7s2 zevJ$FC1hvc!*6GX|eUCnoWZk*D{cXjWqugu=H6r;&Tpo$PJo}dM%obe=zyM^arya%zv=x zt!SY(Et3GYbdZazCxiGiHcm~1?jsAvt@3H6PX*=}js%w~K@&tJ(wA=m;ail6<`0TLNd6%77UjWZF=?11 z&@KG=kuU5le1yrGbFYwMoa2@>GJ7%-q8lL3I)s{9pmnk89*6#M#G zZlAwHok&%=bxJ*ZtFoVB0b@yFf};Rg2%DilwNKQxYTknMUMrf1P8gLdF=I#Xa!Z68==2k5I%Z-WE9t**EMAT-_UhwDEL-?}Pk zb~ebi!_v#*d_H0jor!Bf%pgOt5&)BxEY}`3aPO)v)(mf0Y$y!;J|$Uj()r1v*(S>D zYp?}-w`6sYy*S47=VqhK8n8_nc_tm{&qa$m>(=%E9OG0ChffOej}4oz)hbwjEa6Pe z%*dB43Fl2Zpr@mwgp7o%bKhDymswQt)+J5!MlXe;xeCPtbD7A1aa-|*(ulQ}^DhW) zaa6Yb9p=Y|7XLLze7^>wNmi&lVZ_ojS&Tst@P`f04tt{{AxBCP(8z`*?S*>MWv(|8 z=97uJ#H2$lUS-8Fa=i>v zW4?rUq+lYEq1mY%g3%$@Slp0f0zEmonSMhYpy+&{`XtA46oEcP8heoFP=MKFJ5cCm zjBl-YnHb8{Mwv10%%UusMYVAtfvzWT);q$-Zkl!y3NgN!zOq1@GqYj6Z9{kN2eM`B zmbDcPpncClrItr4G7gKJxqH^SaL)C$I?fQr1NpT_kp=qd6&w=IE&r~t^Zq-qR7zo| z*dW)qDIc>4h49_ZH}<8>YULB+gBK4ty+U?Q>#Sn@8_p*s-I4ljV{8;Hc3c2@9{C}# z+0-Dj#)D6XJ!UVk4(ki~60M0qEwB;u^Y{^nY~0M55C3i?@k{g_qkhZ@cKw?>{lj6H!p;^PZ1YUFH`#84Q;rF$?$cp>h? zZUe%)REC+kruQys&w;P*b@cnag=(&HSGIN8(X>foo5%%v5PtpeyqXcHi}sYwgsb;3 zvcLBuoxQ*P8xgV0;^Nj&T$p*P%P1qhd?8_LreAR{T2TCJ3_Woa->aR#Jw>lY=dHN zFk}%dBrjx8ZCvDoK0AlQczPfh3^^3UHGjXm$ocQ@YI&)oHhOk~<yA)kbov8 zruH3N^1o5`cW|I3c_@67B9hWPRkQbSe z@U2XAH%UsibC#xxlidHq$xbauS?^3s9>R0Q$_)i)juzN3WHsoxhOCfBz4u$NLdtVG z4_JA0IZhCaV{hF#d-)h7jSA7mm9sZ+yTQ10Sz-W>dPEkBF1zi5NQbKTUme9RC#h)A zeCy?~3pZqkek$~M)jQ|-WN!2&chalWNcpPRg0*SDwBr%d!RV6@FwQHxP;KwiF|W9ygyA37X6vdR^4XQ^-n!vK{t-*9-r}r0Gl+B!GqyEXV~XjmWDx zxynAfI6GDA@e$gHs6oS{PO(k9(w^(??y#)*SN+eEN6jGI5?JRC+=FhjJ0OG?@vH7R z_+>`Am5Rdi%BV)|=$txd_TM@rPRaMutS9X1IDW1<&fqPot_sju8a90c)X56_5EtB z%o4FKXsLoiGI-gou0Wd?a1u!7;CPPk&XS(d@9f-M0dx`{mqaI@E)v25{>nN^uL|J= z_c-E?4+iSUSiWQi3|F%{rmi?{XqOG3!l~`CA4)5 znzdD`?eB3Yd{RS9(vR1Ij6 zetUmASj*Tv!`5ZKODpn|0#ylH5Y$HWKiFK8`ym#St*=c4?5CKx2jsVY!9B#<0p2ED zJlsKFYXx{RIsBdT+Z`m2V6F$atJ#)zq1s5|nxY$bPchBYPt#~>Bo8#Y%i9YZ+Z!=Mg0`Njnq0Al>m=`YK&~GT_6JYUl&JTz zdXA0#_j&y2W&|6SMHnFCdULwiRMD_Oe9lE~X%k-(Z)0V4=hu|eqsD7-t}GCrN`!wx z_S=<6(UnnuEPzsD%f{MpZ>YE=HLSf@CYIAZg);4YCVD7Gbq0107E}?IXTjBlOedXL zKfam(T(J6L4&EM zoT78RVB>~5-Smt>e3R@RLG=w6dhD3mVB%^VZyJVBMe0VvRLO&4BbVXhW_AM>?09@6NOY?qmYdXY4hi>@5nG6cfg!Y+4gJGv2!Os$smH zgrQ2;gTh;IdbQW97hDJRb3RN&n^B3d6pt<<1n6faylFn?3+*MUQu08(QSoC9OD3We zCg`Rl)+zSRN5JtVL#oRN);eBadl0O5N2zu-QI;>6yPm-2p=P#C-EVnvp2L4)@V~}~ zo|)NKvD901s$o-NgjNzS?Tzj25302ZBo8OvQjYoT%u$o%@7`?glT00~7l%sHLiP~c z3qgF_@V-0)mA)K=B`m-66wI?I&);--fAE*5w67Z+Q;L>c2lnpn?%whNCGF31O}Tdp zGwVGAXMCzX5Vq$fJ_+^Ugea=?mp4f9jaP{!zk2ewneb zovng&>0f=DcJrM8G>(rp&~gIoN98W>!Q&*(@5Qi^@ko}g&vY~eSIiIExEIG$nppjq zS<|%qak=&hZ_%I9g<6X)^0`mJZyvfQ(Z)Fj0pCP9_GjI1ICp_GiH@t-IdCx>X?^7) zsa?uVVqb#0ymrFz!TGOBRV#MoGR>N5AiY2(uB~KI-Ml0-cB}bL{{&Dm6tgZBCr@Rx za3TFn1lrT3>-D)G*8|tpECEP{zFKg)Oy2;du!|{vmZGxpiw zP_134&m`Vkt>1p_>~?+CyBp(bzJY?=MmEHUEl>x?{$?hgdHN{#%$sno`ROuei@VAP`ucPgJpVuF5(-8 zyITFQnxx0)zG+pHRq!3t>A!EGj)eIKEqMdFi8MlDVZ1- zH+J9~11~`7w$H01{nzbftyT0(&F&nq43J3I@C<3Qh==J75Ee*5!Zy_w7s!HLTGB%d zx_Sw-n^+EUb&+ecga>_)M|eW=Lyd~~F}0FT!2reS7Hz^dTGq@hc45Ny0@Z0>L#pfw z)#(J>eC-{9v}x%=iJ~bvN7~8W!NI`ei}$Qks3+evLh zAVeA7y?gdnE%Telv=K4D?}X^H3{w-F^3JHnS_`{^<^K#KAAQx*l}4H>H6iWb3FCB& zPVsu|ODm55WMLB%_urAq#~uGWak1M%QUf}HnQs!RZH7YgzGpx_k46NWG^OV4MBosF zt`>C2GE1@5&@(9S40AMpr@6#hgf9N18r=}cEj4T?vgNHnCx=SJ^`9_b#7UI}syd$B z?fqX7^!3HEYs@snf}VPA-3GnzfYfm5(AC6VlR~%q;vgbKEdmywCw6V;#3ddOy*~uF zz_BR(()CBYk{v9*4>nLm?=Fl`Z06G*IiW1{7~Of4oyz)EuZ1>}nf;n*gPZ}%Q@7H4 z)zJEQ0+3w!KY_qiubzSQR?xP}FBS+azVeE0l>w^vXuBx?#cy8^L}8Y(*qM>`w+z%r zF2}+i^Y>yaCEesZ?H#W}Bm9C<)rZ>~2E zcUwgDR>+(G1;fB_cG7R6PR8~I3ucNh;#CQAG@xF2V-b2I-=gk_xXI>m^sFo(#;9It z5_eLkebM{dh0yxs6KXfV8NsA=DZ4)OS4A`nQ20_}@^o3g5b?KL`5aM9QAoJx+4*#g zD&pjFh+#}&pjZn-Fh=@?tcy5iE*|M8SPFkgkx1xxaD1_$vaP*q*-;JU20FAglyJ(G z15EaXi&Y4y;i~AWtK^O(_i8#D7;Yo_7_ZJ19_F9p^&%jHazF|bqp6SRLHOvUV1^16 z3Xx-D#Bm8KmBt^Fn-ta*@0|!Cp-Vc%_iy!1=%ZE7SoTg1Ks$8GV`COaBnOfOIuF## z+1mL9H1(+lx=0!*1Mx#008^`P2crk<4^`Rf1b&jWHMMxv)pSdG;Y`2;V`QnNMTSk| zZpFsy{k7OFMft ziYR|WIjr%$AN!>EdA3F%?Qeu)e#YO1YmD6oY81!lM9D0JQvs4biB?k@E^Xr!o({yE zt(MduZ$lbx*6*l?*CzFxjk{iT?yTdiG26>{PORWK61(p#!NDsEAM?jELZW>^{j*Ta zWx3CT;A09#x+8wi#VNnoyZq&_V>uIkoU~}tAP89zd}GjAt9Viktw1rAzp5o<%d}tf z{q17rP_U>=Fai^BIM+ms+D6_{(P>gwp+t=k6_X97JR-7U(7TJC%$(0>vMx8K_=Lki z9c1e6aRa7@&{(ZH%sK42P6cr8EN5zO)=wC-=S$hj*5*_lkv@gXKNR0g!o#VSUhGmZ zH1Hfo&}b+3l+4X-RKEVLC3B-l>vTlgdNM_< zO3-X-h(i-C%U~Dt+hv)G`c~Lu2nN-)fQ}{_lBEv;VJNmFG*9qPJ>(_gcv3Y~jwhqI zIk&WP;&2mKViH;G@R}3AB0yXs@jS$8KFla#e$yEc;4d!Gfeu1@$;zN!xu@fbH?5AP z&QV{%tDr79*_T$}1=1~$9E5_ilMdon_J(O@8h&6WB+XTTw|!-g$>t!pty z{rCPPN!+sQ)B31K-m=RqQ*W1Ios5Y+VmUaRI#`Go#f5-6`5dK?y&6#T9+DDkY(w3YuxE|qSv_EhcI^` zQJnJ;++L}1rLpBV1xa}5{RQVOG;rb~Wnz)ujXQ^*xCFL8PPSxXgcD6?h=4@r*;FA^ zV$k?+t3`BZY_lF+^884C#S}6Br_($Gc7?nWOuGEVBKNDpdtj^>QYHgZ#a3y5DvTtR zggq883+PlzIYo9U|BQZZXeEqkI*h$2W}IVB0Lse+*t9yu>1!QJ?pNP^PiYk;d^Hv( z{-cbx`Y|J6YKfsbhnk2ztiF^&*27BFz7uokxtr)ECUAO@i)uJ!ynM$HoVmXJmC#%* zQtE(dqo_R>%g^;{4@?gnvyBy^w2bt8RWW;p)OP{GgXx0IomQlTSJ>*+D^SVO1q zNi7k^jw1FYK-uzHlxC)=rqBmiL*={MX*kh`$FFHa&QQcz9+| z(A^G`Pg`_W3bUx5c7_Deh{*#>>RF1gz5bc6)hl8LodQz?dWKny94H5uSlZc(`lw*O zlQBK`b`bHI!g_T!Jl()Z0l0dB4J4t@O7ZJMfgP1np{34yZ9t&a?b|!XN|I zz0y{qFbF_fx>5JL(>N0Ux91(#f1-rf=RJ#by)fa0+}ATjPde(5(AX2elB1ghPPVD+ z;Va4MqD`&T)=gUBl}D<;yBYz%HH)mwyNFE5)+{_8s!4Q6CT7n~;tebJ!BHh+<09gN zD|Y?XFXsH~dQ&zk24VD+azb435e0E?70}}n>NAh`)^ar~3+#b9Fz;4>dmvh`H9%~j z=3fn)W1h&Wcu2DGncYFI~Plrv~7 zG#a_Zl49La&gj=anq1rLGWx~}&z2^MSq#mUHu@%sQhFh|bD36~P?ir4snuGbBTW74 z9|L_!eWzm+=QD)s!N%14+FrzGL3_)VgYC`Q^dS4D0PxsXcAH+%lstd7kJ;VhIDUZn z(nxu#_QVAlY6AcD>1ldE;5V4-B}Y`@n9%;gSwWoCV{rAKD@0+Ag#M zRhB8#Ing!e3Nk*SamK=O25-SPMq2}(gF(Hq5iw9Xt+F}C4fTnir;fpWYY5{-?`PB8 z4lX7d+rHd3_Y54~(@&_-82NLKh%XqSXqXz=5W!U9&Gk%p4;}fJ$1aD`1heIre-^DT zqT5J*cb_Wfd-63x8=FUA^V|uK%rxt|VhI9BIA5t`CEH=I59sQ^+|_Ev^iy-pzFD;z zmW{2gPZ0mv&&!VWL3McX(9k6Qt8c*v^;Pe6lX>6AmxJ&X?sd~M4bekN@L_w`#|Onu zU+lqWBwrJQ5LHvY*cpnj7&pOf{_>5kpjL|i8jo3<1^SUheRHkl?~6eAl|^<|%~AKA z2J(K2yE=2w>%$81)-|`Wm9J|by1fVg zNtGwVcq{{CzI{O+r3;aun-;DsMH`JuE_ze8#P3|EK?z`Pr?wXwi}cFy74N&Db2a=( z+$p`NEcSJ=ebC*^?*KY9G*Ip|(85lqFj;x;nCAJ)VZPJd;_BSMd$XN>^V#W|BLF&M z>1f`q7Z_=6`RTFdb2&}pwZqA^@ON!>&EZ|mMsDU~bO_M{FHT9MwzIuq^S`QZ?j8k` z!Fv`J>3wLtH@ovvEMV~4o!?kbkJ57z_kb1wf<7C#9$YNF`!FSJ2YPR$oHt5N-zr_J zV3xwOi|oC*cC}JvE?2vUzzM9o@!VSaF6~5IIEf*=jGl6dFNoxw9soYvb2v(<);wxnDP4i8&o@Yz z`5nR_2St%A2;qzrtp<$?{LSS8ymUiYxQq z>(=hR*FoVpb*RYuE&HyUvB58d@u<)n19B3HS=pgp`k}9vvop+|e+pRTH-UV_)aR?U z@XM;np=Sey#@ABvKk1OHmFi*7PQoLZrX!%$nuTTz$|zK(?zv_5Wd^UV0GZptK%3xp z!R{pKtCy@~>@B*J8@N5NZ^c5->u@$0yNIwyqULS_pra0B@F#6kIdkWMI+0&vY_xDo7jZl0#nJQt5bWO-9Nit*P7;#?h%?jp~I%1`8x}L3HdR( z?Kk*3n>iVG6;@AO>D1M2LmDvz)asjAUSBq8+CzT!T-SWpZszwuB&9rbBb#b{yVr|5 zWgx{j8lN?pCk;UD^St6W)T+C(L#m5Nvb1m7vb;Ywpz-&Q!2kk55~Y>(1m?_{5iYl$7Q+iw2St}et$%<^2VqjSqDWVEd!etv7{Kc<8T#` zYSP1b%hEltIp+5y!+cuj!NI34-@f1X`6eKIEqLAJ9tM8rdfn{&y1KHDJ+kxyK5~rF z*F6EkI3Dr+#r~CW4OQBPJ9DAdL-f$h2`LbfFMhM|o0s#IQxLW~Z}gi5<4rEl+zD4S zcv6nB`j*(+ZJde+&PR#&h4FQFW(qqhc!U* zV_31fHf#9>253artzxaYiv{7uP4_meW4+ls0l$X%ZF*-o_ISncaTrFK7_Bo$3yV@R zi)O(k)!#K$LqBYswXD}!ex0j+@L5kX!AFDF%?eP?$gvJgr)Sn%q}TYj zG4IQ{!|B(X)(N#k{!Uyi!1iJ91-A=QC*MFL$Qxz1lPP#6B#9j22H&7~xWi;UiR^gX z%c7Hm;Dh#c*LDix*cHD`u>Uh^ew6Oy2ZnQUf zNASj(HCwe5ZoES?Z*VDY5A0B*xX3cMkp*u%5#?J4!%J6j;Tc%=lZi%W2>If3ZEmUr zX65zdh@L!LqBhN>?cCeRbYJ?p!q4dvls6)OjD7NodtVI#l<$%w^ z)DGWF)beHf z&D-EV*DlPEaClSkXx~2F^Q_T3#M^!Q!01ITn=jTQyM3X|A{DDh4tuh??Dg9>?*Dux zd)-_g&3}5rM@P!P`-5n~Zp7m4_TM}o2Y!znZ>Bb3J`>_#NM772f~xm6|@vh2j39 zv3d?OS;m8*$i>B~NvcdN#~?-5-{&cbx63O23KjDr$aq+QQh5uV-Pf~saA~x_e5K^q z9L$mf{Mt4_;Cu1iXVZGS*oDz&$MZ^7UP+uaJ+S;p`DuUaL+R(#u2NlYAnf~2K;g9R zg?J2gGGDuz&^eA~hF>`}>d36Eg2Z22d1Ruh^w}e*u)=g16cal7} zGH2i7uPkniyR3b@<*$j3`?A2>-Z!a-g1HrcMX&A1A3Nd9K8n4sDXr%lj{{u~0$q;+ zT@M3Yj{~29Y>t@&GxVOpsUNb#oH-wG9P@XKqIrc1UuFH8(<@tqo{`|bzSWz2G=IIN z@&jfLuElS3e>#D}BaV$t@W&@fN13Ct?=OcF$J;~#4GY;?37ufUah@;qfC48KQVK`nCO z1&m=Hg(0~)L5}H-2!cM53Eyl>8*bpEb68{VhY8?9vKY0`5*Ok!dJb}$UVUN7=bJ30 z{{8*2>?HQ6_Rl1ramg?aP*BZq5}FY$FzIoVyyS#cuM+b|(7>ZHpqFdpx3polCdQ%J z-+v}pNAb)PW?-UH2vsWm=*%tsqv%Wx&+AcH?sN{E?FapYawH zGRJRAivkod8Q|jYA(f3hGAr`Q)@ks_)tkH)V!CUF!yW#M7Boa6D^at`Gr)x((Br*H z56%b_kXrB-yn!8LzbL{2#Mjm}!OYw}N?J4^C7#L({L8z$*EuSFcTa)(F;j?41ohvv=v4mc1yu|_3&4C9Du zqu)mLRH8VlzATdKDoG%2Zj34aqNOWhtqBv?jiYqwy z=6uKWeIgpy=f>M@U4POq=6wttZ$GsE;&nx`<2L=^sf9(_wto^Daqk%}F`~Kh8mRvr zleyz_ng6@r)`qvf)($4fyg@w*EBEh z;l>940Wv^Uz{U9~!XW=<&FrVFeoSXr3{9*+@$lY3oYV{3C5<5~(vSfpegk%zyiYL% zyG(2&DtxF##yj$0rkV30azpoaw_=gN#w5K)LmMGLt;1zK*Xc}dMI`2n%-m&lFqxwb8NwD;!EQ0wBnmp%dj z;vg#kuz=?N0zPWv5bx!00RSL%ke9|x)Q=US;{vJiFYnGzdTFwrNnwLVY^YC6e33R|T{xNIOoxK8$ zPW1Y@$7!%fE}_{MXee{yfMHb&u}Y}*YF_K;Jn!++Y+AFHmqtghXnG9!ZB7Azr%I7E zVj~WJ2LiypJ5T%gehPZMDQ^p;n?nFV9fKjT{WoCPmERVnQU67W8}>196q<~_2al)> zd%@XGv0FouG4-{8c4!maWtf{R;xshvT&{ZoBe2l0k5mFbD#sJTTKCF4t^@wivuM+p zuuSH(kRE|HVqoGLLdT_JB-PVarVT;#z~#lk^)N}&J2ekQs_~jg_bMmL;j}oGWzfT+ zEh{7V0z%(4fo)a;rk*%@h@3IS@AUVW6P`;__&XFH5(R!1=0UgzJ4!?-TftLNK}=ZV zke(f=8ZW++EVB z*p|jQah}uq@!6UpR+vxWvuf1uL3X?BHW$dfU-F+T@}Z~E$Qq1v_1%M7R4&SIci#D; z)Nf4mg^x%k->WP~!SAJOAf?HxRVEQ&38JfhDpAb7js&X5*&XQw z-1~Pp@i2Q(&{__=o#2_Z5JF!g zdZBWB$Srcv;#2cZC0ec#UE(Lm2!QL{zos+{4`>N2RRLi!vx~j=)d8LQz8L9PZZ-*J zT^BN$0~KuduePq6laUYNqjh+Q-LxnbL<9S%9&YRmxD{c5d6nZm!dq-=9B4zrWppy;3Z#P?b^rY;{LFf(6ap zQ$*{ll_m2LQr2Bup02KXrzbg?HQN)|6I9NZjfxR|2}J+-Dh~mTE|G@}3R3G6`K5M_ zP#`k5ivTh_IKpR!!jcvyuYk6=&tZBzedV%Y>%G@vXW;6D+kK^rBAYZ2 z@m3aE_=OP?Gzq>Ea1dMtlxK=<EJgPg|5D6=T7xhxbZcCh1loup@Tr@vc+3Y|Yh zgTc$&aeTp>CZ^N{0@iBcNL#S}6^CjjwRUv7i=k1uPH-LVJe+$$5k2&taVOq4IL*eu zMp8K&Pnr%4*Jz$nWVT6>2R*67{o1gbQtUvM3d>=3y+22KL9G_HHe=oXOTG;nq>EpX zWI^dy#`qsgO6rhVn_PC?pcuWRzZ{t!gK->X$^-4-M!!4Yot+JePG$Y(Cmko&R2J>b zuspGsH)`N&v7B4c7z$OS*_7DvOGwQLF&W#U=iBc-+~KtkW=&9MOe27YlK9k$39#!SMJzNVDAxZRug?IeR8raf zP2|{U90s1;RTb{m;SnBTWCz8Y3-sZ8sT}r7Yw~RcK!pNl*|DAI6Nr9N z^h+n}i^&@-Gte}Q)}LRl`YWWz;ma8rEH@~qq3{{aMs0V)$CkF#lA~X1iC)2W`@A92 z?IXr#W!TbOD?}Xh-pJ+Wt@OiF9Z@d%H(oAz&plRwA>IyHI@y0mYin0t*6)wN8k(Ol?&x?@=J(n>_L z*_LJe3+qcUen}oqu@^J$@B~){tJQHX5P7EuS(!CTtQ@S(GtWv)it-qFFZ^A@Fet$0%vpo z6yif4J?N1D+~OKa2Y08DS5DdnuhrW&rdN1DVxTPDR{s+y8SQ6n3eF@ZgMCn)D%nz| zLtLc-+~04r!xKgz%F6(i;=1bhMD8O;YV8B<#L`Vb8@7wSTWO)S`kF|7$C6h*2Cb-} zu){zC@UJ~uh4XRLWZn*`e=!+zDhSm@KN;c}*qQZ(e2u=~kfaB%R5Zg{2 z!O`sp1XYzmS!hQbtrWwmx&pCwRZ?D>i}-dqkxh5s^=n(h_z9gG5k)^dy=nhW$d?ObZmbO@uZ^G_YYtoFnO4bYXo{W#oV_~ zVaBm;fSNk-^|MJFkd1x~VzmcP$lNP>e34G^6wt1#LmdO_t6%vLo&{Qkch G{Qm*c+m3ty literal 16484 zcmYkDb95%Z`}fU?!r6pC#Mr|5JFjHx|EkF2`oEe$d|>|<{}&qb|1a$hdhP@B7gr?h@mFmH zQ7uMt#-C6Od8FR;#PA3w)5)~`xE7DY-*nVN5~hCwjDM5;Vn_YI15`~}S=srfwyi=< zS=d)ZKqNXMB4YIG{k-e=mYRx+>Se1*PA#Xpt-P(QT<%XmshMZ%TltmGS$TD5SygBD z5E^taf+6R84L5Cqp7e5YX5_4z74nxNKKeqw_ULdW+UUrX$SI?qVY3V-`l(}9y|~Uu z>1f35sE;ErbuaB*i%eDt%~|K}wx$hrOo{XhYgsA@Il|q1p8J93OJ*%ab%H|ZT7p>P zoP%#Cyd2J)uexR7PK?SPlzut&-j9b~19iN>gFy9B_2K3k-RnL<9Gd&UU^h zVUwA8qIWT@KBk>&xxsW86H9=FO}SBi@#sHWG@tOqwFt3F72R3QlZ(nTzmTowbIP~G z_vWV26ToWKqL&rVqw?DkrJ3BIg(|=zL%Vi2nb-VJJwjvixISmQ{XhDxbORp-=SZ#M zr5sj(Qf78e4)2#n2HWHW8lW{tCZ%9KyMBFga(=z4e!WWh@bZzx+O0ZuY~=O*9CHom z$u&v)IG|bh0i59`{+A?1{euiDv}J6ZoYnPgE~D{kxu%7;$;7s?)94b%R7vZWr`~F* ziec;I3vsvYvPY*)@wsHe8E*bvrkazI{Hh_Yj=RG3ChXOdroK^w%xP+a$#3yMog9Tk z<-JT)#DP~5)>}FJTA|;bhDMQ8KH#_J)VA5}5o9#(dn(seAMZm+pG{Ru&B5Q{H(r{1 z?j@S|aGZ79%(EH2UyCs13q>%K<%vu&knSf&mO@QMBT09{^G*r!h7D+_yeA)a5k6OJ z5EHb&t@)FFqG}2;zF7xGrfm%<_B)pB-Lh;q)1XF%Q|N(g?II|8Wi$qWKs=c$SZT0sG>;rzm^y^M}KOjHdEN%_)dieIk z@&S(=J&xJ^)<_Sia;y%$4vZ)ixX$;eAYKqlypxAq;$q=+e8tJ_FbU?8k(RHYvU6s{ zV)O6b>zC${9xr3q^00s48{g-1O^0&0CT0ah$I5@kAuul%zoUvDRo8J+=DOh zs~=T@l}h8ZiI5v*eZ`ADj~HJO^W@kvEI8OLc|c62&ugEF__mcNm$hX|`E>J*MV#8} zxmDzA-_RUSgWc3`=Y+^YuCr80Y-Bt4N$8Y@8<5*ZbIv8u$cgeJ(kp6^^3^QZKJA3Q$?%SMW|weFR?i`1-%uXCm;Z2XhF zU$jbh2YqAy>$sWX0li;^M(C?THVL-N!-ysEh3bQX)#^X%L)Upc!vg#i#a|Kz@)H149lE;tL8Y%AE$|-ns{44l1EXw8`c#33>+(m3>DO>?^6MexO_T-IwNFWN(+@2*`f3E?}r%j_|kQ z66&&(|SGQ2k&_Eg7tl%s@wn!QzW8BUSq^kq6IpnQDJ%rbB~Tbm5=IGas( zHIoY;JGdFYKIZzk;pga*%`;BfXKj^y=f&2)s;-%@3Xh$KsmDB6PTuh@s!k`3KJrU1 z5!Bkg3PpTPwP@?nwSXSyE9h%f**7?2fBcSd3JMq>u4sMDsn_C;tZp+CZVR{=rXYlH z5_fsf6FE@<(V2&Sg-*!AWLdm0xFr}KnuSh@7<(g>iDhc|K}DWOOVGI3UYaVZdXd(y z4WUMeMjAR&3Rbt2TU`R<3KXdlC&NyT$##9TM`;@N^U;kBG9Fx+RP2>>3R%2A^A5yZ ztapb)Leb-8Om6rMXJ=N7_Lz0BMb65szDiLF0^9xMxVXAzMac%0cOZg$DYc1J zYQ)#lrV8aU&-B@ol(kp;dt~?}eI-z?)sOErj{lxc7_ldgWPdjo8xPy-(O83xjZe{~ z;(a~OVPY_}G9p^_W_j5SA8EAv-UW6;{LAUY!OtRp$L)xrrhHRN}me(bYDID*qAPDEVAN)Nus6Y04_8=gb;k^G~bf@y% z#Yyo2R!XVmQ3IhE7(<>O{~o}&efXJgLLL3=YrXqB!c|rfQ@C!{m8F1bCFF{VW4p{i70sIl1nI|_&fz+_@mrUv;;FaG=xM(b#CcF{Tl|% zz=1wv*#A!m(T@is0UPhD5XV=k|GVi7J4LVJ%`iNuss^aYPl(r~rhE|D)Byh@JCHS@ znLP0@$u|_+{L=x&AVT^jg;)wAkEf4NQveo7<8!cP!at05gM?|gC1xDvjUP{V=_4Fc z^7Jiiehcp3!sfSNZnnJmnlUq`uNy9|&oJy9Nk-M-f5IM8XzdHZC>)guQOmaoxu~oR zm8c4#BtvNp60)cc#$BDP$I;HkY&eXy1NXZpellZJ_QkeH&N;GT&IId;eVh#rdiIUC zciS6|$jXg2C#9h+MQ&_WXRO%dqUvC-)^+LDBIJ^)xaR(Hy_?@+or?l1OcN5^$jjEg z(#PyNqQr!8lsbK5pBsIexy}{^EUE(od(N4ERj1LhsX{NPV42 z@~~e4e3X_qa<4%joW1YE>Gde|%JN`bM4dWY+OQ*ZTU)pc5E~=9IjfjbgqI@_M#<(t z*!!jfpUh6ub+6%!FD*0%VHSzz&YNZe6=ND_I>%ptOQ~mC#YbjGjZ#Ci)g;ERE=DTb zD)`>wm7NZ@TJ|pf8ntjqmq?wq`_xts4_a(?1L#YKjdz;pJ<=rdO9LpNJls58zH=Im zka^Ulxg2#4G162gC?3W+*;|hZaKH$vB&rNly(S|q>!`?6;4$WE2~+bT>V|xMy@RPQ zq?)93QpH4+ijDg7`TKpN1~Tc}Sc=V=j3o`GWu4O;nM^i?ZPu3K;5&;R99+VrkaE^m z=fdDwJ5rs;YkM^I*}LyntBlrS&H|pjk&xI_2HTNsW=^G8fD6D5KRc`m_;(9qDb;qz z_K}3geFL-f^T(uA=(b<|DtgRQsu(%BH=G^mM$!J%tbo|t5OqVT;+K4(GTjo@-d@9K z84BG(DMpBHsOrAwaD1)OiR%-Ub2AP81kIO3N#^BfZX`ww91o7v-On>xuyX?Zu$*Oa2;S;~YO| zV!fY!RqV!Dd1QJbrkbL3v4k;qAf9}?14+2;*yl{FQYHoE9n=um=)iKv8b2m2k%gXa zU#rv}F`AAI+JxwODJ7O6J#IcQ8$)*f$D77sG$fyt7xsK`Zvyz6WugBo$T416u@=d? zsTQh3Mv(+28OPAC(SK5h2E64CU4OT<6O45^{h4~J*ygoGmnE zZ$X8`3aMH5>+4rmD^4!VFXn(Lsf1Va1Sf?J4fZN_V6I^MW%tLLst=;}j;E67rQq&+ zzO%Q}Vu9vpiJ97vWjv2%8;@l=k7ZepWw?)J7msB&nkrn=frFGyd=LVY5H$kN*00_o$Im zF9XE}N=Z5oR!O?fH$LBFebe#H23E=GN102C2gxt>2cuu6m;zReMT|fxa~fmFnXhO5 zJHdAf0qiBypMr4bH3XO0yI;@G@6{J>V)tbg?BmOLm#g zwEKTT>1kj{Ry@4X?mK7)+l%;)1uJTz50--Tqq#8SN)FP1v7IPNq7UY`U@SayE+(4r zL=d);7*Mv7`c1|+Ip5@eQ~XVNK-p&Xc`g-1*+`(KG^>YvVp%(pA<`2|x6(orTC3u8 zDo5UqVmL(U?7Y+HE47Rh2!)K(+jo5T&Dl45)G|J6LxV*H#Ieo^>|r}CdHvx)OE2g)6aWuW_DXv-u}{rZQrtwVE4()LWXRa4`>-=uVsGQuKilD`NLc zMKSR-#XIYMn-xN>fdz>O$;lO-%YjOzH|_E=d#&X0czxRedlMCtAj4$mvU|CMbKJR% z`Z@w4R7x27rmp16D(6m~D^QX^e{`VgGD15?(0MC1R_YYk^jE zbIgNlEfteE*-eb`vpdt&n5fu6R?(`S#4whLxv>w+7~%1xU_!yCwEPx zWo3AH_508ehnhbr#0QYzE@`O)n-^coHCi2n?*N@DVC)viLzFJuR%KX2VMS#?bmrdn>snvoQEXK} z#jCf_!g9RFPl^?A+B221=q}^@mlsY)O&4&-lsbD&XAC^@!)x)nh1@d6w{B&+KsHl+ z)m1nl$n&HX)NAKsZdHL<7l>B0!%3|NS}I8EWpN_m=y5XynzRU2Ql%MXrea<1?gee< ze-<G$Ht9g_ORVV)T%8ccdPH8YWqQo$Nfbuo`_G5zVp6j}G?8q9GE z)doh);_pPDvA5E6pWEml?%gWkYstW!n5xF`$HvBh>E&uXgr8XH2yFX~esc&2m z9x=%VcQ$9;(9WH)r_kRUx7kxYx;OFIxhFSc_Gr(tSyYLr#d$E$bM~)Vo~?@gtdBB< zalw8#T08saR8KP~ke}+UdO>lxkCI&IP(8L(qFF;)U^XM%K83|Pwq0(WES>1vmvMLLI)1lgCBe)(u+sTczMGI(+lb~kTz-XwNy6}!q3K2h-I}+n^jhs zC0*%m9g=E2ZLy&@kb``<3Xm7Db!T&>2k=qdpO=##XDh z|MI0Y%*9{OeARo=9Ch|&(zD69|C{5cU_uF)Ta;wCsI-!?F8|A!R}X^I_eC0OL?Ijo>=OVb2$%wf}*f zq67^39jqnz`y51mxyMW@{tvh802+kX=U~40Gmg%N9}SN%1Q*FZ8>z7S$^?cz<7+`II0~ zuygnK&t?GwKk;1{yBUkBSqr=(hLrU#0zv4P(@}nQx?gT(idvq#1 zsa1It>*xvL$&Fl(oXN=zh3UR2OstCJM1>Di0HuL+q8$MPM6!H(fIqgEvU>*$dBc61 zOS+U`N-^daAD8qSH~0E(qm0q$%= zdi6{)ZwdAB9oIyOq2qih;2QMLpdZol9Xo}0Rn@;Wmvl`(%bnF(z4E=x*-2qD$otC zmccnQ9;HQl?@6*w(XxqhY9YU6BB(rdUz=m@_DiUCPScgUrFKxcIG6<~fzFj=={$IB z+`r&7Py=iGt$XJ*ekzhG#tA}L^)}pb*^EOmGzc_roPZ#Bj-_PD zQ^UDcVDb4jJKxqixtD@W_0j>3__d3TBjcjSaMe=;?V;Wkd)V$eBW$X2q7GoK@6uwB zYvhZxWJ5BK#);L98qS>5ONQ_~ky88~9gIHHw|cgnj9DUl5?jN>t8rF?CUi(?yq^@+ zvJ*O>xVX*oeHFQ2UiJ%O!}kJG*3Rcgp>T#!$d@E@9E0f z%?1ZMj8hw&OF(1mSf zE|wWK4&w!BlgxiY!Ppf|henGKo(}bf5fxCkdKClOJh>LDx27BOtVD()4zNu<)#|IS zF4Hfq&(3ubk_l#P$tEG(7g%ciRt}@FU)!V1cKOhgBbNW_0KHv3)}*zjUQss~{5I}y zCsA!|Y$t5e39+z8Q+~UTprA zb-?*xb^PmfnetM{!HXXx+2{ybn5ulj{g|)#Fuc^cLRv@(C%@PA$MN+C1vZ;RGHZBe zxxkm=_j=9@odLx z&z~FK{0NqF4>9J5fg>Tx!$3S|k-NUaq_W&f)fC)##cJX9TDsC??$_I&!1*Juc169B zmsw@y%NPGHirlGg7|~YPD|GM#rjR^L8a`K{$Yk{p(xeg(QSsj^gsgx_UPg9TPvjD& z)H{A3!>acJEA_~hdi9*YQgaz6`qpSovekT6u0PW%tQ8GBSf zWy3qnez^S2MjQmY)59vNV-EV|oe*&XZSaR0)Z%YdjZKeDEsM09R|(E!P)Ab437kQ% zuMvG;@rrl);LB|GIs)`#OkC9O!Cqb>0^M*QO-?S3u&>pEAJYH0JJfc%i0_Hqj>|W4 z?d(H!e^8w9asBaIP1-M%%Dp%)90O^fzZb_bO)^O}+B;F_T1{zkt2gkh%PY=rGJC0g z%-($5e5{IT%zm7_%%&^E(-~`BpTOnw!LafQM2R?y)pxk>ozv$>GshpZDmVfe?aAw6 zQO3gj>31rAVo!TUeK17WSJ^oPAWk(A+MKt(NA{oRh`3WsZY~uCCEfEhX%u`*Uq1RF zF*y3uFDaK2!7g|dmFzBop%@}CQAo%@*oi)f2S|AYp_39t?LJ`H@L!H;cJ?e6Dkhf6 zgl)w7>NKZTJ$s|kwWQD{L34!z^b8o&VW8r|@*N^H< z2nkm+tWG}IrGT#=`-c0mQHvo`LIVLaJHS(Ui@bDia3yP=RP z@Ek+l2$2#Wc!;ZHncYSxe6XA@sn_rO0e?pfdKuX$NuQRipe)D~UF-f*;o%FCd#RkD0$!gTrN!>dk-XBq+2Olf}&+K(j{}h&?oXRNS+Cw&tI9h-E@({^E9mSqtZC7CK6vSKf!jc97 z73MH_Eug+wBo}*%Z+n&w19PlH=4@DaPaC=ZC6OX%NdP^IbaGoieNq66yq1;*gaw|ESWMG0AetFT=90El|(ri=wvh_SyS>m1xEIwb*+%>B+j`Toi zKDDciI_Gg;ncR+pFQ+3xeQ-`S%acON_AsJ=l`;}CA6!qhdROp1fq?tpZpYZ)JvIF^>D{%B&o%(mF<*3TDg8biE7RVEl^U)Fbf`qqcv2#3cUF zZ(dz?0oGYm|AH>cBBNVTdO=?q*V?z86VRU{W#R$r6vW#Ds}eJ-a|!5&EiQI%V8B8T z{UON*t2VkI!E0Y4Bau4;$?};=m+QQOS!q>p88zK$S~zdgyN7JHE8(J(onJ*=YdEl= z&T2Noi|tJ(Y2u|t6r7r!I@2Tbg_ey#q4W1eaVA{DhXmS3K(*r9uFFdYYCU($CG-<^ z8g_ze-I*ItmarD!w3oR}3}7Z+%Z#4_n|0v+_PNe=T+evGz0l<}K1f8Dpx03CWxj|} zC|e?A1K`%*?`Mq`!RJqLUKf_$JCQN$nmk@U$aO|0UyJ%Q)?I-kqNHw{v`aR0>EQv6 z>C^46HCQttBs|)eE|9_@GFi+$6B>)8b@+xl_xjzhA29~`(=8QFlBZ)Ah^k3nTiuRJ zw+uuSR}b;H8-f_Cu*sB;zTcw|Yn+Cm5XXrh$blC`*yuv?tgu5nS8Y*qBNwnWY;GYp z*RWI*nJk1&=mD{_ZL0+@F|3E?0r61!WxDbFDeM$e>cR>Q07ucKI#^6}x)2|rY0}KZ zq<~eXwcZccQZ1pOo;ri$pstsLL?!71_Yd<&XZ0^18@2+5CqrryJDSY!xZS>%_FXm> zF2r@5FPujKMU3*8`L@8&f@2NKS3aX*voNW>y#*CwYn*d%%2n{vdjY?qj32L$=nWyA z)b7syxc>RNdq=;u`Zh7S%_l(>fK8*1lijS=WCNQsL{rX?T}C}As^?jihF1`!slye^ zZJGmw%R*hImA2exu3@}I-@DR z9@9pgs0hbu81STv_dV`TK?PT=(_3IB<+iBL*>ZLcKL%2lXWPytQiuh;w%t1oyP@|| z!(~F3jdD$m+`a5!X@2y)2<(}~&dIQQY&e0K-t{0|QwZ2%SzXrOOWlcGrTA|=MTV~% zbKZI2&9-YlN0E7yhX4;_TWM_)nk*5|ernzy|0mVN=>G&1NoW%Rn!48LlTTsaUA__vg^1^k?AH!pXWf z_QK%hW;c1}2*qu#^X;6?NMJy4T@7T*4Tmu{RY%W!z9+jq(P(}h4_(bj&(pS`&o?cUzL0t zh9o|Oi0lnkZzO`Oj2uo$r-)s6oJl)$57RwnsFQhb#+eW=V1>LW`K*DVOQgips!}xopW~l`S8Dv)$>lWzd{Cq4o#K!QtreF%EjE zd8wTU0g6G0uJBQ^Y*l~x@L$^*XklY(MKKW~8DnBqs19DsG)vFZJctm%AvQMp-C0mx zrS_7!bU3&;d&<;K^NAmBX6;Q7={;0Wqp9M|skKd-Q-xU%rt@ny+?gABIhi=&0aoNo zP>8cur&eNCR+6mldD7ibwKum(&K0Vd)$>JE5ik1zrJ6qaE*8cGyBqWG|I(OFwX-8s zEgKYWmG$@ZD{p&8Mu)p89~@oExOg@5OZC9$&&;P9D0qg`>#zqEBG-i zV{s>T-Y9{AEzG>io0RSB<@|~BA-V`R!#&xiusqMe$b(edF6M3ZSiVtl z_dIZ>!JHvT+j;D<+CJ*pQ6@DkygdOE8vO7iFYB^*1}8@Y;J2D z2KousYI(VZTaaW{tm|i0#2nPbwn(WfrG(T>` zuiw;5wR12AW+3X?w%vK7)%SXcIR@yBLi2y%7faxyE?1S&Uc7iPbsNFqxl0tM2FAqe z4rm%6&a>pWQO~`k3XHA3`{!&VN>!PDi=rK&?)=Y|BB$#D@r@BxXn4C&Y`-I5UR;nnOr& z5}Iz|$G(u4g$aJDeF)}?uu!+_YFzY^MSZ+*>6&5t3 z;>FlGj?a_M?&IcJCm3n*vTpy{b)_?yXVV~$qnwKb0K{1q1XJS0kWZpp=F)sq!qwY< z-O#cNV;UeNv|>{%bIvW-9WkZE5&fi?H>yDWC45O#f%j1v+mj9XaONfqr2or%Vn)U{`d-SMl1;#= z7p40HZgt>L8Lsfw&Qa8N1=FLB`PPqoC-!mh^UAF5mSgYHgFsK;5H<);1aPFAQ#8_t?k(6xlg zr$<#JolUAdYE2Pm(lB^qwQa8~rDP(!>XMMDAIkHNS@YPNplC6&3rOowxHr5V?c{YrOPUn3YGcyQ=XcF z4zOxC@Dg~zDL!#b$(Oi@`Ctp&d<+V>0^BaiMTek_pOV%jbRLNR*;m|jwF>ba!hbXa zzNf!Qy^PjN{#^5L5LMIi(jQTOS#1lwa`Q)#V-Vfmn?&-n^yAv%qtDf>0Cqn-4X!{Vo6g9B+9dOQ(dEcdjzxq%O;o zpE^M>kTKIf8@W&|Li8@7oe=U({_f>oxprVlsSWcM<}5h#zKZJFklggDjZLVbYB^=~ z`DY~eYXPRZ*Zr}y*Nz|?-YyVvF-R_jPPtbgzx{#*3d~U#d=p|nA@~~+fzpzEt+Ksc z%;cYCna^&ZQ$?EDE4OY(G%q~e0JevP&Y6{J@2;he=_d=A=*>N2yL>(qPrkTkr`CE; z8TfN3f1aNQF)}WVO)e;6Rs*BJ1U-St$DL4BF?J`aH$6)Ina(u^+L1k995PIx`@v$klHvwjWr^tO*X-2GD9 z?rQn*h0FiW=`w%jr2oYX^_a+6lQSCd;S7In=0D*y{cbJ$e&o1oHyr-OeH|0pNe^<$ z*6^C=mCN2L)U^-aF@pPQ!k;ymnE_hhRhPryiz@DGK<3NXz@nIm)03|Xw$Ni)7&UcV z)eA>zZmBd&;ThS++HJ^Wie zPQ0H4^`I&EBC*%or(^mN$>0X*>*zC%0-1c^e>6dFZAZPgGvC7SbGa_lw+h+SH=;-6 z-ixxpPANCpq?dLX54Fl?FH2Z1v4c)}oZQD;Xjxy+?5+j4S*^pH85c7k@1$cqNaV;F?@?jN$keyJ3iLn%Mk{ z6vA$rLZfEJZn9fvf+}UB6vFUfx)B)dtEsP6UjcfXnAri<)3sSSc@?a$II5Os7H24v z4_trmMCSI>-70#M|5LB*)HbxP3Z4Mr50n%_P7LgOK=K~WQ_ouCZ7b#AXe?8%h%q6} zgaElin$l2J-^pVJwa3|<9Q}D=wd#t;#vJu~R}E=$O5MC}ycgnf8V2-W$MLbfQtPm3 z$!zi0>a?lic>52{7+YVse>88TXJ^mX{foG3LJ$#I@++sfB>P=RF!n6i= zaG|%R!}uuD-KU=)cbZzkn}|g!xN#tJVOdoNVun!2ooY^r9N)fr-PKv;6CdJRKfxj< zUx9Yyg9`aOUiX`PolYN@d5UReY;@@FbRgN=gXio{FK(_`vF{;1ehqJYZnX-1K~wOY z_>fL=eBBL_DOkjWCDAHX%NPMN`LAxghC59+1rUubXcdkfyQa^V##BMV3FxB`;HBAQ ze%X;?u4l~X_q5K!28SFK0r1S*Z?zB~KDch5C8_ythTY;r$V0E0SD!gFMUs$KNwlE; z#9Mza(5arwr<`}N+}pHFZw&{3n$q1j`Lls*YYtqELVbDgKGWa!_@yCy`rY%44l(e0 z(=rVOB2&D_LB%1IVG)eK+oxoDVsR~W*Ml$xSphyjlpX# zxGWx8SFS25iB#5h&JCU4tvKBvY@F?Hw}-+i0NDSeFDt;^WO7qy2d;S}rHo?t<*>>#=L<9+JN|pS_x0Uf5B)HdALX`(;iXA; zTdWfOl-WD=ZI52s*rVEkpk5Np$Ei1}Uo^td(ec1G>Z&xhfgTJ;we(IM;lT)Kd#HNp zO;s9O;f;3YRMgTzU>7g`JKW3m`y{cMcyo>d7N)pwAoGNuoi*rChGzH8A)3AR>W`SF zdjGx3?e63)!D|;b9pz%y(KSu|ev|EM$OFy70_vPv7VOPzWWN@e&T5MjfPb+MCRw#1 z^yB3DvFS{3xwrFOYNBvaQ`%vR+spA}fBB@vvD=Yg7kTP|+S~C#sTzC2q2AR*urL1f zvy`tg@r1?IQ>5*utwjoC+aP@_HMHWJg@|7_J(o}>m1YIsUzm^F|2oRflBpPbPcVX3 z{?%-zy5A_Y4~^`Y%dwo9SR`vxs*O=^NAKGmb?Mf+uH>7|8}I?$B6T0*pmaEXYP`==cRhYPeQ-;BiH4U;{!SpW z`1*;{U1Mf%R~I`^mLV;CYdx|39By5Zp9m#QB6d6+pq=>JY(gr(KY_kCAt6$K_$KK$ zo#E(zb@|D@@A=#jr&fz}UGGwrK7%=;eSvqmi(T>jvVX_yp+JB zYl0gVn0&|RANm2MpF@Xr+noMuu#XZ0_^HT#+}D@UT{P&g;Iv$xp@7mu8~#1|3oki~ ztRf!#c4GW?X8d+){B{6-JCuFfU(9(}*Xl;Wttg;usILs&y;bE5xdqJ^=QNwogXl7E zUe6a_`K+Bd{}-hBd)h9~ug_5LYajU=oI<`CJl#3>OIK!`Y>j;xoDTN#nkO~*^9htm z>(LI{rE!tJ(5RD}j67XFLyghc*ahw@MEXkyP-)BV&3E~{cSW1QBKJ6(^jfZugO#(Z zK41N1qz7y)b53B5HGNOQN&{tB%eyu~aCtw~5ZbrIJtMj%VySTG8ZoWsbKi6NACcc} z4)4gQU7qtNz0n11=6WpO2!In$1xc9*X5}`%DQRm6#q6(5S<5WM5Vj)g~9?+VScG+#C3bIN1J%5SDehniNfv@{3D)|KnS<& ze@80MYALIZF(G-qJp2R=6h$NL8A@@dh}PJyEXqU-A2*XsDtP)^?c;zq>M|%!A{+J+7@kyj2)C)u29|JM;!2G{L2ZqdS z9}broGq5QQ9ZD>LX3I=HxlQ-*po~*Xv=_rGjX|L?3Z8Q&lqnath;(pe91r48Vp&2z zO5^3%D1=v$U@)9?+UXwuF^p%dNho#{DiX6b#H4*1R?5Yq+}uc4hR_VG!)8NXsPQf| zjoA<#yNk5gx7PFlqT6N7QA*=2p_u1b$BTxzO+(I2up)yKbBZ4RW>U1i?uj#$FVb5| zwJ6kAdJNxWdWSP?0J1vd?JEo@)u7=JnCMvy&ANdpkq>Lj1F*3|DZ3C^GzZM}M!;r+ zCu1~Z80`lMMN7YwFZiJk!~>w7qWyy22mQSM8@XJ^VKRjGCDF9|=<}K$%J24JoRybTVnM8>&XZ9v!9RRDZ|9x8E+h|(X1;qHYACjdh4*tYNHn^%z)qwzhv=+0 zs%Qj-J(3bCKzjxhkKne6yiQh!VV(T5bGLr{YYz;zO&xQEu=f5?Z;Cdp;gsiEub?OdCWR|q zLcn_J2DvfRTkTu0HIUwme;7XKoJifC_G7PjP63W>m^{cDn(4E7OP28L`r#6>)}-@X zmJqO*xPHQaXy}~2tT0q~XHvIfd3Viyt(i1n)NB;z~VyJfoN(h)v<)JY4 zj!X4M_=TAxQ)G?LflW;h)RS+_iQ@^{lwSE1eEdfbkRQgoHi-;A_tkT)iQ9l$F)10^ z5p=Dtb}NY6*c(gvxw6rDjzPGW|4@IWPS`e=N{d_z@YV>8ySO*`zIz+J7Nv31OD%Hn zIofcMylq$91}rAv+PUB; zdh~d^thgH<>QT0zD+_TO;g1|j!&SErhe79(!?uB&?ce2xoVwj&;%;!Kv4gBJ7*X*< zUI~pM6Pr4bP*|c*sDdc!6lz03(ITrwb$j2!}xEu`vtN~-k5UT z-HA^>mFIinYdJ77f1;RLOjzQC;hHkw3UmQwM~x}iU(NIUWlo}*B#mrPm~c_G(NJl^ zp$!Mp>3^>q?1#mlLRddBcchu;b~gnxy$Z>tPZWD0gf1P&BrExtF=wMebG|@ST1txb zDU%GP6Ux~jOBfZ>{B(Tcl&*Dh>Q6UliW`(%q5acAZf}$d@)&jE7X~S9r#v0u8ooe^ zrU)#bMZbgxXey*a#4N@#cnuZE_n+3JquUvmkYlz_OAxc)Gj-~Bx_+7ly6_0 zy~@pe1eD4YJCog03Rv39YgW#;nV$&fI_}<-;j_6y2m%VTi{miY=afS}c6)}k0g&Op z+Nn!t;XgJ=N|69zL*hp0k49LG0YX55hh#o(c5x|>7RvqJ(7Zo?afNX3NW`Rx5#0v_ z-q5@!*}y%bctV+Y2sUVcn4C!JmZc=`RU>)*D7;BMUeTn1<^dxeBK`L>eh{xSmggMR z>RkP4*ePFm;b68uW?hn;p1TR%_CwvfUvO;)1ZU4Yn1C(jCRI$RV<+O+9jko3H_&@$ z(N3g|!@hrYAixMTp@Gr}_eEh7cGJbx!+f2VZ~>Y;gT8qPa%h=xE!Povh7Y9Fj$$zt ziz0@!2o5wXU<_a%8(v(7r;A!39Yk`9Td7g+ik9gzByVQ~=;CBO4B#k-TjJoO_7i*$ z9dUmNOf97M;z=;rURhMvl=9=$&xQzq7?D}q1KFRiL{cwb-}^?tzT82*Q>?5}R8aiw z^oHwbQ6$IexFaq!8&F>LOKr@|t}fxv$19lYrjz^Q>ouFml6ilEvo1atWBq1H7bQge zZ3uz=OTP!dUu}9D0c@~;h|e6E&)760n#uVp;A#R=|IRlB`aT#!Z zvJv}rHbg3n#uYYVl9V!rwcVFyWi(_!q#q8(u}U-Y(~&w>rTgds@TezxE(~u3Gh4%1 zf%VCE{V1ll2}xQ~+^_NEB(yT{5pxrrQC^mTXTL+|ZyI0)l6}@oT-WCUGUc?heSWR# zf7Hg1&JcW6#zOn$3kJ zWqxQf*Y@BRLJg4^nh{vbe=>C>B735QD*CRU`{jSM(nr&@nfBv45{J<0stpKjyJ~K* zgb%o2?|;a|ODp}dq*|&VtpFEnv)T1#o<&_EqgePGl7 z>$08wk>pei$+iquC<3W2-5_2hcEh?4K4~=Q;U5ulT}X0I`ZHmm>HTAaS4W2r&rMZK z!~W!UMlr$;5j@gJ>C50PUA{OxECT8;Pq_1chS`d^;%mGc_*z4nAV_@cji8VkHFkFG z#f$?fWl3Ebi*-)jE?b}W;w8Ut;)NuQ!6a}`(wqMz#3RDNQYirqUDaU|=V%7Pmtg9X2@{gTZ7a|s-#Su{xa@$rhb?W1`);DKT>HTp@ zF}{1Tm2EURzU|mr#ELHtc`v=|-RGi*087WS?yNT_aI$n&EYS&X<};|0cK5j+hrf*a zyFU%8rOVh45Y-B?B0d$S3XMP%S0O4Tk+cviWj>HL|KXzyp&qh&_dE2DxV$x?y%bw+MW5!WqkOYKcP2JVy$h?`}r$`p5 z(g`k!Dd@vDg~Nv=!Fa-MWpy_L5#b&7-@Q|b?rhEpyxTs{Dy0|-e>o<`g_WinYPz1$ zsZ!}UmME|WHkLDj+LA9Bb+xa%EyRcQh)wTSE-JS&<~N@CXLmLtqfM#L#41Q%_mCRW zy)Gm{Eup!`vM78FpI(lM_}PQ8`PC~1z#CD524hbcV|y3j55mJJCxAWDjmVSW*ORoG zUysZP-ga_rK11V?8;i)upDEV+)0s54;?|t#$XLiRznV6B4;GT6n3S)JLh}mJU5}##d;1zBLzgJ%}ubc@7;9&fH2@4Kh7nz z5WpK|=s%+|V_P*wPM`TA*dPnd!F+(R*@Y!x?~_0{CY+6IK_b`$kIi6I8EF=|ru2sd MR4Y#X?+yO{02+s;!2kdN diff --git a/CPLD/MAXII/db/RAM2GS.rtlv_sg.cdb b/CPLD/MAXII/db/RAM2GS.rtlv_sg.cdb index 082701cdb058e4583e8684586938c8354aa5c704..621c2e495c0ac06fe285eacbd5b292348144d107 100644 GIT binary patch delta 17551 zcmX_kWl$VSur3;cyIXJwE`h}zf`<^?Wg%E_!eR@-g9nF2g9LYXcXxLdcVFaj-o16_ z$8?WWS5Nm;%eR;SznuUdqXwq`4EO&B6zPBHfAhBD|L`90$3EZ)LIvM-KYQ;!{z|8a z@dc{5i`CD4L~H5I+ozuc6XEyV8&~~BZuiC(-tnTl7`gvkNLvM-#RTCdRs<5}j!?^_ zFhBVdSl|YUhXyjde87ukx@FD~uTZA-7O1OK!2^4fE*mI&_gG#&%+$9T&d$z0H^Ev# z7}45l&rQumZSCzhrH6-yr)S4UM=(`8W$Fw5IMY`xFD7x5Xl>KiaKQ(*>7GZiCwZrg zc-Z@|$O@PF5b0suRfoPjybvD+E&=*x%+awYYG)U6iLK$R zac);mP5VSJK4<_+iMMr!8?X-&Mc0vZz&~CwOSgpTL|`^AS~IiT3v2zA1q!PqEqm$q zUBgI@#!{50gnjGnzn^k2dl|XA2p-B3t$pOEN2gm8x$#;9PlRYg#+6dGX^m=?54e7@ zoaD65ac-qd{y^v7t)%Y9_REBlSF$f{V*(fr_saY0u*s)=b|OuU=hnMC59e2oz(jMK zg2QGHzEsQpTUS^5g15`rTd3AE*5IMyt*ypP;&61u_7PwFbNjwN8H3i+HY}r%m)~`b z?G97|wLVVme*E3;ecvpU*6(K2T-5-gQM2I*{oh%lbQTqdrf+dC*oFKVmX9vw9H z*i6F9syZ)8>S$k}efko9p7|a3C62udTqJv6`bL^$@5gK2zb-zW3zK`bFZ_Nby-$6) zTRq=2yjeBw)Xz1Ven{|CS=T;KEi2#nBx>AgE7bPO%lB1O0Vyt^rQwc<P!6EafV)yIig}gWyL>BN_(tW1Y887qSYCiY2 zN6_4n*RK6~XR@J@w;8^+DW1M}Nr}POb)$?WM-4Lt&yevm)fodVXat7TB6&zW z@}4ZUrr6>?UZltN4gIVmjP{o)DFOHAt9gJ6_(LHZ6pp_JbyQGAfjaNwWZ{e2%AzS2 zyM#PudcrpX#ID0a6~MS$FYWiaf@7@ITAx!8#gwepI>vjK{2AB&%om1<7hg-;OLZ2N|ykj9Qe@@VBMZJIv?cG{&)ah2z05-CS4f_t9ZQ!W%;1 z?0P;###kz@T9fIkEUxutW_R9{bxF!w492=SM;@WPu67KMDOd=@)1r*;Ot-E!R1!22 z`Fu{^zfOw6j9*Ps=0=GKvq0utsI0`OWlJ}8Ws7L+9Y zg+wt=eM#ZTjLt+B%b1pEZoll@tT*0QvK0BgL$~&hKQU1HDKn9EmA1PY8bwA0P&1eQ zh`0KeGrf<)rms@w6uwH7Y7w6FI7VY;EYfO?nFf8#6 zdTiv%MA#LldK`z)B-$=)e-9ay?lk*7{jy;gl`Io>Hy`nt6~2ygGJ|Rm?oRe+JEm13fzVLD zIvMZI^w_qipj)oi-8VSO3jqI7C?}8FS6=xU&lOIX-=RkDXw2xafkiEV?LO%{SG-qy z54(`8P*~cB@hCe59m8KhA=k}|<^|>YrmL%FdqfOeBLBEh6lyS8RCQS8XheHSep;`P zSza9zH=;4!3hs)5kpNecA->T7SUnOmLg8b#sN1q%`7u@P^(*|^KN6!Zf1#zTrh>>C ze`^%Q^t8gz(q7zO6(^xMJ>49rS1*=$??NQaFu?;Ccw?E`;e{(fi7?m7UHX08fZUv{ zemxBKkoA}I^LeQ?7~c}EMnc74VbV8gdIXv^+Xx%gQ|Y*>Wo5E$t0k+i3*9RmN@+8V zh$k8%p9U^VG+c=g4P$tR<07}IPeopCU*f*M7Y0*uwtWfkQcaM5_RF!g$^Z3_nwjfv z6z5vGVN3a*CN-yV(p?W@P^*wTt@Im;n)vT+-DM<41v?^|cue>}`hq`KLY^gxIzG|cvt7YyS^dnu5E3xct>Mt}OmxfB zPWcr)7GT*XK@D=5lDH~I<0J3eEWGmtZawR5(EHMxrZX4e5bta0h)nT~p=q1**&_KXlF~Ff8<`oVPE-v2uNgQ- zQ+#oo?l~(xGkKLRM&}v7ygK?HGjMx4OLCYJNhfA66uzxpO(a#POphjPdX+}a&B-5Y z7{1oc2R_z@;P`SbX%r5Sh{jBuP^;d}N3n(CM;H*Il!1pR4Xvi%3YHX6y$ zoWZLVKe!yCP~>pM67i@0?1i0+s|~C+*X%Q&hO?6(W$C^sfZizSyoRCFzKvdcrJEHwTB;i3Zo&0L% z77d;Q*0bgCYu89Ta~|jU3C#8TP&V!Q3YeLa6aIk^vz4TKi}3>blp6W3(FPJbQKBBH zTp|zvJyO+g$jz(M()#dDTET|VyI#g$#)N_|?g8OYL^fn{&%$U=z1ukRL{@#+1%9+` zKyB2(&&O&X!4j`c#dc3n)Y0Z}KdBWZSmc%je{{+mCRDZS$rga82%g}$@gShp4GWPa zJu{ei!JtSEYy8%fZ~4yT`K-I{DxI#G2|K#or$$ct1J*n6O7%Kyjr?Huz92Tb<|)V7`SN_i!+SOn4;TvMTYV&(t``OU}-ZPq0Z>Lw3?X#AL7npqX zjH{N}Vr4NQo5Af`5m-cU^j!->q3)4pLJcE^g|3ZZrQIi12?jgtQgrF%p4QxBpsJ_P zH1_!3DqBHs-!bVE4fr^@Wj`_`v)9S9sl3NUL`v}mlueC?ih91{rmI3FV7go7f3xfu z>nc)J@h)Udj{Wy{i*S;*n)=SWCXe)tte96?jFQ+s2~-(00r03nKZkW~f@iMu!Y=p- zNlCeP*voAn;nKov|9z#4V&0m3>m_8ABHd?uU)#N4?gy=~LW%YbNCu0R3x|9B_bkRq zOCBP@ZK=4}pJ`@E8=|j+^R91GWSv_TpNI6+&n1fP7b*>tJ)WK#2gbisFAZ89fVI(Dd2#M9oJXLYm z{e3c1aFejbROdr<$S=_Mh4(bJ6B5lM_#?^0({0O;g@NxWz z*omK($({)w!i!{cs5+p}Q-&ag?=p?j=jgwtHa=qT(9IA3_sSo{{gwEbmyN$RD1# zFD$<{zkjCSsy0>OuZZtd*0&P3nH8%Xs3nO|B6hYKTxNjK^@mIdOR2hs$s!M{+K;>| z#Kq68GFmq(=Kk#eHRKlovm5hg|0y067NP9fjJrZGss&?V`soiAP-foefejN98@ zj-}!nlq2y?GwTRo{*I5Fc_VXWzuEAOSYzc%t`H8xw<4@SREAl9K=#{;IsO|8ZP1@X ziGN?dQic*zWVq!@=PG-1=38qn`nIKW8!EW{(^N0Qs{Q!q@Jlgm;r}tfADYu(N7uTK z-sMhc&2~0P#nk;1DcU+7v)YHvR${&Gle8IuS|~z&Z_|>aR54;CAbZwd3o>yi!K?b+ zEsK(=Cb5yGz`G4G;6SDfNTqu9gAe+$s~a)&YKZW7Z9fm?fME@lJ*lOP72gqfHjpBaIPxoNj z*gqj_lyyq&OD`_@i>X+Ip>=Q$My87QYMuGM*nW>{FEJ5$!H_C z9Ik};w)I%0jMks=y`~BP=YZhQJl19tW@lR})A}Qg;d|UgH@v!wK^+eD-!NQqt>Vbv zk|P{TEJmMC>RE?K>x$1IkLSy}dg4H8DMY;d9QQt160p;AasdUBKex(&nFm#sa&fg?8dWtx8oXo137%k-5Frrho(+w~FZlOU=Swn(FBL(LrT7A6HH?F`+ zW>@t>w(PaOvCLtq*|efD2Qc~hcEtIFY_DmN=r1(2IG5mIuj>6sSqkDSmrZRB`ch=) z07rFswhHq!$4vRFjnyZ?>suhV{i_u8&^>S6?+r`2S5 zDEfJ0wR;p;+5x4V7P1(@gss|WeZkNyk#N}H4LUt+?A!Op*Sy7RIpsZSQ9L3O`wk~0 zw|eyH#P`VfFOGCvHa-J9SzJH9EPe65ihfM5J~oJ)72n1H8)L>DvMb4D%u3CaPVakV zfd7)noC>7>ji`xJ>H={O8y{+eM(O6^_?LMbjR@F8j_My0UnMATC&R5f5=&XUNWGV13(~8M*L9M^H zYPeWPT^e|Hi)=@X-AFB>*DUx8)wNBf z`=IG2qn`>&R{9UI57eP;sDj}O-;N6^Q9#|AIW}O+WcWob{Vj|k(@RjY8R9KXxo1jf zj>OR1yLodW|YS|QhQS|eRga*0&X_@2`4|!ErpKO*AQ72_I&EuD^D6Z$@-HJB-9YSSPHmxCQA)c ztIh{sRnj)+eTpaEs3IG3-^tB^k30#P;*L$?OSp6&_)5e7HO$&hB)c{R#?pxpZU11;T{Mg7QTMXLd`w|5q- z_@a!e`?>fN%jKeF?pC6S()BZ3Wk{O#)`75OB2j{B2fJx!$}dr_dL-i^0#q?IaXal5 zJc}`zX#UP;^F|tW%Woup{WyNMJh}@mVMeQtCC@cRakq@E6Ic`eXSrZ|R+!|O{P0T%zHvE*TZYsRcX)Sny)WJ4 zLJt*Uy7-59q;#^!r43)*-xZ(7>+k1A+GPGw3Cg`+QtA5J)#UN>MxN*%E)rv-C3zV9 zm%AXBtrI6v>E0Z>x6G44Ztq#I3H2YjtgO%vbtV4s=xqKO9&%(WTeqKSjfhW$s8qsZaGgEm`)xT2@uPNQJHMFVB;5HniI4ZGHZQir3IUP zNOu11&%-Gf+qk|*jdugybvUOGDw70pJV)823Uc_=7sSc($p90|B?kUp{Un08y526E z^11T#$Y^ve>lzSa>%bL$f6-{Ny7&odF$`uKBClE=N;5!2cL4u9@AI!_@X&xS3?OX* ze#dK~BN@NCu3L&L@ISInKifcoT$*4AJ>j0Y*%cx7jfjw}iv?iEBf6 zD_=hCZ$Jb!(41h*cwH-z%ksrKc0c4vTGKY1U5e8_1_}m%!b3%{f<(V62R23tYK+NO zf4&@T7p$KhJp~Jhz#=v-zT^ei&DQ4JbdGQzuw`X*eGc+)E7d@jL%lWWksm(vWH^e9 zF6ElcPkNy}6egvSRJ)2dHoAu6T= zUl;41IoTAZ2K~bXXlFS6Ds`%byCODd)t9qo1{h3#qk>(~xpOfh9H#M;IU_qP>>gR= z3TVd(Nf;35?Brf_>uvP+J3|dUJ&zt#)9X4s9C00l*``%rYwfGwo&{*>S4ALP{Rx^6 z(2e$Qn7Zf-YlIJX^+?k*MbiA?rlCyoL(_C_TYZCvOEI0Vj&9D7=bPJzwIVO~WC&>> zR?YZj8F;qlckiAj&(sC%PmY*UY#`UKZ%X)hdlD|F-3;hJ*K?dYCN5J|KN=%y-isCI zjLA-H9jTHlr197>-;r-)t%nu|#$K*i8)BJLEKS6%Yk#lcRNdF#0PnlwZx*I-uU{FT zus03d;4Wk6G*&wvgaE;wD8LCbqP3la4yZj^A6Q#x?Zz5H!u-`?g`kfswmU6e;h7zf zZJ2_@uPSx%r_X-iFH}~D>+^+tGPfNX-Gh@5k1Bq08dW+i2@8I5m5cKh9YUOoVfpts z(Iakks`k;85eh??%M#G^8?Wa6{d^7ZAoQeYaC^Ccjna4?<%0pK`2K-jxo**-)m#t# z5qMswg$p>|TQF1IV}3)e`NzWtJ|#k1FOhiNJ30KHh!O4{j|tYdz(zEZba}j&)ZuPW zt|;Nb3et$9Y_e{L9V`5mejV2GtqP#A4%x!icFA?BN6SA3+VXmY_{0dp=Gba7^! zzrW3gCn&6Unj!ty!>8?VJn1JfoqbkfYqGWLh!=x7c`vRa_%OubJb^8rvw#a}>NY{Q zI4w$gusUJ#jd+DbMB2*dWK=xclF^D1Qkn<}n?lGz``)dI%y?Vba;bp9Udl@>ABU+% znx`uoOf3pT$AxhE4C7~C<{;z*dFceG>aKYU0YO*0i*PRlA)zIC83-*xZqKRnXu$me zk&yeSarlnf^vA`$h4%hbmXQN-VfZV2lVB(C?Sybh`{Q2P8)oMLow#4_U-vlbm6p%H z&ka8e5HdW!mPT*k8vfc=oDi*;Y{lgM3F~C(;t@*>pniypR;Cvf2c_e+vHXLYZl921 zv&mp6<$aG11Gf=qs($Dx+|nh;{JvjWJR+XzA7N08&zxZoPzfAuFM>PSM zHgDv)dp3TM$#=^v61*t#OGYSH{*mwBrDUvj3WchgkdN<=_3SAjWRqWN7d+8nuG(pZ zxtU$MzrbhbFRPK2l-=d0Vm0RTWqoh_#tRY*P(jtmS{x@+DiVyP z3*!gUDld}yTLHClk0T1iS-;*6;)B6j7I;G%hUCCKnTj9NwbT#8k66zUg}>W<@F!JG z`OSn9%H_;2PwB1XFbfxTTqz-)t}JUr4Mm}D1ItKc2cgL4mPx#>c7%v7q+A%J%62=a zWLlS9;Hm6(Cv#ogkvshqRNhdP=h1+{niHYw-$UpJ7+$W9q46}aLk!Bg;{U+i%ZTG* zg09Xd(muN#B&25GVa%)63>ATG9s7?h$z2g$pZ@+XJL;IJ9M>+P*4|XPX~Q%1o#v5W zsBcTp`Blvj=d| zH5XuN)@lF7|R`piv;XWcvCo{vh4Cqx~9 z@y}LMf9XD9^hIEKgRpY86#vK{f4E%n7XhAjz&x9w2U!F8In>$G55xCq;!0nM`k;~9 z?L4kenuNge>bI}&)1UMf077H#^>sl{M~&P#?0*rBGlKqjYP8s)15FPnVhMG&gz
    m91Wf7G;aepu`Pe4ohFR6HK2V|xE^p6N#jv6F`+GDke$Io@6PG^+D$rm2O zaF1&AW&P#`iv8Q{Dm}t<#^nC$rn$`0T^6rD)lgbGtNOQSu!O&UoPjSVCzO-ecJ=ME z#6P3!xz|V(TZgCssZ--Dd8y6eEh?CI%ID>lc_jRqnEsc(VMpJ#kUd@1Wx)KmIMf zx%gzY#G;(pQ;!1P+5g<8m{wPat#KRmzP(qPZRdn+t=bhqjfERz&OLbk;v-}=QCF@< zqDEC-NAbwzB|-vbi5%V*$MhxQT4tvV6g{U1dCLQ?O=`YvniCd_?gY4*9z2SM&;dm7-QHk>0C}x_{#AI!zHV6=j0=YvJf)w4-nm|i1<0E`Td$DTSN_r z{nPtD;-mNsYp5Lt|NaG-e=_CJ%yq$WojZ>^r~QbBbo`eKc;gOJb8IyU(AI@1Z^W_D zk$|&b!EEgIm4^vGn9Gi~jgDKR@5`DJxm76SToek9MP#x2Zirab9c+GHy5osuL$|)# zhzd@FOQlJEcyjDIk&FVL?cx5RX%yJcU#Lf=6<^j(nbiHJiLjsZZ{)dbIqqDM@CN&Z zeY8X^(HqyQ5+2P{;q-Kfjt*L%FP_4;w{tn@0N>>b!!oCG=UjGpjQ|JEn5 z-(Q1piFjvK>Rv6Ygg4j`%smJxF3+yq$#tdcCYt*OaIWU5M|{n#4z%3bJC5!8Kdvtm)63}%B0>BN4njW&q*GrbR31P!j6>Ve<)VgZ z&aY?r1-5l3JWZ$ZYYi5eVV5hRN2BpDa8dk6e!}PX=~Y7iqzkY{9K3Ex1G9j~+x=@* zzR!GidWrQyo<{^2;;w41#aj;bHXYY?sbDG?XTjOE-N*5aLSth#(w{=Jd)`72A!dAh zpETzuy?1>M1qSUJ|B--(7Z$`fVJPC7Q37BJXDalc!xI<%-bqSEI~uoJv-=A7RHF%cz*cL;e(D>gdS9+Y#vu%JrV(5E*!9J zLFuM@n@ahIW{mW2$G!AU7MS3;3sZU7>t2^bPlU08ao+bnwHerx^HAegwwy^82iYSb zLi_^}|23F6BY?qJiQ(+LK(JXxWm{6;clk77a;D(qo>U|rsS!c=mja{U6gZ`Di`2S6 zqdekq|DgBo_bsdk{A73wcrqYw)mr1}(8g%tN!=dI7o7OW`<-R^ZpM zNmHJU;hV}vx``Px5m!@&Km*B?ZNCwjlq)u1w&^E>Z#g z=#Eq+VJ4)QLd~<5Z$e?i zKpI6JFEi=Z1YDg9_d0b788?}|AQLn2<%fbkYZ)eqxv zPZvpWm`#&A%irk&ylnwo#`0wm>*nXGpk^&3ZK=6$1rgKtE_B#y$aZg z92x+c6prRFsXYh&po6Nbx?`k__4fycI8KlEBMJ-X;7XLa>r#fcGc@dPP4C;TW?O~D zsiTt+WYz1o^)4^xD-#Lki_B8s`cEk%0Z|PZ;Dz&;L!{fyboFKzgnDzCsJD6q5JIwe zxXh}`I?mcbGrScM^n0{RK^2nW(xBwn)&FHd(7c9^)77u~!}vHs;I)oB6_Ckg==**l zq$->lES#v(r#2D|jW?8C^oQ{H^0eWy6z3<=rkA$xyd&c{c4V;^=lIwVUK)!;R;U0F zfB=n_#_ydI(grA1HX!XIw9)DNWYPjE*d|KF+B%C%6k?fvi?gvjHr;tO-W-|otfk5n z*}7ihG_xhl)}#Q1HqzTTDQ!70D1N6p9vB6tGS2N(wWp#}L_Hic<`}S{L%31+A`oI3 zBNS=UN*U!rm7a%W8=_$|*p6h10!>n|a|3FaBxd#k0?5U?3eNH7l^{m8b#7J0Bl{y4 zgLPXwj!lf2!|HN`(8;vVhWYuRO<;r40Z-ES-vV_li+0*(bf{Bi4A0{e;YgGNcL11V zFK_p6q>lX5H2YtBw=blZ3RLOhc@N)C&3QzgtLE&K8pq$Gxghf86EpptM&E=O>IML# zl0eLH#9v!LN89umC>2(Yae8>05bQM+imUkzDX~EET5lnMU=Fyf?j$#M6tXDv;hZE^f7SZtw6HDOar`tEzTu?Z#zt{uL{i zb>x79u95*z*v43KA$NXPMwpOiB52-Y?EOqvIo4 z7|mD`$A{pE?5B@q0(q2zVkm*nZA=ZX(~&0wzpZ{=OEP)YDLWU{FH@}y&8LJbMU*O| zRM>oqup*PDXUsRB16OOg54VKoH(?~vd}q4haY(g*sOe(iau%D>%~5vphh6lziF~1s zEB8P8o5KUzR835p?P$asVop%97Bdu!&WNmX6uDnGBJaNVaE6)QZE*4-_b9lsVqxHB z6LIUWZS0{u+*GbF5G^2r{C|FWmvcCR;M(&6cD%CdH#bXi{Sgh6Bwe4)Z&@0acV$jFaV{4shsA~>5S1}K_YYAPjG~{uYJ0W zHU6eOGk4TQa{Ra2_5HDdh|3A5lMqFq zdEqFP^rnu@8+2;Ax&&I$ww>1*g64tP9L{tU_rr{|0T~T}+%uLDm_JB(TH7+~E>=2I ziv&&&4tkrUs%-c0Ic^OB|v`(7N# zT7~9Yjri~8r|dlup0~K;=s9V10#G4^Hjfm2VelKR4jWv#nqcxAjG9dotVu80?9n)>A$fGe zDC@&s3PHS3>R>fB0oQG++-&LFkB}OGpL#5tozE zTFZH3++u@&n>nS2wy?X2pmgu?hs4e<@VXV!`yGGO1I`!$n2pNdWei{@a;xtEPIn>y z5=HDY)kfg2Lk-nJ;vrFNfw0Y$cVfgP(ZN;goE-|{i|^a1C1B|Gd|44D)LSj+4Wsvu zGd>fTH(`tx$(As5CoG_&;=A1|jeq0I9kSGsgKe{j7!ULmK#K&D%%fQ9?nd?i4W85s zLG2Evd5A5Z*lvj|>DVFyr297x>?6S8E%~!_C>YfMYH&|Vo#CqF|OB5ah%*t@T+m!+;m_Rc|)E&`x=Dnsc@@` zA)q<=vr<1BWwU~HlX98QmZPt(IiYb^Cue?xw$K}}^wFCsvvVkTS9tK_l~(%eWEQ6neDN8Vx!TnOXigbt_?XOK)YA^N?B&nGtwFRaF&}6cz+^B!;G;vA@|6i?~hbaX!&$p6l5NO;goM~xg_5B z!C+f$KeI={+ir|T6>LIqsQ~Fp8RD?A!`AlW9Tz{7utL*DhVCLjAfW@@g%2wsRSt4S zRGD{RG{+(IL?oBctFQ2x=%kQ;5d_gRw0OhsDr3iQ{&5h`BFH!AX?Rx5vT9&nUrVV3 z`VwJ{Y3f&jsa*G#NQ`SLdQ~%mJvT?a=I8z;c{F2gW{CUz?hzHgVt*B>`K!8YxWvA^ z!{om8f<+5t*1?QVEv7J{GhCEN)cb5%IR=20`9&3zY z45fzaP5gcCuB7%1b5RQdMoI}cOX}m|KAZ*|uLNYQ#`9&A4|=h6y7i?!PD9cNzv7;$ z#c!)9PvTs=VHIMWn?Ck+)```t!_Q{m5Dlm%vAVot9|-j<1&`^%iN@UnJC*-i>ga*3deXMF>YRp1y6+|Eai?}ccR#W>J2IY_qXye&Fo(Apr=U^Rgf$Qk@ZhJK zu^R4p>lH*Z2K(fMMG%Ml$sWv9%iQn^=iDj!z3^Yigf{T3gYBsUZ83FVp;& zN_yndcdn~fyz6P6zH;{0-Z}VUG={PTZlf=1?J^&~=KC0xQ|k~#p^X1pOuHw#Wx?R- zcJkl&^Xh2e`0ff@&j=_o!2P=`2q3V;-R(A0_{&AT2^hfN(+Cf^>iYp6Lb=O6eu4|n z$ALbk0;mZ$47B~O;7)Hcr39%>upU|hpG3swbL`%k=P!`BjBK@#cY^ZZpk(nE<{sP- z%deMPkV@Escq4PIM=JbF#HnlKfZxCSI)0c9|2N&34 zNs4T+pGj{27rMaT0|KLgK>VHvfGvv94G|R2mOUoB&?{y5vHp(1Y)9qWs|;^VM=^x4 z7I!{Fd}ucUgxF?lCkb+O59#tuAs2kyS@KlLUZsX(D)&kk%d4W+MrC~@&_K*BUyq~d z0k!*$vr-v+r<bbqL>IEdbZ40K+|!Q~hbEGX7P%2(1A@sqq)r*Ki`;)h`9%8weQQN6U#!Za)wYooIV+Vh$d)9% z3kR4EyHFnht#gi3;`QiguyY5!+W?aG?`Y3g9B6=cuo)~hW z45XF;>%OJLf#yG3aU>RPCi?KIeK=78=2ft(E1JxAjnC*0Y^lvmlq#BbJz|iPz>4hS zn)Lh_wHuVg5O1ObmtxwUHZ;}4IPbpe!ODVsoPs?U>Pta=KbJHV9obA5qJr!@YhrYg zpB}3}v37qgC6vm&6Hino?dIt+ljf9_VFce#%vNn>x0s*=N6VH*nmQ{{1t zx}9=f@M`Uu$_ldt(th0ii&1}09AHj*)KJyrE#!$lQTtY=QnJrE0!PF{eZdHvC)9f` zFGi#%{n7qBNzVbsat*x*o=5gMl=VNh~h9F;Y$Sp0~NYw6Usja15`nvxU1@;z1}}v z`uDi+eVI@2#1NdYsn2arQl|#RkgbH@gO@J3_tfWSf$vW~EZnRwa@Lea*h7w!?7iCH z%mcYoIFTv3YxhI}P9$*-Iz8GijKXzb(t10LO;5fa(0turb1s!o7cBFf01~*K$0$T1 zsN}IUM4&@Byj-%J=B@9!hPNZ>Ejo9Yev1bqU9Gi{|y8{L31n% zI@`M#6!hAn_xS$vHf(yo8>~xoIcQ70#~bt*sFYlViNgmGUfDNv33Bqq7QYQ3z7jW; zpGS5H(R^%BA5dM-&vgHLJdgHx7o6}+wnyKr?(X~kTiksMIesO!SBEc(PsjkrC;Ry_ z6CcgJVSy}6d+AJR$Y?)vR8nBF-~e?y!6t^!OI?-#iS#0j26hrZ&W?^!Iy7dhS@)M# zEYA)E%;b#m$r=V`vc~vS3Rp83}RA9I*KkitV3jA(9r}avzHSY)z z5_jcR&y7+?>0*diO}`T8REWRbq~zWfuo6@y9(a5JppI@HH8<3|y-vP881$`_xRp2L zfGPVQM<=PfUm(@p69&GRU|shz!AXKX(3-AOZ-EQ8t z+f50iM^#t+Q;&juD=$cQ=~QN=aC6c>{~j-b$eCbV7H506z8Xz-bdDrY`6h!~a^$MB z1LwtZ;sLRx-6~_&nAXWR!XD#OG7P-SR6#Re_2)=RKLgKC5DfXg*|h!J2bf3F@PE$= z`|m(=lHl>Fk|XI^%Kz9~d=jC|Y(FXD3-QI> zs!aBn=WlN^@+EG0wQg&@{R;D7POL6#doupVP#R(LN6eM>eYr&ki6URN39(Rz4#ih6 z$57UYftB|i=ehEJ^gbjZ0;wr4`meAH=4Nf^aSL@V75~p?ub+cs3{&Ac0$!Z7!trOeoR#MDBH@3m{pOTPgvE0A#^XqY#fLS4$ESVKCvZxm;sI_G zP(-bg|COX|(*LJi;NAA#7kBKlP=lTwO@T4ZA0aID8$xa>GVWsQj)ddjX6{meLj8iz z!cdzZDrDlc{sSzXBhja4$XApWrZVu78xAZGpN5XhTR>NyKdi}5m5Pbm0I8z~d?~90 znsnYibg26}QS39>o6mZ|RY|(Gd=ckskv=OJOec0|+fRrq#i>1T8$^5M&GPQJiDv8m z#tt16d@eHXDR0514SAWOPjr>+=v|iIClBo@pjH>#*>Pr0Q7Z#K*VLU2R0PcV$1H0vISbO!f_GgZK%UsFK$qo zNPER$8n$$CR7HG??7vU>0^(d)Q>7r%%o{MNdinbnI37r~Ab=$^ABPE6=i4IS4EW{p z4q)Z%81>enNkiUp%@-u7>_*FB#__kbF z^f&4qLC@V3-Z&c4`65qpLv+lRltZT^D`QwiN2AO?fn5>?oTF}*b|H1n#NQcln!Q_b zprG>70pWG#*ah$A7=Ea!$nx)_jZtRsue(of<|5`8x*o$+`E$mx&BGH)rJvcs z%+2YD^@PB(=UU6ZsgH z;LKur=Jn>`p{!%i%~VT7i_6*{Ysx)$S5u?02HwU(oa+kvl$SGt_sJ6JZFqaK|EUMiJ_LP*gt79 zp>Tu3rO*H@1`%H#Fm)d6Yy3WqCZi-A@p#hn4j_)a`?|1a_b4g7J< z=p5>VHY)N@@|fpK!ycm{&Oy*4+(Lv;&UxhF94UXmxdH7V59bxkN9N(&Q-E_GF)I(} zB6P*Ft$+{h&T*2aDt5mBe1t>D&b0R_MTmVBoSgqm`;s7{-A`n2N(S4?G57=fv0FF} zeQD6j9`hyU-L`Usb{FjOAL3+O8bnbHCu6~Slrx@(IIn|G#T(*8QLcZUR3Pj_oLqB| zXZL?g1^#)e0OxA>JZ*6obwWPR7T`QnfRpct&%-%g;PYn-aPr&dc{n+LLcI_?^!alc zoT!XMU-Fa4?qb%I#YfwRI4^jBReXLDIP-jdCWBKl$mb{=hO)mRzG!3T`_NL(Yc4rtKKpQ&(${0t;6?o@ytZG&{Q3sS$P~)Z4_)$o z+AIrYn55GnO#n7*W5-}4%5nP}*>8V`^8MAD`vo?{IR%`fD396i1Z24XN5V_UCoaGH z9{gk+=NaH6%^1#cfGp(TWLtljgYzoNh?6O_A7kAu=zNUYSnnL^a zqdw;~hEO5o^B(f<=kU22`DnUEh7jjkuRVk~XtLq69R;PmIc1*d=GMQJ&ll0(_KmMf1>A1>tckyjYwG9fuGuw21!J(4`EbdXEju?()m-k3&M)havj{477(FcHukU XZWeq`c7(FS+q>mE!v7z$7D&Sa;!FWY delta 17423 zcmX6@byQo;(?&|s;#%BFaVt(k3&o1N6o)`5UNl&WI~0Nw2u^8{;_mKR+$~6OC&|2^;*|F8d(^Pm6U_CiJYfuqS3{a1fD ze3z%O-=u_9jKrTVE4(3J$!yv@QV32)-SL2Xgk4%X9Ys6z4tGM$J;Pe&jj!+xuwq!b z;<4DzF(c$~B7X*QF`Z(@|Lvy#Bz@XXwYNi1LWr?CGC%hQjBOL=z|$*y%75#-|7Epo ze}CV9`C$dGYxAF0+fmu?<6|ekKaGuzNK7AZZ+}ASDf#9RtGTwCm4Ud0O`*A`Z>oTy zcNMJ!PyA4}Z9t&*M@{q^EsXc?zr@$zFG^KqWIYj%Hk?8i)y$A_b|-!LNY)JHHx&xY zuja<`zRp4lzy+nE)&oynVj}OKT((>U6;JmX<|TY3y|MHizqrtJ)zRH9DRZ>5CA9wd zrsh-C{FHSDf$}x*4jSm%8MjcG3A!Jq9rzF4{#>;m-oQQ z{Qaff5!fB~-~bdKG#1r^QDAD_Ms`zcZpl=3 zq-%=l^Z_ipxFq#1E@Pp!-t|4{%l(6poXq1?jq4vcEw7K|6`XbQef^50=3;>tYx0mfB5 zA=379xUPsW1+C8#XIGw-q_nrqG5La{PGH}N9;diF!G*Fnw9l$m_GYXG>*@CH#OF1# zZ7K4J>L&g1I&Q7Y=b))Auuh?+@SO89y|sKz$k?>Q&bu{m*3B2=`u;Ib@dYHPx&E4i zJd(1_jcU9{8X#72?h0z>onP@gjv!dk^E6+sJVJ986z4k;qIrX1+6B}+ z5rY0_I6NCPY|n-`L748kINwD;6_Tk6b69aEq1XVWBwdHCQ;a#pt0A(9f0yoQrI!66CW(&sKe`5J|?CV2`?q;C#dmCx8Ao%Q9yd^w9dk6bQkp6g;4XSwm)ucZ=XVrPCd!FHPejH3` z-HQ3dKVcza#0I`dwr*#c#3&@U_ogG=@uBE(d~+`Gt1GLcd*-#OT#@!o3aXm-s%a-o zWlR1&)ZLvfv2SUPpbfN<$^S!uKWg1@mjADs?n&-@AGzEs5$M&utG%f#v&G!Q8_%+} zt}1x!YdX;}GZ_vvHqdXs>lI!R$SKBaFkDuRLLdQ{@#{FK8ctv-69ocp%prZ$lxbKS zl`Y2@dGmbu+~xF*J^TSWp|J0*C!VFZXd|O8`&qNq=6}gB&SS(8bwR!2qhs*7+)2;oxQwUuMW-?Jw+)yPI&g8=Z|vS-VH`|*g` z-wV$R)XYXttcGTW6A5yUljb~3P)~|C8~br_hmGpU8 zQ85zTR#KSJBW_Vp%f^Rp%DhChWMm@3mtss}(hoL>c@?e5(e>Va@kcp=y7r=tz)2gA zcl`tHA!vC@ZlS#zy*JiqC#((glwc585Id-gp(0dj%L^Mm_NPbtNP5Vx zcJ4dzMfgWejd4rv(Bv*Bu-6T>^syiLVR-T9xvgoX-;BfogvY{iLTuMLit`R{VoqHT z1=$hijwuBy`%3{=>{+j^Kr`&R>%e<}XK|ZQU@((|9 z?Yv#>Ec~^`h+7Pr$UisQ~@cr#$6ZXNUPDlav_EO zyYAP2X&4n>6r(D4zVV+9Egh@wj!h3%+4_a5p>`s|;Q zs2r)g2#fAqvV)qYsAB0aOhBa;%f_hU&&?yo(V_Y+PN_wnGed6tG-WsJ1gV!y#>!+T zf1`@gs1Gdq_vOJ8w!iP~6@X3TccvzFflTN1?@ym7Yd!J74|5e7S2UJRFt2lN&!`<6 z{V63IXJ6}q>z4`GB=hzN|I#O1%-S|r`)2sA2)Gu0(>L7xIP-)yS8D!nbbFp3P@oZ= z^4N9G;-WXBj^3O>t>p3ErsOT;+AcN!&Ib^padx%bh6#SzdmZ6jcic!4*sR$<%!9Nx z_k??@Axzw)!#NM1d-nrsj@D{Li-tbF(8za0*_2cq8SX0s?4vI?_22rBHT7$AF~>DT z-QR9d>)8uFF1_e8TqwN1>b7iUk1}WX*!=CosZrD0QZ8l~TsDkaSJ5AZF-DoKY8|`J zx^>$R1NUvJ=SX_ua9?JlG1z-!BjFkmt;0Py4rdprL7$RzGN6r#Ipb5i;dBAwei-N# zhIP8dzpuU@dzvAb{JOSi&kd!TTji1sj{3#09Q8ei(hM?EU0EtCQU!D>)=XK+eaUBY zYeW-nUk4Wnm=?QQW-XYowgX}M_j+axi(_yh@Fyv$=v?oKHxIt^Hif%P3bHc1y?^T! z@5S1znZK32wqbc^r_D@qFtT>rI7QB5TU`2&EWATKAsu^_U4wy$5_80=^@q6Hgn6}C zS_)|TWY)$HEsDu6* zaou)p?Jf35wZ+WcW}P8-}+zt%2@wA`5up=a#tSb+HUFab)jA`Jqzj@+Xf3 z{qp_uaGFnM3>H~rf2bBfN|(b8uB5Wzs-Q# zf1cl%DmdncMAmB~Esi;h3qm|tw83(WQfL)9|Gvh7jQ#`OrSh30cvfSt{tlI4(S^HDZJjXA7CkxRBGzF>4QSJX4et{UH`_V$6v4mm z<#*z5jcdxRe*5oei*^=3MpqX_Y%^O-^lmLlBgbJ!_$0(4?c8HPE^7W`ksSB{SkTux z)qBZ8$;0^(pScvUoPnq$T`X2R;OtSeM{-R&I7a7KFEIy+(F4CP@pN45cQ8<2*5eVx(8oO)2H>ynfA*a#x3mQM4mBAu6Pt}$ zINJrRQYUyc@ib{f&TcI?M)Ey~?qtoZyVAd!u?MBqj`~mk_Opn$R}SSZmO7{_84PJF%A)|1{WrZIP~Lhno63+sp0rsBV8Jq zulq|U{=Zg&VUDh>lIGCT@51$6dz~ATO%{*&X&ybPfE>Z{zbC)Tr#;7fBM)9-2YxM8FA=ELeXC1l z$VvJKuaqoOCv--j?>Ft&F^|H3Ep_N1#c#78)_`VP2s~>5TT4+ovG`$TW{yJsR*q-b zA#sG)TViXy5fMWgjQ584pE>g+2lF-auMSBgX1|oT-G6?<`O3>!bHAUbL|LrqJw>vA zd<>#m1aDzHG@m|Xh^xc(=G2^IRcn*BxL24ZZPPkX%d@@&iIgYV2eg6;fVd|+?&;j^ z8G4{v6eknr1+->R2>ZGedd8i@ulX}}9-`_4qGX#ix-{u;y_n43JgF!Wvky{kJ`=y5 zd~M?-qlH}7i`mm0~n;1e51@D-@rdFKW=)x!n)(mY(1d#~Ky*PJP z1{aG^h<1Wtc4%gp8Cd$Uy{I}P+k-w3~G$x zy0eUDN}eKM{53_K8#(ouMJCxYzI44P?y-Q*1064*JIr2TA;TUidcW!MEsUN76G>aO zA@~3Q4rR>8>#Raj9&*|riO0&cL25mw;15wo7q7%F=P_S!*`N|7J8t>Z9nT{Qp4!bP z$~$M;r45r)D3b-boalT+a`9<-1d};$o!iyWh0BPhNYw|m-vmEtO}mz@ZtYQNG-&z8 zA3Uj)9b{ZNQrefjRehK6zRIX6w862qXSZR8gI%_@feWq5Zm0b&_n@&cdzmsC0Tw{M zUI-RAlzzC2>#6QV1!hZ4`|-g&OZ5to1PscWf}GAL>Vzmnbt-VRMx9;0cBBkkW!9 zj11%J(53NxmurBsOSQeTgA+}gvE5joP{~UR0NF!D`>GdS&-|PH z{moiBUKRLv;@eil$eoHW&QFXj21S04UI*KB+Sfah!ntWFuQ?;+_BwEFCFuvU-3mPc0MtW$o150;4Z24Fj!01DRM{#lMaj z9?G90My#=&cvG+J>sZ5tEJt){E^}|8A-8Jl^~RFg9q5%~iR4s%m971CXPWy(+i@=3 zfJ!5s3VDeG4m|@?EBc@RHXNcE78Kh!c(y&231;kjCP*Cr9!@QM02Il>WEMx{zq!zU zhmn`(|G5Wn8b;UcvWK5m8@jfV>p2}K&>B02yd1Nb%G##!-DDZnn-uvtTK%({w``?e zfx9=fyzVX5q@jJ;ll2HUv@BaF}V~Vk_|{KeN`sU)%uR&)mA0MAB%#4&5h2T>Bwb4 zmnU56U@7OYQadXBgYM=$F7~9hgH1(MqG9&S>UU8>)OYnQdKl{0@ToF4|9SdNZ(CZa?TwoKcPl8OIeIVP zRQnD#!;#GThjAf?KBJd!#tb~DX)8V^=~HEX2y{NQqEkYm@FLk_Cn5k zYJEUt)jx_$>aq4kwh+`ZDS=sFy->C8rOI##mXu_%sh?UT>27##RATThR#%dc{MiKU zzia)XaiZOBA1As@zA`9B?(fx1<(bC9LD5l4?}?pQMAZ4A?{ zF2goF!*Vjs_i33_yiGHArl!8snL0A+PttuFapg~4JI$@C5rrP^j^te=ss({adH0lO zAQv}B=8rF(fr1!5W{%jciR{F_S^jfn^{oBSh`AnDL0KXa)?Kt30S0G5=edjvrSUh; z+{+y^Q97FxV52idBn12v2)N_7_ra7iU3^HIcrf+eyyR`+zq@# zg}(<~d}$x$suT{R-ksSagF3FY}7;=Up)3-m>~5$LsuFYZb% z=P|Ok^isL&rmFgvfEy3`?MGa#;BHf0>RiH?o^GI97=Hh z-I6Ld268WO^ttt&!dk)m9ikU+>aD3GQ27Yt_=&8CVA8WvmK+&PJwP+zr_;&F`hu?Q zGZ3F?-4#P-l^3P-Lrj#~nVIj3sI?~Ly1LS~@{>@CTO;4LJ0Fltp=J34{DQC|%s@i9 z_1ixv3z!!qtRmoYvWjCL$rP00R>`hfgqv5Pw%`FaXo*>j)Ny+ld3`nEVGuIPW_MD! zq7kA>(JMO(H*hji?bVjWq^#75)tQ{t&FkXCDdcEQ3uEN$^sNh(EbU$CIj;BrvXFZ_ zYi+xA_4F%9iQzZD?BK>f8%faDKLUJ_5zikr^e5;TbY=Tt6^LNIO0HF(0T@&MYu}$< zlt>1@uWewo2i|A@^ANADDY7Xl7{X?q#a&QFxXtY6Wx*OJv*?Vz@6|-?VOB@q{M~hH z%ZSSRMDSOLYY{3Ot#MJ9tIt>(ejgEFHpu>Ru$fYJ=aKg5=dUMDa14Ch<<+3;o~QQI zu*)8EmMZxKVUkWO8e`n(s-g4b`ciGDLTwHh!uTegcx7~>v3_>rXXK7lfe(54xmOdO zK_Y(T;vn@yP{i3%2Q}tsx?mDuGy_K=m8u!|6|Iq(a*S+}#v3fPHZawGSv~4`g02eU z!%=OXttiH)6g)TcMG~r-zerg(nor@nckK})IWP^vA59Z17&pT7+`JkXulV|kwk5+V z8}xZ3vnZ~Ak#vWf=`5dfLm8X8#e6jJe4>Qq)&=ChST)8#N1!9#uWQV?fcJjV;KTcn zc%0LH!y=q$VnkKTvR^|U5Lr|&d z!R6eFlLG+}B23e~KPvRABs%dnm^2o%r~6sWBe7j^`SbCjou(kEyfN)o_TIz0+FK21 zd7sRJNBX4>bRBSwDJzupoQzkCr^qP@cs3lufD3zVRSwB~TH#$X9>fa0(9` zbeDq77?RB&KbUD(3Uw(zCVg2ZB?71t(%Z zJusAsqk#J3iyi0A-JNMJ@dvVdxS9v10>&0uqRZWy52i%<)$>>C*`v*w!bI31cZ)94 zO#;~V(BKW$S1WBZ=S;Me`8UkNSi&Lk_oB2^McBhCajHboiP*~XVq&L60Rs(XdesA2 zm#J^#R(F)GW6K`I4lDV7r*XL5gY6b7-MT&gZJi!!CC8Q@1QC`+)4REs&T{=j2-;aB zT-tG&q*lW>wDt`)D(>Pq6q{RrDED%54@EfvhGn(s{$ROM@nLdy8ev3_GGl{Bw$~*m z)n&y+PzBYAtjYN#l-loOOS@|QNL0%lQ0Es?yc*?MS&|a?fR;KGJA1n$VwA&=AmPiZ zkqRf6vbn{n+ra0JHDHmVM@Wh7 z#I*lnhqO3NRUT@dYCeVu?Iv!I6lo=km}aT0skwx=8>WXl;a95~x9!vfZoJK9KBw7` z0AQkoac?%?UhDg%GKq7do>!UuaPhbRXLgxNdDveBoqEdktpHAUzVODhx{EgqNMT=hOYMfm9b19CjEzt2S(@)qA)*x=Ng!o6D7}CZqvjx3l22VF z&3A*1XJ$@sgjpe9#ykHQ^L=D<@0PQ-0FlEQZh2ht3_A+$_7}Ut1pm>#Htn1gxhiQ`S{`z125DsM z5}SKtk%@k1-7vDWA7|RLa%o~2&1lzt_FxP6EzMWKVE=Evmu!M0Mp$IS!M&H5pTH4Y5fj1i^i*H+YU82 zo_C{;{a4r-#XkJjNbvV=Bfc^%(s$&}g=|Ip_z%&JsliVFB1S)XMO-Be@jxkEkEPrz z|Dd0=nfI+TIJV7u(+jGqsYg&R9@@7Ra-coP5e+!N*j#T+_S*KO6 zLOW42rn{fo%$-$CaTK)Tt;QTjWz6++CRM*g59nj+tj|Mzi}Yc)F&RRqxR_^`+s5{j^SWXWJuq z$yzH**50r7Ls`0t`Ac;{EZ12(Tv^XGzf`C`kiBWyQxf#%xqbn6nGNr@r@#q0%HDvw z@i!zq8Pw7wPFh!vK;myJi@*K6%DD&LkHA>jaW~ILt7bH4n?!K8y7Mt%ef0x2XaUzV7 zB!4HR^;;)=fn|h2d0rUamFVCKP^J~<#Bgo0lDLEi@2r7gzYnT)pOcJ(-F9$pQ3?M2 z+!yjfd?!wf%{Xo~%YRB##&$5f1vI*}c`H2{oUt9LDOK}3me%(-GV=0gI)*ic2*1=y z&rdhpbkdMIJFl@xMahEht(YE`F68x|a*l&(4PTa|iG$dMd8i~Md(6`mllj}tGq%KX5)4l?-2aUJhQ@Sn8Q!TlJ{vIL(+ zx_q&V$4^ogQ)12BJ3qHexiUYF&nFTyx+kdLz1%+yZmmMc5d$hk`pWYY?QpG;$$hAq zW~!7JF?LKP;C?^Z5EHwP^ID?Ht4voQYz0Q|6SfBa`=w-xyk(@1o}Ph*Yq_g^EJxec z7(dQ`A}b+9r9R6?6YV)`+u;v)tUkly;I0WjRCUF6rRU4w?Bq%eraJY76T8i<9S*aw zQz(W$2emT~GKx2x7=qRy=4DB#6HpV@iZxesHHcdXD?w_6b;YIK=-02HO(An0oqSg; zw;9w^$P*I>m<5r?2fXw^#yPi`1?d?eqc_Q$V&n6jbPu){!(9Dww36%KWY!D6;~mV0 zo(v5a9RG1Hs~gR-HP89bpBlS!Tc(Rf?mNua8e6{;?lZRXL4=xsaHbRYuQ#!*l{y-bX9{*C;m6$zT1XOFv|VnUy2x}nsOl=X74*J&Z1>Etk@tFI0A`3HD22t{C#sCI^J(S$&A9US#u?wAjth(I zY7Ye(P1svp1^^`64MejU?AK4)>^k-wcSPbqcl9t;+SJuc#1!Vop}D@e7U093?OZjN zllw)jCg1(|`-k$#w&mZbQ#D{)Z$ulkb*iRV(x=ehufl4{pja3_wLbkZEp>M>adTFH z&tGEuF7rQi*mbE!MJaU!xM}#_e7pJcT)+NHXspyulhK)XETFynMh-BK$q%vw51c$Q zdJvO5R0xDd_v;;iP(-_{711{1jPrGAcF3Xv|3mV){pX{rt68wwiFi~+m|}h8`5U26 zPFpJGEK>NJi(#`&gPi!Tm!U)XpPZ`WI!Pxgrp%J=xL~UDS6X4QU=@j=8am*XhJ)^D zkT~BU?w)~{-TQM)lj6kb;uH^P9-jN+;P#nx?pQppXoHk z+0P@xM&`q>NCP(LvhtnNAo4qfo<(=vUVvWal+T@A0K(<;s)l@J`6k%kkh|mA3fRgLsC6qhKlRxEX&Xu3p`46)&*xpot)N z6Wg9*i03T@x1x>O{4K4VURKiaJQqYk{uX-p7JHk-#XvZx>kB!n(-=il)lxyV$&rO9 zL@&93O=z>(O_xeJ zs#1PvH8`HSqi`>?$5=C99o#rvS6a;vx0(7C6Jn=CxrPGJ2&B@0zFb2oe7adSVJ9KJ zh!`6Ftck+5>r-pqZ@ySstXRKEzQX|^B|97V{$^c-yXzY_vWe9&dZ=h>!pBqfq|moe zX*u?QkM7)+$IjcU4G?9OjfLH(gZ`VG#z~Nw7c9Y(;NR`Pa^2plcYO$Aj#W~YHDW&b zK}7kTf*j@ElR2sC%7t2NG$)r27bRbm03ZHw4f-P+N{{6dJKGKVg#y=Cfm&jS68!5H zGx>3DrULE5b&j3x9)OFS+fVs5K8wD6Yf`TXri+d9r4|8 zaKZaIF821eY6g~B1n z+@H6L%I1xTW^RcHxQMhj#V05oz?Jw4ER6^d@^gwfZt6r&;t4zkxj$v`_HGt@&`30{ zPj0^FE@ADd`K|w^y~4?pIhMH$4&#%|7Y~>{9sT7e7O=?AB!4FL71~8L#EVOo$Q>m( zL!-)Pfb{-q%e5X7>y52?Ly03{6P+x~Lc7M*e=dl@_&zyPe!dtg@Y-9T2*ET6j)fV4B&l-OKS450dL+z{ok&FYF{I5XNoV(*zYtU&W@r(lAYL0 zcSB4UUL?r%7o)dBTxW9;WrF{vE>LQ#J(7}2$JWoWQ;n(FgXT;=55dXSoNJQAkic8F zD+%_0_DR)vP?pt~NW~n^yf#Vj=QD#r0r_J(w*gfLI_A&V`>`t+1`eOH>9F^A#_=?Z zh)O&=h{p1&63q^sKXc!vFd)|M1tLnz?1_iZswlPUPnmsjcuz$si)PauG7%Jl;;z1x zrJ`ccvH|$_M2#NMMXy#$v8{<0)sbf7@b>wxZ6euxdH+!-Xo)R98UO}kRBbMfFoc3^ zZ@)6n#9z1#ef>hK9qOAP4_C7VBq3Ar)Y?jf7B!F9KbkxK=)Ksma`R?{R&m<>9X=Hx z0G>%lia7Z}gV#|!a72n(iAHbN{Mz}!v^-lf_2xrGK@jFUxZ&dC&3w_*1+uzQTlKu( zXjE6!`(T(fWi396Q4IWMl*lLEg{1Z2u;3zb6xd%oz(lZl$>c>T$5w$YSwmh<3+qgJ zl#u9NEUXHW?r)`nPUE;l0t!mf0OcQfKMPL7xl!ovNG^cC7${P$FK%>^0UqS2I z4RxT4+@oKJpq@Wmc|stQ!4AfY@rfF+MXph5Nma+ZL6$}1wXJvdI)K|-f&FvC7jQJ^ zmC}H!*^Or64iJIp@xS(lo{eVG4iLR4{dD^P#gOJz8rCRg`|aPCqblTZw-<0GXTZx* z?$mWG%VmZ&a3Q_L879!YWYdB?`=BA>?*euO57eG2z`o8o&K!p#A_t+KrWmy=^T|WZ z#^*%q+@&G7j&St&X#>bdsoAV7`pe`^vwE%A1(+l?mHM5+q+g#$zc22in4P{t3O4Y8 zSFf;9`+|PZ6qR27`djphc#pY0@Q8k!HRyamb%t1Cmog#hupmxQ zHZ+vlN4IOh<&TM8s3=fc^D7q21%_pL<{qtbbNeoKsxx9G)H72H11_}20%NO)l(Psw zFTf3FWPaNgd7xq|A3J7Z-Ui1$dUCUnsN9&R^&20^;)X!?4{LGj?%a1ah%Gs%K9(51 z+Dz*O6GlYrrCydKEer7G7V~rgu^JP+(?nDRMvJ(@!c%lAhz{^AGTOa1#OZ#;4a~M* zYG|Z2JF^W98Lk<<0wxX?yo2$;pW6@J|H&G?7wA1ulmJM8>AOF6a+|qEJZ{{nB#Q`}15j5_aBiDT zKB(QYtc=$VPmwNoP}}=p1(j}o80T3$6JIQ_KI|AcGV_|oQ~eg^XDPb!J_2Wx^58sV zZKSVhgQOcoZ|}l`V2JIiC{|B?a;9m(6@HC^78?Py-*+DU4h^@9n)5~NJH>J@tXy+* zbOy9IH!A_wc~)qGr>`CY#BvbVCA=dYYBJE4HOMUC7w6+QMspuvtHEN!?3=5$t7LOY8A-Yx^16uA1X~t6 z(8;Xpe`ABR^U#tAN568U6j*MB)t)YOr2m#U+S`Ym@KCWHG(`lIlr?$p8Nr+#hU>iN zg?k^JUbl$PwwMTC&rUjc(>!drKzMkW^kuN2#oz8|dLvAp8T7S?Drz?ISy zm^)A}(|PqDZq4{5_P38(vuwX2lFqSb`-(BFR)_H?<0_sc!XKSRFKU8Wpk24)R~b;` z71Fu$RgNi5JLDHHFPbL{WS*B6oc#uN7*CNSL8TDqJs%LXZuUxGZ^UAXbVX*~e239R z?S)qtlD4kIPuPOW#j8zb_Uh;C3Ekk&o&f@6@b#NSf}<`U5|IyMzDt-u#?g;au6}`9 zZgRJ~@^*)sa7x(L#BvHF@H7lH>YOMT8wk7hidfGdwG{O!%W!l-nTUf6rE+ltN-U=Br19qKdTE&3=nC{2W`B3Nim^j>hBQlK?+6igf zn?)CvBWRYKyJ%r(RkriK;YdhSZc-ZP{&6yn%VLN3-1?wx@Wx-8ftBQ^c1nca7CTqD z$Q3xJ0$Foor>9O8f#%X(VjBZW`nvy*8~M7400FiOl_?v=7)sBITW9DQctrP+yM9H{ ziot~-keWQ#YEP(~2ncxNZ-a(Lbi3C{VtHqU@|P7Tg|y{E33gupag!e66W+KULdg3l zq(fD2iZR-Zn-lauZW*O*SYTA_=57z7r$sL;uF@1(>_!K>5 zh%a%Gb7AEW)nXUf+VJ_9#CTe#P6w#rIi4_`Ie!CO=O7k;ru4yE(4c35tQ|BP&D!m7 z>6dIA%srOj;oX%0`z=@I-$SxB?Gs?fy+k^D_aSX`;}&(299T$@>%U|K3^TJqxR-+4 zz7A59W8!tbQX{m_y5cBP4_AXRxy+9F4oMLlel0lUIZSr=POjpz5?j@I)h7}R=-oQ? zb}ijlD772DZOa_GJJF33Y1n{kj)3i3y8EpL`_rAm*+2E03BW3b* zdNgWTJwn8+zEBIbTaLgK10S&fmpz*94tZvd&h~u#7RnzIX3Ct5sVyZMqic!n1 zW36TA!LR24o$EIA(jnZH#Ca!X`56*r=5JBSRTxKOgj@g|?F~67@Q+IeQs9{HfOeWQ z5cVy|w@iK>uUEN(eLBocW38FkeC?PlMJg(x*S{19u@4nuS{7x5W?)I178P!?9X z`nG+boaQ`;j2}=G52gbMWAucGj(PjjF8C1vo2{u{8tuX|9P;3E!rh?PAds*(n&<{1 zW=#^)yLpGrKHT*;3fyuHyrnMs1_@GY`QRCJ(fdrPU{pOCvdZ8@GwraxW$!anjRDlUa!IUWV#ScuuvjP zPnld{ob~Xhpg_wPjkDfyYt#7pM6|yUi^gR%$6mC^{x$HqRw&Z&;3=|y0YvOpAAaTd|&EDcaC7lK}l83*RV3AYFq zyBMQQ--SGaC@`R=>7A{=d5PHE>F(HW({i{uHeMVk=~Y~U(RnV$Bz7enbMRypY{BbG z@8Bo~#Dje)EcEh^?)FTkMzfQT&}9k-Q`s!(ThZQWZ45RSB1iGSbMmv}xibz?Oq3~H zeGwi84A9Z>o2x#%HJTU0pdeO>UMs`|>D`cwQq;D&q}DuAoqYdX_^d4dhUH}%@0`I8 z2!HVAS}Fqkc<Gl(eM{>hV4D#pd$*K>RZ$)Y zxnqIx`+Y|gvv(KYE`|AylCLf8q3TY+p*^;{eltC2y|gCRnKBL89zeVJjyP7W-oMe# zdg^>ZmF6ULlg`tZG>W6x|S1v0xhr6Q6BpcAK)Lp`tV3dm1&Fmf!7LpMD>ST1oZrm;$!b|RlLfz}U zj}(e@R+V)IpsD)bh=*291dp+eUt>villl7I^E=~juOX@|dnjSoCxQKa?YAeqRrKLt zH0bQf>oiJOcTcsj1v7j6)6cP?uNZN|_c9(d3AD2fS9Qscj(#f6;;+KoB**x9~o z?l(JPmA>l;N)H5uKmX*`RD|DiI3G`G&W(;Ce=AW-sXChX6~_fX$LytZ(73xYEQ6nd zxNAW28O~5ix7N2rMG4^Kvf+N=t~RKuCR*F;2=4XzvX-+v&!(D3U}MnF zR_>QNM!HYJV?HSSaoqXH8C>N#Le_iB=#RkU-2r#TmZ9(9|G9AK`kC3PB6av_zMSmh z_!TEoXjsuB7jaMc>&+>M0x}=={sXi*s8z-)CB4>8?{5~z`F6&5s7LM?lQ=7*GD6j_sVZ1+EUe|R2VVpl(%DaHi zXBsLwV@?Oo^G;;_tu0-UObJHRNJyIm#p_o)mYSVPZ;{OXS#-1R$%q47D|n4L+fZv6 zgc z>hogiNc;U)2mJ|VPfKGCbZtt8Mi&(h;FPYjBuk#&;WcRb1j`YXHgE?h0{v$TWD%*d zR~gf84y8vt`+>9{Fuh)B%KOu=z6;o<%ixputPB~D3SmP>QW>PZjX|_L606|y9QMQq z^{^rT;ty99_$mJ_YxBPse{$I`Zl5h=2--Z`^E&sQm4wmNIY#Aq<_Fw*Z})4ag704R z>js(S5_$~C;^(RM0f?gKA;oC(MJ0%XTNEv&>rGvF^T+_&{FsbK?ICqNcp{>5^@n!c zsq84bFS_oXrv~^Xa7TQz2^?x84c3I0Sh0+=SHvC-3kARz>D&BwwmXEWrsE)Nh5Xv0{j^tsB~4tcrW%wB3a(88lkUQ<#q*FxEQlKjNN`i z%PrAZVbtUb67%zC1Of1=6vjND6jX1i9h%-2rCkb7@lWj^pHeWobY&5Q> z(kfyOR>u}xjEk;Zig2u?X4fli`l?5dXr_4Dk0t&cK`=aEN6zzXI96@r>y_sqE0y*7 z*kk&AD;PcR&j*XJA%HFevf$Yt>H!E}-VbcZ`wq1Ea<_T}Ge)K9q4PZTvkP0CE^gO- zZUkMyNt4WOgI-w{4oF+yJoMvetmD3s90c78QA7B^A64j|B@8XcKKK2`aD;E`I!D%% zhozxQf@%fwN0J{ZiD=HU)5j|bS}tUE(u4P>tBjuyikL&H;KDIjT>oeT63`r%ujS`I zHwbtx#Kf(f-2OgqXQIPaK_;Y7zyI$bATPLT<}1p23kkBGN_AnLwD%UXUQZ|hwViv| z)H4>r#MRS^-nJ4f_H`#5ArLnJ@Rg_)i}n#0nz@ulJvb@L$E|0hSjZex!n07GEv~%& zc;&KW zIOqO*?E1?*JNPZqp?}nFPBqC7r*gT={f>K=A<+-#ZVsbKi#KzsPcfr#-~s7kHw_1*tz6N4S6g2sU%`5tN}`8 zv>1AmMk-u1I}^ zr6u;jAEibA6(E+8&I(RwcUHcMiT<9w`PVCS^hLJEYuk)v6OL@nST9qTnw5N>!|xFL zVo2pJv@)}}a%PK}cW=uoE~Vko4)U{h%~rIQ%+a-QI!S{Umv2(Wo+40S((={?V)l^% z?8rWj`w(tt%hqmpW1R32x6kDxCEJWSjlzD{4_suJ*bPHrs50m#CQ)8}_+{cUe|;vc z_Uxki(O_^uy-E7P@EpFwHqUr8v&XGBl$EH*`7n{mB8N{f`gU^8#UFQzU=0rmOh?Q?Bc$C(?LWUxKH!3&L*F|z|9eVB z=z&VaquBhOQq{=_pj({X9T;rsV?(RBJ@l(eg8q7ae_z(Q`*N~5y4iIl!4`eTLzXu- zg;sR>x;yOnW;I;jnfiFs?}a&ws;FphfQmZ)anxrh;br_7sQe+s#R8u%nk+O2;xEla zy{K_$gb$1EOYf|o=G;|rp-j0WO3`mW#&@%TG)XgqdHz6ToKK!f!y$@TwwcAy&>XuR z2^*Q+pI8#nr8uM1Ck33zu#?v_$LPP;wr(IU2ZUFFTCX`DqBdEtGPZP_8*e&K=dtMS z>m#$tpHbh}1k(u7c!TXt`|Ff{{GGYw zuksoA0`|yW*=L!boo!N!LO=WY)$8$U(`)bc4JB3B=Ln=a%t+qnERLTi3>H!eBPA__ z5gfMCwz|E(AATbmf-|3biTu5`$PuN>mQq3Bf^TuJh%}B{yMR|o1L#q9Bx_TbXQf*~ ziN?`uOB;J!-4T6C-(4I@G)BO?N^q_g{zP!7=zRIqn1%0E4q&#Guy~Dh+lQ;Ne}fce zHjid@rxH=iESA%TsJ>m&=}@F543!KK{`R-MkV6zyo?(f@<|FpBC7N(0ft#KK04;x% z`Ysy6KRBx2CzR*EWNb7MeqTY-j(XiS6wz$S^mlq92DEq*)gXi=*25!fyd9j%m9;{v# zS^X`g36uIu-xRVrF6XCvmCDP1T${vP-DH;XM98+ONgrt;N`)(&3q6(W2GRO*tPuWR z0A&N3{3nWX{qvLpVISh;nu9#MUn=m=(*-!!f5PW!i@T^3@_DuZ=Tresz9T*l=X8P3 zpDn=2Z=dJkXylJ!s8G1TWY{PP;<#ckD8mGu25B6yVH-OR8&Qti-_CwJl<%+Jf3`(n zL!6VqIgIj{{Z2xL`+q3BgnZ)iyXV2j<2a{)lQd&E#{jaBgOhFjVGho#C?ig$(0+_{ zx1h7*raWy2+Ub0xjQPaLjX1+=^bzE6K7c8--!<5sdKvsg)_wvZ|Dmqg7t^m<8rtsy zaNYyXk%~CiS|0@J%=nrzY6|VQe+Bh9uhEYRA)ohEwa7=)H8O-a*L&?D#7Wzd zm+ja>+51qY^g^7A1hOf_`4Dg$ZJFQ{27I|$EI7r?aLwd8n`MGi;8?a9IPd;B=7O+j zC*+g$HHA2{d^(()fRpmO_8`2FPmaMfg|e%!usq~*V*yTo-dk`wUX+%@e(dp$F_*K_K-=yN~W>b)M}fTZ{xqW(qnw}vid5Y>BZ tSaz3Rc7Gfa%02+u7hs?va@c|Ie7jljJ=sCZ4sY+2?+E{Y0J9)S!ve5H-d_L! diff --git a/CPLD/MAXII/db/RAM2GS.rtlv_sg_swap.cdb b/CPLD/MAXII/db/RAM2GS.rtlv_sg_swap.cdb index 14786faed2c609d32bb1e0afb1a10d442f9ee8ae..cbc0bb73e70905c5152312ec089fcb516e555d1b 100644 GIT binary patch delta 475 zcmV<10VMv&2FM1Get)@hBkD>~!9{J67Ib5XZK}mKDM>5%q5gsW>mo%$s+8D3~OaqUZV9jDLvI~PJ&-0c*EC`7elrM162od%C1uH^$#wG&q zh!_74CRIB?!hkx7 z_X=N%YA=1w!8YF43v7SuYaaITzMf$pf1XhLB7Jd2tc7!n%M1>yeSp5}3UJi6fGdFU zODDNKK(ASDOUtlRvKxN@ToS^`@wUauK~&Bfw*gjd)LZRpL$_?p)Z5m*--*KMWxLUY z-f9Jf46;35Xn(^g*Y6mbhfo4drDl;yXr@3Ij(c16>q)34pt^3m5A>mgN^UBtc`8m? z9%$@#r|(!emQd+k0yCM8;hI>Z{50IzN?03%fj2E9LG!>H&~)q@$7uA_Ijv@D zyZ?`M;E$Cv5W#!tg(DOa>*hXRuNC0vP|qD)==oByP*5uBh5UI@FJ2ao`Hh82rNO3% z^6lzaF-y7(%cs{9Nd5(O*Ep=&`pIML&}tdzOzZS4F|5Tvqp(3w{G$qX@*8E0cB855 Ro_o}Bv>Tn?e*lw90{v1F>yH2c delta 475 zcmV<10VMv&2FM1Get+r8ji4(<1sAnNTF{Llwy74|q$I82kNP3vfH=f+lHLrjjT9+e z6fc~<_s*SjU(&3mX=A_|FbzCrf;Ef1$Tkd~JkMJKu^=Q?P`ZnD$~ORHs|Gp*CJ#IP0vjlu>!@sBFl$#0Y~+Kr~J Rd+t%k(Qb5l{{fRr0{!A@=KcTx diff --git a/CPLD/MAXII/db/RAM2GS.sta.qmsg b/CPLD/MAXII/db/RAM2GS.sta.qmsg index 9c2c87d..9e58fc7 100644 --- a/CPLD/MAXII/db/RAM2GS.sta.qmsg +++ b/CPLD/MAXII/db/RAM2GS.sta.qmsg @@ -1,25 +1,25 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691914221676 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691914221676 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:10:21 2023 " "Processing started: Sun Aug 13 04:10:21 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691914221676 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1691914221676 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXII -c RAM2GS " "Command: quartus_sta RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1691914221676 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1691914221785 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1691914221926 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1691914221926 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914221957 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914221957 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1691914222004 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1691914222144 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1691914222196 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914222197 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1691914222198 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1691914222202 ""} -{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1691914222205 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1691914222220 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.193 -97.128 PHI2 " " -9.193 -97.128 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.818 -246.083 RCLK " " -8.818 -246.083 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.245 -5.591 nCRAS " " -1.245 -5.591 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914222223 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.888 " "Worst-case hold slack is -16.888" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.888 -16.888 DRCLK " " -16.888 -16.888 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.289 -16.289 ARCLK " " -16.289 -16.289 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.679 -2.377 PHI2 " " -0.679 -2.377 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.104 -0.104 nCRAS " " -0.104 -0.104 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.157 0.000 RCLK " " 1.157 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914222239 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691914222239 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691914222239 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914222254 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1691914222332 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691914222348 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691914222348 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4677 " "Peak virtual memory: 4677 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691914222410 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:10:22 2023 " "Processing ended: Sun Aug 13 04:10:22 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691914222410 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691914222410 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691914222410 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691914222410 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691916643769 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691916643769 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:50:43 2023 " "Processing started: Sun Aug 13 04:50:43 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691916643769 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1691916643769 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXII -c RAM2GS " "Command: quartus_sta RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1691916643769 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1691916643863 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1691916643988 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1691916643988 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916644019 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916644019 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1691916644066 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1691916644207 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1691916644254 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916644254 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916644270 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916644270 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916644270 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916644270 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916644270 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916644270 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1691916644270 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1691916644270 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1691916644270 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1691916644285 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.541 -253.391 RCLK " " -8.541 -253.391 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.503 -92.361 PHI2 " " -8.503 -92.361 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.149 -5.683 nCRAS " " -1.149 -5.683 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916644285 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -17.185 " "Worst-case hold slack is -17.185" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -17.185 -17.185 DRCLK " " -17.185 -17.185 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.694 -16.694 ARCLK " " -16.694 -16.694 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.367 -1.096 nCRAS " " -0.367 -1.096 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.107 -0.165 PHI2 " " -0.107 -0.165 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.163 0.000 RCLK " " 1.163 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644285 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916644285 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691916644285 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691916644301 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644301 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644301 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644301 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644301 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644301 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644301 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644301 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916644301 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916644301 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1691916644379 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691916644395 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691916644395 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4677 " "Peak virtual memory: 4677 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691916644441 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:50:44 2023 " "Processing ended: Sun Aug 13 04:50:44 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691916644441 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691916644441 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691916644441 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691916644441 ""} diff --git a/CPLD/MAXII/db/RAM2GS.sta.rdb b/CPLD/MAXII/db/RAM2GS.sta.rdb index 4c614d744326a1b68b0185fcc5d6d17559606486..5d1ccf66fea4d4d8e1cd55eaec0e1a5993b4ee0a 100644 GIT binary patch literal 13037 zcmZ9Tb9^Pg_wcvw)^@kHZQHhO+qgBi?bf#2t=(>I+jhIP_1yh@e}6r%`+8@RGs($G zP9`(Sok9Ed?OQc02r&Hi%?9{m1s>JSoL#IO>9`2ls| zIC4t_Wrm+e`lp@tM&jj{FG%;*TepKuGub*ws2t~A*TmVAn%dKXY>)i~=pwR9iNz*+v)xL zyUF{zOcMc++4ufs?C=24m)$P`X@RQ(fDKMP5X+GJ_7tSd4-L)DH-A|y*rCED z`u~1bJ6#_fz2xcAHU;lE2jD#hPxf9rGTO#>0Q`woP98vA)+w>V3LQOpHfXXw@ByQY zX)%3J&%Xf#405rVj@O}PG69NHTzJT712@g2({r*wT*44|nclGAaFLOKuMDdcHY@vW!8wet@ zCgtsVF_;>~msirY2A(9`!l~arBTtaHZ~cs>7qCZej|tPVlA=jUzu7K+gvETz8W9nFHs#;M8Q@MBF!yYIU~osTg&j+Iy2a{CI}qB{v2-gQ zIXRWPPL%!N!XN`!^PceKC5x-NTMJs$Y|c&5@EYHeGB2k*nMt*_Ik|0Qrp^Sfc4+!w zVf|(MvJ|PpHW{1;T_yI7o`y_$vEpEaelNnVt3DffCM`%%|1qLBjvANr=3=dA#f)3m zQ(u03zNo%=cD#=)&1v^)-Bw4Zb8T}%;|2gqg_TqKw5)aJuDc^YW7(b!Eu0-^e*9>I z|C#Awft5&o8CTxd&G=nne-nAD<&nSLDt6mP$Uj9At5S&Z-7AS7S;RJ`d9B~Q)?%W! z*J!yJ!Np>%mJMSF1vcYm@g`B~;kVV+1w1!H>;^6DkZ|mI~SyLbycGthh7X(2S0PchKKW2gIk5>DZOydOeCK9$fc?w$*F&JFZ?a}aCMn1kaXd6UNxEYwSBbG@rraUs1`}W(fEW)If zI0&cc_c2tI9j#qPPwp!gCKI7pPC868w(gVB<(@v9nWkQ&TC24qCE`;gD~O||xDM7o zOnhV~}udRjls^OE##S`oy`ZkXCc3xnM1y#I!W zCnY~?;$aXQ>t!42U3lFgCvxHBI*Wr*Q|4XvyxbynQ!xMyyU3}Gcv1r_cZYrIn4rhu z)|=__Hk7nJG9+!d+PY}HX}@|<;3**NUxr@!XZV-MCsjC&9a?q{D~-I4@Sba5`CPYC zEnj?JqI`s?Np%il-082XX!>oN>G;8gV5=+~sxWss?C2Lw08z4;%9qC5Gs>53XN@y7 zQ+(}r>e=09mOdQx^ej{QUh5aJGHyUSvhev#ss`P0Mo!OSYmOgtROg`-B>O^LlXOgf68X;vDD$UUJKE4InXSNcxcDJ?d|MncZ`Mxi2 zAsUMG_8cn3LCSI}+VYg8!Re`S>b9W#*vEGU>D>DJ?&5LK!h`m0VUc7S!?nGCs)(nA zGqj`qVtU5xCY_yvZi+6vcYtgGwJ3NngczAd~k6`}rDrorwnpUf?>!@r2H z=a?<*iH8*XNXiS&Ykjz|x55IqO9Mv2tJbREN?}sRKRthMa{uxkYq}h7qmh z(w~95wDG%L$@Q;}^LFAJhBY!Q2e>zsulx$~w)bCWY{;yX8DtJD7M{I#yDj8W?gQjd zUgZ(7pEg2UNX|MxGy6A44&;?Auy0!l<6-|V*a?b-mE_t@$|w0tFMHx(?{Fcg;QL)h zfOce}ruBu}#2^c^NmO5IQ*~|oq$D()`<6qF?DO|`)C@>uY;CAo%-!V1MqSc2YYMY8 z>E+!VM=dnL30s`29X1}~yn{8?D>p+T^QGQ(2eXXAJ*l@Vz0S6W>5c_cmF-}xTePLG z+42X438nWRuk_8Dj!gTx(~6xC(h1=%hz^{o%X<1A@?Td*=dlN8nUxMT-DqNm@I;tG zH4t7RBmG}31v;I^=Q7p^x%UnnI(`VL;htU*5^)R; z&~4DpbR)RJKTHpWmUNh5GkEegK!l(+knX&9-?I;xS|ZU?*y^ zd)o9nhGj1r`6O*5}qNdp;lMh)ni?z^wy)6P158}dy{Y5TrL*TU#yt^;N= zX8)QaVO?HXnXtj(Q-jP1mvDL3JQj3iK* zzA>Zj(MYSMsTNT}RpjePGU88+SCpnhFCXGbl!efzlY_I{I6JJouqv4Qesb-0>$c4( z<>D@~ussm4>|(mT373usjJIScS-lj^EUk>^$@{?uSA+~c%gDH_`6NY{P|zpop-Y~Q zUt#*UfPlg+JE(Yfdi&c1c31tCEivX0PX;rjV-c&rn>;Nq@5!5cZEsHjxQBQG+;Ctn z`L2JHMAu!MFOuuqoJ;+9ikvC{fA}@{EPAT4JEotm+#Ecf`t-OThB}Lke&n<44{i2u zDY>>;(cdw#bntQWNa(9kuX?1N7wT+g&SILq4GLvWuO<|oH2+k)Bj-w&(4bDW~!~o9gR6r{1&aw`y?gL+ha9F4r`m% zViG;QOdNO@a+*;vP;fc2ie;iF|1f0|&3!sJy|m1 zrqZM^#ng*kk+Pd%%Y{)0FJcI1Rtxo@Qka3^LCJkKk<_~81i6dh&Z3sI1-C+7*FRfb zjfvi=T=8EPiu#WzQ=_Q8-ri27D97p~VZ?`w_||`X2@4uoxc!e?kPdNDe_7zk+V|xqomHn7F%ww@93cI9$bxoizADF;``^ z)-n@{wBVl>bdW>U*$^ zxpzD|iKnv7Z-G33{}e%hgv{3LQU9|l{E4X^H%SCyQ}HQ}&l17-MIzrws=48_B!Ra; zd^oaA$I+}_p^yvP4$l$V3i!{$J8b(lPuYZ61ZOXwOR3yA_{Myy#p*8hC7D$7Wd79{ zDFp-8v8?wf>b=2u$;cY#4XR_5iXB_ApSz>3^H)V2d@MyKekZJbA}WS8hR0n65INGh2oIC}5~p+Pa}~)wS^6JT?@|tM}ODob8f7htDZ_sx|Y8tCOTj?He{9xNw&n6 zp2{53v3dsN!mVb;9ufBWsLAP+j2G=CORMa?pYy+*Rvx1W%f}=?Iy@;aZ@NZ(2S^BR zMkILUx&9=@d(LPo@YDNI6s;=#xX$D=&5f6t&P*RM3})F#jF-8BdTDia#^P_8Gb|3k zbQ^bkoRmMau6mw=FLoG}9ppVda0#bM%6{3{^~#Xb`n$0^UyxPq5^k;*#=f&konfcU zxUQF5c-F!>dGQ+Ed&gQ7QokS!$C%P|v*857W6Je2l?u5MbdQg*gA(u_1i^ zDyg-SFg$}Lyla~_d)2a8AIBRuWpX0V5W}Drz^E<>%-%BN(!zLvu_j+M`B%6gJm%+&ogD?5E1bXlz;l^x)F;yv_$LBfG zLCbA92JtuhDlAu%CF7HZVN3u=djl)G4`50L#7qw+++;3@NhY*myG#{syrYpsq35fW z*V1g)H-Wx*oBK*{7?Z`Wc6PKS%0Y(6Sf!Dq!!28n#C~3tY`C)OY^vsHZ~uPDE)xp` zhbWpMjMXlhAfI8gdVHQD8-F}^@K!!Ij+rQ{d7^C*+Gw~E-nFsYjU>4Gbi?|OC>ZP^ zz8M=opjvTRa$Og))GlzG1&6`VNw2U@Gr{i=gIXG-)GJ~nV``jEG(BYQ;tMR>H;jbw zv66kdcnF&SWodN(!kr7O#O)?%!G*Q@29|87g2!TB8 zn31wB&)lFk&O}M2@qX>HAO42Uv}>R@Xhg|OznAkwogLc;UY(dlAe#6&$IG%DeAnUw-uZOq8 z*WuQrOQ&DDL6ezq2O(dvri00RqPaPamHq+>%7nX;S%Z9ueP% z_f}i+5!T;~81pYaA5&`c1&TmzO;7uuPC81T7(LT_Ol^H!NYlk_gu7 z_AVZGTmI#+3!^`wdl#)!q`}zkFX2)-B{iwvz5`=hls0!6p$uo zP_163R{F?!m{?)*Ze=nhvnX87s!IDS>hGrLvQ3Ib6-XE{$f8)D><}m z(~42F%}OU|ChYe5Op2BIJr5-8%M6L=zi~`t2TA@{lzT?e-v9$sv+!Uv_sM5tM?4L>&%l zSyjAl#*(w7MAtI8*uDzo7tCbhjy*kkizc1Gv$Ub;QS0zHVWNznQ!!5tgBDB4z6H%( zXNztb+aU2>!-H@+?LIn8ibYpH_dX+<6MD38;m?mHy20+9B;3%HFvgKfC_WdRN-0ybkPS*!n?5`2 zz#Nb$9XO8)TU4&@55=F_C|K&z*7-`mD$o|h{w2ew+%`B0J~OIK%aazr{BYBmh>qi64OcK)|o)~rs4h;yr4!0d-BSZMQ=z- z9hxHUO}t$o{%b}11Lup{?XOZFD~q|<+a2LROJ>z3=LH{iW8&>uqZL*1rp zH+TuQIz^S`3`Jai1Xnqov$~@@SWt8{bB!cx zvgJi2ORY7yC`=l3FA-|kQnl-f2P+S>MGkBn`hxDoiWMEDB5@Jfg=t|re{{+N_;T4S z>u__WUSJM3`>^a*QRH5w~euK zge;S!8=#mNC)`^s1}ylsdOix_hNe_|cqQFh5Z;7Dh*~D8_h*NGnOe~%!jUCIk0%I+ zh*O#SYRIBC6*{_>9$r%zdwB)@4sFCj?PrSNOtJhtZ-KOB(mPIHfxLZ$FAYvO@yYxj z4r)YYE!A>Ok3z*g)zjRpLTPA#D!HN^>6n$=z#GfAGp$ZW48bgGBE?S5tZ1OacuVol zU@XS0wBD!U!Aki>SRi8;t+a$21mN=cGvR{RXJ6GDErOyB(&sg&3#wc)E%AK4RrgdS zPK)Hnxiur)&RBks&cL`^jIDJE9UnD}GE%zJj#=j;EtHBGE3!TxX55?m<1{X_lpdye zE)pAMzrtxo%%_P_FN!k!9-vVlh0lgB@e=}<5F%V#(m2perf8G zvm>69msu0}pxRleC1zveRhkL2WC&Z&8Pti5)X08L4N~b@!*v5B&sVfIYk({?c*>lt zNuMbgKq|%vDH$Y;6?Da>3D6}Elz%}7zCM)3lE-nQ=?H%@2JT@o-iE1?%e(#3IBP5u zDI|mD?wTUJ-r$Cm5?drSopLE2Vl6L==JrQ8IM?tyr&b`@#u2ktE*AjF^T)Nur%o1R zQx;Lo{V>)hDPbWQD;Kw}{Z{JV-`g(lDVAqU*A1TMAM1cWR4dsz#${c5%pJ-_1X>-H z`9idV79IHbt( z*dP4h*I12$U1~K_crr)QQHLa|!FD^fSgAVsk1zzXSgz1{Yng%QJ9Pi17F8Qw-tkGd zvxi#2++&g*@>Y;SlQiXxtn9_3ln}WQxm$i>Hx<~%CGi%^k3+KHCD%YH!RRxTg!$4= zXYHj2i#s7$8SrBCKsu)mO8uTJ47cjCU03ol19{ZEHLoFVzrI3Gt1*4}WakQ%#{f60 zwefRsQ(9p`T<+-mR}>+VLFAeCDNf)3Mt4w2ZGyIxv%vb?L*cUf0|!=Jv}{ISCaK`9 zRus0Tz1vtZ4nRgr^<^;k!3}MfCz^&DMBk001gEn}J!YV*%T`y*>a)@c-vsspdwK-||1{LYx+!z;s~xkH;`asp zaPEi7E;W4f_<>BAGYy~pJTkr6$jgOVo5~Z(3`gxbHSCkM_o$YtanK{cE4l+-W0{PA zVggVCCnI1<5QZSo1-W+Kz#y`2Nv{&dPr%_Y21 z&&Uh4Vo?BgSEBiILp69GflP$gSu%h$excay=~|kKt|3-6 zxKO~^GBKMgs~DWWoWw4Z_^s9TDrnn&S7Q@7{z7T50?4A}HDXZj6E?gv27~T~-n<%^ zW+~86y_OQ|>=M9hlO(p#hjtOCrCK(pIN;xjaBZATFDR7Wl5c1pVdqTQY|$R_vKYkk17NYLPr7 z>R=g8-8Dl7tvn0iY#GiR{J{otKW~=V{hrw$y&R4v=loZYa*7s7nSq#ep!GqZ{DwoT zFx9CY08K1vh!APPp*8W_VrYfs^~c&){g7ywiuO&F&n!^R6D!Vl40yFG1va619=z;T z1*owfT~<@fEd$|a7JcQs}dm(E*{#ib+cS zT=^^wwGJlbYDTbyQq*aSwGG&;lwJjxpHcQ(*{W|}7IG!x$*Y!Z+fr8lEgm))78 zU9&vT+k^Wl=VWJ+v`7{5C>kWO1ql)#4yI0Vc^HlEpcSu(bS$QR)U!_~&ep-m4ypfg zsTEg^>M72u`&pGQiJaZYwjq0cOdI%q1c5x?g)>|@lvtu+X+O4UqHucYmXB5NN!3%v zrYSa1wnu~Xpztj2X2Fi778Zc?5dS9b)(MssE?jc2nwOzorv zRh+PDa63v%7By31d_j&sR~lK3^nmGCDE+3kkXVLU@Ch+p)^xPstjz6}Z6iJ-aEaMi z;}cg!WOD{;3;w~vFC_EpdbBRy6p@VyD)^IWJCE6bH0!JkBKWi3L6Io{?p2VWc0UI- zR4W~FIATDG$w~~@t}O8gY&3ctf_rD6`^N9yB0DZKihWQYeE1wUwZ3Bgad5DL=NO$3 zNqIskrWfn9lQPuri8UX}0Ln8qkn#d@VQ^(B?OlF|ybdfCFC7a%&2LVrlO740D#ZYf zQk=UL(MeCTAyQtArztcMQ|vgiPS)6o7}uoy2AbXN?e=?>lei|+FtV-nh&$+X5;8`X ztt&6V*fY31JD7DF(p|k9zKQ4p>HuoGqE0*RR&!{(dG)`v{HkJ;Ky}&PIr0Ozld-t! zsR|SIV0}Bhb6p;%xq>;=AVyPB*~s~K?r+$h)o2Aj0t-sqDHLW_M?+xLi$t|Zi|+uP zIe8|j$ZEn4<22di4t_`lIeDm=z%*L4_N*Ohgm*B45BWmbu)R_tuV(4;F6+vR^n2b= zS)Y`vJLS?q2cc%~bx64ZQ3lkYImEkuQY3TCGJmQ zw4%6S(&9O}#3(9yf@3jX6K;eaW_%MdEAsV|1vJ83t4T7Yd^(LtXjP5py{aSw@k(w4 z(@>rH$tX(Q+$f#=28$v$&58D!U@`s=<7QXEFs4dV(jsV1kWjKe)S}cPq0EI-lB&t- zTQHG%l-40a)L@p$!W0s2m7y8VuNh5}x9c>e;89uZZo7+C6j3ZrIK_SSZfkR0-^#cs zvQ@JzTy048%I2`sD6&;}iAvBwY!(`D*mT(nMpZ7i^8cE1h^JdO=F^4PG^$ft1+UE4 z1df5PEv%}*YOAA0w4ZBrDkAzbOHDH$OQk z+6j$N;TW?vOPZlL^s^x}PRb->H*s`yO+!{xHfeQ&SCHPi*Ee0)?U0Id+w2}2=h#yD z!jX!TGr4^~v0|Y%MXh=Crz<2wr}tKwPH8FUUk^@s7?5Ip%F(fEGy^`E9IFFwLx)cz zRMyPRGDXabRx(Kv(4}X622dm?ZSsW^r5KF`{Zc1#T|@Auu&%edf^M5ckTm*SWQxh9 z5Y-j10q_Ioi=oSFSxJ%_4!{U$zvDMY{ z8Akwdhm6fSGe(39Gt)g!dQ10dcWT8=-eCQq%3cb2Hz6vFQ=&`Kd8pBViwlA)?y#2_`M--R;a`BnvWx$(&Io^aaxWXx9pf46>3q4yRj>0 z5ckmYKNn*-uq#gQ#RjFYnF?P%Y?x|ia8Cdq&7!GiB7N8ZrcbWscJyT$ENP(B=jMt& zT~VoYo$~^AGe<>XZVXslp0SYMRa3LHZ>GLI;J}j$v9VA%pRqBLD9Y{GaaCK7xw_f` zt12$ag#|7HofPq#MCAFRIo5&=xvEczHckfkaKldoAt3#()qk!(j++(IeQ|&D$4^l+ z0E=?CbP)G>_X78Ae@yF%k;}e@(bRNZnla&{vuw1%*0>GehH!N;3Exu4RS7(E3c_ft zz^-csIbspq-T544()s&G!Kxke1Cti@7MDs?h+3?bNh=jbZqmyBrHVnf@T%CjW;l@( zPosF&yn+BiKH=Y5eW09&Qr3sk?e497krKDGOd^3k0D@Bt=D&41T{dT(+uF>cQN%47 zB}f5bGW@)jvWRu@cld5t?U9b4|0chKuIc)`>DyK9##r-;8BG$q)09905GUf$Dx&1j z*~AXAK=yQYQrCWJQ5dD4W5L*VQmDJ^#s0_;YX8zYMw*PIC<@R$yLVeouC)AiCj?r1fYQ!z3*0k{#DHlU$U030fPFkXHZLQ!n5oQ;)Ap#{xc%- zp(vN8*Mk)P&Hu7#YthQGTJ3Qd82>ck zmNck$$x75lQ+0y+`tv9PO~#m@Udu0ox?xcS{Lef#rs!llElj&la6{ryN=dW6Dz3K< zsphB`m;cpBElbsx`ai>f>I$=f#tXot{VN-7_(}U;8M@#X=KmtuFe=6ukd2c6OtDRy z%E7Ks&|A2Lx&CK*@^_Wtv1ju>Ri8Jt|C&4&68YF%bucXSfBnRkht8j=oEx#X9I(_# zQIe+I6>++px)^n$;p+Uu1(z^%PLw*@*2aE2$W@Xh&BOuZe=VGsDA2pf-~v~Af4#_v zOI~@6aXvF9_)c{IS1#*ookx+@Uhf6F7PYO(GL~S^RC%W1D0oNx>l+tvt0&h@Po*TS zV2HCZLYL&9Z}Pve>8_p$9mD?F3(>G!16$Ckg_GF{^7vnOqLL4ZxT%@MZ2WUwy&*f( z-^%mHwPT@X%zuN8+2{;KsC!lFXQ=kRMzfq7X%rRv=WssI&r`sWT-uSJ`n-UwcYsP3 z3+(0Im`7JFe%!M)$B--Bt|bfCpV5XDnO=B`KmV5-ho^VJ6S{gcHP8J&N8@~>G9T~$ z!SoTw)SMv(Ys{pBW3y+uPV?iXsFMlHrrFgWR$|>ZPKv1nTIB@|1sInnM=s$>7k&B3 zn$GNeQ$(loAoI5+F908>pe)zk>$^B5`~bzRZuhw zLpUfFKPfmQDGheetkAa*>JqWnkUN<+)fx$i^gh=JM@d6@%75~Pq~(`JEw`*)tQMk7nvDzV_- ztX_$sQb~%4Ocb{wPL^us{z>&v{)uY$PNTmr%}R*YFN%A${5aY7-+_#A@V(&ac3mQh zokV9Lxm?F_kmS<7f9w!5K+1!0Ut(SY7H}jiF?hp)68>Dj7#bcpEmcGKHWjvU*vwz4)1rk zJ$)L97dd-my1!PG7!kZ)3pg3PFoiVzySY;d=%v??-5#}G=Uz0wJKpT&7O76)JhKL^ zrSeKU{NDcLMt7iIGwmL*656|-Pmd8AAjSwOKgA7*{af!~lCaX!#X~*(6xmZ9oVE>ttQbIb zuOqFY?Yf)(%*Pkc5%1X$Ui^%o86P!zgo9k??#n1Aa4&5IQtuP-i0{1bPJ~-_=krUy z-M@=(19A8s(QoA&7c!>Cz(ZmG&mL}h_Gb7>+OE;OGA0d<~;}Wo?|M#x`l=s_d2f0QDud4P$;(SviWcPX{{ODee`ZC!?h z@6#`Cgc>r!J=e_2>}Kcj;kZ4$!7;7?;e^jCyf1Cr!1hNjK1IQbY za3I4#x`2ED0RZU-QV3)pND`2FAPqq7fHVPl01^vi5=b?WOCZ@mHqniZGQtjrR??xkKIi`G>mK{|m@A5W{4{ z9*<2Y zqVRvBJqGNHS+$HxM4-ZcpprGU;KQW41PblHJ97Nk9nYeEz_1nWZggePEKOmEp1$9g z+1&~ID5)-b9O=zwJQn14N3$;NMKv8JERW6jU|;u|bKURCf1+|aOq$#ny$$<=q&lVH z$WN`?5n+DpbF+LGU?>*zVp@gC1k|HH0MzS^Z1VpJBeLJfpvqS|44ARpuTtT{KHkUx zMo*V8(e%Tpa5$yg(VlRyeqOWsrCL8i(2g9ga;u@u z`gEvpR7D1%S%mCR;Zd!I9L8?u??r@ z21OspiP`p%v#}6hgQLJQ;K;1AI?Pg)&vAqF4f8cw;9G&GQmzt@>9Y#0lqvivu6KD< zSH+O6h-Ai27J>pXL>Mac(YD?T$xt>IePbYjKV}&;Y=p3{wPl%00V(lVhvIP;npJuN zu1naJrO&lK)D2indJG$7W+pDaTwkuVpGl2s8Id~pyoHEXx|EgXyuDTu5S5f=dq6zG t!G{1VjQz;SBh47-4#Q3C)8$f-MH;u+Hlt@l8H%q~PW}LXp7Qne{{Rmge-i)z literal 13084 zcmZXbbyOU&{`QL$EAH-4+@0cDWN~+Ai@Qs4S=`;--J!Vq;suI3Eyew}z4yL4y(CkRKQ1sA=Ks0(7t^XXj^OXJI23cLUm*lk@Ph zk+bo$a&WM6u(9)#tI3fo09`G}rObh@kh~n5|CK0a|BuoKTj>8D|E0v7|2_AFI`?}_ zBOZ4m4NFV?wNTt#OmkKrCBJ)78v?xXoAbSyLB;JthdOtJlOJE+;AOhOhMT@HTU|mi zM%W>%&to1>eURN~Oly^0Yovasn=CWeli$Ago4luAOWl__i8Pckw^TpSG7w7Q%-Q^q zy7YS*V@c!ILQ+~<(lEh6(B*Jy@8%lyX=iqQJ>z~Z@2p46p`FYYdGKw=s<7dS;b>)j z-RFeiy*tjoH`2eC`x*;ESb*$jf{9@e%WlM7x4vZ=A zgOH1*i>o8w<;8X;tdfH;milB009~IHQ0QOJPe=wD*(v5FObv?h7g25-?&pgty1KBg zbG`EbmF^i6%Jd%}o^vV<_Zwp0--Y*^bDfSJ1;1JMt)SQg!VUZ_h_g|`efq7P842O9 zjt}<%e6i5I=JrPcgF7aU=&_$AI%P!sdA#1bJ2QUcD}IJw{OZ`W61V&7(34AfRwd(j zvwwP?Z=KAECfl!*_x!HR=jGkdHH#XH7$oz&=a_$LEjfIQQtCHc@4v=LKeEOaE3;|H z7kL-dqv9bD5r*aWS!jg_b3!y}O3L*6F%6HuZO_>^CJ8B)5wmFfwLyOH@p#h zcm1!=)Kl~+razyNwG>!$K|Z{tN%MRxANw^$kShM{9n)6CReXr<2%%@8Iyum;*fr!r z^r^1h**~ut-zR_j6Z(l4?M^~vUNw$Lrb3WVKSEYV&Z@#LBkVrr#-X$yUU0FWoS1AK zB|gi;gE)cV0#H66EEKalZV$Sz5t8Pux$>FK%A>)Y_=b)gu$8YgfKF6Y<4An>JVA_% z>fPy9F6iQ4)04!)(vW{`l*0_Fz-S%GdR4h!TJ5DEHziK6%Q|;oO6z|T;{!c)4aTpd zZS~!`xn8fYEV5QaNWI~*3K+(s<$=oo?p*T}PGpcx-Ww8zcr;zSVfqEU;$}#cSnrGL zJn#NmSc>_rvi!M|BnAzpBxYYR*=}X#`pae)S?v8-%ka77T5{4TN!=*CN}ETMjHZ%^ z8+wdSkr0p1X$dShVImBrpj23IopX6_Qg13r-duBIzmZo^tP1t%U1|`9Q zwL)FKmAcqk)kTa?TM@;E5sT!Mos?Kpi3V%w?!~QrVgt>4aUUgT=0mix2qkYVak)20 zk*OyI8uss;kN#zG2yfwdPDH-=AQ4`?qMw7TY1BAcu2Q1D)=3FOMOT1aMP3pzr zcNw2&Y(h5upD54NG4&#aljlFcSu;sRms^y*V_xhoK8uKvm-X^2(LrINK6a+8$g)%W zn4fq2Zxlp1NJI8fkHZO8j~D$Ymhe&{^=}NTw`FGHe_e)zHz1|B+9lk7)*41Tv;Gkx z_FDh^t&mvXj98>5&K{FPCfpS1se?+2e&Tz1kB8+Uo7kHT=<)%Gpc|Lmd@m_B02P! z-G5aJACWKoV-C?6mgM-?4 zdm^-D%W(G7GEH<9Bh)(BU!te@GUc(IxSKJA zG{oiQ-cfQSX}bE%dWVL3q-;qsTd=Md<&uSe5}b7~^7WT#QtA=>Yb(~qdkOHtic)L$ zm=w;{FFKJ;swylG>E<#rDNAW(ull(mNM@G5Z~dJt0JlvchU_ zHTL>K@z;>S+Mq?cIpH<16mZ zn6@f;rI?B#iHg#kwC*>RPOXnDoqiH5@x)9O7K*rEJ4-vZva`G3ohLh>5h4E4($M2| zV5UT)v>+lPD-uR>n&bQ@*?Vn^Z%adk#u}Ur_vp7BPJSPJJ1*kR+h+`)MLgtik+nD}di$m|=p;!^6L zVGUXB;Hi}Qr*)Nq>gY)Qzag|MiCMU*^@~~gs1c6kVbq<}Qrq5F&Nw$O&nG0gxB4bi zHL!2X4XB=DJQz`9g1;S{7r&k62g+BK#J6))eacuC&qMNSWHOj9j-o9IZW*X#!fnD0 zYhkMnHEAh29%#evE&0O?ro^>JxIul$uBQ^_ByJ3eZ68SAz&LVj7wVJ7{xKEJoHgY|NkXucKV_dh-Bfh?LBNJ?HxT0FlxK<+D`>yhwj5m zE3tk~hTud`8YeZcfTS#3(o?#vWVsg=ELtuUN@893I=r2f=4O3!_a(p#TT1ny&`u*= zVEP7EZ`jH?KGbxr;p{YGd_oHU1P;TYJR8;x4#I}W|By;J`uy;A7x3_gs*+82} zXKzn=SK(##%#R}6Ox#nwa)*LmY&%?(0(7y0k5Uqz#a&<3{r>Q;i7uYgRo{!nnj*KR zuhOFUeTYF`|A;Zl8^c=-Rhf|zv;|)t%=yL1dY(%Xr*KMW~Jj=dI0S**5HY47TF zX};2(;7-M}QQkuHT`SEx&)c1>ey~0H^In!VM=)V*7r>Wi!lRa^sHfBAd9r3Z(2;eB?R#)vr+Qk{`u!P9q%Pd72kaq9r8;kky zVDotWgYc8q=iQ$L63EB+q1ozHxt>Qqy*Zf=zZ*^+T3Kd90Gp=@V?ToFX_JF9FTV;$ z%hR&1R8$Ww!af4nV6@X==%)7%{{srOG#Xs1Tv~rDzpF1Kex+!-g=&ZU)XAz9Lp_OKJ+0Cf=CWRX z_32zL2g?ZJ@jl8D2~gVprX&#WPUDEUF5tSq%-QfgnXrHS`T9&&ki8cUuaeKAKI4~c z?FWeMRn^eC3~8exEF*+{>UiRRwb=XV*Bemh(ba}`u~5N#O2d4MK!U}4LUMzaG->CS z5tp%cvAD*6sF4!oAKpp2eY&a8TYkz4N0HZLmRNWuzPyw*HU1?m1t~=eN7`tMq(b7r zR1BDzxKO~|^)yF#TUqM8*v~9XFJ^FD{>e2{K_ML4y7_NMob&FZq8qVJ{@@FV8`5<2 zP?!Mm@2^i=#Il&zyj`xKgg4qbD(}

    b)&Yfu3PUNevk{ev^dB*Yd zMt_=3OMEXgo`2KC+qp;vO&BOK-0Gd^T59Pfh8bG5zVmeMmB0wtBaou7+#Sc~zy9i& z-&C4IsRmvfn$hbgGKq{^bYCj zw@ij}mR~ZoQMpxybj&Y5e-U%cL*nC0bwK?>@<40Q8=!yttWrpUbL^>+T_st3=emG? znkejx!2O0FBhW#vR4kf$IK}8#De9{a0(O5p=2+zUIpjYj{}lbz;4YpP{(N(ivBD$c z`k^oQZ(~|LAQxfzIyy@(TQ7zkn?L+S8Rl@$QbDk2&!ab(ZlitwiY>t2>?Wu^cv z%2ecog)mUp#k3EdCrvI&p~MUAhO~2szfwtT0gs0nLqLb-V8W>Ztv7?v#66p&HCAIi3xsEWSez{7;?>Kdycr0Y)5-m0eyAtkvXJFH+zcnnX;Fb0`VcS+g zznnLT{2t}OUHjv3c?GT~rzPo($!|oR*noO$&n-Devs5{9IYW-xe)ICFSjk<{?YckF z6}1-Z{L*u&FuTm6)eS6ZGICzp&U1)93Q*GqE;yWvigP46hN1}cY81X)#|VWFz&Y^E*0r;gbe$x z(*#tm=}_>9ca+nKAjWj;-@xq`if2>(r}7L$c&u|rd3Kf_GVHyDrolgOPVECuWjJXxN#{=?oDU-+LADyD?4wvw68ev?&9vMz~=#Sl3 zK39C%vXnbYw@y9&`KbEqQIVV0K>K(DTluKQm!64BNs|VJc;%iiWDKyRwfdPfcQJE=Mq?*5Qa69YghMxqN)O?edw{dWFmt`_T zNu-ctD6k>>uUG#?<}*6eW6H40yP|W|+i3g>< zT6hUVn(4EzsiuWvuwcDytU4{z?mkscb7k~Mb=Sm9T*oXEaq6YzvWLpC?paFHtu)vY&>Bs|({6I!--{-#~IBYIQV&r2q zK5)na^jO_$IygwU$gmd8^Ot?m^|r$$kz6^DhP z0psV%_%vFUJRbb_$Uep=IrI!`p6@b-&kW6vPoEbrNUltsJ|+05R7kF6(>5j8`AEFQ zyFj2E^(D%2{1ncdLlDvGjYZfq*GRaY`(i`aDPPP5`tjiK_# zIcS_1_U7v)%G8Dqhq_zIU;bAvd8K=<1>Hkvqp~l~PpJN;jD+{nVL45bMuakXxzdBR z^CbR(HVPs?u(fhZ@qba4eEYEv{^R4PZg5(4|XD?;T+6taP3)xtXyRxFpOU zJ^p*^*iELm+g2giPEO?OyX!!g$nVQSj~g(4Yr~KpEs9Bt0k38B0_rr%gU#3)P)e4M zaegGRb#l?5SL%uFyF2US`|Zb6<@0@)qCJnp?Mm@S@@~K7xAu;XhUKOCd8KW*HdIELob-as-k}V^35H6c&_+7$mP8P_!s}K(G+lta-2UQ{o z7FiEF5^Rbh8!BE-k?@Dt7$Y;5tSfr5dfe`iM5-0U?o4?6V&p330T)g)G%BOrWsFPG z6=6zNELV$6t>=sSbO(mFcnX!-p_%(XPP_=AHg~xk`)_RoZO${2+musvw}-c^dltWL zj!uOlpK)%_>Wf+uxaJIi0n>1{DGVA|XcQi&ckUGi?Ay*7)mmrMsvUNQKMqtz9nbD7 z;2BE3Z4O08*(Vd`Oc3>rcx3C!YPvDvGES>@*~xLcd{=2yErGARh-E4H60bjoq1pkq z&Dg-fT2if9T8KNk(r3|_pw(AzW7NF{Q67XdmdFiy<8k9e(gB$Bn7Wow;Yo12P~@xd zX&C3I$i@o{a{-^Gbd%bKUJogvkyFlbMgW|^w@;#?)>sJ(8oBttKyYkp^h=qgl*raP z`UA797|psZIqF^DZ}?>4+5SJM$}UvP*1=5lfQ=H%C2IlaQ@rM-hT#p`SI+FdUal)l zD-xS?iyni@6@`r_8+lke*K@uSgUVKr=lckz*qIx)Ly5hpN`jmrPKBluv_SxRK3*!4 zF0P&Q#qEZ9rRjGCLFP2Y_^1o8Lyo4db;4obQ;nL8a-UmNevPWk`h`{6Du7XOEot6sMRURh`+3So^tsQRj@=T1+YgC&`+uoKY5VhRs zN+9S7=Qncs&CdMYlO0D)ROB1zZkc*_)cuK~?xLB3;%GjfOrND38``eUh*KNylQ~~a zRFy>Z{z~3*$&nvdxlzC=!s$xhOd!%xfLr8SGi?tSMqQw(@!<+GM4CG|Hs62x7jpSj zdp^O9O~N;3AK5aE%EREN7FnG+xkcB+hLyt=KJd4(6Jq7Qk$wztozqxQ>SSpE#Me4c zM&|Rp8K_%ELx``tQgfCSRNo2R8DBE{<%TaAW$c(X7)2#>p^pah<&Alsb^Y+ z6jqpMHUn${Dgh@W<<%tj7rv%zTKrvC>UKerx&YSqQS(q@HpF zMB$Ftr2!|bqjp>&=CkfWtecz))SRYfVMyGjU9{c^)>1Ef%=gbyz-?xzMIFTHQ!Hg+ zHT)%|WbtZg~Te{qgbkk@ajs2TkCZ!1FY}Xs2@b;yAu=OrmaS3WRZo!CLrYAT^YO z5H;a^)p45TQ%ZM8EnaYF6dzmaK}p*B$~1pO!S^4UqKh$VMRF)R*cS!ATXliEt6CDNy~a)biixWN38qzU`g%2T ztV7n05{DFTtC%(|49`s{){W>-N>FqH#QP}pcmPI%*BbdeLd6|hPv<=l9bs|QJ{NO^R-g7v2Pjtt;PcBib}c4f8!g$U*@^7uS=lhmxPk8gfhU{tH0esQOR9w0w}`{tpIs7{-;jmYM2r}}9`1fkh z%jf8}et$3+(UqJi0nk$<^9WS}fZB?th_JVlK$uQk;J~bpfAh!Wc;y~mCbEzO`A-=#OZAxV#re+u+Prtx1wMBi~r@!r}U~-OMfX6s3Am)oC4}Nice^1P)sH{4 z^x$0OK{I^nn~(H?OA#Z6s>u)|0r_SbN9u%plIopq6~j>1A&c1|FEr(*JW#DTU@C9U zm<=Aj-%y^6#|Z*!DPX%>eR0XuK{H5`T3eNS(G7QQsyVPeZKkC~BC0exQ=V}hv1!aa z8Z7__1y7v%?Wh;p!AhAF^->-b_heWLel>gz4^+eE86bBPP{s-_geViR$}3avrT{Uq z=~TyvQ~}uoT!_qG$>?OmXKQ@s;xC!V_4!VJ#_3VuE#b5iEuaF>vBy7`AxFXWF!x8ZVZKYv$#M3Hgo2=nRW( zSAzVEyDvCRDdwhnvnpMG)*FE?a8aODN3-Cer(J(0R_MVFC!mFhO`u>u594I;KC)X^ z2D&t??&SyQPr`2sG+&RpK-}tx7-O~a+Q?fZD zo~-x%1}UN?uwhbQi9|@g$Qd5jNu7;^W>PI#&>L_&UphGE7CQ};A282qts05^q?}i8 zMurn=Gv_q-ZJAvsSW~xooL{l>)qGi}f)mgjPgW#y7NVC_!mxU98(lTyI$t?6{7v`S z8Uum;kZ#a8tl2Pmu-7ktt|%X7{7QYbBGN4;W4lQpp8tF-F>?Os)gY>S*dF_JkRmV7rPCUCt`^2AFs3 zkg@2ZfqM3WiF)?Gorj>zic5V>!TRM!{Yv>+LY?7*iBC;(f{wOmS^L^DeS1lZ0yQ!` zlf*ix>Z6TVhcJ*gtI8hn6AAXE6;AJir?=?z2KwM^9bq*;si`j)IZ!p@V|}%MGC|{e z!d}Jba20z5CAle}6nurquOiJ{JD8>Cb{y#oEiyZlq1lj`t*l0pTL5-afrl#3-(n*{ z14;jfcg0V3f!qF)@lo=%yINh=gi$ycDIXGqqUYw{5+@Az)&~}s68E}fr+s3Ix)kd~tviyNO$1l>8ht7A% zi;ANR!_&D4!Psd+X1_V8nT--H8Z+m^T#JR}XG_UuL7FuBy!jlTzH3BjLhDFOeC-@Z zrA1j!=G3m2>p8`k)*2W0M_ErTDNdIdYw{%GNVO0ht|=s)hNHiJq3fe9;v+~zWk?0H z%9!pN`cz96znIKOcac7xkCEfH;?3$NQ(w;yT#TIywBmUT{sJ2&HcP>&m%_1krCTl8 zjmK)h(bObT9IvS9NxnbArKo7ZFu=^L8Uj*X7#Br3sDGDnGcG- zLa`)!LLSw+Z0D-xC*YR{OLYRf{1pKjh{~vEnYJ79@tBH72LijrWny>Ja+OLQcR}_qe0i=Alr>5mGW1yPl zt*m2x2A#6hWc^1-ub8?m3D-fs)5aQ3$i^WtY&{UE z%*e3xZkgs|JXFdId#hSqZA{JgC8E-p0TPKqn``|tl#_fQV59K`eWT*JzAWjEcI_Ue z9NDuQ)$KH6(ek6do(vP_a)nhu=6?A~He@q#uCysC^t{Ma4zegpyE<1&!ot<=u2I#g z#GlT$icZd^F%h+p=gJhD9VwtG3M6c%JY$?g_QrK^eu;ug@nW^*$j$L|E}luq&&_Ek zD!2Na`!S^!h0u3)J&QQWe2CG22y8fO$;SV5DyuweIfnvnB7iLLX*h?@eyx950Xm0e z;yNgfBts-yWX)|YFlPhhS`E2S4XNOvQi6l^@Y2eN53Hu}=8nld+HfjcUtRdDd_Q(w4OGK-wHWF4Wu>Uj8Sao&tD+YUiYuuGB?%T-4SYfRVDTebx<0(OA7V-lYRzMiwLX;U!*0L z{D^pJn(N&LsCV*bku|q!2o&m4{cd(Nz&)fqMRW)97cB5FPlq42&`_~Qcn6U{w#6-~ zI>xX|)x@IUygLRH=@wkNWO?RD5{z3|K@<|N7TRj-w5sBBF)-G7rK@-iBC>qC%5VCY*E(w~AS5T1{`m)u>c)5XN@r z8c()i)s5#m#H{OQuY6f8%J6&mV)~7bv4q-6So7VZzmh*Sx8)#U*~kL!(JKqmmsA0PHW}H`WLwajX=}$P0o)#E7t?vsU+HqvSjJ2Ngg|&2&U(eXO z{D&wy*()0kkmVRs$vKxw?uf12-EXxO>)co}t&;J9XR`Tq3xBFm$Rcw9xIyYcJwh`& z2V5G9Q2`h2r}IyZ2VpmcC4VX+S#v3`YNknIkNC@v&Rs};5CW7@$B_fr1;whh;e9@_ zBvf#=-nOu&bbvzgl9-58`x;hIvXL;4te_Z*4Vjm|(B2}P_k)mfJ{jM|nm|(&qkp9T ztl-lIXUCq}epM#w5HF5htj|`(AwI*4weh&2-3Lu0`tOR3>h~a^FB&OQAVlUM3@9}^ zRHi`bkH{y1q!RBukW4$y%UKF6NT0!^mxQcB7M=HT5AnV$LG&vYjVH+GM}Ht0GuT5y zh>NjNkxGc#{>TrK|B4PaKe^!^lEEwdFYEdF5fs)x4Ma6f@b&ai8I!v>cHdnqZL|PN zDXi2nXM*@lyz{Oa<~xCcj%5^3s}Ctr8oM}~?Ethu#ohoL5d;RZm9T~{3~7J-SF>hb z?gZ5FR_k*S%1}Dl4inR7Y?Y!ke-UjO$UQzb8MX#JGSpo13Y9Ph2Bo6^r-aruR%vz9 z3s!!GECrG(Al=!WXj>tj<2)D$mjJORo6RCe9PU~PI`3b&Y=Ena+aH?kU*soSO@m5m zP5+D2kAH0wz$rzH`$H%EUz2s92Y9ja8ofSTcm1~}x*K*(Q!Apa|5KrbzRvq!paUaa zNi8p(;eS40vi+G3q1Qw0`_L%$AIpZGu(7^>&i`+2@n?lt?_rYy8{;dCkMwv`VduQ_ zjfx!UX2|Z1Q;iu3<;XfEJ=U5*{BoUCjEqiGC_+Tekgk-ccoG2=PGXLY*yZH`u5U0@ zHPBJ0~tnH9)Hv_P^%hO|`o6p_EdyJR*QUt40JRW0eZk$xdq|nid?ag86tZhbS_FsWO9;iLtJupXVk@F znJv&>7nD;w8#`pow|>Y8$j7QJF=I}*V;*7s&gB=o#8!$J2)h^$iMcSPu(6Y#j*Sbg zGI}qYJ)0}+tFftM3Xc{ano&m0z8x`@*2i33(BtN_;Q6G-l_9@7aZm%!^e zRaVT#(}JyND4v#>d6{UXl?fqGV3+4p-E~0@w#>4qkcPXKpA(3;0jn23aA%ApP`I$U zjDDNjrTS-}rqLV+BySKd^gqKx+=4>rr*Sr-j7a1JO!Y-axCTE#S~6gxS0Vq4C4B_d zgzK-=99=T4DxfiCS^!RMY60)VM;kmy*}>)!Hrdt%S4Z$JHyzZ!(TeDumHifp5kJdb zp<7{ppD?yBUmeLQBRskX8I9Zoi#Hce#jx^$3z8FGTkn42n8@MbTgCpH2_RivJk)_! zVeLMQXRGeQW(@iO`7ljX?RC9?K%r>lxJNl!B~!Lztkq=pB;IzIHXlc{D&$}H(ELG5 z?Ug@|`gqH@_GJz;>(?nsA5ZW1hOf@f(6ML*G?GBd18P0~-b{zmdNI@~ahrSlx?ZO7 zGYhtos;PkJomofVy@TwEA4X8(*@^kJFSU+d*^LDM(67$<%-NwEFCb%pZs#(O*% z(3EHNF4fDip`dhy$s%`1jUWBRPo+AoJCvMD>f>x0~WZrTr_DAJDHE_J?Mc zgH=gktMmb)df&d2w{pNUqk6Y`b9+=yMKih116VBv6Ssj}p~T_EqEo&vMyhc8Zn>D) zNU_%98@y2@8vfl*oWGNF?E@zEjxRk;O99kj&wdXgR$nqgP+t1yWmgwb-S}p4Z3RNK z?F8Y_g9q%2Ov{}n>cN}w8xe!p!WNrIb8waQ1rx4jMPbWetg5XAP~MMASMd3&=IWT7 zPzkjoXk2=37QmH3N+-AU5MA_^Te>9?z;gdQ@4vdzyWQ^XZf4oM{&fH9dV65v!3Fxf zSI-N&BhGz1%&=lXLn}=(w&BXfXKTG^{4n+Qz7gjMj^*EyzNeu=7xkT-A~MVb{GFS= z$rk5*hnEqu8N8OjEL(pMATj78jlC~Ie3c-pMPS@D73%W$M8DFqn)x#=nB>U8&9ReJ zgYa46Z_{jOgIB8Gn58bg^;!PeHNH%4b?hxd~=_v>`W^dGmQpLTX0jpv9A z@k2adq(+W{vrI%{m(l1dQ46~^kBt|KG6f+YjzewZ^bu|%4nRIFM^cHOz5zN}Th8j|m-RbOFbog|YcjtM)d!4Ovf50VZ+WV|uV*a^<0K*Q$M zcA9L)iog+Kr6;f(Ca(opA+xz zo>2PnT>xk?>@YNEr;doKtDwkG9yv1$`e_zU%1)S0WJK#-UApx22#Wa`NqUfjvB}NH zb6chwPgnsWW?Lo@3j4?aXA>tZ6JW;j&7m*DMFAlY@k34BlB7k? z*x-?qdrHzlaU;X#6<{hnMd;(kvlU}dh9k%<@?9$$wV?LMjDn5*?8i%jsALTNR002_ ziaP!sn*fi0h?**A6hjI@)6dFL=8b}=SkaFSpZD$!7uVO6PzDi4R;Dd&b@@N1Bw6J^ z&DL}6E96gledoG#E6t7vt3F`dbO#+J-bL@bc}&Ms@B9B}Dg-~Xxd#`I-H zC7eU|ms9$nXDaXdQDlFDU-i(YFU1kP02H1qYnj$eYr}8j+u|ORMi59A_8T-W-jD~@!ruZ7%AuZGY!`iHmmM)UEp>8Lvm`!U23v1}_x<`h5 POvsg4mAXa1e|-Nxo3wMV diff --git a/CPLD/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb b/CPLD/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb index dc3d9580913c30e9bddbba55a4689a1add286c58..142a18cd865b59a0eb5cc2b20469658c863ddfb3 100644 GIT binary patch literal 46370 zcmYiM2|QH)_Xm!*skgLRLiVy0p&<-nLQ%FUOOj>El06L}>y%cEJtWIiiV(7gn1~ts zlARbC%w!wP3}d$YzxsTC|KIQ7K4xBb?!D*rI?r>?^PJbY1b6M)Rl>I${M@z62mHGL ze&2Kt2=wy5FAdRFfvB99HVpFeb(7ZAIxl@*TUAX>RqZ@PTiV)G+T81*yYv+|uZQ5h z!?3;oMAVl5``Q)0|9{^*pQoz-H-5BxxsfN^>B=uI>HyzUpRYXp(G4oS`$GO(t%p82 z_w}3G0|(h}%B%Z$OPiyWC4W0b@6XxS!9igLoeKII3rG*Ab3&q)n}C(R?85Nk;@qOr z($zr|%A@MretT*T+c|~|3~Rl81~1-p2pMP-o_>`4T-Z4@=2PSf|K!Rj>c_e%VQWRyEJZY!pNXZ%iIGV#CUL%`kCUYYTVOyX_h0 zeE)Rg@`HT@a%XR_n=TSa?x{zA{q;?q5*>33k*|pv6O{6sJ*%H`BfP4EvOS*fs(Wew zS>@{64dgSL)$`a%DY3ou=bgY!*uxjYqA1^$U|X2G2}7|b0ODL}Zr2o|6=fH(R8%@~ zZ$1|3T^-04?nxduzaBmkc)_erdl+iD|I@^{y;I2o^lb=+n*B~AX5ZPjQ{olK3kS5_ z1`k3#+=H&nk@OU##qeH`@ZsKbUX9m(saf{x-Ud21R5*39q4qbm(cbY>H_%#VlLOG+W9n zJ0?w9P*`f-+b2fdD@NTfM*UjMVDg_6$tV@%=4Q5@x?hPUy3zzUAPwogFW0Lg*ITOX z5xbl^`LkibExSW4%TP1n=JD#=$pXfoIy`x1-Eh=)OJjX8e8*>|jw&%p$d(9Qx{}#Z z7^MCqZ(Mtau{mwo6&Qf{`h_^EFS+L%%3is@!KJbwj zuYJMk!AIyxwewK#3}iHTi$iTXvkNNYvLjLj6uH(9F2Qg5<{JLr8pGgaLSI0nJZm79 zKOhN}Dw#-AC=Pl1iYV!BGI8NdPhY;!M78nW3OBUC#S4~S!Ku5TyF=6WyG24QFKPbY z@d{TY=SJK&x7BD)R^3_uS)V$t)uF@MARdoAv->xP%|Hdln5|vVgr@AieEy306ZlsT zqSHH`rI#)xhr3TOD{@KuM2Y(_7D^8eX+P-xd1nf`dmLQi?UNJk0}pPb1>$&bXjuNR z@2NdCBcgD5`1Wx&WM?^QmSlIMBU}*$MqtC1!sVaP-P;K%Xk>J^;-_Fy1%|75j%a|= z1tJvnx>zag0h(APTiKMsyZ-OlnfHHa>Fd5h-r3HgChu^(+%JwBcxZDv(l9$-0L1cg zlRxh`R7Su!G_&6dbcr3cYLr}Fh*ueBB*hCnKwIN@l{MV7V8VXS(@Sr87a|Qu^qe;1D&SA{8b`7!KY9M2YvqumnlcJ0ky4)+(P8+ zT_ZH>J7P3RQmUQ}?c-H5O9xFHp=tT55^0Dz2_o#vb2OefA@_6PE_pCC;zD#fvQte) z|HY0Qcorg~U!H(uh=Fh!+^c!PXxIKX|843{@PF_Yf0>6?vmA2}#mjUtuP2I6xMx51 z%|1rD(wJ^El2I6uy|?#cPJkie4Z2be6HoMwaczGOI=LS73J3$}wa-A)Rdprp_1NIA zK<=qPM#FGOX!O@t&)c_@u1xPZ#%?^c@yElwNzmM}UrHZw$DySVHQ(&>VqyiTeC2DP zFDmH;komyxuJ7jU`-o77iu*gR>+WFC<*TjyM+EN`6BzoGzJ4%!=6%P$lH!w9vR*rp zHIukA8*h7G=ULPC2gGOM;k{kYUWqEWMI#M2!65uHe#b0VOo5?Znt^Oj*$Em;RqrWo z3-pM|?XuS(erw~<9sY0-2+jVHIraQv19wU7H}r0VDBkN@^Mh#6H9_%65a&J~Z#6lF zYj*!Z;y!WWzHB}Tb5JYrXf`75+li())Ak(;{y$;HH36M*M>KfNjRihiopV%F~a*LKZa5P>3bk~dQuNSP)0$)IyVSfGyYWf}- zJ%V>uk`_~FkGO59`HyWtLsj17{kIJF5@&nk|61G(Ya*P%t6;GI;|@2;f^H<#lrI&j zcWaK;t9K#OSY-e&5(Jrw*069cm?%(NmbI<3XHaoc?+c~oa zL{NIvEb~rw|5MOW#tTi>c1(I(S#1nN0Gzq=cJl~S4m9cij%=jZgCXDl|JnM<2eh^w zGw&Q82`>g@|DQWwBY%It-HL2H*Sn8t0vZ*BJoEAIpFYo>|3Saoqh-Ic#xJOQ<{h6+ zs|)BIhMEy60OPIPyF+S6{%d5*)y&V&z(s&L3k;l%PR?qwqQE2~d|L=P7PU_09?R(a*LVWi5q{1ACWA9KC){r6s&ZRK+ zHvfGF{r_G4L`u+3653aCIAZeYPS{S7UCG9u1kptE6WV{WpLWpts^q-HU!LowO_M+d zxTdZw1KKdc@1|Y016qJxS8rep#hvW}X&<-kICOB}JQ$ukEXS+dSlTR=vjVXM^As5F z&7gj$(yext7yt9b|I0j0&8H7o`X8|Wh8Tjr$D#!)dZF(R9MEEXfr7L6X5ZnQEE57z z0<(~(({goo(6y5a?T)`5pA#jTrwq4)VOC;RspF7(X5(K(0_Hb&Je5y-i_b^wU$VbE z43#P3)0$~d|L0CS9(C&fW7tm@Et5c0?JwQ85;r;c&ksl9)$isj{Re`lvQ%;aga(8g zm9FIVzeSYHR2$ZaDBRKl6WL^~UOUL+A9hjy-{!^pm=KtLPpYt4-7_k;Nc^8)sjSEfqncSVih)5VZQwpQ~wddqP6Xwu^ zZ`X&fH@uui%DQgN>MCc3sidmRf|m51%R2$aikqfaQZl&4%*vC#9Zc$B@ttMnj7#T@ zuRPzMuoFzZo=M3gasRt;*c%+L{qJ{6e{gK}e-DgEpDxJ|gYMLZ6)d_F;%e9Gr(m^T zVIOy!NT`2#lGmPi23fkLC-R`lGwBBXuw169nc7n`HAI@*{c{}=PAPSTvQ&#}3kxaT zwQ`vbrfR3n)Qn8k2x)H1`n|_66f?EjvE&~On`U+w`Gx^$Y)gjtk}Vm7&v7~NzSuzR>av@@??SZV86=y#))?tH=YPo zIr}6H#5RKu(*H4t*gta6G6SngHUBg)dAd!jbbz7s-FhdKLThDr=rGF2raF52i-8%) z`27=KWgK3+g0~0f{6r2F1pCQ9(D(YPBHGY7>-PH3l4g7RNXB7tdZE+ZfZLt*iDGW~ z&!~QTP1J%ly1WWM1(y<#@-JLT1PPOomF#cCGcLhe?}?v<^2EUW=~0`5{sNnpKE2eZ z`QW2F{!YZl&q7-FFuw&_R^QelPqcf3KXx~#Pnii`ta5caP>?=xe1@$Jdh~{c<;8IQ zaeFPxr1DCjH~rK-kGZGx#ES{HS8|_eCZyhwzIoI7jKPvCey#HINLQ{vRla9`3cW)N z%5=diT7oIZ#GrX3@NndNd!Nny;-U9R6MK4JKCpFcke^8f%Y{i30s;KTQgRDF)RcqloVY0NHv9!AQ)boE#lHvv|z{zASE z3Vuz7#uiEJ)=8LfClyMVjJx116VwI7b?f<{!I4AAq0*ycHjX2OLO7(F_eZD1hvM{^ zI$y;EbumnCXOo!6!N^pipu*|7^!*99bu9OqtW@|MwKM}(6r+h(alMaq6E0%j?KVLy zJ7QCl`+~W8p5yDeM4By~tI){)3fFu10hI1YP&V(MvGP)4yNB+`VetmZcibQ+ zeOcDrwC$f&zxwLdx{}tq#@4#L*787FZ@L<_G^IOFuGdx05?*Mvrxz;Mds43VoLp~_ zT!J2oKgmw1W32PHgkN!3TZ%b>-(#NNBaPqV6Te3+zsGlej|G0x>N%Y@hPic$HdZ#! zEG7^h6X+ikh=>V9#ZWKBs8_Xyer*l?+#33=wY=e*A*#p8`!6|V#7$1r!DD|m-Bc~O z%si=FcX1D?B}KX6sdBryjbV-MjcByQI8VYKw|h!|x)HN973A_6>6tOx z@*j2I-8et~1)#veJc3(>Opj@xI zT(7lU!pi#Iij{`F_|4oWflj<6CO>JGA3WJj`jyhX=hG|Ygl;R}u5!A&!*jpGH9=#{ za{KY$`huQQX-|@#gqaN5-1oSh|=B*4)uv0$rQ&ZH^Td`SKd^p-Vtn9XyuM5Y8@LJ6^{M zY>ZN%UPs5;(F(Q$z1hEVf74J8SRQw|6Hm1l#TUv%gv#oA+9-vai61$x zWB^G9M5glMR^UB|LN+3L)Bm!V|3?l)(Yd^_2V=cLz^tRr6M;uK6guix+d5&GGwNG~ z5}tUpdnNJ4`rAoIcCI(jmt7&EQQ?e93cY9?a!T{c_l3SGJ+4PSC;S(#(hG%-9bL9w z4=>_Km7}uW=yPMLEz#5OQ`Lp$|HI*zu)|A%>Ioo%2k8@ZogUj833~wd^9u)H#%;yd z;iZI!wo8XcR0IE*prbO!0LruV)HhF$-ib;Wh`V+5=61o-)xmdw5EG)~VF(1#!ao2! z;5ft(aG1UA!S%VzjT<;Cu+G`VN_7DaEaS;vcv-Atz|R<9pcQ5eH){p_$x_i=BpFX8 z2r#J$g`+PaFVbBj+Q`M-iMH`&!Zh@;cUQ;aH5j4Yc_4OnYd&QE&R{*pzpwm=?-5CFhil^9MrSgUo4cZAh; zUggg&NYhJ5lk3CAR>p{EVe;IV;2Z)pp%7uURLosLRR?n6kArLd9D-#vEbt?`4_)Zb z@M(+^V2y*K1V+_@VVje+=lAR86&3%29ps!&`im|qH3h;qT+}x5)QjpBIUOBwl=dgR zpBIa0)`l-G!aZ3tsu4{zk8#aDX!iYT01i zpUF)nW8ewPrrcJt;ZR7v5?Avh=_46<9EHx!=qJFEF}5|fA-uuGo%4=g!;`35N&#GX zW39U@&Yw^^OVL`%nW^+hbZL5fStb7p3!03;enn&+7New)AQQUCh|ohs>I1ig1-2c! z^z9fd{t_zE?Zu1<+Btg+W%+E%XAQ;2-a&g7rW@*H8)o^FqlOt>>M|EDh>LQHhxwm^ zS#!#=KX0gNpu}72-MnU5`_|(J1o+n*(QHh#cCai*Fi%Tiq-=1ItC0y?J=F_gUOL6) zd)FW_>E7OUX39YnGsJ$c{YkN@kbNtTTI3O7Uq-RWZ6&|)FJ5oZg)tQt%7)m<-oSym z(XwSDya!AyPgr)ACDSZ*^@t>|CEBuU-NY7n7{s=@Tdbndq~D4qjXxC9FkV^B=v{W~ z3GIQY2N^CS^CJoFp(F zvI~h?p1?SxR)O0_wvXZbWOQd?lL^FR0z~KBKvTmQAlbpzI0kd2EfvjJWt_wmvM;{* z?s$*|cYzt#T6SYbe_`VWaUQQ+(OmU%fV{{sr&AKU{a?-ykPP9x0}2U8C0(b!if=>E z>{kQp&=Bk4D<*dVOP8-Fkg)^f{Oc>;z+(MR^Er~joCkW-g4UeZ$1kHyEHLviGmsqZL@c*4uSqhf!hI90dMM=ZPh8U|EJgH29e2=r=F zJE0(^&zVNaoM|PCP5s7t^kXZ3VWs-9ka|-W_98oi&+m(Rf-CL!{Z+ycqwzSf{}{ob zRm*tGk`cl~3~|^X25g)*CYhrNpJL2%L~4?xAoU(veSM?>ta?8dGXTnoaN+74140%U zD~1nTXrq^-LV}_!;|cF+OUjJVqkzkWYSbX7DLh5W?stHmb;u86(;N7T#zR@c< z+A@Po@GA%8H5X*{A@#RUVXdfvMW~}c0l786i=8;2T&$B(-=hs6FL0$20Emu})|S6F zz>=p@?5oOPpl(n3sg7|i7$0e)N1xS=Vb@yA8t{G2-F(I8O{V%To&cl!gxI<@wa*8gwcgASV*g)4 zC~%L^?-g;}|1a31ydxIh8v9Q9V1&07$Cl~A2$|i_(f(6;?6PSr+s)%g zE+9zs*|jcpneA%xUGbF-mtf#X`goM!mUAL6QEsGQ5CSV{V?R`&TBDnQ1ATDnV3TX6 z>ruI*F0}equ8%1#Hy@QDjdHmV#4)A_vUphnpt~2#E@L{G4M$z)B>44=w=BpQG&h@! zjfp~+rrjbmnFMeW0OBkPJt0t$Ge4tcvy5l{5yV|X=Vp%qQ> z3LwUtciF9E@fc!3X>Zw-9?Ji6l&w&BK%;tQX^m<%FtQFjq7C?i>Cbr}(=`{+rrlwZ zA8jZYE5PSac9R)zF$H-}jb4QCoc>|{l_fZ57w(7j#sy=0eY{X?0g8%zb`aD@d}n+0l7WUd6SI@gR zd-Up?H!z)k-6VbeWGMdgBU?^}4lGiBJLnWAM4BURoIz{;!n0s zbMOMP7+O2YWQ!L#fyYj+-<4Qy1)tt*2*LnT%G?#LK`T zY1O5<2YjP{z;dpLk&oND*^M}=Zc+t7?aat1*2U8C~!pvNv9CJ2NFOQ}Y zK_JY(_)DI`dbHpa=Iqgn+>9c_+atj(xGM}WecJvln5`6|E)CQEq=WnxYxf**vy}@H z7HoIXjU5K}4#q)j&P=TNrs+a7VK$$rimP_Asom)Nnr7h4!#=Dr-2cbMJAN4q8=ia)0d6P?&S#N?G>O>w#j9! zCq2(lAArjp#ujc9O>id5O_mJ=0czT(Vxq1Fs<-F6IuEt4-I5#}!^x8*=)KX`&wbHK z=5rE9n91VsC()F=aujqE4C$g>laplK)XX#GQKGPcCWHW$=$|9H$zJE*1j_ngh2WXg z#VqXr_=c=8y|key;54WuC#XMhpmGebO$%*rID&&K5fzN#e;4SHY5qlK5{Q*c+)Y>X zZ$n+sR*GVU)X}4P7X?Hxk9Y9?Q@{j7nEvYLOZS4<5yQP{qej3@+77t@R!a@m9T;^e z_M6tyj7W}dc@ue&jhJs(wAwD$+b-V?`35=z`BTicpKvd%tcTvC`>jbdF9dl%uM@x9 zHf`u{?YMfY~ z52lQvp#Mbp=Gjg`+V-(6OPF%TEULpNY{_ib)y)6=| zm$MCFicy-10`6*TY}syvIB#ef)4Q*xMJ;j`_|~RhXEbA^*r3d#whRY_g~T-IeWoZo z=11aygaG4!+(-yPox9+EcuO&qKaVQA@KQ@8B=9sK+hCvkRJywhfsn=_=r4yJ8y*-@2U>L~oMsiXnqS4KNa{6Bu zp{;Ar6TNvBl1y$%wUK>ew(laCoUoBMS!(b$au6@a=nW>pWZ}-g`s8iWZA^|?6s}Zv zoc>u(fR(YDODK@L?{I#9+1;qbfq8+Ykj9Yva3;l<3DWzLDLeNaYU}+`%b%9$BWBqs zQzt@$7<(+xe)Idy#qBSvO;Ks}`qU%TK}dJ=Z9Jar5JT(&um~w}%il$vK{1@k7rdM< z0p?4H|IM^Pfu$@iFGql%86wXnptsMa+ok(E^^}!5OH~qL7SFvn*QiLs0JNpa!le*L z?iXL+Yz!BGC-!B;tPe~PeXgJ47H)Gc@P|WL-+1f`TFDo4Ca6}d8*tH;Fc(00|aex*J+mlGCOp+5xv=jyX^vO$y0yU%;D$f zOwjqgQk0JRhmIrPu$A2Sfj<5%44HE{QKs@7DaQ5TtyyNPc@<#I#vBJ+Y5A8~qd$P; zG0YwoxbGPjOs$WCYT#?j2^N(E>q!-4#P2Ceh^Q&ig>!%VyvM1idi@H>x|I1&DO1F- zz_e51p{httDv&#OZHM{^Q`GRq?VmN5S?Ti(^-X7|aKh@t7$TgLUY;v6iVQ}trh~qH zf#mN(Ib5^Fn|Li7wH=GBJfc5ssp~>(Y2AM6Jl7{39KDxJ5}AVi0Yb<Df|gliOHDohuK!pw zyLJ1$NRDPQg8H?ocmf8?xCI1-M5Le6KQQ=$7z0OhPanthb=-B@+aDAyk|UE0@Es>K zFYndbDc`FFSOohRf@wD@EYS_!#65u53gV~*MFK{%TYT#|j~O`fml%em8B0q}e-sQ} z&e`|ire3HYwT~kbxqUQ&;xb1$K1aC$HkO=o+X+gZsO84UO?~VTh1hMzJtBh|RY18` zAx@#}+<=j|Z6I<15NT8&fKBPa9%`V*HHNVAsC;>p%fq5p?n=l6pyKm=90XJEVlt}h z7@_V}S!rM!c`xcgL}DA4&Dc`ows~Top{yaQ>{K?Oz72B4Qfd}5GFZowKVgRHi{`%l zrmuShuciL015*Jc4v6wo6s|g<8qXj(eulF(=hwsE3)Ejbge@FvL9G#c;xx>I zNkWvfHkPCGS{&@_STa+$F_^V(Xc{yf1Z~ZuHN}Q!95noueKj9ssXcaR@LMYMg z_C!RnQKEpW4HjWM93fVLE6g~^|9(8=mC=den6eakXV#l#rU$HV^ zm4J`1YRqNMqA?Qsrv?}L5e!LY0pOwm^9-l0de;CBc(g7GU9G%_TG``T2dBSqc z+G6e}9Nd4lNrmf`n>cWVpF4H+!GV4}ut@RweT7^0oTCfo18X@ZW%tJFb=+9_oB`VM z?HfdzSU3?oE0`xHKO+3IKXIzoZ!6G0{T3p^fE|<&ybmOMirfSsvF;!txXw zhTMq^mg1Jh0+2BOQ>Zd`ppu6<`c5jZQGhzS%D7S8H1Xtnkz$zY2(%mFDq;d*dYuC3 z4TRM5C{8`D4 z?(bpJoAA~s^!+pusrn|48k3qQm8UAlhBpEVbe2?DX_U+|c8oVi=MQX{K0Xd3>p4P- zsDrk|B~#p}=G=@Bx=bYJG^AKnrRG;YI6P}Kq74ZP*DCX`Uj!@|FcmHUb|=E3v{;yvgnC%xB9}Q; z9~uE`tN*abez{o0luiTqj%~4SNtJanp~hO|1x5ibWRYRHrCO5$lc+jvtYGd)V5QAi z{1D&ZLnaK6_^D=giIgKV+dpn}!vxfTR?h>G*2CC_U;e`HuzXC&+uInLD^`Qgr|PKv z0UQ|`!(KG_T`(`9iBL<#kuSt7ScE6pKnXNxUpa8Jds8j2&x;;rb;kVLAN?Bu=J85Qfr+=JY9#CF-E}W0CBQKeD`Qh ze=$ELV!5r4=iMi7+kA}y((%PSKhS*($TtI!f?=eS24_Y1- z@t7;sqWzYxjS|T7P+(%ZOwuR>j8eHiAOtAfNnk&xo1yhH-oUI$wLz;5iYQ=^gxM-O zX)<*YU1t0DC##(*;v|<$cxxx=5W=- z3I{*hddGVqStJsY49oh8c(jM}X&`X`57v?rQVExgv8h6NM*N%BEJ7bY(LVr35bKr- z1ozP7$F1!0eM@C>{iu^1u+5|hv%=#Ahy>j`wB0b{t)+W_74;{Hu_cH{nW-h>!F}a6 zwt-lCh&y$ettlG?sqd5$$11dARM*PDhJa_d7AvC@8y`ckXN*dLh)u)BuUH8A-K5ya7wC7FQ_h3J7j098gQOtXgnQi8X0}9LrECIx z^AdKEQ@I?aWM;ROSV)XhD3=VsKblLLI#Ujm9v?k1YptLUGOr5&Js!q)O8vV4^STR= zPtrQ@6(!lq)i$=?qJ;EwwZ8%ytRY$@ z4T}9h5O(4b+aiM7o$NL2QDnFA(&5T(ayUF#eGjodF=c7k`R^^4R(ETsuxeds zs^Vg{+kIclEc&?ffeTMWB4OoCSYaUH4qA$5=Z%{?jLxMFQ561iD7JDM+|ir66gxY+ z39MiEf?vHNdbNgjwsG8$2-{8U0=B5a6Kq}RV6ElU3|!dkEzT|50b*`iD?{q_D?m!G z2`HmrxPyemO|_*|>o) zM?JvT2XVi+^S6jciHy_6;c_D|Dw565nzCi-jU>kqGnSy-vFyO#qZLQc1I{tTM5k}q zN6;lak39_cd3xMo7(2z`POL^XscS@$n>siBorS~~YmBgq+hLqibK7erhz4E#LJpsG zh1CAEh$I;5lk&PLWJF?j{xZzsT&i|&3*>3ZjlenWSu(Ajkzg%!pmJA9CzddPIFX4bzZ7wjA3zm+sFm6d zJP6*}CC{Wtj-dA1=Sf_XYyu|Y8Yy?CWcN4hrSaJ%Lvsm_J_BU9GN7Ijc~~gU1N$_ zH*8W(|6$^iDfljRZ_GMGiAXeJhMZu6TmP(UwrADEIZjD+k2mq;&zD&RCMfGogV9P9 zdSHmVB0G!P&!xnJFA~m_qrN9I?3@UI^(pRN5h*wXs~jT*33GLc3QrGpkEGFcuR-da zU80=-Yy8uSG5}2@EIR=xQ(N9L9tlUag4<~}B7MDD1QM2h5tL2obE%&e<7|O-qI1l8 zF+qWwAdblnZv8w>xSoa`400J|izfG@j&St8mQiIP^`3@+@`i^P6FA6(fG^W%KtmPw z=q8~#3VnMrWcFusyZAG^IooS{Oq=b~qmCeWP(*kVmi+j#5%{hQ-B#Jz zSEPT^`iZvXl`r8obOg`B4WT!-QIfX9wL))*H;2Y$#p66|2{+^OiZmHtFH;Ajtd$qc zh8?fFQ?_EGpsR?U=GZ8PovV{j#<~)d@+ubTkk()u>7cPyWmnaxSjM>^wX#*DUF@Ck zrE@Aq(PhN>xOyNW zB$(3A_l<6KhuQ`RX4^4OXhUoR4t}PZCWvvLDr)FC95Y>E`^yb%`yb=ZOFuZ6mrn>B zcyqTSO(9KQmvLA0KSOnv8_;}}GmREZaITq_@?oXzMlOCuT z9Ip6wR-)Q{eOBD5R9fb&zs1UxGnsqN*$En*z4{>VS*gl@g&|e$|3%w9J8ZCfG(9M- z%CF(Ow5*(;MsN5%sku0jE~-C1`s}UJtJjNF{P-QSQMzsWp3CCP(^;=|&k6Frf&0BX zr2OtbdxsOM&o8yqT=Tl-Ev0himQ(JV7ZEPa5t97Z_=3JfIPA0T6EvT1;x#~YzdrHz zMC4d0JJGyn@9!>G*TprJti|}kT0Cy(iIb+r-h6#YF>BVt@uAmmnBKnTE936gC2yEW zaP-^VTKNsr&)3{<)ZIF-V{~04KOKK7cyGD(crCf2kaAw5W9-eunR}fJQt_&XFAaDw zLd<0>HP2VtUp~nHEB|I;fCwUC$^1Rk!tC0?t#t#+%c`{>0awD$uN;%T^_$j`k*Z@o z<6+?1@d-P zjS}LBzg54^X}hFPA7&TMh!1>BvcLZGk%fg*%f$42<#U@?qD6|_W_cU>S5IVky6OSJ z{(0{c5Z=I4xJozQlZssKk>}2rOq8!xzH(->6%kB9LFF^{3Yk9jrC#liY)6AS&nd|{ zUDhp-esh}lirtv3r+K7vlB&mvlU|p$-&$(REzo%YL47~bUpdUnXPNRqMd#CsqU*d# zN*jNCYd++c1sw4fG*J?2Us}6rsfWit=S#5fs^HqZFl&F~So_87E^pbLhz|GDn_`Nu z1+9Js^YH&Xc>2W61uJ=9!w+x8N(~t0@^{a=r47p1+Khdi36%ecT0EuEtMgls+x#-# z)#+pDwz#aukA%aYXeSO2bHkm>KE5dWtU_%(ilMdD1Tx;4&5t~f)%|vVrj38=kl+c` zGa}a)!jT8OgbLOSv`RC1LQ{lzVb7GeJtxK9Xd2XgNRcVqA1f1UYLKxzUq#v>@@8n- zZ3D=K_)Op5XK=dG-trbpcl62oeXWziC)Aqxiu;-27bZCek*eIk$BF!JUA(*Y^6K+c z@OHeaIVXJXrJRlUlZc=zd*mO&ck?{{;+Cd${r$dy!bo)@-HEEX7a{Mfv>JWx^7txN zThu1>B$+_{(>MM7(H{PuXuCr(ibuRQQp@P#PPbp&S@r$#GV~zwLgu;4ng)KalA;m^ zPqyFs;?wo47yqJv)i|gr8lo>Mk!gO@6BXu~n~_UD$-h0mGIFId9Uo816g8H=zOe03ET_=FjG@1( zSU9dK>pmfftCmrH+W&P=%B2b`8uIKj$wXe;?K7V|4w}{_ZhR`pet&CkmH&;O75mYb z_ssh)*&gGMt~n=|X!YLZzdb|gwkicDV{J{(Dp`#N+C<}P9WUv7b#X0n|GqHg_3lz^ zB-Z7>uK4hKKqe6oyY#vx##Z>OUHPapc z;TW^@>eVFqD(l@HaZu}?-D#?`NTPe>o~q%&h3H+j85+F_2|X=)ov-hTZT#K&v8Uy^ z&ACf_hb#;y)L@tb!!=m*`Q1(Rr=GQaPAlXaqZO~*kQq!srR7OmdIZ?)&c7I&+`)%?%SqUul2XAU&J_ES7|f< ztoq2t=v%>K(`qIDp=?>3$rr)$-%tiuTkt{hkDx-q#_$vRiM&PB>TpOUpWrjbo3wK> z%6;ZZ=NFVUosA4*>omQ*@2=m8=Uce@pT(_LPAYE2d!E)Xl;gkZV}4-g`C=C#8ft;f zo7b$IOQl;)wA!EFKkTjlRN>Qm-#FouXW~-&@{i`kUZ{Qh*J-H4=K5>Pn{ET?KdyY@ zi41?(`=IB|hu0_83$5;ioqz4fc{$@PI>;b#O{(Pi_eO(eqFTU>pW zE}Z@ETwIHo%^Rh*IE$jb>+^wB8;hrKM& zaj_k+vT%2Yv%=~>6m*5xR~1x->R=1h!*zxRujs9i{t6vw3QjEu{`see%OX0jngW~v zc-aQc9#KY}QZx%=elN%hD;m72NYS1J74uI9t#4R@NTAF38i%=^I2`td?5m^q>&!gFJeXzlpHFe+6>A zKY;(#db+wmFA_IcaB-@Y=%y6@Na_*yW$ry|2nM!AfUQ?usXXs44#(4Jr}3qc%YO@U z6G%&>wN3doLii({_XU-#utrC_D+jz3QyCPa-=h;Hxzh`OS8}KS{LRUop8xyN8C#3T z{6=9~2G8o{bPg8k<=_Ur^oB*<(!?YR|C%V;lm>mwuYT7_PZ+wtR#lrJwv6pt#UfU) z2;$$1xu|j=DyASKtUjp>F*bPRqEqJ}&v#T8lhPGhA6?+XVm5JEvALyle>-za7ro*# zM*kWLO+ETl@wq_fT%Q@?Y-QV%CLhvooBbo+D}F~xPdmguB0K6uoUa=>gwHxMyseNL zctD~e!*1PR31MemdfJS7D!25Wo5F;eL8?#B#QXW=vD*^sDv$TnbOa3{@;OCz16Jda z6@dKc+Z;xc@Ao&^J};ePos_o#`KZA;%Qq+q`aso<^}$~j?(&+s$u#a;N1tHXv*XLB zMAa~Bp{8fu+U6W}WLeKcKhNawSGLhN~f%y7U7ht1Z_KHkoV#7w5F`le=zD>-OuafS zl)BXJe8T^3Xsv;9$_^kR!*TOOP(SufmR1abU01n)Fmdv;VKW)^ZSPe7t>BCEy7hEV zTz6$1TF|02FG>MY{`LEWwDoJBo?cNiO=l1W{~S~*LpfL1hYKQ}7axn+uynVt@z@B? za`dr)ShHV;#BFSsJHAkT&X}@#MF>2scBO7)`C+{qv2D4Y;m7dj$Ib_M^k5U1e4r() zrwhMyYo7D3?Wi6(@uZA#mXiL5x);>`;Ce&TF)UupEjFNJJZ*mmE^a(%eUeR(jVhk& zeGA&Lz%y^Q;Cp2NYWjYvPl@uCPvDtD$G$-C013Jh-@4da;+uCJ)%S$&%8IGVlV)pI zZneUFrpoOpMI{rnZOg$!s?D|K&4biaDe=NT12qA)6Dth-t%)h#a~(Ldyr~>~%PJ7e z=Em7Ai!LROu)BTAH6t{&L&P;Sx3y}v*#z!?H;zy_V_Wz?uG%U=>{H{9%DF+*=5kkv z%25fkhOiGt73;q|w;1JLi-oyp3+~_MWB&vTfP$Y)ulnCG|LN0{tD3z0=!SV}AW~mj z@pK{xc@wtQVJcx{86pI#{71WiIq=xKE@2tZgOl$Ko45kId`vYy7%s-wz(Tu+)BDkG z#7_gO@5;ZjOnQteCNaTPCSootBKDY9VS4Qoy%V3#`2+~u^O1R)@;=1`#o;U zr`a$22`}s}nk?X^?zZ2{SX7fp6|)_!J3lx|a{XH3abCUr_x(K7OBORP=NNFfk8o~g z+a$CoD3|3KKxaxmkI-%zJ$eoV7k+Rhw>u-D-i>x9MQi+W_kADv%E??~%y%Zi^0 zN%iRnI2w$r^{Mw*FqIcx&9h3AL`LRlt_<_$&eyTuW^H05nkSD$<_HW2sZ-7RDs^sD zPFf8nrvJ_Ue1GCRcKNnNdpHS`gH1;~wZFRJ+#;6K*4K;ZQq?2;@m<7@uOyc&Enh5V z*K7SJG}lSewd?ZJ`R-InQO;Ct&=?ZhJvph;-Lyg6+b@-}J-cx!aX|RS^2w{7CXG?M zG}G)-!<(=0G85{gni?ZbM&bFA!A@H5mL~2JyZRe{8wp(a5)zd7(di{}?F2T!@nJ?n z$nIa$HIbW*pXAZFz(E7kfD8}BQc$(uCl?`1SvNLoDuF5Adf$g(BJA=l=sp<6K2Kw& z($qH+p_93}}#=4_a4MowYmG>i?yFta&rnAvmtJUcec!h*#{V@SZ0Nb^u7`9<&R zTe`Ku2y?dI*bP$abm|D!;P`d*+P`ZUf>pM1mjeFR*q6tur1O}Wys9*mWk(4q^R<9V znVIQ&@0NaE*SVtBM-D9Vm!m_{m4!hpt3!PePl`Zkhtk7TEdw}PYoNgo`uXkr?&Z~x z68Yudhv7y5Fmm#bEM6)$3i|V}#t3C*`R}x=@jQg$gMZiRKgsk=aFP#Hx>~8%0>Sdp z{x!5upXV?@l-4D#l_z~0rEN$i`=T*QBE|Jx(Jy@D%~2N(Tg42UWTHA3LPC2#EgStH zk=b#DS{QjZeWy<)Wl}K+^~2@7>bfoUs}S+GE(Ja!7pa-4QvK!q9{BmJxX6j4ijV#G2RWQ`@rtVQ;fL z5MunlPvJ)dTA{RN_UI}5J)MB)Q^UH~jjj$SESSg{+qoS{shc;n=N|N%xevE-Qwz`2 z&?k$uz1&{^&3NNqLv}8ftvIK-=gXI`7X7?dqwla&knE*tl3#7?1?=gSk0@GUZ909L zWcib52G7WVjv9U6AkJ*O&tQYQ{YJN+10I4{2fF4oyymLOk>(>>w9f}e0WZ!+uwkf0j}q3jRsEd+QXzaU(k+L22FS;Fnv#s%YBNpSRMlrY3$*Jzs(hFPU+}E8rJG z9dF$tPA7C+=}M@!=M}r+=G&$iYZE=9<=qq1*{$3v()2(gJB$r0(Ks4B1y0ZGC<da|`GP3TLAk>86K@%Fm$Xk~o;uA(%XlGh#o+E`jJojP+(F&6+}_p&AGa}hV# z9T&_|Rh*N7jv3Z}-Ug~0rCLLEr$3LaNNzc1hUa}sAEkeuQBiq$8aGkZ58iDyZ8-m+ zFsNgZuQGXJ<>y|hG43{8)6UASy(HfDW(pRvJb!OEJU8fes5z7W>C=?$c1?ZnP<7C4 zO9wqEMcr%pbdHKlG;nZ?+$o>t5%Rt41G%kDnG}*MQtGoK0QQ(xlRj7d*V09YdyE{p zMs%h_=*Hgd0}iv>%l01;Sw?*Qhyv~3*V^K9EJC}?k&VkcNWq1GmjLOm4=9tK@@B(6 zT5DeE`TN`-Vuu+0WX*}a_EL>6oO0cT+s%lPvkG8Gk?!+a=%10$FLw@BA};^^m1au| zWy76Z{=He^==#z9&y|feSJm^k5=`yJVq~?gS3Yf(^H`$YJKA6>dLo0-2f9J6_e$LH z)nBZL0!E%H>an50e4pd$aYwkpxmGOzDDI#9)|!1`(t}M&+>f+t47jYZa+iBiFC7hz z8IKc&+OzF)Eka!>%QI)4Nn;{ESBk98oySuySemHo2gv`JDT0ECnSYI7Jc8?q*_S&y zvDcm+__aR%pWdYsdGJK+3j8Tl`gC04y1gkf6bP6P~O^yE~{Wpr|!vK%FJSF0TOBx^s`Qv-rW`j~)2pb=b20G%>mn!8)_tr}mCfis1 zOHYm8Je4$k-D%A>Wvkcd)NMA@*5KbDSOPjPs8pH0?6y_bSwu}={)3!5$W+Nr?Okz5 zn5piJ$R(W34Ps9?8!fw?8##mt6%kCO&Jgay+l$OT z-t*PAY%f{+|0~fxQm$hu>e^_d)Mv75nkn=T$My5+zLANUBC{U@9X1ar3Sx`hfKq@Q zT>Uiw-PW=&MQ{^0f-UAr9qaCapT>H%l}5?HK(L>*;out*=7%mp@dKKoP4}+y@t<=umpc1R!$!Q}w-z2wZH}EzYOX)LLHu3`E^;P&>=9v=WZp)xN!1p~f z$bLSmVYYUzD$iH?r_BQwYn9O_w__e0dXam&Z<2IR5Ove`Z6^_DvC%eU#4+^8+S&77{+diA6K343%IalYh+~NaC)5?LFxeZQbYm&D@t?D z(`DKHgYC_eonEH=^ILsY`M}W)_MEL>-y>|zJWpz+1F=8q{iPfCB97 zTA%qt*k2Xu@y@}r!`>cYg(v@n>gNwn*Q;+UNVC9PhM9O6M2z~zU?VT61+!Zn11jga$i1%6)mUcOzP!Zr z^cux%{L>ol6*`VAQK(PWz{Y!k@X%dt;H)IabO=$tH=g%n%ue!7$gtW@a#$$-k%ay9 zHoASUn~zs0r6a+NeuxHo&ebmMr|=358^}EO-zYm3<>B{{WsL$dMoWZ2Pjn^^0x70`9BnGk3u^e@YrpVVI+nYOGq~H|owyjq{;qj&6LBBf)Zb zF%K_E&ZIe$x0 zPP!a~3rAKPm^MB9nWg++~wFj^9)E2jI_@;G(%<-8lp**Yo!O8Pve_IyK2FG5$C zifm$%eprEB!?4~5pnh0+^}mQSD|Z#~mH6txsG8Nb!J%%eoDG{$&>qn|Y**YBU5X#L zVTN@go$WEVb`;aKz<0406BpLEhIJhmDG;rbC=aSgXt z^?uJ?#K7?``$qTTu83GCu@x9TTo(}2J^U9vGmf3T;=*3DK$R-d_Z2AXUo(u86Dxfe zjTX=EwIp3xLkpvV_OKZ^yjBYg*|!a0*fNK>VYwv zb%>lM144$B(Ur)LPf!x|mJOP8a5)z~Na{+g7zrj-U+3^s!)w zvA3V92FnVq^H{nyW;#uQbf28QMb7eH{br$~6`!3jKBt>P6oMWO`sQ5(8$Wlk{_oma z16j1*yG>%F>bC0ngf6dy1*8?k{%%-%iSADLuc9`;xVkoI@2fpgTicPQt!l+n6gzH@mzw;wX7MaY)L1iQ3gU%nTC8`C@14Z#UDk>mwpqu~iGBs>;%CUuy>tL318DA*O;* z#oJH8;AADObVc+?Nzs}q>`}P!17|QkvBLTfP!`6s_8@0=GgF-xg;}0#&Pu2&{&=I4_r$jMR-eJrL3V__f-AuJ ztz1hQFv~C2xtr|E(5|`$8??_(9hulP=lT@>z~6ipwmE9r3+Qw|89IP zwa?F(`f|SC{waD*c_I!a_^ihW#mNEYT1lk+EHR`O+7m#Bh$TyY zwHSX*R>NvqqgO+pQWPFLVtDLJ`wq#eGF;`E`Dxnvu@-u#uAR=L5d76&-K` zw&hNkRn1OdZ)_!_xn{o?-#cK%(kNzn>Kv^Si@W9I`-b~5>6WG@)A*SI>bbz@i1Dms zL9qQ~;6T!InZi7^Q0KkKht5NR4ia!j{!d3F8v+H2P*-tkv?f?|0Xi4@R@OUk4h53=ci^lOXgPXqi z$oPQ<2!&nP?B#$dZD`zwA<%tTCC&857OB4K+G0_2Gt)&u*pkcqBG9d11%Lq@#Hm1x zC?aNk4Jxl?-$ALfitQC|be~A3)m{s%V+Gy(vH5UAU{WZnQsp>4hof8O%v(?6u_l^B z#iZ`sMKfsgCd}zTi8fD49$;2C=)~x`;hXJy1?C-7!TrtzbjdS!WU3&{t-%ZGOKXTr zpv?+p(2a=*31@f)T;O8Lzx!%o`&NaHXS}Qb*m@75yLtIVysM8_$Pj;s6aRP4fKb6J z!|yX?iOK#wfE-Iu!Bb3}qb%5dY6%~e64aCHEr*2z>V&8P$5wGWu&)L1gx;zL~ z5*RB1wwis=k{e;X@3Z}%uxFU(-gAj#W+l8_?d*0n{`_6QOHpCAc-d?C;SkPC35qh{ zrVu(OK@7+jb^w-w@i{ihqdWjd)rQJ+w>A$uU}Xt?r{sm~Ea$C4vyd8(BdXP|WhelOl)uZGZUhY+L=yj)q4 z{OYF#8OLX6JPGYjlqoYqPqVro7_QlkSfBU5$jxPmZ5V5%=A6qGj0TOj|Nhn{XbB)Z z-m(>J-?qDD5%evfNBPNe0=1yYSuEDlGHdy}+G^ow^+c6(cYztl7kKQ(FY%b2DgRVp zvZHTgJ?ar098r+1`FWu$D9fnoxxWlA;95d$V7#YKtXQDcH=OJPJml_E;oq*T!$&b1)Z$pLqGwr2?=Tcu5i#--{ySnGE0V7MjLv?Q?&| z+W86doA}~?z~sOXqgJ5zvJgFgp@^<6dJ%{>{#6Q(vZmX8#4+TCj6E0;lh2AH_#;;U z42eHR`EQvO2pH*hl}GC5efruL14l~Q1#4e-O2v(yu4GKO3$My1gFOPkw9O3%S(#ns zmRA5!Z#T#p%G&pYsr$eWu}av9C~lhfxhMRqs2}4l=7hzJBSa{b<6S0WO>MJ~TVZMw zRfDVb*-rK=uwYXwJRly9iDpt2_kmh?r^?0pl=R6W{Y|@~rsx5)z)lIa7Rzpu4gt0L zB+N|(QSTe>rd*o$Z&1T(+HBN03yW!Pz{6N3Kt(cof{<)068N#RB=xI%K!gkF&NRum zEX-6f&^X9wqv|;G30k85){9T)@JL}+^)aNO#Rh@47oTaDCKlWudQL>E#MM|8UrqG9 zU5)LU&h6;){8+`WX$jA{MO@Qv4HTYn+8bS7-a4YwTDe6F+($Xw=5YYA>(|?_sq~$! zX(&XouB5ry7szi7*Sw(*iu5@@YQhu5jcPf02^~>#E`1j4{FS@9;VWk}NC6SLjkNZX z6N$s;BG9@|!qJ!!JQBxX2pI?7J*h4EXWLx> zdl>KOEeA1{N21h18onkA;&^JwG{nKF*C@4@;A8>sx``{)H)vw{28rbX?%OQCME7Zg zh@e9bth~LI4)F1)p)aDGBX_$bV|0dLN~HUk1~ly$l%+o#U;Arf33V~ht@TAHrDuEX zd5Og2=zYvlBr4gXRgWwu#kA*s7)Qj!qLP1I8_R1u-EwX5%fo(2Hp>qyj%1`N@`k64Qn@qz3QQA;s4TV~#PK|~N^h)p&%iZA^_;1vtUR-p| z{*7nr!Ct>nQ(CGQFAGwm7l#knSsu7I#W=Sfid^C*pHgG0>miH7GWsXjY;}aa#6Ha0!(?MzA?d@!8Kv zyBRvSKDcZ+9*1(@5))$W`mxo!w56Cv_|8T?}-%;7)+_aX4#`9WD*Xn+

    mpqS`);YD@Y;58N``xkQ|JwZ=8N$nT3~t2@s+DDZ?Vjo=n7@ocX<5e*qonoEm?CU z9o&PDY$%F>p43T%M1(o&a+aF|Jz#bAtJ*z^Bk9vaKWI!lU+rTQ50ewFRTSm>!JXkO zO_)pJDD}y;A=@!0G&o7kPoDs3uNG4ehg z!FpCeUBsoGMqa2Z?XW;fK79_3W9UuzUBtyfKAo0nqK8U|a6wtLSi}V&g(Z=LOPI}d2MKwx{El*aY_>~ zzGWR?wd{q=A9%eqHubT@S39zr zliQ!<%c%z%NHMi!qxZ=){D|S=~bI?ABDpJMKS**_+FgIvaYl2ylOULgE7l-chj`Av?tLliaLuqAMNCjSZO!hkB(fL8@ zK;gmI;{s+26>!f#LD}LE&Sk37GpoMgb-u#>YD4)&yYF|sKXZ1Zq-&F$EJ)kd!j&bDyHFU)RR@5TYMWV=C%j>Z;eLYJUS|q zNdYtcUQaYCDJ51~o`mPhL4BZ*@eYiu+{m989bOc*}8S1!)WXUEZ$R4@L@j%(44FaU3=u zIKunhof57WCQAt52SEdep4^&a(ZPe|nP`g91UWW#&wCa*FDDn%W6C^ClrFqFJ9icA zD!O9Q%s|L~D#y^7g8lPCmFqU@VX z#&0hMF$kLFWU-}kMs=l)AQr({%ff7X&)z;)sjlrNoV-GxdQw6TOMzgOq$Tr@gAF1M z1jY#l%yg&-L?1Q%Ir-rC$BD<>`&@YWPR-=jDJe@Kn2AtMOZ!?53kr@D5eTd0tw{)_ zEpQ?g$PK|r5DxfhM~Yt;pt~i{QMNI?_%nfNZ~6@HoHesY()bU*ocS!)1ICQrq!#FD z-dC4-LMQAH3OEsvgy4jRe^@Z0Kz7TJe&zld-tt|XYQw`eXtD_-$3-fbLyqSJ;7wgs zuIU8_j~#wzPoK>8IISr4XRrLa_Z>l*61b?2$!Ktr_k@fnBx4ExBZ!YU`yIpnsjn|e zuxw_(S*?meJe`f4PC#PPQ!d!<$}Lj(IgF?LSB$1R&Kk}j_7YgcPp%8TGUk`z zRc}@~qm8HwXZN2ZSu0*Wp_pt`O&&7e)0?Or&sb>4q*0|i#;RMNrl#-y!{s}~+fKXG z2()JlQ9o4ueXmZl7quyKp~$nFdVn-13w>2|m&w>A9K8D=-%FhFB`3ha^QW^u%XYDI zy!}6&11luIHr!6Zu%1O$49gS;udFlWx`%C74;}&Q5Zd2;ovb7m*&=~5kvI2Zmj`=# z;fS;C=rug}?l40;%c?wM08jKfA_z4IW~iTy%Z=lZN1?w#(zY{T&y&Ng2&kTR^%UxI zY?tN5WZonRPQ2X<a1Du}1U#wILbJU4q_Gus-DJM@wq5Mpl~E#po;7)$|f z&zpVNZJ{@L7AY13%$1#x)fb%Otp|P5xxvB-7tyJ2a@YH(x;_WT23W2;0Hm?K!-Q^!)_uow7i&;Shn1_@X!-iV|6vdr;iPo4x!3}q z7-^(ZORl*wbnhHam-5mqLHSiZUm?PV_VIlrlG z=}NAgOZbN$W7N(J?Inry#CMty=<~Ka7({fb#fS+9sa-yL>^cbnhM4yV*a9l^*Sxm0 z@FLL89@+_g{+W)NlP6~Bhl}0v;)&e*AlOL_^z?lhq#oTpb%v`oYzfCe zI%SKF_idEc4?cJOB)n?Ik2d&&b9kRSR9?js-K;Gwv|kW&8uh|sz4m1#%&dRs8BK3Z zT&vILvk#NJ|1xbmcb>B&Xfvbvo&Uj}P{2WYE#~l$UUu<@K^}J|9P5RO2x09GyhR=8xf(QICGYH z-shbWRzVA_GxI6|s#}+VNP<%>p_6dH1O9`h2waa)p%=cV4)~_zahrG*TW$E`!~^~; z$>?+<%JE?_FrD2b8o^35=hqfWF#2}qFigS9%S|CH z?gGaVn)K;b&2p1ImseXPq4qP+HRf~0*;h{%XQm%w;3uxM)|k30YPt=ytCs8!IFYzM zp4NmGbzEz6%R+z2`ewl=QmGv=hI~}VL%hKZ*2mimz?Wct;=-IMI^?=4J2sM8o^j{K zA_vl{^Wd6}B6HiED_4Bt{xfB`!P?On0daq1eVxO;H|RqbiWEBDnMszj0#&?kyIy7Y z{96l-dilM^NZN+Tftp~G!;_6}Q@$h1b2oqk{Z>4fC;0PXpIud}=IN7`$>wp2cfnBh zVBSU*z~$EvRy9Bb>D{_Mc}E<2+a<7i-SMeY!RFzPK0Z;Q?b}g5{7&9dY&olhGGCyQ zS=Qb6z(j>l%6hc=am9a5ZQ5T31}m3#tMo*TsObAK>xwuJ)bdL-nj$&e&p=jE;+2y> zN7FkMw?9#joIHAfhzJ>cku{e={tjC)KfaAh1COB@{aIERUxyX(vk8`HVFO+gUr&pB z0x))e!tUDOU67B4;ET22EvcGq`{Qyzn1j(ii=LKEw=0W44CT{PkS!JF3%yDL4ov0K z@sTab#-?2paBKpHei|@h>1$3>Toh*Ue0&z7qeA&RE&ae%6c}7YXTEz{I$%OfI4jqb z1{~e(|8TSedhe*@XHDGDtR7$sloV!hMpQj`P5heQr+b0D5V%y@={Z>Nr}rf!$mAyu zh35SjuCDgmHAGbOtEm#j(^HW4b;qYAj0!!8Dd;h|!lIn*C7fFR;0Zi1Wz7avkjXJk z*C8`u4r=l6XxNOrcvYvBnfT81a`Af+0joc`FZA>Z^mcE!`h8wb>4>1acTg^60+CF6@#$QDRH^x7YFvilnkdh z$8p`MLbo9$;GXSx`Tq}dOYhq39@I2my`65`2h#qnK_2IB+fKNTB2@kWONZl1jT+oX zY5KlGXLmR+Wqs(C4*O!YbgnHakYFdxNdXo*;rcTP%TAC%5u;Q@ggmr=E#ZM-4i`sNHu%nuY?g5 zh}PYJ|FKp%lver*G&JZ|21$T1I zaY1q_n~p&>HiDXW7!gJ$uVOw|+NX@CQjY&9lYSzf^=a1bk24oq*<&uhCzlt>O*n*7 zq(75=DfH^1nFxHJu3HyNOS`1F4)a8Fn|CgGLWmbA?paR8N>ll6(&r2LME(uAZdIIg z0w`lwo2v68dm%3X%d7f4o$Y~SIr#%`33VfUI+H1P#S~LbXv#PCUE*A>GS_J1OW<$-_TDQst|Q ziF|qtlBNAy0tN$pP<%IukSfm0LN2U0c@r>UJdQ(b60MW&LCH~tGp&n2ARK~Uuu{$8 zAZ@A7klO;_RWtB%3^B?JHIp6t7X;9BIgg{O_wQ$lzIgR76A~~NdM!qrOkc zn&Vx>N1m=lOEw`7B*e!{2W@B&L6;@c9)uYt(||9?Z$XnnepMh+ag<%wK(%nhv*nlF z$G0D}DZ1r^{3RfE_QA_VJS&O>E!&B5IEDRd83R~+^n#^zUxtdWOkUs6xQVb(LR@kya z`aAFWNg%OqID75CF?=>Vk=hpd2K2u%O})OlxP0=T2HJg|b^rEMInpYx(ASPuf3CM};KY+yo zQ`b%Wv%=(d9f)j+P0bvi!?=W)$NYl^uJc8p*^bLn%V|^-1H4pu=S~A=by6U6_zdR9W zAoG%6rwFu%c`3_=iSs9GSHqZFms;*)BlD8p5ainLACwT~mwIVMf~L))euPPHV}lnl z-Mn}^P@OgOSCNej#SQql!5i&vlEE`U@0Y1G{aRf12oGVUi=&=@#&lJTIcb%J-oUj& zR;x4I3^Z~dvCH^7HY%O6B%)u0H&VL{50O7d^|ucXxc`8z!!H$dLc}_LpYTX0K-`*G z5gM^w^k&UvT(BEuvj~>-Y9XYF%=6FWu8K_n1nl1ArIWHKC|Km@-DmfpUs}?ly{lsC|iR-c=%&0Z_8 zu(D`&Xjgda64?SlJm(ke*M3(XdM>q52YK@Wqko$dH_t_`D^fevS)eoL4F{ZmolS3= z)z5v@OgZtd5P?d)kp>Ycn0aXf;G(lVL33?6_w5QPQi2BQRX+ZHzd_ro3ypwlRdj!o zSN$sbauD;j27Dyf!^dzuCtP6fTt;TcQRrSPt0|3c`mNR0P1qope+zMb!e97J%_Gc9 z&$fZ*#cv;BhilELX{|bhm1Ek7(aC~v(IUADqi?JfZFN6K*v~)1*B=kPKMT5pFTcJp zMmZjL8}xW3nF@Xho75Wlnyd4`6T-fPp&#*Y^6@+Ij>}S)N6NV_1<(=fRtKeMbs_cT zK8d!{oWo%H6x)}2Scmkc#1z#p@g}V%UJ@E7(bSw{78eByubzt+n)b5`tp&tmH~c9n z><9P>hkLPs*S1ZNd|0;vhm?Jey3G*x9o+*;-!$z954tI8#%5u=aCkJPxoQxnQfr{$FHrN2SerO*q9qt;!r94 zlSvPZnG1f3R)e5(+BQunn@v>6OuL5(hjGfH%Kw+@uzLE5wd$FWcVk1l};f%~N9*Zao3{dGe52inZuOeFGuyk?NeCzYFs9 zT$oV|nxI$Q_HQV)D`VYdE_F33BQ0Mo4lYsbp{i=ZxBJm)jf_u z$Nt2v5TZsMH(}U~^BultJX?%ofB4&F#I8Ajd1G!!CaY-d`O(Q{A_KLixyWJaGEd{S zW7BhQ`ozHBWGiKhhl8!r=57H~fZqI*$vE3Kx4-|^N4B_STCxCAuko7Q1hcme+HhZc zpQ#;0&^s)Lk5fB6{bRZ(7`q#E-D~Or9!)R~Ht(`u{kN4Sd)~MEz&*huU7q7_-{5Zp zU{afOFV(I=0?=5vS#t& zjMrEiBNtu{3z4^gAnB2wH`0PDPd-5obJsbYg~`hjaG;AOe_TvgIMP`Gx`-l)p3a1u zSRqL-_=fQqdl2xO7bnjPlcQ>X+LRwCqbror`92winVurzA{0tfrT2CoxJS(qNJsX} zp|Qf^_J#gW0AUB7_$BS4G{bh2IQ&)%*D`cNyXn89h4ttn-j&05iQdPxPxxL2bS29J ze~LacfyL+kLOivl?A`lt$ZrbvWcw&7{qBru@4Y=fO;g_?%R^kF-A-f?3UIl3m-cqZ zIe(u6+X>i04s1sX)|!5lC7qn^ahU7BHVJEsp2lNc;Wlre4o15y!buOYT?k<0NBA+= z?NS8Beu}V*5g7eW*h~T|vWw^t*RKfA5YMcI3gffCz%GmTXLXbW!ReY}sLjID;orJWG-QO*Ug{)O$tJaE`n7=a?+wV>T%F#33 zk`uRebDFgEHWWLTBPZKqO<}tGJp0V%~6a$>A&kJ7y8Jb&kE&y0G#vKVt!u>{T2Yb7@^tk z*({`q$4hc)ZngSw7s`3g@|yrGq{)7raTb$v5aqe{#nBYwdm<8KX-Z5Gwg{Xd1JQaU zCybXJHlI6@vm8zOfH;&X^ihKVo2t;{y>5;vobDnE<@A%#boy}|Nq_&HMWN81yzXEe zIhsO=G}+d1&FDPJggz>YPs*4VOT(U^A>}PR@Dc(Ht$Hxe4_lkLDH3N9NJo zTR?LGZB`!5CD@9v?Z6NH&T*2aDt^BJdc;GMovH8BN)Y=hG&%p7jwK;Pzn{p^lnmCD zV~7X#W4CY|#?qkGJ%1KU%)4#%2>mY9Q6{wK>i1|Ff&lbezl>(Yv$B;+! zQ~}Ma1vD25V*Xq~%wH>@dA11ZWQ68YxEeZUIexpO?DNMC3e!n7@-FC&yQs!dRNH zF@F;ULfz*OpX~dI8(zoJCQ37$%UcHk??Vfm*IaVQe1G=gE~T%>W+02kQ+RE^g!%PN z(2*(Bp&z#7`?LuQb(mt%AWZ@{tYgREBkFPcTiI`i^8M9Y`vo_oISra)fXDoI0y^CP zLy;wv6PMq84?Y>Ec?LAeGe&a)D2q8XS=S%t(7Xy5X)=ZWV_SC%HalkEX+O|U=OZxY zlO{LP41cfD$C1PN0H)A?*Wh>BW%N^7{|Scthqh*4%(x~r^xp;0ya$@&6=|-uF$mI` z@ileS6#B0pc z>hJ1<$U-?e2GbPkuCYRRDCfokn*O}E&~&mWFGo{ys5{qk<;m&eg>pXffT5fl3gp~e zpu5HcNryDo70}di2=PKW886Id3TZwm(0xZn&T{sgFQCb}3r$sg#Q6~8qi-%R%eoR5 zOMd_*`pNwcC+8;g4+qc(jQ=ePwdr{}eqWMlgZWRlyCy67Bh1N?WSfI#cv712>8J3! zV|Tm);k}+&-0L~{7wB_8-Riv_k$|lD9ipKn^tXmDbr92gVpwrkUUz>S66!t--524Y hy_B#E-}!d4;Cr&8)E&{@E#DFT{{R30|FgzNzyfZh1OWg5 delta 18449 zcmX6@WmFtZvxeZoB}j0W-~?DaKyXWNw?%?O@E`-h9fHH+?(XjH!Ck`Q?s|FeH$SSM z?lY%OPgR|#tGebj4mL0zHd+Z7kb?RD2MYhc@jn?T`#$!iWyr2!y9^-YA!y#qV9B?al_2L}h$!2=PSIGpPQx@jW2lY*0I7f)n;vFX)*hv|dC@ z7g+HJCp?Kj9A_@c#mRVM@iNj=+j<6af_(nfqYQ9(TE$F^<-YTz+D9$CspTChnYvKE z;KUI7tGTBBRmXiL(obpmxMqRC=9T218qby&(K4%bg`o=nOI5ha>#aA8;@wDcO70+A*0+|wjo}UT(gIKqiwqnq03FRB zD0A4qM|W$a(1{7Ve+za&q4c@m3!WJ(Lc^fm`pm2h&zz46oef09xDL(@5BzR$51*FI zUfbPQ{@(OG3ofB86RE_oc&)35ObKmXfz;X5$#U{Q#-^Vbm6oLjRrI|z*-izm32G-g z#Gdp6)(4bED3am2*udK_28Q4V0M@$0A1%`Mw*BG&<}w;NLD9Xl1D5^{xwyT3d#{(R zcxIs8qL8LB699sgHdue-DeAp^_4S2RzB z*GHtYO}*|IE0e(ie33r;W!ANH! z6EE8g;MMs+P-mR#+|4??1L8ve28J^!6$7f`tNPi;^h-vcR7sUEP;%`g_YaRrZqGTt z^35tTCv@OC)5@&`Nv}sk=*NUg@*#ct0IRX!UP{h4tJ4$!{B65f!27;0CGpGq6L+1( zm_em4)MlS27xodU%3ZPk{VPJ}rNz&4z3cv#y<5$1Zh|$u2Fhh-XL~5T2$4*qoLu*@ z^DXCkdMFRDJ$lHS=X0beVur%-)S`^>Daf>T^eA2f+v6CHKVbPS_eyiK39;0bY`Wcf znD9kwgiVzI@yC@*P_A5|1=g;7(SD@J<)_T&sHCzXsjgPR&!b9{);5?a2G*Dt@vlVqRS>XF7!zkl}5-LQ^+u-+3v<68H7P5MyLYpyT_3h5o5vLYEHE(*ut+Qj}urZ9A6nNyXd(%pV&5(VzkP^=zEMXQ?(9?F5hR zeRz%!H>XwD>%Ha%V7z(H_YE^jFq1#a3DCp_8df}pmC?$sMBoMkBxrjdr7U(;Xg!Yi- z^*jh*kbC>O|4DKLRaeD9UVI32QY1d~)5x|~n0?hosXPw8xspa~WNUh~0mJL&L`Rg`?$5x{o7(O&WlPZFVw$tM zqfCK*?w#@4LljC)`wi4*#*9f1RhDs+d@Ha|kZoBjh?7RjQP_4ma{CecY2ty+^k$n* zjX+7}=hGhI4?QEGZ&Dumx3w`m?8je<9JxMJIlEKAyBj9@y8~P(emxXbfg(F@M9_(n zKGhSk5wHBFe@~Mdcw90;S^p-N$;lr2Ju*ZEjE&1PLfP#PXlJod7?7JIzK;!g{ZMvE zl>t9lKgz%NNLn_%R**%l-^ByUz?mZsX71SzPd#Y^>uat%HpJT{cXW$Ch=#T&yM{#7 zSe046Vpm4Z{4MPO&(De3DLBg7MLf=_$I7NWn(Yu}WEk5iU4MV*k0dZC>=G&%&hh>- z#m%iE`WF)~>Cp_inNHV%?n&*lgk#QeEg@$`&Z7+8!~&gRe1OH}J=$P|BtokCbS z8<~|t*wL!mh>t$3%Y9EMPrm}3IZRfSuDUd9joVyYIn;j0O*VMXuATsYJW!Fp)meW@vn;wNiq)0Php2Ko)ZsV*CZ)Xq7q zPe~pgrgD%L9mCtap2iwhSW+^~6xh=G&-W$PiKXe}O=_yPuYD&@moLVr&eN&apOVwT zy*$XD~ z<@Z8>tI}>zFDkzIdFpC-MCH}9Lwcz#(%LSWpmj3Hhit3qI+CoF`v26F#Hm)bVL*rP zi9erR2l{jW(Z7Jxpv)1RzNAmtX_}$=1h7=D978WZW@nH~esB8`>)n3DrQ(E5qv*)^ zlbj=psAr4r9Qu?JQP^Y&iJ2_@9wA@K>jynl)M?Dkqxkd*%P)(D8@F90`wJC2M#_*U ztaEyH&ksjVw#&lJiiw--!n_ur@~wAA0^$Vhv1c~gToe1gDzQ@S2SV&SRRhsR2>{vh zd<@Ghm?-YsZ(?)TN97I4+LFV?~u3p1}u^a2u#VP!JtPM4MNxq&Niz zBCt}kez}&q|L?9KCaU%-h2^|AY)TPj>?cfHH}@y)f57*S@qj1`(I3SHn^ZfsYP>n0 zF3GmW32wdEZ^ox?*F}Cd=GWkiL;`wchMU#5>i>o;cs5vM#y&)wr9o0rU+Y+!%C9cSVkhEAq+5)&l= z-tDBhv^pv^4!IOSB9IxNzL5AymzJRS{VTOj@7X^g^!E9_!z=iDKEyd`Fa_YX*`>fW zUnQq)lSj6X<#NBy--V-cexXm=>?4>=$S-yTQ7*cj;uJLJk}_WhUDRd$T~aWi54kQD zjv5q&N2J=-s!GX9YjE=EZoeLo`uUModQ6Pzp67f!tp{sr5DMl14I5RJ!i=m9RkW+% zhNum(wxcwt;kD>1u&~sk*8|~V-tflaB~xUVwS~DvAJ#;;ZTgYSM?!nCEmE3M zkYFZ)QsY#SD{`hCu8}F09asjGHnE~C;1W`=B5mfBOwHR+paVR?hPxN8pKqR*zT0uM zN42EYGR#Kqkj@K#AZrN2F`qBO{=D(;dzQ^E&sl(R@}Wxt(|=7v z$jT^+L0u-intD`?w_K!j_^D6H`jPpsjcs_A*$TBEAGztz71pk+gWeWp*&laE$JvRX z{1(mYA)0~X>;XBiCD^jg(JmVJCqEbL9Q*L=Uk^}qdJr&M@V`WODxK=%yPo115 zNmH!KXv!qK7x&<;v0NAI2Lwa2o|GSkjDE@W@GpMv{<= z8Q}lSmSbkp{AroPBaNO)5r6$~qa7joJ}!Y#+IN~0f$^L67KH>rU%PZE%)Qy-+OkwF zHT1~bhof>KY*f`7*E*rc{I;D=>thRi(HU&@}0i?OmX$Ir+^10ZJm5ss4|O6300A)r5eqD zv)qItwV1yEPD3#xh+pSJ`#dN_kEd>AHVV8q`iZ&q;M4UgSB7!R4{RkdDp%3L_`LledJ{OjJ8=_iJ%;=-Rv|$%t;AzZz`gqRw87Tn)tO~6ZHDr*BJB~p60|bYP_@80&OU%i+$z~PKC7;`%JS1vO`3)C>G2o+KTF(LZU}^Y znEuHVGN(oP{)!&ZLk1j1_q|o4makM~q5g|%ml60+OQs3@jWMgQIzGG=?6gl<)&~t)W+MfSUnk3@G*e7} znY=F|A`dTIHP!w0yWC*wlgtlkD~KL?!%`G><}9Yqb8=fp9(m@OSKwYiqkB@nBu@RE zy(nW=oDr+(oCaM=5ExOmt*H~h9!KRa?TX-i-7&?QrwzcO{ zEib`w5}F_<=*9K`2d^BHFf);O$6RxN1KBmW%pYw&!mM&KB|wv~NtRkTe)!Aj{gsg3 zh&UtsA5LB)`8lgwNkpjVDC-iVzVva!r(vS{k~7G|*|L_lh$*=k98Nw!g}Ff$-snB5 zg7LdEiOz&W5K}8(3KW~*)jWd3Y|QtJC8k&KtoO<7S60($gY!`>7p+r~lt3Y`jD*;w z#YAJ;(&j*Km-j&FoqUJV;)R)u$?WY8Ex=%(*<15TZB6AII!Q&~C9ddo(}l=vFlr{A zxbCWXRZ_`a8J+!)Ht-)%YyUSq@w!k_YApPptT4%$icf@9?`H&~>;ie@*MS7w{ajfg zA(ip#SN{3yA`*o$yuJ`greWs!a-;H88;)P)O{Iimxr4lk2fmMHn%*SN+EWO}{e~uq zi}RCoaTWvz^8j-`HwFWo^S9eB$a6EIzz%@fJTgCm*z<>sFnkSMg!`FJ(qe5%K$&(}nI20E_(NQ#sL0-%ooGlfe!miD zHkwtt%4ZqeDhq0jh)3bhM?$m|7{8!Ms)&z@RmACV=M3p!CZMK0uM0!fKQwR39EtI8 zG4YHrXP~TJE=G;)uhF#@XDu6ChNVPmw_VOdotAa%s=;1hRE)^`n_-YrcgbK`iR;OA z7fwx?T#Y9VK)~8I9}W+!)VR5_qzgC~esEv(nmyAABMiR#8J$ZguwDOo3Umz#%wowafNsIY6ikLz$vYy$V-YBh4b7BSF_f45!bR30X}f;hV1oD-R_Uv0i0i ziPDplgo0GM3574JYPM^Rl`xuDmo~B+Z3f-CiZhg-LeU%Sd!^rV*TeTkEw3cJ%wvq zc|5c9MYupBLwtG6(gFQv27X0u$&>IW?eK;@F05^fx?N9}@|87Of#wx>^z^=bp%K2j zeiR5BP+<2PVnJzPpxfjSqaBWJVD}v>cXmPdbu6WA!82m(b97SOT`~*?eqH{YEESh= z;J1@o%PN;4qfI&=1%%9zRnITNSYEh4@hgiKl)#u5fhAvON7>=3%j$cH;(B&2+4~TW z#PzQ^u_b)t%FxTDSlT}mpzl=2dLt81&^wVi15_stOX{q_B8Mwk$JMG~DPPpL7g15B zRc*|xYT~pr5oOE==c*4Gb4&@eC6r4>Fsc3QbB4pp z783aVUC#@itUcSzvvb+zbGA&e71RYX2|Q5@SCYSGvW9rqy~CJAUh3g9)ga*bKRukA z`HBti6-G;uMLri9cqxVA?Nw%n#rf|c13mHFL6=jcQ@5H0lh}KA9(H8O?Bx)q?Bew_ z`MKu&VbD0?<3^jEq51U3yHGwXIt+03L;~S`1l688#Xo2&cskkhbrp}lLpghHwz15f zI5eyz{wW!!I$GUId8cMfx{swRVeb*v7?Zc6cgFQMGYeCik52b*E(8xlTa@-VfDy+t z-Fu3CQ(Qk_FbTE7aK zc5Fz0XmaN5R~o1hc9jxd?pr@SlLJXsu~mJQiz;3@+B+TZdBl zC3;6IIPmKc5-EH$P`l!>{h*7mo63EZ^P(0oUSN5azxF*qk)U5<9;#)htJtq9fkarW z8mTrtub$KM>3u#^YjP0fr*6-NK*6&9wSdz`Z=I#=`*|~qotxJ}z)y~%m_uTCYqmhh z{YMEWduWJLGrL@g->LhJ#g}!{BkG%k`fqc>dW5r`!+)0r65iSss|&+-q9w;pxB2Pm zHVLfsak^|jxTb^oU62UTw<4;N)0s* zsHM7~NBqrLK*(@u4B#a{Hav-Ezf?SZNEaXAW|+uZ|E|C_kQe2~uk}S-RsH_GzaT#V z?vjx*?;w>Frx%z1Oxv2)=j@Py5FNTJlIMY6aqiZFrWMC)(h$$vgowX(vJnAiE_asy zP8n&&ZDbPO$=r>nQri-HaTU|;;aCFq~{8$_mfUO(Y zfaa&`Fy8wxpXukgE6M;E@PU?0#li<`ui)DS$l{cVA| z?<@a2hxzdR4}6*S`-#EJ)O4@FbGO22(fR}JDQzZODgMjC0(NDbigM@TPKG?z@Vg&c zdKD&Z=T#r*mVm423`sBg?Hq4%0o2atx#Wun;jPzpf>hS*8gL7Et2MbEd1&Y*miO~< zZ-v7GULbS4&GPHgADT3hBsXfY=XZo*+%43j?5sF-=&}*IIQdEJ4OrsCop(G!zW39} z^8NWz5sDJ&pV(#LB^Sb^i%H?I$FcQm zY)FW(yogh#SAuIcCL0xG&@p?93iU~Lyq5R*9|<~C<=S; zA($>5fbMTdF;mXD|AtDN3tKQP(__Y?4$ZuFTNS$6Cx2 zDd|kRUtxkvFun-8dTtzU5FU_5i-u{r#TLM2G{_Jd?7Phu5Ur^a{3YfMhFUhSE5D6&gBt$NhrwF!t4Nsptw~=?ppZk@UU#H}yc$vw| zS9?eFp~l|mdddpP397$-rwy4Wh#qpwGc7N0;1j$sV%_pAr5ZKuJgPu;{J_p1#1%?vMBPq6viUWpgAQSmF51)zj8OAQvbSfUbSu8_BnN?H9slw8lD;JFE?Xa#P`~4rh7=$TZ z;yfg9t$Da~dspWMC)?xZm>H13We>qEQBEHCI&e=}gGZG&>g6{ZH$?BfWgOu(EBN~> zf3DPn_himsi9ALDJ?<2uNXMYO#9|uPacT3=-iU7Xze^s_5EL!{Ls8FTp3&ms*Y4rI5xvyld8GiO$?VxFA@PtfO_sxEd5LA zAbeawGtcm7$gi|~E0K%DYtjcIl@Gw9<5z)z#o&F__Ky)mEwK(K=J7NAyhQ6*>jzYIdyJ4}h7X z2(SacjGoZO0U=o|+l@qSAI%^aO>4Dv>&;eV5%iTYpNYq2b{$aM;&J{uFRW7pzTh#K z&VS$dmmSh(PL&qX*rFv#ww{j;li+(kKxyi{5p_S@edqlRzyH>+n*HUi2Z!c7s@j(? zY)1`Z%&{^+BJQYZ1eqnCy)R`P>-7`y-dft{vHSO~`nv|l``3q8lvD+G{L1U)cTJW& z0YqQMC`R=vSnz;V-&>=f#_@SaF6-wz$!BlnmmfzCoIyHVVdI}2Y=Pj@6<-JNKnm#vSLn^|18ddn&Tcxagn+gC z`x5=(J?%%`8T_-sQN)(5Z*DxV$u93_=+BS4$U*#nDyECMO;3B`+Nitj&aqNj^?E!K z6gL=Y>Fk*4h}JrkplC}sYN*>o+p`Jc)Igqt`%^*J#5=Q=Jikh$^lf%;gP$1e zP!@S?(u@W$t&wL(``vM0AD0WKf_PS}Xw#A|zxvm9Xvxl6J(2}Ro5)nuf)l=7 z7@wj0CpmLMC!XA|(rGkt?Y_SRvrer8#1xnQP_2KL_vb@(3&8%yQWt!r=$QC%S6nX2 z$ri}TY5v_m&YWHnKbmz59avknU(i3Sm9V=!A3#Dz3cF=Lb7WA{PVC7ss4Unqg)4`q z$N9YJa!1QPEED`KE5~BoGNaqQf;8G6%6>^}Bq_As1fRz3Ccq^#Eb+$(<8xxGUSGf) zLx*dvN%Kx%dakklZm>Y5w$YbE|GlH+2!yzjuoEyHY-lPZ$$i)-fl1?Tl?YK)Pakl@ z(RkzCym+qXdEJA~pcqe<*`@0F6)ZZv2hT1@%?+afoe1nBgS=MT>WjE8+roz0obYyI zUpbg&KXvxk{mmvMqdV^3v3o#rwWW#9-m^him`>@hJmS#9heiUAQ|k7Ta#ML+AZSW= zno&S$D!`$wPxf!>Qd(=8ztUb)W1P0q_?5vSR$ywnoI8o6qZU96Q{4U6V%gas`rQP5hgIEoQ>jmZ^7^#N{rHPWm!NmEbny zIbtw0_)qFD?XFNXEEWHVknhp~>1V0+1RKcC(Qr|j8fRVT0 z=2QtQ&P_VW;#7Z6&rgo8A^!M;HdTRe4NO#X&-uh1K-6jX%ZV<_Eq);Na{O#}W;1)G z*lBL#cPUev?;j@xd2v~15}X@MVK6mQWFRrNx@)xtrKLisg8WyyJOokoNK zvgh1RABBymnR}tA2Gn%GE4qyRckkovu_M|KdK;~&XYzGSNgoLK({Bz8==ttUvZUTD z_ZK|69KZECw}_~0RU`jCdFR;Uj^rZ@x3Eq^eT1N=$JSNJIUkm*at_Ykr)wmxb$#6x zgKpv1*_sIk&!Ah>q|l@7_0%oe%3+nUQ z&Q7;_;;XxD@3q0RyE?S+b;Ty{ zg8zm`hi4VU{-`!g?|Kru7toF%{z*dCcGNvQ1l_leKwoI?vnO6=T|XK1@O#G*g9@}WQ0?`)hdHNMeMFZ zI26@$ce7a+d=c8C`WYG+MSTK_39Ey1vk^e9uEs)l1`d3PWtzDyb zo&M$Wv}#}zy0lk!kpSCnyfGU?qQo=itDY<=2Q1zo9ZW6Rh4d|iHlmzPNG=}co8Hn0 z6`irZX4CE;F$#3_>@#H4kkV#(Qn8NEIk}BcshDBN%b7OGS+uS6+0#`p z%PCp*yYH8BmY3>Ce{|6{cT_Y2$tF4=Flc1R#XBv+9cCPHi#Iy6U$T%IBY@`L5t-|N z0gweX?{9?}fv}d`cRjC8$#yyx;PHw4Z^Wqc_#B0BSY?)<538-fOPwlUCva>%rSND%kT3f`)j3 zE0G8=udCAkO1(xUBOMDFM&H3Oj{EgAk%Q>^K~^LnOh* ziUBUP>K!D9+u`DVOput{atp%T$)}794S5G?vDy-}aJZp=k*P>P0_lB#>L**eReU{Exgd&#T48O4MOL;v9D% zHl!-Vg5^gbb}Jiqs3E*7Ct>DJl&PXi2QSLL#bT52y8@2@gc8tx*R<(-dYTzi-tU7? zWBKfQE^7&CotMOvZKza=m>GNkiU;LQXG(j+;H}=KuPi~AYkR#plwj*zIg?@7MORM$ z1%K|(mbMx*?grt~RPc82;r#$QW|5*H+Zqvf9Bv~i^-r4Do_;<^)l>C1(Zy;Icx)3s zwyq*5p&v(ouP|}LKPs!zvHypK_%!VJZ$S|itZDF~dtBNmV@?*m<1j0beWa;KB*Gz* zpyKku^wDwnZph8sR(NLymn6vIKK+_=DGF6US9WAT0>QP4`_C6@ZWL%>%_ud5BD;h6 zOB8Pvxy%NnW0WE)ZSSLskOHP*Sc!(_;u48)hR@<`3}^6hUahA-EQW@5a*aWPl6eQN z@|e2JNTctDdZ4IB|F|gtT4Cto8AjC0&c;G+ z)64%S-ImCv#BVrS>0!@gQ zYxLHb4mrIM$VbR8_3s~KsOOpRMp6eN!3E_?@V}X^A?#6CeK4Om9ynE_!$yAcEMa)iq7YvUO^BCE(FWC!Wa#+D#$xBEXhOF?=XG8GXZd(?7?o_CG(?Gi5w^5?#Bq{B|S* zoz8VGnmg!+Y_uXlZY?*C>OgD~_G?JvNZj@pebsSZ{J$?@?S)Hy9sTC>)deW$0%BQmqL1-UI3QgT#tZ_gX4CH;uwwMa z7s;Ofdg`)nviM&&*cViYH$u*KQnKd-~n?FOR%aaylJ;9UO=j1%Hdn~G_@ zj>H$SeqbU|YV;)I?%BU`A6P3s;NA}XOkzsGGmQZ}Hd-9cGusI-4rv=Bk&6GUYP)jC zADpxYPgsKZY-bOLu?pm+-=lAGo!}bDw?|f?h-CCTXoIGf&&nN(H(C)PJD2e4Q_^S- z?M|>9CV2le9NHL<2hSJjk8TCKo--7!rPKvmaxnQ9YfS&Bw5_^qs<)5p>Ka>{E=8%8 z7zqM1gj5_Hq&4Yv)jGQppJz=Q(L@tf*c|g^`tRfZVVzeq@ffcfS>qBa=?=hMbKHMy z6lP+IB{(s0*FuMU$_iI*irby}ce%I7fI8q8)z;2If_bqv$u~NCBi^h?h#D55OTI=w zzE*?aIFZ+dvyk!%Al~JG6lo`fo-gV6S$p z)_XS%&)=X^KbG-;;{gW{&xhd)@<{529!bA1og7u+3Z&yik+=>v*r{wAAhN7+ReS(~ zF3Q)no8Z>AI{^La9zCf?5d0)?-16qs z!^Mj7)fW5g+e#s)>xH7z9j9hWubN5!}&Ex)qxn}tPLejGf&b60r^Z$5zPe2!8V zMIShBfXAt~R#AIGw7(;We91f3aow9-v2k^e0Et7&YfjK3I&eEhAd!U4e|z`Or=0Qf zEIVilUb)u!HmZ@+v*7$I@X(5NLUrfq-}GE2lRWqZ6p4zA9Z7^d0JYPlVpC+(=tNCO zMb7@hmQy%n?y)1Wr-(S6qhG&eNTcZ||BGwkbHMGl`V@ER6bKw)eYAk4FUlUIkBY%!3aFsLbQsfx^Mz<#; z(bac7nSJ-j-Tu`R?ybG{%1{o-GRt@iilR8{43GGt`Iia;&R+ZC2p%bu4kS=k=0lvy zLBP+6E(K#ygYSgNN(7VpqW&??eE8R5I{kw_J0UiFl@ehSdpNdN|XnxFhIy-86O#l4gO3!L{D7PNOWmd zp%$b#@LSagN1Ukaa?p^rDKgjGV#^18y$1!^OQ?~yehdWuDI)Dd9fuPZ=Xr?UTM(M@ z>CMzfs+%Wp=&Nw{7YHs&AUU+DGJ^H;q*5r`_}J#|P2ac=sUw`{p!<8GyajO?OqFJf+qGnr zUWA{3ffevziER+7wj0o~KM%))apGaxxbGqAnXG<&rbfe<>=O4U1a>ovKw6#XZRS&0 zE&o*bMV5}Dh!wYDP(|~G=f<=B?Vr0lD~)eNA@3Y|OD*Ev<9{5?vWQ2JJ_e?2Mwd8t zRTo3ILDCM@=Ii5r;?x0lu&9qcQCCkimATfnc0gswda}=#uinjPy-C7EFjx6U<|62b zK3J?ISOzurnBRF@v9|g;@|oanOFENXDQgX|jSUc>@$Vo=mRqg5K8~ey<8la~0jsT6 zO`l6m#DdgZO>_|JlX3i6_s@^+^vAuTKW-gFjo5>DrW-h}X+a9y+vXZ9ByX2&T%=qx zFTfDO=0`y6l}2$3?1cR*1XnY58e{o0RS7~Gdu@P+SeEmwMI0hAt z^WwU=xNFt$QPHoYNfL)7zQ2P^Y})u(ed;l)CY8u-A4SpsLkC>ij%&+nslzlvQ=%e7qD>@xdb1d0CdEK#+z4 zwD@`sW?P;Tmf>y1JiNqNn>R}}<6CZ9VRHdF>pR0b!<$1A&(awkaL5UagKje|#Pb#* zN~)3uuG+0wp=DV>F9Qp0cG<6n z{M3rehU0bLrQ`;?ZSE5)xiN>ykwKx7epp(-nkLDTwEL#m<76T;e+{ZbiVl3eSa2-n z-p*nhvU!CD;Z~~wuDJoMV!77fcI+X&ZRQi*P1cdbA<-^u&xNKywvw(m-)WaWC~Pjs zzD<%$(c3!ID&~%A6blOA-F-V3_gZ7@7Q?;n5V9Q+ z8|seSIu0j|c|DQKwxUDxzmoIx2|e_=C7QN+HLo*lF`;o58S{q#QbNFXSB>EfU3-An zd%sb8U5dsX5fTDO*OOm@1`+1Z@N9d^@d%euds64(4c%zl#Y}VuTbS|Is?>`vF9rdT z&TPisrgpk0#; zC?Bp0Qia*x`$`ySKnm!d7Ah!AU!}h*87bUUudnjs776GaI@B*vDmofa>kbv}`wO$b ze{F*7u*SA=8Hz-(6zudl0CcMZp>`8ycp9AfT8+s2n3dyQo8!DL?s3n>FyJ|$vy+47 z{YzcMsh5Y(51MF333juAog(1f@Z%|&LJBmsPBef0>2OYy(fPid_}*<^ND!l%@|_f< zhJkp9F%=M7)Kpw#j7u9~B$gn0JcuS(mmC|}s<*+QR~5_nfj(XrFG#e|@3LIqw5%!{ zWpmX>!~A}L2UFhbHGt(0_ApjGbwB1@Yor$;lt@DgD{kJ%xLS6(x3+If!qx{9Dp;Ol z4>#N&4zajLcKv|ioSA7&r7TIlLn2=q4w}e5N&>!rO(6r5fbG=u3%TY83LM_$C2G%lxTnaj> zy)r;ks11yL7O*C|?tp5_Aof-^Hd&0rR@1~qvB?MrJ{>N6ZEq~kd**9lTWAjz47hLz z2a1Z`D{fJ(sKP^8cGj!@so7(^%g3y=)&27RVr5<7C~CHo4=w2uQO+fNXd#F3DlsSl zf27APzxpQKeieh`PStyCLebZ zTlnU(q^L4j@BlX=E*y5%r1sx2LVqm-2!kJX7F1M%RW6$_?;&piItEvoJ)spgGx-=E zh7c~$dLj_?Q}lRPo!XCFE zjsLm)-OTG}p|NItcVS&sZ}p9qo-k>f``%LC=Zr{vt47XU@-H2LNMzy- z<^>;65q`5h@FjWVrHhSvMGUs>nkS(q19@|fx&ZT{ChBZNI_lazLbxm2hu|RmMOl?6 zp>uY&Ks1`mj;Wb0V?S<=e&qT=D9*P^aE=T)_8KLto3lH2^I%wmMx3OewRPxz(fQI? zW|QR!8D1pL1%=$VF$mMG&P4&(H_7m?A@vclxu>}-JUrm^cfmS(I6Wd*Qt#(cycww% zGVtN(P8v;o7rCWvICr1i~b&STLVFh9WXgm3=6wZhvJ#O=CA2HkHS5{^sa3TFUc)@urJFYXCJ ziy8y=H_KJV;S(NiqC4OX&pU!e%w7@LaVxh7c{F+ljh0)k zhgHjkG;m8&M4?iC&7=jW-pG0u@8&eh$aOrbTKCTBIQU^Fs2f~;`$_Cb+~6XVlP9I% zh>vGWFf<{!Byo7wQCsx0%P=&+Pu&z+$F%$% zJ43m?wtC{j;M)9V^#uOl+WKa-V_9DQB$U^+@u=aw$@=tW^`TpOJ?61+fasKRAOCrs z*||0vZvWgaz@c0jWN!o+_@~54hGq&NFY-mYfKx%^OkNPf$=8BUkNlS$jGMG^&)6nP zQHT6;H+2ZrmrIqgrUoh$kGP)%%2vxA(%d3nMD#K`456rl5qGU;eV{H6+h?n(HTSHw zX=g~!(0%iA65MiR6KjYTj%{~iEy;GXoJ+gkNdATVQ^h{B99S;n56K99~LXG~G~0Jt(#H?$=pabA7`)yjv@E zA}4_6xqBESSKBd0r&;mwYq^y3P2u&suZi!QEIy)|K#@xMwQkzqEuK0z9#Wb zw==5x^u&I#f?!bi&8`NYqT8n429{{A+8)!}42AdL)fbG!wA1-XykYOm9@7q0ibu_$ zk^jEx{J(XzDZGcLDvZQuG2bIk5nxKN+;~NZv4~`xUZmubM+Ko;$))iK`nF#IU;f1t z=z9~9#m(vrmgr~IHzoOBZn)HM>O6gl@*W*NIj-$~^*w@83V7ikH4klQ>I)VK`%jEzhn zUDYgKZEA%Gi)09r2chMf3yg=M#YNQXMbC`>B0dOkOpZD;wcKCN+p1w{39n&zEE-+t zzdkiTZY)=MY>Y*y&N#FO7Hh!T=B|+z(9PR4%iE!MElO|h!9}Px*t1`}7p%^=*B!XU zbgrI=A{g|p>M zT(A?2*L@A_fKHOnj$d7p2TaJ@5tfo_`3s_Ac@$zFZ@1M`d5Mi3 z6eh~M{3=dXXWX_o(%IUpZo}+INIoud+$~{|ec+;{9_8$_^E5rh;*43KKM5jpAFbMo zm0;ef3~{pcnAk)iWu#ewN$>FsC1{$X^lwptX~=^)v4vgPeFu7d?xf6kMzFtqzjyti zbCt~pIArnJ7MS}S;AvZH&kUHf|NO*l5j0Dtdxz008n@o(0h-v>v)UBEn&=UJP8vz> zud}(+@3Z;6_7nut;)(Thd=Fyt({L&vf!=2VL6qlGsV-&j-iJea6VNB)qonk^GbX+F_V_qWdj~HMagBC6;YBdO zD4bjCz@ekse~Z z5R{P~%a1{Cmm+2Cr&x9|QbxP8Y$_=$(u>Ftm#+xUAkVCY3jMRUKri$ouBDv-uiM$W;Wttqe?c+pPTf~W?HstRD0A(vHQQGA3B#0W&$J!WDERSXByp}aCHm)Nr!URGH~SIm zf27ov5svMhbtIK9qt5Pp!iTG?7r_zNOY<`F&Us6wb(q@~y*OUB;!xicAHL&%Jz~La zypQ)0gpoMm;1~xsKsmfGDse*8M?UAg_7}#9S->z>7=|L>wV>W&Wwak{5i27v=`zQs zMP_%jpW`!ICOL6kH^)g`Z$Y(lIeao6e`^ZkQlFqV#bI0;KwM%0zdO*0ap?l%Qk&Z! z{h>~~Z3cHU$F@aq#;D0(I))_K=HS^V3&#`NtxgWW!Nc){FJCyGSZf;^hOU|KH|$1- zMA#8*RdC*bFI}7=?W!eU}^Qg=Lbb z|MX9hJsu+k1@+Pkd|WFvY(Ud~-RA*|FFAcGCGJCgavkl7Kf<^ieiq7pLQt4~KD7H5 z_|hrxGn3#HDr95E@2h%ngVQFKe=S&@T*f}cIgIfq?ROpZLL2$>Ss|Yf0COH&%7=3(ytIwgNu1JI6_ys@VMk@DUCnJJa4L6(ROjaB}`L?Ms4) zc0ZB9DH&`l$KVg_$8O;`fApn(D|^hBn0MRC5!zj_%YTTIaj73gF`SGA>ru{l9^$+X zJ{50>6GgfHc~XI}4{>tML7v?&75L|=0-USi^R&fX)Cu`KTY&RS0ZzUnJ`d+qfzO{U zz{zi)=i%i13H3to(C5!(aH292eaTNEyNg+q79VXN;=JGiR`K}>f8fmX`RNQ!$snI2 za2U!S^LdV?^5Q4^OHEby953MWk|M-D^v@f}%k$4Gkbz|zQ76>r(ex$B>8fQ~}Pb1vuvleEwX4&tEIRdA7jk=L&rOdI8P>*x?rJa0j@<_RjG<(-fR8 zv=MzzQ)qY1=RU;Ae`|m|pP%sntN7>k0-Uooac(QXIhVmH8BkLXV*!0BrcaJtsLPno z-!8y;$@(Yl80vEh{&@}bVmR*<;JjgR4oB>M0yz6{jTFOqw*V)<&r8{-B7B~K&)>=6 zljAE*p)ZYDpTCI;q3kp8Pxk$U4X@*<6U7tq%fqW_(Qp&ou7!ocu2O+)uT7uSYl_DSn4&U;+KDp-UM=^&T6R-KCe^ oABTjp4?^~N7-%;+?7(-v-7NT?>@a1Aw|B~S1BCxSv%5#c0>H1#9smFU diff --git a/CPLD/MAXV/db/RAM2GS.rtlv_sg_swap.cdb b/CPLD/MAXV/db/RAM2GS.rtlv_sg_swap.cdb index d8244d71a0df75f2cc061da386397358ac3aa415..025235457cffe16618eaceb52c5f989d6aa45213 100644 GIT binary patch delta 522 zcmV+l0`>jM2FV7HPk&GX00000004yn0000000000002n?00000004La>{UBY!cZ73 zf^VHP(ZRt%CpR55I%(9z0U-tw9ZXXxC=puHmcjq!FEc)3eAIJVKH6TWA%-vzzvOW5 zJ>R?EEzN0~HUX>xGr(geTyxlqWMS~+`~EVBMIo_*3Ir}1A%CKtzhFfO&)7uh9r5D- z0R_Rjh^Y>~!4VQi?BBr=Sr7#KAk@5|0(pZiA$3S!68952LeAo+o+bVq45*WMukfX) z_R`lpY~y{s!1lMk7GNLm>lya(=LvNn(idmMS~$13%;K;%0O-4}07q>LxCR)%e45_} z^t$DGT85pH-GBH4;F1tdj<+pN4x@6`xDBvsqv5q{P2IAss=l-51f3|HUa^}k=q)cS zWSH&oLK{w{anH~^bS2c7b&E_wGY!IU+`C#(PeL^b)lJ)dpbw?1)Shpt0MX zzGLB7g4w?WW~w@dTg4jX=Yf~Wb}QUcy0tMF_^M?jXn!7f16q!K>ln?xI>&3Jw)_8B zhyGYO0};HJes_dIV%&1`A@sXZCywnTjQn6gpi-n7lUb-rt@IMwRl?Iz4%70hK zidoWSR6hNlK=KpVTjQu|8zhghORE*2Gp)1p#ITk^jlzaK@k15v{UBY!cZ73 zifRj1N4g?MK@SHN+4G;+Gum zz2|%PyQNu8)5d^RU>bPL1Zx(1kwq9hwcG7w5DP+L1?3A|G=D-wJ%7Q95T3D#z&ql_ z{{sqwbrF*xzQGX^N9^Ch5#t~T_Cct5LHY6qTSDrPz9jA^bcCG6Pd!WgSr||!@m}Fe zQSGI#IoQVgdV%e4ea*u@-q$ni*~T7H|zPe(5x~ z59l?^^|TB-C4al|2f!sEoE&dkoE${utZ^G))kfWGRU5ixTNQn0&+$7^IK6B)n$TNb zP{<(LF>4l?gk}na;kb8Izn+9@0;-#q`#>K`N6C$un#Xj~@<3y^ z!oFkSSc2KR1ZJu@hFifJ<>!Hy$#%=!QaZIU82E~1B!6fgcmtY_ed`#Fo;t^CrndY4 zSO@-CIRg>Am+j67g~Ymfz}Jf(k>evhcX+AiOT|K|s2B1VMZI`cIN^URR4NTNMU?-p z(27~oWmrDFogfkt72p7@~(cJdo#jCP}`>z;em OakLwq-T{A;O9KApiu1<+ diff --git a/CPLD/MAXV/db/RAM2GS.sta.qmsg b/CPLD/MAXV/db/RAM2GS.sta.qmsg index a849a55..54a205f 100644 --- a/CPLD/MAXV/db/RAM2GS.sta.qmsg +++ b/CPLD/MAXV/db/RAM2GS.sta.qmsg @@ -1,25 +1,25 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691915195644 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691915195644 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:26:35 2023 " "Processing started: Sun Aug 13 04:26:35 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691915195644 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1691915195644 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXV -c RAM2GS " "Command: quartus_sta RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1691915195644 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1691915195754 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1691915195879 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1691915195879 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691915195910 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691915195910 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1691915195957 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1691915196129 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1691915196160 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1691915196160 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691915196160 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691915196160 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691915196160 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691915196160 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691915196160 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691915196160 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1691915196160 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1691915196160 ""} -{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1691915196176 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1691915196176 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196176 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196176 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196176 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196176 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -10.164 -118.093 PHI2 " " -10.164 -118.093 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196176 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.387 -288.937 RCLK " " -9.387 -288.937 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196176 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.870 -7.136 nCRAS " " -2.870 -7.136 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196176 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691915196176 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.578 " "Worst-case hold slack is -16.578" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.578 -16.578 DRCLK " " -16.578 -16.578 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.552 -16.552 ARCLK " " -16.552 -16.552 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.611 -0.993 PHI2 " " -0.611 -0.993 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.443 0.000 nCRAS " " 0.443 0.000 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.178 0.000 RCLK " " 1.178 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691915196191 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691915196191 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691915196191 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691915196191 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691915196191 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1691915196254 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691915196269 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691915196269 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4676 " "Peak virtual memory: 4676 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691915196317 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:26:36 2023 " "Processing ended: Sun Aug 13 04:26:36 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691915196317 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691915196317 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691915196317 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691915196317 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691916604691 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691916604691 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:50:04 2023 " "Processing started: Sun Aug 13 04:50:04 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691916604691 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1691916604691 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXV -c RAM2GS " "Command: quartus_sta RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1691916604691 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1691916604801 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1691916604942 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1691916604942 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916604988 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916604988 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1691916605035 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1691916605223 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1691916605254 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916605254 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916605254 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916605254 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916605254 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916605254 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916605254 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691916605254 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1691916605254 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1691916605254 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1691916605269 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1691916605269 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -10.164 -118.093 PHI2 " " -10.164 -118.093 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.387 -288.937 RCLK " " -9.387 -288.937 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.870 -7.136 nCRAS " " -2.870 -7.136 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916605269 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.578 " "Worst-case hold slack is -16.578" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.578 -16.578 DRCLK " " -16.578 -16.578 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.552 -16.552 ARCLK " " -16.552 -16.552 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.611 -0.993 PHI2 " " -0.611 -0.993 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.443 0.000 nCRAS " " 0.443 0.000 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.178 0.000 RCLK " " 1.178 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605269 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916605269 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691916605285 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691916605285 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691916605285 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691916605285 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1691916605332 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691916605363 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691916605363 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4676 " "Peak virtual memory: 4676 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691916605410 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:50:05 2023 " "Processing ended: Sun Aug 13 04:50:05 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691916605410 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691916605410 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691916605410 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691916605410 ""} diff --git a/CPLD/MAXV/db/RAM2GS.sta.rdb b/CPLD/MAXV/db/RAM2GS.sta.rdb index aa910fe6bbe1cd68cb481c5d4461a5018bda0989..e72ed65853c38c2fdc44e91e831af1b56e328756 100644 GIT binary patch delta 12819 zcmZ{~b97wa+qm5rjqNmP%*M8D+cq0blQg!C1{0&P?Z$Q*+nE@@>F4{b_5StFTGyU^ z?!C{gGiPf}j8&Rdyb|CG>!<%d_K^Sk{#T~4{jcr~apwP&WLoAIwj|Y+;3oBUCEBX;~}}+2Rf9k^PX z9R}OB&LRZS_uI^)a!Tz6&v0koOZKbQ&RoLLtx(`eC0YA%tg7rq&CwQvx6^8Ar;<|Mj+DLg*6CX$zI20rw;U|oGp%KktO z3+ol(&PAb(33#jY{|J&Dr!b!N`g}j_C+tnB ziWY~s{zdEa*`@K23XVdjl{;o=WSqO|3Vl~#e>Aq@#QV7`)(in~y}gM2s#?53 ztFcUNHDlh!*XwSlq+Gx;`4IG^L;kB0w)g6g<5@d&8P6ePw{}bGz}R_uu1c(U{IPMU zPuo<)%`%4}ql|=eBI`}%VRAqL4UHvsWLm+i{p64F+ZQ6qw+$2N7=*c+&KQguf9$tJ zU7m?8^1?w^zdLjB6x2Kb7p8{dD>w1=zKFMw4K&l-1D9ixgLzen+h3wv!37~;jJmjSXvUuK?u znDfJzIpvdD8W$=Zi^>k(a>JZ{Mj_!GD|qEkn>L0e^xoZ391O4ZT=qX z~jVigEfzm=Jjf4f%bcVd^nPDpu)LHQJ*Hg7T zGbgRPySwSUINV(-vgZ&}tbHyC2o2a#Oe$wNaAn;vV>b6M*z~A+fEr;s$n*{3xbY7> zE$07%^!Tm9l#zXH%m9!}oQ0&dEtc#DvDEyeVZ1tVHnaD{L2_)bHm4lQ6nyz7>ggc0 z*br_rDPsumTGJVJ(;>)e8P_4Q;V4`56G-me(L{_a>1DH*tOAt#K324)h=owcFB!48 zDHXruBSw&d6jY^?M|603cAk(jMzgUnSWHN?E+)Z4e%g{ewyz_jC&M9ZiXdpsJXzcR zma})Pw8S+!ff{{SHRoH0QG2{dIJz8zzY(|7aJzpFM^*y)?mQWt3 zUs6XU(>$YXHZ1u^SP28o1(~KsYs}gmL=4?l^&0wj=-?o>e+R!rDc!RjlkVs5xV&r- zIcrj^*Gtc6UvInQii+Gy)V(#{$VK0z+ge)g+RWLUa-2_7{u-f|RVVEeE&u$!U~Zcv zTR9L=9od0#Qv8JArRzfIHrCmBiw;{yTC%=7nj@0y>V~7(+Dyw#_u$N~-`&udz$Anp z7T%GXgkz`^j~mw1OogI0wwO9B)}>>UYU_XQhms8THG(83Y~r_GI^l1=Y3Ie>{h)u$eH)4GBq?R(_oLM& zwf$&NWr92PkymuYk1kH>REF9wx=k!{AYWv8m!UG|)gqULBfY065>k5SP*_O0D%Ow& zXa|p{)IRf;{M5m%(y#kTHDzC*CdaL%s0=5*R|-%LK+VO9ZA5L;Sw5hLj9edy+NkJNrC#TL_Ns?QWP)N5*|%so|xm@p#Q znP?j@w;kz4b`>A#l}co{ktvg0@f-*P_&JE{eg?MmrSqX3IzLbDt5S^hNqZ8Z4#R?GlzkA73 zk_YEdfw4&|(UI=7M#250ES9`PdnYdI+bi-!;=~QnG9|DiaNJG$PCLmvM5jD_e`q zphXHkg02)kpXzCE^*!S(KEycrm&I3Wd>K@Kt)EhhJPgHh%Yd}K@6SS) zn)8d?u~+5hMA^DaM&TpyY%j!@fZxSSrQ4w#4A5Qr#k||Vd$$j8X4i14-YSZBq%$uW zjWo@0R8IU66f^OR^_ICSrj{)z`KuO~%on!BkL0L2eljC~^5Dluq-u(yExXO_q|7tn zh!fI&$|p&9S*&mN{Wq+|TThyU?A|+;5!K+KY}kiT>(5DLZo7y6Yow%vHvQFz)j_?f zS(XpPoTVN0U%UAL!$cocqvwhla=r2&Uqk+$@O^YkAEtnG)wU(sOffA(2nGDJ#hBFS zb`EVxC6D^l_h$p(Ze54kL2c4aqnW-<&<#+3)M>hq&f6|;xO09C*_>6EY)0Q zB&0j{V8M#RJK}d>$@y;5Ydi>iBFi<@mEzFV2@+(H(z-{u1Kq3Pc!|(XfP6WdpavHU?=?OkuZC?V@cf;UR z@=4Su{ll&Om0|-_HIyzwyuN0Z5I{e7KJ&a;?5>L`b}zNBtwnhrFA}E6$aRW(4Jr7- zy@|cFRFT|ru(kWQ@eP}6lpXQU-;SPdGQLP?09fDwPr1aHSO&Ot)vl~uw|JU#>NpyB zZ{A)-j_FzOvUADFOjRojX^W+mnRF$=Ykq1$KGQ0wN1Pc528j7HV~2tRfrnfR8|HzY zw_fv%>{FP8C%}%9BVRYN(VyKb#dgtmT!FbWYD<=kW0d15QQP6=u_vya%bhEQa!__y zD4U&t-Y+U$e{BY3pVptkF3IB^+$4e`4V36W?MFI0>RHHQHDA|06lJgbAPl&mYhcrS z+-0T%uFj6$hiM?}Ry*v8Lh5^O92j22SDyMZQEj&|)BJ!s8$zbl#DzD4Wn*#=VdA=s zUA&5QVo6m7UD+bYTCK!odX(~_T9@_Ey=HWPzs6)+XWj>Yv)rGy3r-#i#l`5RO*OJB z#Eb6T=g>|PyZqoe0s0%mUH{|fhuqJ#O==zfMeq6+4C4CO# zi&`gpVsOC!ktg=OHO<*E7k;rmicl_FFNOsRKkZl<>fkfF0$<^&S62wlQj2-Vu6Cvp z!1&kkbIb-*7qs<5ZX5Iw#Cn#=_-21vdZ4c#y<&d#q_cwsto}ISLscmDAqr~OU-Ne^ z`(dtQO%4wQf^^K|w5L^t1-Kgt+j8wwgNvZ~wwU#^p{?_Z)4ijh#A|hfMM*8-jDwpW zlxx~6iw1C&4AC45Pn$V31kN?L)vt|Y&pzjeBsW`pp#sRTr^M+JGeQEKk; zBxFWI)V9K0r?bQ&er1e|TgUD1+Lmb`iLM67eywNacYadI%JXt9uM}9~GKfo|f}XL! z?Chz8z1bjgdg8sC`~H>qYUKH9+J&kB&J?M%!xX`dXc;9V)v@gNNV=V3r*8ntwxNKM z)-+}468az{rE2jl1s(xDpq>8_XCIV?akVkEQDGUE)WfmmmI~U%j73D?jTc9CkLBVL z?Ed8Hs0U8B8C@(1#-1thz9PK}O@#*#BVx_3OzT^jvn z!|Yv}J_z-2ZRcqVOEZ%_I~h<^I~$V1ROw!)#aP*JF3HvoY#?K*WLGG~?qYuuv#c(; zDsJ~eG+Hfj%OH5c(rl}py>^ySTEb-`Y4@f*-7MsZ|nNGI-+Hg&o>(ShU)OP2ug2>+`9%vlc;2Y0daW1wE81{g%Kj>|Es-}L6+qS8Sch%h#A%(0t8lZj z3C@n3Q_S+3a@_k8~=Rrtr8%mG50cqbx4&#~rlluXw0zqNBMPc~d((FsB_WMPtL7 z>a@Q7e^uvEQOo=o#JAI@LN2avo!AkMMe<3OciokNx{K{ma%NHJn^R1Z-a;x!vgyru zHy1mmS0Y}iLjX&_d?%*ze6ck@C2@M4I`?yow8ZZYIqI9e7bgy8TODpAbGhTS*fBd7 zmikEo;*ZkDCR%aR2+xAJYPY5EVRnhpYubu7xAN9k!!Zv%ILRN9BRg_m1|6;mkK`VX zTwj51rcY+tn~x5J2-`&#OqG(*)P{|PUqOs;=pUo?mhRbQhY@D|I4 zSI%9a#dM2 za!=&it$9cwvJdpzn*TV4+Z5G**?Fa@wIfW+E;Xl=SSvq6&fwMB6v&1m*l zLNiyjhEPYLjiP5nq6h6K3GO2hD#$Fh#v8nYg}iq@>UYVIFXyJeza&^H2ic+?xxcpwsVHgm4E^ae8M$^pDrBRe7F3S7>-U<@BSy5k6f~2vZs&?%N`zWm ziUqWcCe(Yo;B6xNVnuX2E$=ck4HY`FXDG|#kstLZq}5Epa!I%nT}#c)I|F%3u|}=Y zJe?jwsq(l~GCAL-!|eX*dUtcBBSX?bNvv(DO=-Ye^xtI$h<5LOX!8?#6}q&L!muG; z)FgF;yvM`V#uTHLBy{YuBN^`3Y@F>xZvn)njIy1Zu+k=2D-!8jbIn4+gK!zC+|Z_n zfyi{c9CD%M)#`#J$L^wPNQ!vS`>KN!<}9b^GLjX*6L+|f!g^F4FF-T* zRhD8u<#&+~|2cN6TcW}8!XeI#6RBt*$+>en@7vk=-QigLNt|iyF?IF~2X;LZ&`Yx3 zW$BZENSxEp-8XCA@Ab01Fe`uUZ~$@% z-6~8RWBbi@7M|>knlVXl4_V!;%mAU(g<#=pH2&y}wmVp3+3UZo9P8`r%iqWCWUqh7 zJ9I;&lau_Ubrjc|GAa{n!XEV87wKVWVGvkEmaQLPfJR+O%;xEDbpMXFsrBO?b=O50Im{Fr;&Jz;#^VaxY%%C4CiLO=CpOJS7 zS8(?rB4x1K^ldeX^6WbGPuy);Fj1zWHJKJpC4({>3?UJdyDO9FRByy}sXA#?8v7OD z_9aON?fYlwBxNFRM{rq-)EG6^h*LUm7M8#}6;4DJE)HBtkHD1-N`TSQXqlT%GJiE~ z34R?%%XpST_1rh9!=Bkz7FUv506=4S)U7|Vuw|uNT8KA2$=g93*Ja6Aj(m#f$yt0d`I-WN7gLPzAQ?g3khMi*_Rvi|y zg#N1v5}X!Y;m||(beNos2YA6|mXdF4zf&(a;Gdn`b58P6o|ygmj1!Nx1k?WWS+7WY z(RR6{d!C{}O0+CZfx5EXRM7qj6!^AC*`K-=>W_5;(_PzW3lJS~GhRxAuFy3pnYT$d zeJxmozg?@B)Zm5Pw^pA*Ou<~J7o=2KtCC0X)hv;Pq2z~KsOO|q(c>^1_!v`dh?u}G zv;PAM;49|I?@B(_&hK)kC2Uw>F<{XJ_9R7o%jbYHUA0w%HHLoioZP@6gnj41&SrFHW5SkJh>J+$GQ%3g_DR&Q%QI{I+#zR*% zE3^;huGhF&Ys_%ZuK^Lrz~bq0Q@$!LR*^RXIJ^63iA<=ICGH{-a7U`$C2n@ipGYdAingv;v9?{a> zBpz(!)Kc$1V8dBz6r6`SU(>4;q-mS61F|EsWyHGt&ocyFoZTNdqctw2l+j{3(NICp z94H)KbjgcsW2QuC^I$pE|6+g;Su0Mx<2BMPaG{C%Q)Yju|9^o2cH<#a6p0*arl`d1 z98|-|4Ktdhl=Boqh1V=ygoWutCI7o1Y!&wB%wSO7MK(>kwzrh~nFbt6(pUjc(!-8xQ>V#uVO>zPXmv|i( zRD22d<)=$h0f@S3*K~A=Tb`>}c_TFcGH}k0po^&l8TJvdW>X(@-axe@5w+KBXGbxh zhiMj=AJEnK?DjMdX6 zgR;K9UT|VBWD(WvnJ23s8#}RA63em5G;E{JC6v3HnjxFKm`aV-vY;IzU3wB)l&&!V zR>nlS#gfB!yw+F#x)hLAtpqWqih26T*zC+~B_l+~7EnUDYfli}v0{%p+gM-CaD)`m zdmX!br>X}LE9a&(%LFFq8QV$6GRcGh2Y|ZltefUR01cwuv@AoIb5~f!uxldvQmS-K zB|>56vaOZ87u^ZIH3(P6-jyrr{f-K(t zCW4TTlvW^s}<9V;8i%$C1TXt5ms#>7(h$=&)_$57sTiBT$+072Rj`0LY$) zewKCnU|khc=HK}-PUgX0LC~PnJ7b_Wz8|NOu@figPsiT(B?Ybl<)F^Mb`17XhzkDX z(&ObC1VL!O zLg9q5ubZ~R8$U&|Wu5LM{?6BsYXE+Iwx9dOP&knXqYTcFG$zYV6~ZBaNcfE|abu1= z-}Td;5>%;`;{I#ckFmB>QgE;AR0|OiVT}QQ4*68K6;>^lX6F!jL)t`uv9@}B- zORH2`!;Dh)okri8XL$L z_qWoWLrNR%KwPk&zbyu6^&Glnr8?MZ`sbD$nm`pgvoFt`I`w$sES4WMFE0XO^Z~kyk&{LJ6h(g<}4b zbq4Y??cY0Acs$C;`JI4lfbh08a&Ttq^Y>4nif+blve0yI+^#;O^d*Z68qacUehRz2 z#)a3%PW4O2Z8FewSxxbChaIlAhk3{)j2Y?QA&p<@RK+~M!k5Y;cXxmgXf1;V-%$x%z_m-HuHBX z=>FM(mBU#ZZ$2FNkoT1!EHgSoK8JHaqn{VFW?o)Ug)`5Ne`c}yxh=X2QuRB3Sa}Pz zjPjY%+oBDk`r?%ffUayiZSJRw^@t%Mk(fXdq`)9vxngy&O}b>4M*`RLnl)VOj^eHp zmnbZ#^xf~k@T+; zwLf!tQh3?37tL!vWg2x)ib+tpLN{1Ed)!U%2ajFp30sKb0DQT+Q_+^b=oM$$Pdt9p z{z)Ck>n~T;r~shq7(?RfdnS&bTaRsNhi#(EiV|!VM`nsTq|L{`x=@0k$^2}eBfWpT zJ?0uc97GVfJ6>a?rj5faA#6buV)*%l?Iu*6NfC3mwA>rWU35)rNC1iwK~uEA6j0=P z!D0>^gI5tQ1{{iP`sq?arc6iR%+p}B$!?**LfWC14SI~_Ta%Ql4qF5KpRJ(jl7d3# zBE@Y*l=H+0AX8%XgegrAt$Mh+h*V26@CDxC}~4 zTmcmrDq?$U-!RX^GfAX#Ft7<@48r;&wi+q`u6glP0GMrIKA0aVIrT@mzd}TVf2fn( zPNxR{mh7@vaeb4pW*2gQN=_agG=&d63IzT`?A!*n2MtRLh(Dg>+K=r9svm zB2-dLnW)o*kvyeDQLpZXIeSbPMEygpJ955!7pOtlhR)aA@SR&B$tJ?6hP&7xg%v8O zgDeD60zjAMJxE`d4~(7Re&l#!JX#M|`yG7b_yXfF_DO|1rHq}3pd{wf=A;3E&of=k zEUG5hXwQvbF)66_?T4_10Fsr?YwX6!-b>r5D3YBI7dxt;l}UuwGDtWdV+&{L`Bird z2+fV7k%-Pqf+4+hQuch!nr>vO!Rc)b2tA3$0YH@T-~U1&%qNroRgJM0yaQW)h)j zxdDE+r;YO#)R1+O0Ecn~m7KyvPOSCVFK z9V2>;>%i7W80a;62Wrt0r>LCa7rgUymjYU33gp`z#;e7}-(b2+IVnPS8i|~!B<6)q zF&kwGo7Zpi_7gYaV@d&=T;*%bEalgF=pg1tNsly9e2#jK8S^Iu?bs{Zu(BW&4 zrUhY&qlJb}knnWgJrZ3KCan6T-It@OXIPGMH;SV?9oABonUUkEM_3O|DWO@eGr(1+ zQe9o>*ScQF1d0nym&v;fl6=aq`qhpe!x59$2+#F~zr+ISf{CbW-ge6Q4BQJ3OgVB) z$T_Vsh#o}A-k%eeAarW_bc$K91>TGNe-7lx#mbArnKSjA2zwfQ zK~sITg`^STZHaR+ph@6)DBqT*@CP7aTW#))VkX5QKaG>iWG{WWRG56tn)mD`nY=2F zd&)&@JTP?EqFw2Mg3lCe^GBQ}Q1Ic|P%KtY>O$G~g$;!)J&_;0Q7F|8^*${Q9oM{V zPEj?u|723Li#w-iZ#y2F&4BWQttg(Yi1ksPy@3uU$CbB@K;n@Tv*i*_z6gK@Q#P2+ zanqFZOfeq1f*WHSj8*U@<+B>fn9B~q1Oom8mdY#$-wxT-vT^38bHq%lODBGVB4OXe zdM_$EJ|GuT!o+WpW+LWKWw_-$Nrtd|UZz}n9kP;{r+LoJ zf_B{^inz0W(n`lG+(6{eZFFaUswcnozyl9(1dYlq8W0kg z;RTW|J`!zp33IrRaBB);~4}{51>$W8|76fI>_@* zlnJc=%zx_a@dFQD(!rX8O1+*ZIvoy6D!(w*S-nCG%B&<#Mob7NY{dEkjQK#iB}F`S zd1}F&c9!7#3{M**=A11}RDzq#9gb4=8`U6F+#m@W7}?CNiTI{rs2TEo(t*8N>4wYI9F*+OnE)&| z3}r$EM8-s`^G3oq_nbxZ9ZV4cdez~ar=k`eR*MkWt$Om6748Wewflt*NSx6M@3NTi zDI4JswfW80{&XU+(UZwZmwa)y-_iL`!z|R0DK^+xfEq1{ozP?X3bYc8%qNXfwVI(o z;lYax-U(QcEs<(I=RNwO&AW?fHE&~@xwYcqj{{N~ALt1oRepq00k7bk7OP!j=K7hP zMz#d_lh)B5c?u(CD_t}nl-w+&@yc-+(7O4jWT!72*#+%8t%@d&A;N?GQp!^vtL_4R zupD2d0PMlJzWBZt1a{zU*;J=IWCMA7VuIR~<+PzgDNhd6$qLc|6v!SHGhbuHDJnMO zkjkv4Vt%JFO9Xx1E$O$*3wvqKyd>KZ*mMzR2xVG^J2cp2Vm<~Go`ByJ?3N+D-9Q%d z$;w}9VTVUjtQxJ|o{h+r+=73q7r6Ie0RKg)5J3SNyUBKF0~w;+SYJ;~+if{nwLBCrM@H8ug7S(~fj^J3D=a2(@47s0Kb0uA5~5B%=7 z?Wk8$o(RHrbQc^FDabBG-s8Xo;Za8@hT5i1#4qJ7QnGb#i2`;vP8lc;^(_?DhnN2P za8MMyXFI9$rz|GO^#agAc!!s+*Q>6?cdQ|fZ121UB)gR+it22~)gCQheJRnv?R0Jh zytmuq6)WW-rNi7}nV1VYr&ZHUV!MDZC(PapRI7!|S^C<2DXMa!S7awFldy+>8*!wR zmrBp=qr9WtrdyRAitHq-2Guf3`0jF+@i;%Gn+t-;(nve5y#Ay(Pi*& z!6sJ-+ekK3w)MK;D-hA=&*hY)I;M6pV$^IT2dG{jKuJ8UepXjx*di)LfS8k^ZzaE_ zmeJcmRn?A>2Drpfv(t)Tgpa?un35NJJ<20Ve~^XHNbmP07rw!&6%f6`8^6;ihX<*X zj7Pc)!>Wn)`VlC{i((bIn#i6p2Gf#Y7Z^8?ii^X0w~Cl~j^(KK|!N#J_0~bxz*T+4Nwp^xEkY)&Gp1 z)vwj@T{eIEpGhEF{ujaGED^%XCDM}?tA+CGl_QSY6TufH0zA1=Q-eHW9=2=DFM37F znbM4@j3#4%O}k!px)3kfFaL7h?~XE`WN-0~a>*=;s1nbM09+S&g=N9Iv+5ydV7u3HH{L&}=xJETCX@oxek^iR@+IKC1{s0|Ld%uet~Yiqqc*+LxcNY&Vh59Ep}{m63I%zMd<(9F^DoS zF@fnuL{^1MKfodOR<`(anv4zLP40dLdk5I>b4PW@03v0e?R!7?Qc`tcP{9029L4{t zmr)=(^(Ip*JA$v;`si*ITL#Y>73DU#)M=`@;ljx{Cp~^g3a21}$RkFFny10ysoTUk zLgnf?!JK#TjCoD#Ytap*e089;hg8Pzw`hJde??o;SnK~x1W+BI!QUg0M+grN=m1Q$ z67(g{i4oLO-TGUfUn%k?Q>nI^pH4ogpqo$PSpoegsi$oVYqWm^<^GLi#%`&(#h%eY}wh6@3?k|akF$yIZz%WK8 zA6%ip;t)x+-}wC{K^1ylFYk7~WA*F|dWRfuEzIt4IryuU^gdv_et&X{6`1k<(uZNO zCc0Hb>sD6U9B^o>JNw;+xGtUh`Sui*l4Tza)wbN|qlq$28(*;aGXVc&&gsQ|8*g+I zF^qQ)x1Leb;C3Z3=j^CeXm^3@H(5W-oP5-;ydRrPP165XQrj}|Sf>j)Tx6JJ)zph6 zM#Q#JfeU`C9srSSb4bOg3v^9)6OqE(L2^;W|s)vb}#!D8pn6)kSRABsKXy*-UWk?Saq|49=P@(iN)qMp}VlDl+}UcT3H^VG!%<&k6) z2HsWu7rkV5SpNo;cAjTAi=M8YyniIhb|sAJe|qJQ;(xk|P4yi5L0;1)%(ngzAA7`n!OnYk5a{C4 z1$>+6Kxo45_R&jFkiGmO$Q&mXY+NsNvN_}N>iO(umh4y8No*f7x0b{`u|J=5{-=!n z>L-u9{>yg!nMD_9Bm2YdZo7MQ%IO_ZNTy;Ifpxop!ZFxoBnfwLbWZ{L*V7X~>ZV`9 z<-Wo$(bMD9>saovkg{h`E?`zwB`moP8c@#mJ<)Y~>zx;y8He%0)QXNt8bk2@L^4%^ z0bb1|wp+5y-H`z!XH9F__0{NwL4_}!vMW9rYL0jJr#=fN*0vw*%IY-tE$eq&|0=d8 z4f^mF3YwqazYk#dna+BrOZgRjx_yK$%ar`+r|5s2cobqxC}^~cpqQ_)K4-vDQ3X^< zzZO}aQ~Ye10H2#*Tc5ATImJl9&3OBaEXZ&M1$Y)5p9!m!59N`99@s%CcZagwq~~G& z8xL94x$P`&J=0GQ701U>{TJ5U8L|DF-&X%zPc9X2wzBf4D+E`~c-!_YH~o21dH8rB zy)Hj>>&TeZ7>t10@h>AXOjoF%o&YqVhB&^X#`-LU9HyBo#lC#39X-W3caSO^lRi63 zaFgJ2brOcbp#wY)kf=8^Lgz9P5U4%*v!O>X1ql_$+t(Hyt`0bxa~LLgrv{CRkcD9i>Mk$_)+vc-tq^u(pEgYH13VLr^kcIC|xTi)nXs2nK;h;bC1J7Jwn!$L1c>se7 z<^>EK7(f`fkODIdh8j!>7%MP`U~s{lf*}Nx1x63d8W=t>?O=TA2l~*y{sH}FK^%1b z?YZ+EDe=Jdw>S3*QoYA{v7{mZ-WFsgJ~LudBz3qMrU-COF$Nf?7z?$?){<&dEF5? zcd#hD+q@P~U%{^BWJI~_3-%#4B`AT63CB#ssbPII%LshR-KyQ)AzEq5a(_pN7CE>) z_or4nTA&jt>i?^{^faw^VGP^-8SL9$b^Yz!(4@~mRLO|@dDs>#`t?s#)TIBP3eIxv zXb(7ixm+C@9J5Y)cd(^SmGIx%(IE06QW^RH2nI|pvHLR^UA1&+?%>V!Z delta 12803 zcmYM21yCH#6X+8lXmEG;;O_43?(QC(!ySUV9Co<72X}V}?ry>Dk?;TNy{r1o^!E1j z_Vo1B+-|Bxj76LR0E79&G0grKf4!j9Kk;X#TYFMyt=ZgH#5IiRCZ=o-8^*ueWQ5xv)RE#nlR{^ zINbKxT&Gd9z}oJn z_l#bGtRo}A`LDhJngV3A0*_r%~>q~Y?bnRDA{T~ zPkmSdh>q!@;z(I4`ymQCE?i!B@!ilGt_4LUqd z4F%B9>F!#bliCV;2EvnyTvJX`N`*|5V@a4Ef!g)lSTqHo!;}E-SjuL-e{BpTB9^*x-X%-34glBr z1I|8wO+`ZxbDNO>YVtnWp^rC29l5MvsmJdzYo@r#`AXiSs~j-&25XP{W|8^rGmngl ztCDh2%zxX+I|Z#C`mPBYT~O^^R;pBPdGvGfMAtVe3DO1M=7m@W-zLm8I@9W!m)gZrQgLW~qioCUcmv&9zw}LFl>>SKN3^AFQ4*sOotxb8=4jT!20CcjB*Q+ z?yJcL!91`2;2x?|SNpiyE18Oi!c$``(C7Mj|Ga7f$$xg4?en9!Sb- zf(GV>1q#Q)Gz_DtjZ5CBZ~WHSFciaKT>* z&DAPG%yn8%8EQ-tw`qKW6BxFh*#%%_+ZnuBJ^f<5xz|+LlJds3UT%!LTFpy`0v`5c zOx~9O8zPq-{LJRRI)wBbqzi*VL@nJ-`0(QHrH4uJ#WJ2Zg}L&kF#4X+c$L0zLi(rh zr5eo8P3FGNS>46W66!Rh?i!ef_KuE-==wIJSk(@ige%DWx5#V9$zPxv6*T}RG53V6I>TvuFM#&qZG(F`&@ z36mInTsm+*DZzenV2A!l!OVg?(oxe5ZXj-ECJ#cCMHai!>bX1>>L9u@7M#m~aBmB*PXY%K&%F53Zq*!|d5sGkid86Zb262V*cnEQ3 zsYXOk?Pt!8dJ{*^8BH|`tF?T{YXG5OTv*SuYax$r&%>oe)8(Du{`U4*&$Hr7ak4X) z=8~_o^B9n!@+{HZ*a#lLtkHY& zQy!+CUc1#4My_f_v^d4FibDt+DZT)!!d)t|>#jGM=I9A=mWTJWwKq)%+K0me`|7b0 zJ#v`mv|FwrgPCe;$C#R|YW$>B)+SFOgr6&=szg~Vd3I9UNKO8*9wW4ou_DCCt@9|~ zj(RmE-!2cw=_FF{(tnDHXQvdf9Y^lkk3RS(mUEMidq*{tH(${MHUt`$j*3Ru2oBao zvjJMHfU5p`h=JncxBuH*4L^S?%(@zxL3YQa_|DPH@zYS+2S!GKb@)$JOIvn5!(^1U zZ8_P5>LMWXXkG+=Ak#QPz`i%QOh`0#wdYjKgPe{IQ>uD(1PcU6aBCUT#rTHgQa-qJ zm4QzR{JY9}X$B-dNa?|KFk4NmjVx9deY0;%)kJpVhG$q5LEo4oJ>^5Ud)ZxU!Zg!J z%AUja_@g@~>V9|e`6?*f@ITTrtB#TCi4Y;2s# z`q!<*RFNTEQ7JPTHwpWa*=YfH-vyuJX7UY{?3ugE*etEp=qQ z)b=u`&zuVPndS0%tT`HSw25X}cq-b9m0nm^^xVmGYTpb%m9Nz=QMj!%F8e?YZOk<& z0Rqj|cCq+q36C&i=Pwg%2wPFJ%i~1f7R5jX!TPS_RC6CO`GJ2CTI?<4{#=E*QcHW6 zNyD}FC|e4qjp8DveG_&33!Od1z@c;)AXit|f-0-=W!ockL{g9bT=aaW$l^E+5He}w zR5tCTNG}EG49k3%Zt$Q({~a&vc$W=enDsR4TvTnDiCzxY+7?$JJdC?dihOL%fRnmr zv?KY^mFjmQn8o9o|24Zb4%vDE^%75qLCr*eDQe_#{0AyiOsv*?mp}Jxu(6^F&I?zk zX`ONQC`3BHm|1Jw6bi%M%`3)p7~A$}+%K$^oyS8!ir%x6S1h=f%(E`I{y_g|-UXT{ zUgNDBGBi4b4@y9Ice;IkQ%8wHp;lnq6+yPJUY9v7WC?LOnD2^cW|g*4vu#Pk(tskb zG4Qrwfb}3`-79-7SwdDXB`w=C<5A9amx~)pj<7*gVsb=^sz^D)n|$tSgFYmW zU$_VelHf^Yf0D%IX0W|P!4{U4LGFK2%z#3iwCnP4YxcR=?z_xS+YN(K0@ABa`UYG2 z%Exvot7%+>xRc@(<3K#OK6CljJ6#YLZ=SB7pNqA-p2T=b$?yqV49a;!y@`0YR?^=x zv9$Yg^9*&ADbHLgE>SjnH=o6nbumFdW#I!*(ey0psy0mJ511-csTgQjo^LKBvl%&% zlRzWX_}ca5h?Pp!)@2;{e-08+=0jrJ1dB&xj}*VesPjJ{Bk*>YPEx=%WOf(G=XnM! zD^l+Bi9JjNkfCO#n}ScN7PF+gh1< z`=tW5*x*_1gfUjum!(S1ipnH>J?)Dze^)veBrEruXn-ImS(Ze9iGz?Ow z-G?*iq{^93xmY?axN5@!Ch$e|caJcjYvp>phvWFBPi){)A|f;?Z=j4*BSP~0xR7|B z-1ia5`V}mOyNl>okznk>_?TmbppR~JX@~U@vl7Qmgl~_`b8%wZ8F3@j8Q<_|seAhE zBLHE4U%i*hlSFfB(*B2272BYqDIQ1!Jq=Nm^)5Pg^9dnecQ@fW+Qf=KA{_+S<@kyC zhy+~sK0yLPIogLZc_SK_Ltb2$at3g7_cbPCJ2KJ!X~I#@V&Q%CPkeG;j&+zVwLVE> zWu%;?zpc$IgWn69S8ZBs{{vC#iOaPTb9r9AyLaTDaIK2JC@uk-v2yVtTfHPf@@eJ@ zBGc5c=sXF{z|r7W?pJlM6Mm+tvXXPl$dCtLI`Nr6o>dWtJV;9}My=!gP)%W*XuF3Q zZKAO`K)CHL#!cLY#{(FUQ}gXLCHe$z)n#m$3_$0kpy0(G0dsGoR9we-g!!Wt=KbXt zi&z356?HPY<}BW7$LUXle5@ctfBnsSxb?uQZ7L^NSHp2Fg!Fkq##Tqz+w+MfOT{qN zIwm@7CY%g)wFUl^E496AEY)q}Wq3HYmi2nBQ$F}hM-!g9=>Twcjd_!Iq0fVs$ArMn z(T(W(wej~XE%q*N4jNH4wADZR0Y|Qrt4)FOB{tCU7lO?9r0#O3Z|wCQ>__Jn*}CS} zETF9;5+V9UCHn@H^g3+|*!Y%-)Du+x6}Ev1D4E&37Uj{z%c&{XHrLiIIXbz`y?g-Y zCdO2^il)`9qcR|&R~l2jt!8#ay|HGt346jeV>Yy+6Gbq%b|G1h(77p*Vg-QoZY zcVVUSTbq-F!A!;%Vx?{%Mmin;W%MyB>XH7CKS|j>%LL@iqZ?e?EG2i@nbX83$TPX8 zF|BBgf_A8i?J>!5+xm!HoWDPM_tX$ROu-??UtN6N!<+ z#CWFXn%AN=fQ6e#RBH;{ea^w7ca9|s!Sq#TcuxPbIEn$(S_N9=u#Q-G-xfVX>=)Ne zHwY*}iUB4@JrHTHVBz#MkBr~4PpJ9jPcLEN=uSx|dSiRT#4%wl`jY&*w^Q8E_+7U} z++UQOOP>pBh1+VTLqhnS;Y5t`?nGB#>B*!eiwndnmizKSRuhVDOruKC&S}}g7qQt( zW_Zu1R#~AOfBV;lOX%Jq+o(h~ukWi6GYTj5nE)eQ8)l6hIKd6nS-Ye~xq~oZosBH| z^)fHt7R)pHH%K-X#h;$U4`U)KWe(*)J7X>B$j1TE?|q4|RqX9piL=182;yj~ zX9cjo6kKHc=hKVrQXl_LD(ana5T%LS*ISLJeCVFzEiv2vGn_K(z;mJ(RiECtbo8h* z&@4xRqSAoc>6V=-{s%-Y9jl?WkgYed|1hi5>}Cj268xhJLRlAcQ-Nc>a_l;BGIsx$ ziQjIq9a(VVL2C^T@|nj%Y;01qt>`qlo+bc3&C)Q>M@}o|ml0hO+bnlVj}-0JP4u8l zn%Db&NkVq)K2#=+lBHp*wf{nui)fD zt7hI$-$nJ*zTz@Ggc1+U<^A#_=DH4$E@bEmdOug>^nSqM5nk(*a*fBU>G}!ipTK=W zXMpc(H~K|#{Q&R%8d-T=f-6UXh8ha}?6|joTHhlVk0X25ia;aAj1#=R)|uxy zl}RO++4XlDr3GW-65c$>|3+};{$bt?U3i7D6I4CD`G^^1v1`wY@K9hV-t*>`&^#+J z`=zP&?>6hr1t)xv##7Tv+v5P>GVhc@?y(Uqb%MD(fwncrBqTgA^#_Fu()17ro`#!E zCN#22mB0AdRX7n|9t&b$d4SB6>6AdK0%3dwK9Zx@U_ilEY06fpevL^Kl>Gd1<1>2aSf<)0b8%KRs`LRRR;h~4+jymTE9aqcuot~69p%rl|f z7@|N)e?9l3X>TrzdZFV4SGH_9G{Y3}lnaM?eaF$MC+3vL<_~k}qOg{AcBD?hojj#I zzcMCDMe#*3JyHD$d$L@SRTdG(g;&umeKHSQHq_oPm(~sHg;hFVjiTzNwIcZm-EF*5 z@OC$_JHf_z`*e01<(W$5qD7zc564KbbNq=x-|NTCkb7mep1P*QE||QIN^Y8-wchoROIb26my{po!T1yo!5SOH4iJZ zEt;{t^<=a)SiM1tk1l2LpqbK5OJSDv@ZuIT1AD9dI^G*(%4AHuOTgPpIk$X{3fftt zz8cefR#cX2CWx)&RAMFpF}zU0me~D5^kK&)wHIJj;w{p4Q||0!^CDWz28cKA!jG9G z!XHYyO!$iN%@Df@U;C>@d0{Q+ofmb@KsxfbW`@LWS#MdAww#Isr(4myZ8Je%FP8Gg zE~4^ys!9O!@GgdUrK%Mx+n;(xEa)uyXi;`NUFv9@+z(;GN%CaRg`^m@z_QwiOqqV) ztR%p1JA#k0gsj&m`8cH1Z|%X)^vXYM7S885*kJDB6;I6Opv&{q5Oq9#4Hs>5^A)X4Lqk~aj=#?*>V_bQp)g~P`n8Hyz#!4zs;-giSSDkuJ618h)CO^~4 zra@1-!c(1E{ZuSOBY(^)H$+UJm)efq`2l!}xPV?o9r5X1@nZhqQN3DZUgb) z_LvMSLS?X18u-Z)=N`nJ4PFB9uBo(nIpKv3p^^qC%xg`R_@7!^t)lo&oT3C^uxmJx zQ!D8r_=Xe4T2k7qY4(Z}X5Py=Kfp;;Vz3(rQ5o4IG1XIj&yE7wy~;|e1bw36eeRg5 zRA^0yI$wRiXp~m3k^%myQ~{#1AU!OlSYDmNyEpveoPfNuxpE17W_y&HmZnqb@QV?t z4B5&47a5t*m@(co$rBp_pfT8qD#}Svv3oG@03l@7M_el!{BsP#!vCEFk!AaQg8JbC zIZjwzOV;T9+&@<^vo2AXQSBr_{0tY&!7Ssn_HzigsAWzp~rK1bf&R|3I2|m|H|jolCM(Th&0T-lQ>+7>z_B|lbwgC?raE1Skgk!ChBw8s2_es&Ix zW`Yn6SuiI{BxDa}G|n`*lO;W=fXMSVW-O@gre+cuXp)CCUo2*< zxbz*xk_GisB$;-mSIotr23JkN%O`oNV5YYC7Jf$0-w6hgSy`slVAvCZsr zS{`rgH(pr__p&Ges_3fmZ+!X&gQ!Q>&&)&L?A&De#I6aZF7+U!*FPixzXHm%Ce6!o zzdk(bmG;bfWUlB?o5|LSPhdh9Tp8b#S>1fME;6AXISxs)d^fJ_UmDqof#523U0R0AZ;%SWgbxm;mxzevMq{S$WI0w@4^crBmY` zWZ1FDEOIuslWR3^;}d`^I+;V66%I&g@5nS)DRk?jSSTi!t&#^`TVlgMC;mRZB{EE+ zQjS`40t=~R`)+N0Li^=J1E6D=1g*O2wD*^*I!jwaIMx^w1;(i*ln6et+&dj&(n#7=kxIWCqZHDY3M{#@$KcEPosOCHRt;kb zGDED}BO3zSF-tnLU3ht@*-7)Ls+bO4NxDR@`hhQS?1+{qdB~+C(mMfS;C|jA9V9rw zxuvK)$50HjI~~`^z8tnH8IY5Ys7ATeqbf_f**1*(X4eo@eAkRy6l%MTta;qF-2zCr zfDu`$R4bFI>KR<;s<^uTqJ2gH_ppBA?2JODXhG(y|&oSaCO^ff<8l8ZxV$JhzrtRdb#zueit zj_njdm;5c5wh{6b--sI>u*xS?L!UxxXXPJ|>GWfQ?k)Y1KeTP0yF;#cOblDnz&o6& zP%a8Y;hqV^5fj!p#%4s)jFhCKSAi6}RZM0Ue>t5KAXUUhW6e@0Q-%wCJ_F1mm9YK+ zqe12oXm0S52BO4|2jaNHmq#Bm%RL4sn^TlnoXZ79x`bXhw8<*$zpInyhYmLzL9QaR zt;chd)2QR{Aclc=TWNgk@e{+t_J;n1{X$D?F~cVU7XtaUl=mQy4%Z~cXRK}y2VW`o z{JRs)I@{M|K~qk#-8pw6MQng=4ba^2qu!9f2O*5PgePd4X67pv4!lt9LugUBY7VpA z$auFS4gNwde~`|OKwia6duNGOQCp3glxP@NnM$$HIYOg@1kQRC zD<;SwaWil*h7+A9{G!QV8sv%;qJk~mSLL+&m;;i{tT7JbVrzO716)P<+z(kPBBc-_ zm5hgNQ$=ld>16vDxa)@-$(@EC^c+(CxP~n!fL7gE>jFFIslKz5_BT-?KhE2w2yiXU zDZ^(7dLmah3xH~}D4DcGjvF;0%&7StWOAA*EY7mGv}@n%fgd1ET%dHb7G>T0jG%vF z_c~p!sP-1w{52680zhK|W(o85Y!06mL!zh!ys1%Yzdd96mWBm^@Z^imhbNMW#V@5xs~R$yON? zQb~^=yr|wHm*#X6z5L(S8OHn@qGXBWlZktiy1_qO025pqCV;wQrITx5LW-X7*K}8P zW~LS3o#h~(X~K?WK)!|yyW+sHA!^hb=IdaScxEk&_Jq+kzG=YpS(s2kECb}3P zt>&o38p?!?&`45;>#YdSU+_=kBvNNfM3-{+ubJ~dI|*X1ikhEtU>gqzTs5dyeuG12 zY_$2pP6Ke@y|^aii&PVv5cb<4^}edQfsCIhRDXzc+$oEQmp|DTsiv|CE}uLOG=OK~ z)RXc=Z6an7hhz~a_gLiTt5F{%%}p;*^)_SwhW~;}Eh=QqWFFIDSIi4jZ)8rWXcs4p zR_JUrD$XUZTfjuTn?oYq#%oe3G+x1-fke-=$_%LD2wJofYQg4t{2qUO2u@@jEJOok znJqofDT&iSmxu_xTQ6#5t1B)BG3V-vG*^6p0{YQ6mxiBS{5qgn_ZQB^e@B!i)v<>{ zg`jXj%qd^_^Kj342wo){hKv#B)Sd^{i%(d7u~vE?MsLMx{OZjW27e-j&2oLhT(p)D zV*36 z#kyVlyJjLUw8{#x^15=8aO1 zhc3hHv8J_9 zS&dUG9H*}AO`H_a7M#jr=a!d|9^)FI?1!Z2HpnfPwUgzZC|&;(41DVR?E^&FlnhcI zQ0VbG(dw{UQp&?uW&Rl=S85@4GHedOov;$=^)uwV(*_lC)#a!JvD<()gMs>E4Pt$c zpzcZ`9cGST^XYOA7}a|i%ju@*xK7x?o_Y^EBxQJOQkQ(}zvEW;T<61&q|PU;vgaF0 z2T8rxlJ4*mKnr;td-qEGUy%7?qni;UM)8*GjXZdqn5+PX12Q0c2AVe6)GELR^ZA&$ za^yE_MA zIaH_ksvWO3GF)oGV)=`GK5O#poh{^TfERlZ%yd~rv)ItMOLFaynl<2hbpQx`(mJ}_ z;)^qnVBHOCKJAhmAY=zCLr;4A?*^F=IV7XkV-9>5pZa1r2kv}*kAnZ|l>n7~^=}ay67)Z7p#gxNkm8gYDE1-}-Y*)MQ!dN@c}W37qXx<6^G~k^%7*_8LR0a={@Mtu zZt81g&>^=8Wm~NHovzhv3Fsg{@v>DjlX=g^b3*h^w~AU7Cfd6S-k4uua}VTu)N`2uC0fp8xh=1D!Wyjj z9TWvi79x=vMyU0ifW( zryoF~|Cuc7K&tT4i1t_tga@k9%W4ULm~84CFKCv*y3^Pt8+(#GAj2bcNi8Jzx9ce^ zu&`yh2KHdDo_G~M%?ZZa&Pb-yn#IVYqjCOlsJ*;m8qCFWwpCR)=&VRm?CWd9cN)vO$~;SDzg2UdA#xeomy?UtyJ{!6)wGk8UNfp zG0I5`8L7#ajLTdHB&_nnRsLo;w+q&=vkSMSSee{eIP) z*|8@WDusV4RHKZ5ctR-If3i=S`4&Lrk=6PY{kJHrQru`rgxyaa^+R8D#(x3a7;)pn z(kNJ&PY=G_g>hf#H(GaaJj)p__%`LvGo>gFwDI!PS?m-GHA&{N#%ur3=}l@}3bay% zok|k?=Pm5mwuEwJ^btSDAQ&y5$PGo7D+s9of#knbyK>B<<_11_0{&lEH?LCviy|yy zpV}nJW8|CJ+TxL7nB)lEaeOGiZor5T)AeAH-+N3NNpg1$#@ zbMh+>V=H?;RF{$hC6rMcA|hAz$65kjci57SAJK@JA9X~k^Z!5eRP$GrG{gU zj4~Nu@EWK9w7uD8fa24R1-@wqp*e@D67ZKBpEcOp#mViRwHffQKT+;hAe0x#ur#jxlk%b8l^6QwY#pz927s08g*!8tMzmnxnqEK!% zJ&k=(!Z01jk^uR}QqD`eVI$4@ESgOa66E;*dmMm(|J2wJ9^@)fTh#&Afc38msNq3| zIPREC-C!7C|E*)RRD20J0ah%Nv8cyqks*Tk=GU&MDF`LjrgupHTZC(m9TnqO6P=$O zEP^O88i5tbXP*5TQ=t%|zh_js-_XOu1hwK&?Yf|~cU^qK!Q#gDLbp{!WA={$E?v<~ zF$Jl=MaOoV9di58+%Y8{U++CLKZDAh#q3Av$1^+^j5J78oQIIAb9)ICmBvtXF!&nt zuRsk~L#Q*RK+#1zf4G{q{;QT6U%6|!F6gYMWIH(DM9?@Of5VT!+5dm5-67f(YIpYb zbQh)#BfHWcH2e1yu-UYSMB7))x~-}4x;TY#AAeTrSR&%bv}QcdmP@BK5}Wf9sV~wy?V?(ywpZZ7=eQ&WU&FfcC z{Y}AVqG+~qN*R*-36-vj!3r$7F?1jUo_TrWjAM+m>PLJIfYsRV)NK`n&>mzD+ZDzC zEGzmjWl41!z;f;uvp>l26Sgpp^tyH$^^S*$k3tn-zCV5w6p|`n{rvo+A=2J8t29m@(0FgqykTW+ScYz(J;q(FzWx@ zQn*SAx8_-Fx$p)&CP^M=36T_iM4U$|d^8c{;?kv=%_MWnOjTboY=vO0!v$>P0i$DNsVo#Q^fRnLTdPzrQk zkNJ>SN>h5kSdcs6M2qC`(oV{3h$3a=ez=nfWT9JhwozEAHT$L5a}&~ioz%ZcOo?2D zOd(f%OQ1Z4f4-W^kb@4nWcPWHo%674>pU?((aTtWd9 z1y%P$B{&;ucXJYJ_`pOub|u+bS!Q`Mcyg>SGCZNvhI3L<1$$nG!vzl%USHu~r-1nk zQA#baK3hnJUMF5N(8cFB*+h`dmzSLXz)(`Il=LYoIVTHlnH-?ly)HTb6YCHo0X5^{ zH9R229@xb*^;doYB#i>r#x18whf#sRbKh2d z!-tXM2d#wL`mJw&><7!FA$)Uymj{-N;h`YekD|680R4QzB?%z~>PP)~L_`LNppB~? zq7FhTXJ_IT^n1#g=dYd%Lau;rTzpw?RcFk=8O|-$M4p*WqAXdj7ZJ#Gh-UHnywdUy zX~X%CedD)NbmO;K*xL7rPtHGC|K#nHzE5^PdHX9!aR-v3dRG^$Ksl(jo{zC)i-r%!0 z`0O`7`%MiP5JfEARzhZ)L9O5`z+}Ym!z9`8!{rm3WW(+@=^6t~@@0chcc@#s^jdcx z4>VjacWQw~n|>q4{gZDAJvHv#ri^DB4A|da9%LZ<5Z*skVgFP+4A^J*<*XVOpU>J* z3*>8+t}$j_Y!V&A?|34Q>JvzbQj;Cb@lz6H!uIyU#z?F!P6ZhKfBAo+vAbO>|Cjs4 zl&FyG#(iTW1G`quWi=gojQjcjjqjqzOsusJGan&^8!)X%4VnCGF_}KS>Gx~O4tgP* zFkzpHMgOOK4?IQx;oa1g7Ja8|-rY6IOiN+XnS}lGGWE>QSs4PjE>K1T7ct6jIWL?7r)w-6cl?!=R4&I$h@++U~_ND*v{GNN}`pKa; zFZM>=Hg5|=kov5!#N0k#RWM+JzPz%EW2?^%HV)h;eJU+o>C;_(7O!K!yT-tD9O^?SS>JJY~ zs$3mwb*~u5UlGcFR_Jj^LBgutu=9%OSe<(_Zw)KwmzVJksj)Vxd2)xlW3Lg z2j=~2+c84J4gu0NF~j{j9#iKvQ|<*qii?XwVoyjvQ~gWA;U`tVkb;+PZ>5hAbAAjy|60@IDn^$>#Z)OxN!L1gEZM zz5ku#Dk2kRL|zTFDwu?41z@&v-Y6&UJ)iYmq{92M%vFcJLvW`VKgVeSb(u55GTR}K zwHJTHzV?1OD8yfqF8dJA^$=@T6=_<9=BqL+a&ENjRW$5TtamnO@pVZ)$e2-}*2$Rbc5+@6TB-Ad_-9=;y7Tg-qE%!{~$;Ii8(%8YDyApv}QkPS^ zpv<MJAYgMtHx3R8+DkSM%>7_i>AI$DB8g zIgi}#{&?+e8Dip*^BnNCfsQ{Zejhx_Zvm+h&$V2Sv+IPvRY3E(k5fD%Oa*0z6%9Xc zT!XspPJo8XmR*LF3tiHzgZkaie6%=wr{SM~(Tzt?r++dn{(q;99Z_1)tlh^Sx}AZbvuYz=o8QPFil zT`|07UCH%85zp23uN_xPxbb=;GdpRc1^(a2P7^-`-6*=BMB2*vljyy>!uzEkx$a|! z(+zIuAvm535~XfgF!grl)=r}mP7?r^dBRV3S#iE@U-Us4=aM;Z#%u+nylD=;=xEq> zwC|8eCBOP*!z36_m>+pBH2aHgF3#!N5^cpRq0q42HT_|Du6xRcpFNj9`Dk6%m!6KC z+zWV?wL*(%QImxPD;AlKI_C7qlPO(E!g#zl=i{=R*%LImO0x1rIv3!fVi=v_{W2=D z4~eIxVIlD%FHXs9SKj#{2B`|_-gaZ>mn+$(@TGgsv2sHNK1M&J_MXm2K6TazIXqej zE6bN;@F7;@p=-@=R&kB6OjT9muU|5<%M9h!Flx@D9c{cMLY7rDq+G9@dE&7BA@(A< z4M@+#j{q`r(Ox+me!~INwt8XTd1@dRByPye0Zn#Tclq}uB2vTwZJfrXX|WoqhPXoT6NCF7g_qBtMz{jzRcqrbrexab^e1nv zBR@^Q!3^7+Xg%#8M`+tMQ4lU<`SNYy-*1q^U!RhB=G@5VfaddQfnP83GH#tN_14mh z=L>S;K=hFFno59w7bAx^ra{(zlqhoc@y?30rUf=0az8+P_?yfF49YCNCcC#grT&}! zZG2MLU@O1F4(fK8rm8t94DGGuss9jy&ZAB^x9%axl}?r)qaO`Da-$VZPKvP4`BUl5 zFj~~9g=EYG<~G#NLdrWO(SqlqK{w_`Q!X1`YigE=YPw{2?KH(vs{ztdd;Ja+Og#1h z7b|C~!tF({Qr(X3vfcIF53D|5Uj3PLq8vG1$ zMM?G#L|e^pamanHK5n}Xbne{$-on!ub-fe*9pZ|b5R)DDhT5sw>X(o&bjestL!1 z29%(TvyhB)eCf_N)YwLA&~pDLG)LyI5%AuNQwR}EG|@OPclm&nea;!0gEk(1eO5$) z4`28X38znCy-F`agSHW$O`qB6QJn7G)(kUD3M@Ulb6SW`4D@{`wLOc{1U!kNlsYjel_UnwL!d8|4$+_MQIliKAcKa{d7SV`J(U=T9bAzYktC zeAlajt#Hga<6D%zL)Z?UG{sO~S;#(_V~zR{2CmO0><~m(F24|Tt>GWTR<;}5fo_$) zU)WC$ZxfdJvtGE~;H}jPg%*R6{!YlM5On_;>XWpHayuOIwCjsoP0NtG0a^{7yY!@r zEzo5BL(TBXoG=Ko^(mJVZk%)){r{K=F-UMWJFwSQY8%i7mAloeLkA$)moRk zOd(fwE`(U8%!BHrjuMjDhBOGdYohlD?voZ8 zQ8Y}tTGal!7}wL9ypscF8YqsIeI5SaiI9Qfub{#h2`E&T^kqA|Q?B~I<1B}F8$Nux z|8m7E?Y9=wq!S=z098w<&>?|e6~TqM=&IAU#@>nx83ZZ`e{UL5_N0`iA^4=pIpyBQ z27l%xf97@mOa(bb6%&W1Pi?==lZEajel`7tO}TF9{ua{S;Y_eBykNR7qtiA*WC&}xZg_!m zDIR#PL5;(Bx2Kv=e4My}V(NDwFdwo{gIt@-`QYVe@ZtK?p661&^n#X`u+6Y;t$lN{ z3gqajQrbUW_Pen&Nqjd)jb}i>Uq|yvWT1$O%(-s7x8k8zS)ZIKIAiS|XY4W8j~-~^ z719?UV5(vY#Qck=s;0w4_wBPFs%%6&E*Z&w^3HK?H0QNWM-<>LTWUr9dxQOMFV8aP zG$A?4Uj_M@bGy_Yz90$(1!9&%_zuN-r=m|i$~mJH_}vb)nal&fu=^3!4L1pb7OsUFY?Ft{%Jqj4_SASFx`-u9Txv4WpQGVCvX(~%*0u57@%uBcKe=zIW6+^O4oBCkre6~J ziHE#p=yE%heL`1iZtFnFV^X>A?QV<^6bqBeX=1(7i@-dYo|%S8)SdyI@OxOYwyEr&ENqhaQ}pf5hKO%`^kY-Ky%>N z=FHJK+ixx=a5q!9k{P_{K75mP`g!Gq*4U(WhkNBANme7z!kZ6bVu2->v>rMl+8&&`1!n*-~b%Ny#nfS*<_ zE>7B=gPGUeQet0^3-LJ&mbF>l1+LcwFTH#{{{1AJ&-BTX;j1(D1n(F2TeJJSoB4BW zSpmLShXL1=zroE-2eWCC-Oz+wFBBo4~pzM2ZgWW6FhelO#5FbeYA7SJw)XL_%pGx zIWlr07JK$u5KSENN}l8uJ;^J5;+`m$~Ra;98!77w;IK<1$Vc~5@OBq?6i_4y_m<1!mAMc%x)5g2^#WM9})<@#!{? zN2>Z-=dZ8ZNEYu~d_EFe)~mpCgji7xv{eMlh(}47eEpd3^ntNz$N;>&7^{{H1sz~v zhLe3QZaRy4ir#RL4Jxw53Z@1=$`DqSrwMP@d$~l8;VE^~hN380AXpWZ{)TcJaWL2&CK{KD)c*kb;aMYZ^{ZMDK1zV;u%}f>) z_`n9=#2@YfID-6TGRvgQHSi1>P{sr3(%cNOU^Q^=J$tnW|HTu$k8qZyaz z>*OAUaARup+V@t34pU~TKq5bV9@M5p2{zj6hlW5?GFb=FDD+Bp4`z2`dj`lZm*76J_i6CibGZLdW?Ol{FLIh{70vuNJVpCLBNXpyel# zArEQO4UomD><9NM>S8h&2q$08S}8V#-jKP%ed;-Zjx_ku_M zjyd0uVGI5{vHVNeM^^c3Z}K(okJuj0*V^EgbEn`S18 zlB25tqKuZ_#CvNC>%k44{WWXyOXJ#_-|g}R}-l#Q{? zf{J3bPxY$oUP|rRKGFMDxu^h?Fk%J_8@4$rJbrIrU<5f(^0V%v9I>K_9tq2r17NOO zyZoY(d%ZB_U`8@q7rpW-1LOb5Iy;?B0J4`5c2BEYw>8iV&M;g!M^NL78bJg6Z&X9Y zcYUmj1Piig2E%waxM=Y$F*a6#;WRm9XqIE=PeClk2ldVnkeJO?!u_lWl}YT&mOaP5&QM0d9 znT4#nmn`#m80SxwH)9G1z!8Rq(*drWTQft~7+(7sbG{52opgN>n|NCmV3Ug0r^y@%!n8F|j$6})_6rlEb!Ply1q zh$ttGGLWX0A+Wy}u;>9`40k(lpl>a66xXO9vekl9`l|rwL`hDmq1heeC5)*9c%pzu zdUQ*jT`w}nlQ+e>4$0_Qo!|bNw02dF>?=rC(n&W!6CItmeztn?O$ihzNV1^Y`Wvfv z836!GO%$|Sr{4rUZ-B*794iWea%$bmibHG;9GSG-ljGR|*SPeS)W>Oz=Hy0j*cqJo zUVtRU2-r(obsi<%VcI`sSmy!8>;_5Z!0iV%;5UYDBg%R*3!6rdCD;mYpqv+rT=Vn)624-Ixkc z^N#LCZ2EwxBy$_?0$^+e(Ck1->L}=UDGcSSL~=xg+Z)FF2^p`L)W`sS7sVlwgw<=K8hhBxt8C!{J!$IVF2)vd z8<6D?C=a;6;07S^>@%}o+*oh7;7{H;jA6cwQ+_DsSCe3_ba_) zaP(t zN`*k4@^ng-gbwabu^3*ej5H_0S<0D9>}_mgtAatQK&TFZYZdY-{4&6+Q6Ba%iwn=UJ3IW zOq*CCUCa;*u;u>xiVo9Z!fJ=;0pj%Fi;5O~3BbQ#SgqN|7eom27To^F8a3-ktC3{J zu%B7O=^}{gZ5Ct;nMZI;#U_M92!TS4*&xs!b$x^N&vo^|7+bBZntf!~d$ZWLT}W8H zwH@kV2B+}=IP@J93}xG>BYxsp-v49MbC&F~gZmQWsNZcl&*<({nBx?uoWPz~c zrdMc&inaaGhBJ#}g6v=|Fo;SHp*kU{GCDlBr-?x3k#40P5)T`)gD2|)buI)S;BH+@ z=k$jI8lt$ujq$zfp#D0DT?f#Hsl&igEiW}Z;XXQ1&`J}g`LG-zv5D9tqqCuZ%ZlZ7 z&C6oRCY~+WsQ4DR$|z?pETfqH?2SUY_Zfnho1*55Q%Ea>K-NSGn~+{*`*2VQ28_NI z#Ay7)^s{^~)0jTne@_ISF4*+1U19vaOa-|IYlS~^NB8dGCEM>?$IAem+X%?7#z#&f zlr<-0R*HIcc`BOXd-?f>#1f?CWiCaDbg+1LTs1(M5al;?#?y`6uxbL6=N$y zNs}laJs2Lic0Ba5FrB|3zLh52sL>Q$-_(;GH~XGvLb4l{b!!!G)EcmtnN&DG2We9t zQEO0);}Hi1q&a2Bz<>Ct21&<%y9r?|lr*6PNtM-42dbNjF#$470=y)_T)A?NX6DyM zVX?sA!O_x~1gu`1YMXfbJ zDLY7a#CvIvG7p{-7en7#9md3IqN3CjdeiteF?dcf8e@xwm=Xa);V*IQn0{j%4(E{IvqrA3|t{@FiBHJCp&()%df(CSOKRxiU$L|biYU?p9!wq8F%@Euh+Q~PG z;CGe?w4{yIjQTqZ_}j(ArSYio@2p!P^+kNZ*P9_I0}ALxB=xV+=DT<-dI(_@P*60B zg4>fZWWSpBdCTBJ$}p~LA4g)?Mu-WkheFKiV=(k0a}_8V0SFZ1#;;&$r@_GcgtB$d zRbL@6Sjlk5K*EKbfsJhTH~1db|LmuUdcN`f*x+Bia_*g^^{?>|M!=Re5udm?1wirK z9JkOErtsG`h!xk{&)gGK-&Ew*uwX=o9avV2+FZTCv|k@=WnI~~HNYbKP7r?t3qtW) zX60fT&Aa_(NUbdk)xDkj0#2apjiF2U0r4we3TX;y;DJ;y=s?PZ?}>sf$yqEd!;Epf zfTs@B)bY~)E%vK#yCmzcmlvKyEhb_dM0pGJq`JM-4Zxa8P2g3ax=z5y!Q#Bzx9z)_>&sP6LAK1EH<{xW}S2@KoOATv0HzC6GXqW(0z*#XTUn# z9=Pfuw~#>fACnJ*UfJFgR37wXoDd1dFqqn&Mz^wPGJ`5n$1r>`NOWEr9JSzpqbf6p z&X3^m6oVKVSdVa39~8v-6E<<*hSHx9S`!E+I~!GWq2qJvTMnt$l(}>{+M$nOl40Z7TA0T z*gfsM2^JfG=nufdd5mDFQZGV)7bLroY^xM>qNJt(sUY&PEtq~QjNvu~u#X5ICpDDg zc27z9EF}-uNdn`P#wk{V8T?rrncQgXQNbl zF#>EstCzn&R)S|Z^N9T)2#JCQZ19?ED0WWK%fYHzh#4a&nlcP*Jw*Y6Ouw0fn~BJB z(1QC5geF5oHP{mj@C@04-aJewo?P?>@yY9nrTb|-SmzA` z9%(%|_*>FzXE1JhsIkh{V^pYs9h6~%m0DV4PnUlMYC-@tTf_#68pg+_t)fDe{n&}) zPRlGm%d-Hdk4*E-4~3ZC5ZQ`Q#wql7lFMqhYzpaV@9%k_IQhOt%2NC|dNk<~etV(I z29{M1F5#GjtUtyBV@!eqAB`E5V>2Ad83@j|ds^AbS-mzJRoMgbF<|xHp)_Fz7!K54 zJ)o{aNQMR3Offp_L;^xOPx&4i#6Wq>)b^a@loFo@>y>}2^8)za<`0ne*IS`mi~wa; z;`Cp~M_0C3nmu__>LrJEq-0>0~7Lwx2&_a2X-=|w4_N*!4gjsIY( zhIXu+>l|cQQ=U?EZ#y3y*u_R&;cOA0{7NMm5toV}ye(U-gVhT&%U0}UIES}!LRX(i z(2qlmJ&!E~G;UjJI-nLiStp?jli2P=eM3~hl)W&NUcUljXevs5An})O=Oy3=#WiLd zyct4tK(FW_@RazNwL&`R+UD_l#O={7^UrN1($r zqJ#=8qzAniq!{6O(obl2AeurUO(YN{$bxSc$z+oF8ig?A&ZVj4;HiE9rk%wHZ#TR4+JP z05ZsW)N#ykaNkm>E04?+GZ;Vq1+Xj_&R$f21({7T^t|T0kQjyF3|4M7Comf-<8<<_ z2^oaguipQ>ba~Q4V+(4Od;Yz*wR+mdN=2+&Iegw+cW3vpxQa*ugnf=u z{Y%L|C|WTaKO-rP9UHX~@a~x22)-dw0v5C*ZVb~j`(!qWJTy*iHSibIWJUMt@-5pz$cwSLJo zLr6A-#(1GMb10;r85o;u0N1*`39)!^1aTC!r`7-53LUQ9@q$(PR~f+m&rMm`A|ct{ zCkq(F@2bqja-bA=POen`7Sr3pw>HG=3vf7M)4isLUO;FsE+JYtUT$_F7roKFYMGeD zb)>YWQa&iefT{ZBgNaV#u;*7e5$dtMX}nCQ#@boY(cy7Wj)aLOtxQ{8SQ!)xkeW*G zz#QeHr`DsGCup3r(?gxpW>8!iLNtb=rfsKe%z&p86e~Np43u^9NHTPpt2CjO@kX23 zsGF#tFG3qz7MvMZp*(&Vxv`3lf$AI^s=C1vWC$&*#cXeFGW54Fzke|7GzJA=jE8oi{l=gX8PFwK zZ90KZt}vsZit6uDVIVkpYbzgj1zP0&d@$jrm*#ASN(Myg39TFtU51AL#IJ6rl{^Y4 z;0(Ul1`eTGDIa1sv9nt)e9M^JwKWxY5p9uz%adT{HgJp~Qy^AQ2;#<$Gh3joIxj)c z3f9ZXTfuDLRLpwu%g(w0dgWnyNdNc`mhT~2V(E<^l)xBz@L~MSwFH>|?DkciIiv^z zll!*}yM=jQ>Q#ILH-3xbsl&ljl5T<=%(a4pdL$>s|GCN0_O!7PP?Hh73mJTte@$P-`60I z_#lNt%HXzSaQ5r1H^Hw4DEV95O*(3JPp4YfhUk>sTPQIt6oDcWvle*CJ5JPWcnu#C z!)9^=#fR-y`75@LiY9vbt&PPl-XW%tI+I8+Ri`Po1_Q`YjwbDC71tT#BY+m=nvFtY zD&i;@gAmS%p^)^zMNOul!MM#6xWE1C`--jm@u_Wy@gday&8-#`I`35*Ze$*Z?7;}_ zFmNeo#KhHJ1q7I+V@yQy4DYr^E&^BxF|%4=Or?v6eZ7T}H$>qnZLJKl%`#LTMraaL zKnDbGf$ydd%b2#ct9w-)FK7`Hcu7YAnFC%8*FS7Tr6RN9>0ll-eXx;-j>+dI1~#%e|@Lv5hxe0x!%kXYp?T%!apX2zCV-oXQ5Rr-^~n zNVI0MIZy&X^act$fHGB%XPfHF$X5ova8B%#1%->u!a1bMooz&pHzI>$k;{qemEhg- z;@o0gIfDu~2EBi{1mnp6VJ&b~fp?)QyciVSPT>JOYphxQWI+KR%?z1X2I?@ynj;g8 z?blOoGB-G@+zzVd0G^@-l};MU!JTa%0PQvYwlbD9H^eqqzoF2EDe$HtCKvac#HXT) zIx3~vmLJ{7+qOZY+xr%`1=+q++b+86L(_tjs06az)0uEiPF1iVEGv!tS+G(4EsmQ} z(1zn`#|ef?90yUu9_Mxn?jC$(GfWqW2ht~X&X3OPh7WU6@PgLXs8OF;y^7&;ca zHAHEeBMKHMDRKk$>kF;hxJ3Hu-l^boYw^8_d~;=&!9;=}J&mrQLy*K1)6uxhWcpsV zAlvCIia}cN&XB-=24j1d`DjFAG?OO-V8FmuibV|F>A_Oda}F4{Ru?M24SEWQRB!y4(*=TCTq7t1!!|YT>u6aq-V#@eh5&;5BqUIED<3$q?}Ms z8$smU;udRWoi4ygZt|r6!j+(jz0kI~h?kTAv#I|wyw617eJHZ)@rFC76yd#ebo z-lgY}djze{d9$dy*=ou(;z7LkPQ@<2kW3z;+x#BM*rREe%U>WTHE5=>p|HUr!m2>u zQTU$a#9l65f&z4nAGa@h%(tsd4=SIks+R-vg+L*_I*rqK6htKgFx7RNC6ZZ}MLGM^ zvX`!80y0PqMLUk`17b*=Rs|hnP~rC&XF0(p`x5SV7gWMvZc$k&9k@JA++V5GF+?Vg z;KWI|*C^IdDx%}K^u;iE!uNYCYrKzbzp!m*zo~HJfy9LlZymIJ(lCPEikdfF_sbC~ z2s2k3{2}89JX@fDydMKZvQI7%C`^d=K2?Ex)|qd`3IGPNN)>b(WE^JS=t=CwNsW>^ z>d%r{`iBYUVT`+4pk5w0oZ?nDJ6=gy1n~MiziFT zfVwVvA{ma#OjrvzML2NaQiA<@cq=^u3VA1OS&d!WJG(*_vJw26%F@VXjbk9Z8pp6g zufRB-f3`oCT86Rfw*X@LXCf6>!v+B{n?j~i`lm&ag<-#byov;3u>&9_-WSN#k=WpT z>1UQ3k<~Uhkxsm1Iprp>76*WT5p1?I?GjG2mDMCm3r2I+Nu07=lF_Qu;__DT`w2uL z=u{$?{R{Nl5J3$ME`lSA>rmmxFc+i2&E!eKxh3IXLAK2}9GT@pS=6`*S>KzL50=HV z%hK4Svs5d;IjUu7bX(MX zPQuDOe)qLQpVN9zaDxmu#;?pw3#Oxu;dB96%K3%t8Wmj#zNYGzG*nEFsmf3o8isgiEN`eD)_#Dy> zbbAa2Trso*8}@Gb>Ek!%R=*_h6-e+AYmam`mLc8cT1mabsK!8MKKwZMb^cI%&7SsLg? zBH1T?U1eoYZ0`fUWoDi~`X zVknqODE1Ag#P$YDy@J!QADy(PY&M1nns^JJQG-8%i&^crzrkY`aX zF2K?kk6<7#_=#P}`qR*idd*-ydf*w&oMx!hr65Hu!midn$Yzh;SQ0anBHFEgY-Me$ z23GSh=H7@EEJ{x`NG~Tgn)Oep)IZG!aNkzKEJ+XI)#H1E1=alMe!Lv9n8cuNgiboL zi=^QJIt=H=hW@$55Qp9q)`9Mx)Zkqla9@rfpmCuWDBNiGfJ*LP4lsk25P6*}!2+Z- z16R9>{GIT-m&49&`FnEoct5!-BNW0{hE>=P?)74fVH&fm~dUafaP#-Kf0QP@xcwSE(OERf-2iBfQ2( zgv;;~Hh*=UVK&dZ^omOjSD%cQ^7grH5T2CR!wfHeQn8N5G``&$Iu-2a;q@`~T>0j} z587~+<|*uc-{(pK)ms+v6ML1}z~9=enxT#5a^(y^53xUT_OK#aWvN&~sY|e~!8lym z!>eRoA|8=uF1)~UkZsq~FY6ZgDPe@3ACVL+T5K!%v9WMzky>2pwVX-HQ1J7;Zq>Db zH@E5*GfTzzEf)#%_yzf`IdHv7H@95ysg;*mV5xtSo>OxTDa<~w$Y|sSDm_b!I>%#N zeVRP=hklIaJ&4|Dd7hOqu(538y1wA^S^lwKpp}a00;{<5y=t%@YOs2BDC=rPso27x zo?lac$>D|BBvqRQJO6dik;%crf+Zfu-L|3LJ#SiV^wzLv*QYQ2V`Dp`m`7NLGLi(7x6J+7-)UAOit3tz5L)&fP&;J5H79^T)gt5;Oo5A^Esa;t)mrn=S8b$ z)}|iXy*(p--b=T{bL#Rw^U`yXMoDit5!+cQNkO_631^;Z4<9@6#3K+eyFFGTcSpsyHHftk0wu}P2+ zql1#}*{@!|-RwMo(9_Q$1-kam;F>|^UK`Yy?yy-~{@po}r? zzpc^X4AoDB4-DHoV3rd%5HR-XMv%Mf{?7;@VPodju!)#{ydZS6K5QcV0VN`A+^{ih za`*#ERM=Q;bGYA`>Zcy*qIj`prMz(Ul5+cS#^~k89kXKb3LdAziL{vvqa61~vz5^& z^fR8#9zuSDm#Re!UoKgE5`>G6I3QwHb)b*?`vt+qFa;Su?6)7UfygUdoo2m7^d8}k zf|GU3cc0JfV{7t7^wk^xsc=etIXh0i2bcKp=HqbbV}?;z+yjf<)O#PC&T;+iOY=dO zTnDtt`6*Zbb9P%eaXzbAy#IAT#FSene;vp2@~v~9wk2%jFFbfY6RX;)sx4}guyOL7 z)mYa~(H(SG6m9uZL3W(MLyQXTJ3PF}`D|(lsX4QTa14 z_#Ir}PA&dbf7s!m>kqQR5^L|g)OITT&Rb)d{<%ho{;cfXBUVTvN~7TxtSg|=Y<1Qs zY<~UgbTBbDN%1@RZq+Lf-V}P~aHPZ+-FA2JcjvmgOs^EJ2EY8Mcd-Eol={!5&st^m zw({NClK&i^Zx!|c6`6X!lHE(;yT%x{^JlbIF186b$soAr^UpPYO|82wEug5E&!l=k z5!hFv^5WIU7dx58Lh|DFKI7`C%|_PWw^KR+-K8y)Ti0(` zt;*tG|v15xv^xhpvuZzICgu=BJ+KoEGD*K%w{Gq;v?EO-;04 za{a7cQva?_UF8K)%it^pTAA)xnL>7Mz!caI)@ zSv{$lSsiOkuQ0!TJ#SjOna38;Yp!XGanTd}lAj_`cJz+WeMO2a(i!^ zw4}r+)$+5*>z+3$#}HP@&$-g}?P}Ar4phog4g4#6L5aL!MZ2=cM%rC&6?l2!CS9|} zP4T0VisX^G&R-LVwY`#A2g*cv?~7QwM(0dBnZD8MJl2(Ku&oH3PmoTHYLosLfBfMa z$yW2Osu`^X)wkne3ooU_%}YPJZC=yTs>wB{R$g)mb=JyPG>$3Ky3akdPwMhCEhUOj zk9=zIrzVk(HJ#Y~GUBoEUPlHX`z)YE1Q#wZ^kQbVcJn3g{<^<>fhq=W=axEoxXk4O zBMdi%&NBY&+v;@Ye=K9^klhk)=JfBt4SeM-b?R6yFIRK?B{AgO) znyrQB!TQp3mj_oPH&I+ap9RKk=OW3TIKaG2`Q;|uFK%()At6| zXCvO}XE)bfnVvZ&i_G8Mt~WveNaE`z?K$n!zKP=EebWW6@)XYVYj&i&%pCclRS+6? z>b1%r3(4>gC0@609US#hN;H0JcW`(AYcu(>Q?d8&oL4Zy?6SO0wh%vqshSZ>ovwdt z^m3GXNBm>c?hXQko z$Rx*f=8k*soe{5=XCtU8?T6id9?417^R28SXVBjeN(!inWLK-eiyyf!i-)FHtM8q; z^eUn!KzsMg%QgWQ#RHE!eL_px($D5et2#yojanXivp~hWSb0$LABNn%yDK&{FC^v4 zVS%+!@rRF`d4IiT(s}t`&gFG!JqIwQ2W`1r9cQ&_9QDo_zC?1h*M!SIazk?ECia{< z|CV;_p@P&?c`adV_|v2c$2+$gT9)m#?qJ1l7KyA;x%Dm%y;kT1QDXh=vn+>Zm{K2>t z0wGpPJ3{~HPpi+NDX%sViL<+H#?J`U(?>RrBOEC;nRM(rFI+pR{LraO*ak}Lf93VMyfrkEmhO)7mNb$oVZLIg7kqaXlB}k9rH8>9SFn z&AIZODtmj@C-oGp{9w*5koX>dOFHp+Pe*)UxkJshw7WtZ5h=&+mp=X3BOSgvKj~})?#h{Krux{lr8V;!=*W-)0Sa_ zF>SFnyuVg@!rQjB4%W!UKD+vcSF=LWxr44RZo6>kgt)8Rhh(4kEpx^tJts^0xlObc z4&1xinT*fO%bT2^&&!*gFU;fonU~1ZoSmP`Lru; zyKhxvpx)^HfN?Or>@=@QW?LWTH!;Mqr0fQ3bxtGnd!K;DK&g%6M~V1!jnE&)?>}`o zoF;jqhBA5if9lI7s{VTRyU$Fcajfrr<8Qybrdj&v_(#gkq7H81JnJWtB}jA4m)0Tve&l!`?@`y$6$?No34)hFJ>2}Gt-*Y_a-zh4-|tUa|t4sAWH!%C=&&d;CC^ZYX(kjMS>bG#UPHA160 z-Iu)t1O%yKum$R(%kzLjp8WLu%RJ4=H4JtAHqx)^Efp;h@1zmh-G|i}?=c|*;Vh)>k`$s@FGr38Ebqc8C{5q}AZSbHjBgNPys6T#D@J}&j#@gXDySnRpu zcot-y+^Y00!qx@`HDEXY4CQjRtnv((Hk@eNsSHwTpz0SaVEk;9(05)Vx1)~~%obK( zsmcT5!O#-yP~fNPw;k@*o=MD+p3Xp%QlEF;)mT->k3QuR@p|fw{e2N3?B=|MitLVG zdGdeQ7K5O5cOSpH6=A4s8mJAfufP^Pa|O85uWsWP@^I1qE3dRQ2I9@NAACW!w9KhF zEx*xoyjB48PGYOOL(bb(M4;AwOpp4Ac-4PAYNIcI;hZJ$Gb=ysY? z@tX{%4`t4GWlmw=XLsnkPip&054{$`a9YE&t~#BwjdAhupr4m-$H%o_Z5YRW{5{QaqB_ntk32H_@;0OwZk!pmoSHu_ zJv0ctl4`N94SpvO?ZgD=4^p=#_#u_-PoLUCrJ^MbN-rW{vLnx6 zR|Rm&putq_qsd}O8HMq&B#|ahE{dCW0|50YGh@99ph?iQ#3i($r@3}q`5~QIVQGO21Mu;P|M5o- z)zL7s&3Po=l4!)*pEk8+@=rYO*BNN?4e}@pc6O`RM)Lz&nNslUe~-(B#rbDjT95-w zpurmM8+?8PIf~W&SkjGs30zr_5dPZT@cP=7eoeQDwQv39&(<@kzCos&=Q zi1@@x**$&8IWY>!OV4_1GIHW*?e~NN-JyHH^$E{VwNWa;1R5f8ZYfXoq(7+s+JB=e z&Vl@XWM$soHUKQIDvEmiPXtlqa0B=$(7>PBmyqCmqga&mnD1WMfd^{l4JM8Tp3NZ_ z9qy(KZ2gBnN9`!dM2A*^y!f=qG-C1>?*PdP`uloI!nBT~s=#9g19hZk4Js3Oyfoup^)QwPiPTyy7|vMgUOTXm!tgtDKHQOEs5E&!ALgJ~gO!DKto%;*FP{f|0STAU;;3?6U&e z_6XgLuIyzjmNh;YV&ydVU}lJYwIVdbaw7z5{lUqI>Xpa}&|B#Q@(ld0-|-QcK~(Aw zt)f0(OV_5ZJ|3ERak@YuZdf_d$C4ZW!A|LmfMw2`Rio|6HPh3%x%wJQ`YLZ0A9lp; zZ7;g^^|u7y<&psK`BK{Tb8X&uQ}Y?WwM`axzUZ>r49?ZV?rsC}gc<3u9l$%#e^h>sV*( zgE3|p%#1PSd-wkQe*evx`|&vUIoG+)bv>WY>%Pw|_!{*THOqe33XhHT9Qj>A0j7mF z{TueGrS%zu^OFsnOH94Wj<&XY=nD_4Qqm!sIm$Kpd&+;L^?Nn5`08ugOgCFU(fI$1 zg!Q_%K33<7$AcZvQmVfSFHLa;xl6UT6t5dt;u|RpH+>=$|4tHlH$% z5fiy~rM`a*GhJw&?3@G}S+gmA@77ZqS07zp}49OH43Na{%Z3R2X4B|U{66#Nn|9ArOW8?P_@ zwmYj#gL~0DOXEWZ*dx*}sNvX_5*%nv$>pt1$7noZxK*&mIv9$f%GE6<5AM zYOA+>^dLFp2lJWFlN!~>oy`DKcLDDcm2d%{h-*KHsM!ZhocMham$j~YbN9DJUZuU^ zAKT7Rkf>`1+^2;WfEZ)qN<6TKHb+F;`0|>vr=G?!iI~| z6i=)-{r#d|I!SIVf^icyw=FIuwzJli0&Z$!=bC?RC4_ThOGBh|JzoCB0aBmiqagd! z-Ma;)XZ&LjWeo$IyGFr#n=;O>=8-ml^_=J|@*RmEcl6I{0~;0}!8x41n`U|SQcOoZ z8}`vkEu>pE&FAO5&01m4--K4WDfXjclF=#*ZiM=#&is84;TKt-iw6kFyBZc{YI)yH z80XxwSXUyf2sqQ1-KD=x&R`k?(a?>%VR2T!Z~y7~VATiuQ8g?HHWvgr51iLYfhd)# zL&n1>cqRHnXQjUE{QtCiajz5lTdlzPXe!_0KA=sdjQlhLQ+?yjcl?3a%4TDxfB^^< z7WYw0X%jS@U{FuwoDq${Pkq4*-h341B6E5AveJCv;tuZqI#2p;aAi8{t}kZ8^+AFf zya$XKmG}6m%YUOI)>Lm6B+c&?R)L$6}*vEj{Jqyv<%+Y?3Jn{mk#{{p@zD;x@v;#l{qLUy_W z)dt?2c%Lcu8TNiypXqy$>N|_P7#QsFdUrtoO@Xpgty;Qh;|T*T=I}#Q$@-PR&Z598 z`Y9om7ytDCT^hsXL@SH=@=xD7`pxa$8Was*#g$am=B`)wDHsq{KRddV8u;F8Qy_h^ zZBad20Sjm)CjwR*h`F${Ee+{$m=$n%%rTqB*7lF;KtFvATW=Is@?~_*MyX5 zW*=|lX-axQ>rzPG9Yp5Ri$WV=aJj`Icw-4r@3vUvBC_tL6C<-_r=(F4Zr zXqYX1#OTv@jk<2AE#~gj<=-y|E8@)xq~Z48ONJcbhFSj(1=iNB(`OSx^?nykyva^0 z3c4Gn_-NG6M+va8b-~!vE$P`|h^oHN!p1P7?W!^=_3j^tuHI7d{Pm? z7+>pieL<*j_U#N@XbcwoeE!4mi7_EyYVPkea-Gj*zB9y}3i6lM{A87uKacPHb5D01 z`q!fj|11_7HB2jhAU_}LyGTZQ*N>|G7X`)1HtsteBII#l z5_ufZvZr7#9m3)J8-DHECW8F8;myW!f=9pwC^O*OQ7`!T8 zRK$4liJhM|HQ5(%fO>0XK4no+&LWxI-4-5DUwSC)vtyH#cw>1_5wSs3T|dPtSg!cV z@oMLxYkC!{Qe|Ga@;JkbX|-#Y$L#|Z=|Kj*Zz90jrd*Ei(< zX2K_`y76=3d}%MQc~CCsR+M}Hzgtn@^dV6wO|y4v@@b$|VRC04Z(en+nk?KZ#c^{h z@4z5Ph}LTq4Sx{ErgcpZ!p~i;=leWWfaX2bW36?AmUkh1B0Qh*rbD^M`X{0lSZ$cQ z3hnBrziKW;`ON6INSkUy7eF8;N7gPIDob%`0PR5pScW+=DDEL zyGg4LpxY%qd-X^{OIIovcsCjk&X@oGCj9-s zmn4R@M(4SQqfGAvRAql6*V8w2g(}GruMy1?%6M{jf&<&Q+H%jvE)B}q`<4Dd0(ECN z#o}+^>H>%t3Ii0)w8YjYy1KMs+UBz5Y~IJNRPv}n%Y>f1y%qJ>$JJejXZXGFvGJhC zzm)E^`roLoJkhp(4DDxBPJHmVD*m{C>#XXflqa%J)=M&tZmeQsGSTmKqIIh+=+otY zha6R2DHCY)K#r>+ted{0j$Ye41xAG@t{r-uLj zTJ(`2jUFQWGwTnzhPRNzsmCY0nN~Dy961O#1~*LG`uZ{ba+&w{V|E*)+MbE(2XKL5 zNdkmb?RomFX{@nZ7_KYQYwy*&U0rj&n1TMaHcYy(Z4RMFc#=NMOZ{$>KtA_}=SV1H zVd%i%s*lX)x&mooQU6Az{3iAKnq5&`VrHE{9^1vo(~xu4AwWVUL-`%!z{Gr=?19VG z0sLl2nN4KKbbHUT3T81av!)M`If?UEqlM+{}iy ziYGk@g!p6V;G$~B+LHokUBpMDk=~*+h}RJsTB^af#>VTRzfc5!#j#IqKi6*j9dF~k z^13>PY&SU-5UlonJY)T&w`UF^8|&ln$gxzxQLv99o<$D~`B&{kJIVUt_zW%ig7CQ? z$eoXK^sC48Ia;sR#OsrE7m~J1t#8mYzn3(?97DnN-7eL-Mf(+e^_Ki1-bqwnD?xdN zBM_#8`3E^ ziS+TzaBVIY3UuVqk|_{=Hy#!hB zhB2KN+#Qo+r%MD0`O}|m07sdGX%8U0yZg`>D=X(Szg6*cT<_YA#S@PHje8fh0gp6( zMXEJDM92B+BQ_4$2EYhERb>6J3sCqub zOI^75z=(ipg)~KoI5s>%_mFw~Eq2P;*I-Q`0%iGAJBlhRj_X+~a945%gqms^?;A=V zB6xB2nC+~6I}{s7+pD)&ABoOZqneHVp9!KktsMc2e55P3uXp|_Jp|InW4`{-5W^>! zn=@72Rc%E&dKoJqrg^@{|BX$l+F-r9wKI`aZmXoyqtrf7bsf*s_Fm5I<<>u{u_CD# z@B^c%h^62r?fJLv#WCGL(Xqy^=E1FS^Mb*;;l{Fiyl}so6M^WLT5A3qU5mWcXMWXJ zmmg6TZ@JIyDy{wU)vpS@jjppX$82%+{}*r3^$J}z*MR*A-sUHglYEPNS5?Q$|0$kP z8QI^pc=ir2_m;EXU_6$oEv=e{^DuNxcJrt@OFw3^RkTPg{Q`g;>H$zaR4!r1UlND`_MxgIYx2h2onogc*E5{=mwwV^RU2-09U}1mMjjvxgU(2%!N-r- zK8C=Jfy~82n4+1%Beu?ASUUu*_n=X`lO$E`CT+V7$0)}rYo}SM6L4>)T>y3dz?4X> z*!Itc5&4`CjsXXS*Ogj#dP>^GjUF9n22NvAqWIhA{M%VAg! zNGpIBFHZk;rWk*Ca$C+0x%OL0;@XRVAb`BVvS0DgkDuU$JEGcc_8I7&?8Jpy*nvXl z=e_-FzOFci{{R_m+gLk5#;@>DQs3#0)fK!yR@LF}g#R~(eJbFl(utUKdWt~O97ghY z0fu-+E$HRk_Xt3dRqZ&1*SmSg$+8tvOB}##E_}m#p@~i@48Q5@ZEuhRuI)DW8yW!{ z+hK1<4UQEH?{|wbduLGMELSk<(ZN+Tp)bE!?E*@ifw<|nTOR_<_Q(cr<{r`}*W2Bv z?^uS#?Z+2gEtW1}VP<7pfd0nIxK)EFvznZ#g_17k%1XZB7u=(Cz zN$#8cF@HL}Ovxvh*}Qt-x;LF(jFs-?^L{toFUs0wn2T5=^}~KlS1&M@j1frXvkKd& z-~WJGw!Qm1^C`Yla*tW(Bk}=M(yGFF{UqT)Pwe=yu>=)E6oUv5?nun*Rtl~7QJx=P zg8z2os$8;XDF4WjhFn{~Z3|0@W1nW|RSFai8e}!V`*v|r4w58LC|Cd{^x*~ujfiD#yLzcgO3`2S+QE+irlm6_Ds$crYiJR zhjD5m@X6e`1i*2wl{U#L%RyR4a`T@1lz`C56xsh-3SuDCyle-Tb{ zEpsBl4#VH#{ox0xc7chZ1qEuC@3;8U$Fa6;gsXb+mmZ)}eTSwl>>(UV?7}^<1C{qZ zTt*_MqJT_T%WgCQ5rCwva~_9!qf9Fz8iDxa*2TajVyZ__>0F^rdh`}ob*bJSQI9f% zz3Y3Nou*6XIt#cb`Su9_cMv!3x1+rj0yD?fX*+g~Iyh`1=4+H$(;zqE?snmL(B#%c zmMxL6zwySeHE&wp&k_C6(BUrJKjlRb`%lGL0q@~G;L9Tj25o1gH}?$UFmzAIe5N2X znAH3J4YVWl=I%K;ZaNV>Z*nSVW%=BV4_pOt&DJYAT1tSU;XhWu-1;%c6otQ1>D~dj z>bufcFin~47$$zdc}2o-TkFE7cmqfLhD+2NXk4~@S;$FC)^`s1#9vfdG#zTJ7yr5w zpuoVTs*e1`S5D^1+IHIjcwYQfi46Vd^I6klVU&2KKuW!>Gh+YacE0f4^u4N9(ModG z{%pyy+)yBs;PJg4^F*oJt&gRPu~=q^>JxGfn$yR}v7SOp9-@uOyUNdv1{Om?r$YW{ zTnhA*$sP|;-1t{j_y+C8wRNmLW-eI6ab$%L{xpH{rM(^u$I79G=`ivQn#kaCGubF- zA!U&sawagpg?*q=`0?dVqr~iM@oagGf(j_- z)yOt>d>A{pqw<)3Rl~`rbpYvgLBEyi1Y_{|4IYspL8j%ytPE^c($AE&x`IPd`OHb} z=bghmrUVJa$G@e*@Q-Md-UWO3+dj?8oCoD~RhqX3YC~sU?0tZ(WdGeV09t?a)RT0e z_oDmV22lL1MkAbq{#g{bu8z(G#@0srwa1VBVA-!JA)uG4)s?=j8H{_^*J|mA>WNlF zx3f+K>H?5Iq~^vYn??U?A=Z-OEg>llnH`bRfBJ)+vkwt2I{NLos;!{@Tf8lFx36&8 z8zv88*?zo7H=H#a!)gyHn7*^EC_VWgc{=*P>K=}&4#ADryn=p@y3z@NMZ|5ACY&0v z8#}#rth`8j9P@8V1m_^u_MdWv`s{)v4HoW!`2OkA-%0v>iS*dFoVi z$h#UP0b9t*$Jgf9s}mA!gBq*pWEYy>t~@R|N=C97q<=vGx4ZPjnZV;gooweYX_@B1 zG(X-~{w8N}e#j6T5DRUeX_RXk^iJ6wSXaD&{JXfeMou?r>X6P-i~oV4J#S9U@d{0d z%qTClz@`ssocUE7F7kd-tCBpDADO07*M}E7YRWoV36~a{UOh|wz9W!CE;=zSQzET+Eefd<&}ookj#{Fgp6!?QP2~M zPXBvuq-VT{vZ7FwW9=pIsvG;h8|m#a^mzWV{!DW*w>a~)$f#3ouD70krkws;VLGgR z3zeE-&0S*d8m`Ra;+2JdfLPbB8^r;-pWb8T&Iw!>m@Cl$vP{?#JqjCS&%XggNfw%= zbSG=PvBFclanQ#gKpL4`-aTlYu9Dg(F!2@hlt;n5tGTm-IgX34!VtH=%-R&I_n^>lrV-o34TTXyp3LM@Wt<8gA z*AdDa+duu!(EB(*$M(ljJ^s&AWwm^W+St+I(dTbJQ0B-oO>3f`5Ox0XjwQ&1ZlPoP zTcvIF^Ges9Dz4o+Memz&vH7w3s{2=1=8Cp$FDW+D>OK;%$ILixE-(B}(I_vZUm~5( zVPXaUV)TWmu9UG3(Gfb}l5p)LOg&!CQJB zlD~E6F`3u7=9bC>|b@ce6`)vqp?J+zzRO<(68aH~Z{ViXAyO7u>-h!VT@g+}|u& z=oFM8gBWEMv52p#xq-OopB|a(Kb^)4qQ_~`Dp%H z4fo1E(37+KGJ(D|E#1DmAu=SIG-r6xaWTAJ*WWrgy-T#lG@fgI^;Sh>tnLU^w6WLy zN?@V^+Am|+cIjZ_SK7G=+_H98koZO)B}b#ZXz?_h`t}WUp)2nni4BaW- z(W@S7A+w0giX#@mQmk6eG3<(x$@ZiSphewe|VZGG|P!Rl1r_frY__i?V74Ss*ahnPMlhkSJ7MwmvaMF|m1 zXcxr~nv+5Fb>y$Lv}}P%?E#KZO_p_Cnfx;NM}B}4B&kc2IMFbj`&VO;6>Mbv(GO=e zjqt{b{$+20QUs~0IrT-J33%fvkhs~jvW!=%h;_mZw|Ns7mk0P-gPwv?zzD|mrGitf z29W%~d!+FUZgDk{AH`8pYJj&aep(DT?_Y(B#+r4Kfd+t>S5CRyU|va%PZ$bV7}xSS z>TS}Si65x){&&4n6$ZvDMV5wYT6*TS-Mtxa&=(GOjS7TU3I^$#Tj}HCztqILhRTM^bqOOogkEoU(ImE~}zY!ck^v`68W=kne5)k|>K zfoIPqW@oduEYeF>k06NG`sRO-JB(dNk_t!&B7Hmh=IY9xoQ8wqj)#y4YJpuWidJM{ z>`dgxLO0WQiD}gA8?+(5^t1&ay1VNTV#upvkUktXxj&X3{TiM*IjFwXnN*-{5fr|rH!=Lv`1gT+6&zW@wWBeV<}TSRVj`pG!|09kxs zd!$_bbV^uZ>QwJ;eOgPib(a2nn3lL^7idOh?5~7@V74?IJZ`B_iZ-dfHPZ<&{*~Mza@2)(+l|=gj4MxReCyNi0JJp-S!|#AIW? z&JSxJ)6Wd~u$n+vd}tEwa3)Zfp?YBK&MW?2go*9kP1>f?FPHZe5kRIw_Us8l{)t_7 zl&dti=JZ*dQ*$1HH3ya-8LmqWYcFW?c%$FD$JfnCntrFokAO_Sw4OGdxb>#Nz>NJ*Fge|y!t>>Sqx9VL!@|4!qCAp(x#sTp%;8eb5sA}jptz@^ z>5o~x>;40Vy9Br=FN{kTZCF%HI9O;5{;T)ov=j~Hw0rvzW3=$y7XbISh;6-xjd|Ua z9^-M`EAPU+U`DFK1=7e|Q4orN+tLUm-lB=5@HZzG?4}*p$LRe5?|!paQl-`*-z6;W z-HeNn(e{tLEmJG~0DXFR!W|l}D8ub_yw$^buENuUfJiHw#3)KNvCPMo$d z|D$`$p+}xtZ8h)x{y&|7ZC(o-mK+~>Xd&w1?=wgW_B23ojOx2B?V_2>GK-ZWs}K71 z45rMJ=CC%qN$z#8tH0Y(!p|4*{J|R(_lmbU{X%8o`nQNuh3o@CCG;(`P84FR`#uPz zdkT`cPf2}Z&4X%uq~F>%_iA5)R8d;4YEF2G%9F+15IClMHohaSb8R7IDnSXi_cn{L zpA4?f+`f+Fu8?DB-Ml|nt~Z{{LMylQ(l-@XKPPuoYYy>Yxc{{W$lCYq(AzydrzL0VB|3&Qq$?Q`}wOOLl zP^L+yd*dr%db-&$=YTA?FTM@yniau}9r7{#`kG2ASO8Qbim&ofeG=qwwvHhsQjO9E zS-S)5-&^sXR>ji2I0XZ%uw^JQIKZzmLl3}V+6BUbTEAiRappFt=#n|WoK=16nFT1- zp#U44;AtnsF6+N%gB^u{->DyAgY_o(gi{JFu*Rk;#4GOfu=;r@#tK?~?Cx(iG9?`r z`{zoFG1j)+`~39Sl_M?sNv5A@GMoPfXm16}AiOolZ1|o)lLF5F9;=psDm;nVasDu@ z!9w}01f)#_#(TUe#cKdTRtE^@vgmsA&n<4^=clxiq&^9Te`UMunH4|xJ?ofKI^8dP zZu(zG?kbs(FS`ZX-vgGf>ZjrR357$7v8xKxE4bTf3zHf}Qg*#YQ-i-fd53{?^{(m# zd~sD?q(txS4nmQOyt$t8O!PfQ=ll7d@@ zG(HqKl`p;l>Vz7UMwyyLBUbgZBzGgUl$B@Wau|QQEL_?BNM+7c>*SQ|7kZLwIjV(g|LM)AqvSk~I$(~-w5tqFt$md0)Z^d=pnplIOqO7% z&BuWB3Xea61Zf%bpnww-(xRX~x^{THX^t1yXzyY>5ur^yY)${XJDyi2KNgP=Hy0

    aaWiVzMZ@nBANRuy-1Y zni{c98jZ=(sQ$u?J5!6c2=bw$-TZg-`!887U|hVeMrNT&|0rF00c!7=h+XIhwSO!NH+)hc*0*7~5Fr z?ZD4FrhOFD&WavU=OL6b7418R8J|1Zskt#~s)h_UirD?54G4`UjfH1@o*6Xw;+hb9 zF$tCbc41M%(Bs5q>jpDNU4xeVa{ibz7Y3@O2a_LNsVbe289V*XFSE(dRR9J9)(sw1 z2w)$G-->bcUcO9-%?&*m$1~vnxJ=&8yp}AE!Y#?1l;Yn$q$zDi$=Rzo&}{vw!+zI# zqgF7(srFRBLhQ=kF~indm!AWOE05SeV1*tJe~i{yZahhD;~BGj;67>dHVJdS`M1@W zB`f}s&ae8~K;WlJ=Lo&omj4~r1yDd1mKFtSy(C=mIvrih?|Y}|mv(!A-V?W<0s5(N zkv%6#OtUDe57SarWEHjFEo@VaIyoA%A=qeW(C6b==23^54Skf~6tEwu7uiq0jJBeA z2olF>(t=J-DzB|*nMaAav^#iTyX|h8f8-b+_Vgz2@>6jF#F4pn(D(Q#FtKbs;9t&2 zcTZ&XPuLvou9@!^h7}g=y9suz=MyaZtA+N&h2@6yM1IzjguNQ8Z56HoXa!u;={sw` z5+WZ!w1F?)$KUoOq;5w$uV=_+Kpsn`|0fl2E%-J_(V1jI&MW~4K3(Ha{rV{xXE0?D z^$11$uLgT4$ImWS76bHHl*vIZY;kU~BiOubG4U++(3e}m!kmio{d6Norl0M9PX2uk z38XQ_mVEjF;m9e8tlQtRHP9#nv3=G)e_&5n8yGKtC;0m%PUagZtlpj5YN7D2Y1=Y- zLgR6-4~De4@90ViMBXp2$q#X$Mud%Kd1}ctc>7$`^ayFV`Ukkso8>8P+)KJi$=lY| zo=Hl$>xzmiD+#K`8=4sL7cb9oj#^$M@+{Vr>+w%SlUKAMM7DCk2x|7*^p zjTiaxXkghq<>%LPPzQy3rzLtR&g6_t(a`w8z80r_!7=G)i_9~<%|Ldo|45e~MEWhTuNvvLkjefMq(Jv}bnZ9F>FMkZdK zd)+4bEWh*_!w_D(IjAQR*LP22dvh!|TfSG?9u~GRF_&P={UZh$?F;uZ$;))X6Tbmx z$DhxML3Aa9xt);I_-#tC(9^yiTBacWv5DHxd_-tE3+BuWnbXzGEL zgq|t|Nmq-hTkk3q`p@+?xsyJnr8a+vt=CWbI8 zacDVqP~+v`YI51Tj z>f_8{ARs>YPv>_x>9Eo+nmi&HH+B=e56>>@{fx!x+CerX>6xcEP+HGp6QH694#}ep zDPasgJGL&9a@y+!<~<4>Y5G-%YT<=Ouz4s>?b7q1U1x$Qy+ia*JOg(I_J&1(ey8tDra%07?*gR(Rl{j!zoU|Yp|Bl&#C-1V2 ze}3IEX^;`njGE^FytQt%JT6++eT#=RrPm1GY~j8@+t{6%*g?+A!PSP)?UFN-O76nq zLK)G%6FC-*h%xOL%1opL*1Fl9C%dgZyM-=_6L12U$$Y-YAIBvOXz<~Lotf$~?p>RQ zpAS@P(CN-hp>Xnzylik#a%$Wc(QvsHZgOGs_U#6B+ujM#RrHg007qBwvZQj?_470Sv?-{=1K$BYTSQo;}uaLYR@b53}9{1fYmDl+(W-0ZG8!{IjmLpLO4 zW)PDZL%%bs0adG|ZHY1hvi2dQwhfG-4~qVm5=3hZkWc%*yB*lM{<$vxF}R2mafr&T z)wA2?_LH$uBZS%dy6m6gKz@o^*r9KRC-a*X!|D)ve2`bZnsum*8Sq!Y%hK2i3H?4t zMPwttpv<$7>k@2LMI@$G%Q1>mu8fYUGm&0-yxSnjj?j_B0{6EsjSj!*N|!J!F+zt+ z$5psA+a4f(lrU_)(Jnv)twe5OAfnB`4z5Qe`k6KbYTS%AuLI7`oG@!JT&d-HhS9R5 zRdj`x4xWY9p5y$duY0ykmdg!S4z(LX8Rt+rVxjse=1~}%-01O#VWtD$5=a{}6>QoN z%9SjvitPGo&X|e2`MH{WLtd8j(DO$2NUpNHg8v3(eUk1Nmh2M?0psh%wXO z;fBQQ8XovX*ZwJu}gIjY)vyp%5yrq-;mTfnH=gj%Ywm8w$@8nP6ALMdzBny=H+@-t+DL4Ok zH3Eqnl})HN$6TmHsOyC3=L_4<+y4QXyd8SpVs~?`7iTeY7$Ik`_9z-|TBqrd7F%Ih z*51r`9!)(`w`n^l2Vx}YX(_oDhIpDW^`gP)fn48Dz4;Ha9~ohC{Par6_h`>mC#_m5 ztp}U(&p|_8%Hmp;s9z4yjrj$*klxWLsq~+VNn`$`CnO$)$-3sOVO1OFIp9Rw;zGyB z-lFqpdcAB-{sbkzjw5bh?Z8g&e?wPdE!E0R(HzIU+?4C2OrTWR2E@Vzw{Ap`Q#lwQ~dPNZ0vQf zeaIc#m&?IAEddu#W*QGLj+o$&N*GEHu1pR+D-MiOQc-qd`T_46Wd~*4`30a=?Ise2 zarrBN9+(G3W<-+}uw*%iOpb{r-$Ukl1LOK! zQ>C4ipk@-;PZof$huTU{D9(5JP0gv0*SjQ;%4$1^qC?6>1Gglqt8+2V|grBT(`tot=QtbccJoN+82*%yR6Vp ztx(+2#_?viHB}D({%+bdIrObG=PM~n>6JNk>ak?^m|b!o?X6QN^P}f}-wUZ^Yv$AJ zi7|CM%fa@b-(Wxsp@dwj9V$J4J^`51_Pee!&e(n5d!Ccd?;%8r*P8NPI>VffHy#Tx zUPW1gsVnRBNM*bC)AL?WAznmF8OfJnH!|H0W47HmdXsKJdyr$YuCI+5#TY|&$>xj*I#HZL~ft|xOsU-L-dVX-Gbbh{_bK&rMp7sTiO&`H5E^?oLN0PXRA@BG@DOz+3@N4Z-4pi^yrWao z=yPDC)VQB@L3=oy~+E*(|UYpN#b@`=zwG-a&d#kgZRg|xiXQ-Wuy$lWEe`gtJ*d{2Q z|HHg=->n8AK~3r2IAPDpRB0uxcrP=hnTd)5QkD);7_03et=*GA$O8#8oHEzt2N~;l zIp_s#tuBb|4^(+x@s-_CQ!>q#Wp3xJTB^9wL+k~YF}o!`k1!fLx{%Tvm(wKARG zjTzuc8#9Aw$01LHk9Sh0Af#O_NFYw;WOe$Qk%Iq|k4N#t_qZVf?nubE+0Y$Um9ozH zq+I?b;daN(DL#C<$xq6@gtl&|D(K$kt?CBkK5trvDGqX}C7-e2pY}ZS- z8vsYk%yUXRX1J`YjF$1&_?5pM+FY6-^|RLKR(6|0HT649epx5FMRiAguP~Gt3D;5~ zg^ww;FfN^}rYilS9P0B0(U-1@D-4>X?5`vE%wQIyG{@Z9aAJNZ7dWDM1q+MTdAYFH za0%weN&%ixtVHOz`4B6y1A~)P76v+(2E%6EOsLaTf}OhU8mw8Xwn+Bn&#cU2dtPPmus zjkz$Yc?vJv^qV+$K58{<+uTH<$%Qw+-#83@gLK>@x5Ka*=1P1-hc(Vo9^W1WdV9$GfpTEeF zXMb{oO=&&wDFX)V@fiATZ&t1{I-5wW8l||cWEz3rD*c$b-)ScNLuf3_*};~GU1?8$ z$x0%L-qps(qe9zrLrY{!vuQfqNw#Hi=&(?}9C9QtCbP}*e09s>;cNvT?{c>9skG2< zO)g;o?Xo)dhuLfRN3CY}f3yYhc%;<3*#Sp%h)k+H&#l#H@@4F^g1v8h*wd+95vH$w z_t16kZrT*%N-H`CEcaseS_@gsZs5sI7Jv4xfCY0xk!FC_J=Bj;Oyd3jA+9tf-{2ZP@u4^tXa`joT4gFo@9lV^wr7 zEHl00ILB6cMWQ$Ktl1uRkrR5V`$uyP7+K-JI*2Yb#$6R({&%T@q&1$0>>p4oeqU=a z+x9AxN(q2HrNQxMfXDp=U#s`a(kHzel)TcW2zlGS^F|qu4uNU+62V9T_>1WS;ULjx z#HNjX((m(;z#6^10)~a<27?wY2-5JE;Go927RDjRjokS+2C5Jnwrh6D{Inrj4Ba?) z&?BZxkHBoPOLi@v!0+yRH>;{c2~kUB@!Z-5A0An^`7--0tnp|nz?&{a8t<>B)_OgG z?0Nqr?Vj~qs@Q(02TXpXfiQf&Z+5O2@i=)I6|NDAF~ykQrCN-(NA&C}M|iaOYizEi zHPfWuwdv{i8Gy98k9FkK-Ki|+{-fDhq$=v`LnPuIR&A$9G)g8aEX@zYV_lO=YBeH?H_Ekc3^yR3>#pDm4F&}h4jx2mGL zNnmTI2g@7dt#irNDudwXonM)g2TGU<(8vSPo%eTb!_CQwHCh821>d;K25JArP`;kT z-XvN}gknZdtRZ2Vnn;LXjfc$~=UDiAP&IiD59b`f2B;!+Ykv979qPUo|IYzZ?97L!>0)<|JXC#9KeKU2$N zIQaBjX`SqYbMPk4*GpoB^Mh*df5x5ta1IlT{P_+PRu12!`?f{mT5-7G^ML~wcMjF)`=rWKW^ z?98%c@$CDf_=iY0XM5hZd`2bZwErY9X0FHJq`tJnx0+dQj8%QwbgG5)c2a6La}0YM zUXT9_95Ph;47GAvfZFAMn_Y6r;Dj{JSFQk2+3@+k@77z#l zU6j|D+p=XGviNZ95oO@+o>R>Ua^eVS>+#`3q$;vNKv3Bo}#K%_iPB zG4Wc+_dv`c;)|?&33W-3y748CBluKum6X*tBMFNb`Iu zeBUqUJK|~onXenCx&u^k_C2kdcwuMDGx&K%Pc(Fc!Lu34;}onCDuFH8*Fk*y&%aP* z-glOdZGzKrj6Lj8SfTOB^DX|OMB?ZHZ1V@4!}HB$nLmStg>>t?5PtgeGUx`_?wJ{6 zl_;q&A|&Kmz_(kRn{w!U7`tSCdQdd{DN{4joFw?2yc7NbI8r%bZ6l?hbSe}65w-Te z6sj3*PH`eh!Cr;zhAXnve;#sPR@8cFE@yQ= z(+?Y>6qwb$oFl8RbQCF>lO68-)y`rSFqldZn{*Ik*VaZ(iLfsP{X6Pc$BGTc^qil5 zfJ+#RcsV$ZuWntnliduv94RZzXO_?+T-`c2I_$4CR#*Qs!Kk@=Ke5qHCfd2&&f;!x zL#8dM-NP;uHW?}It~+@KR7n>fc#Q>U^`zY7abA9%^(jN5INss%)Cp~4$mUTZ;5$0( z{jT!a3r_GU%DPHwc5^@&*@#d}glT(i;Qi9tz=ZkDa{0yA2YUw+ z&aOMCApDWe%iB#)cFLu~8s9;si8@lzBk<+dI~$v{Lg}@GX!l_gDbDmF06H#k!k|FRlUcWKlQsUW@;oUZT_sP=M?VLb%z-VPhs69~x1%ys3lfO>k>|s%Ik!T3C{h@M&fx-t-FY z(e!1`y$~?N;H@u1#lWEq$z(sX!dqtuUMJUXUT4HDXM~T>90^P|uMzlbTS?HictCEB z*g!~lIFpujvdsnaPWHE3Hww7lpt2Q7`S7p#T%}zvAO4scQg<))1dUi0T289}w21Ek%RyyNoV7CMc4F@*jTPcJ^f6I`k4?_po$9s=}7|FHx zjcg^`3kohQra5qEvYY1PRIns_q{_?UxFmaQGNzZ!HkI`hV@Jcs0e5w zf#T_$E_LMyP#X+Sa5a1aM%)dn>&=p2wd+iO-MzN@qSJuYOWF|}fxu6Umk14R4`GFB z?kFJtUt`}H*VOhzYq?icP%QLn1Eh;c5dvK0D${7?#6vVGdFwYT-b zI62gRw2$IBHLhl_y_pBol$ifmQ_~`(9+GNJT19Swic$b1ZX11zqyI!(FNI#4Iv#7f z(&x+}O99CB8RXtZE0}{jqxd;2PneG%uu6ny#P$=k1D-fcu|JyN3lvNfC-pgufR+gN zMLqHFCuKzKOPmQjgt2kF%9!+&E+5pkLo92tjUNo~5TBAuqeTFL%?UJFzDd%(hN)hRv> zVb_%I-E8?b-`ikwmivElX9G{+h@SsTT+`Kk)_dT=LgU_bGXKc)>Q+pwdEI&AN?bAJ zk;X`5eY&!(kAocDhYGh>bGJ0C3k;GnbA2~2uLO3tTux}-+Lqwik?c<2eyp5T-q*3I z2yslETX^zLmm*8*kz4>GP8~JypzT7xBrLS?dhYFl+B|6UTqXNg*6iL}=^@-;TcSY9=_rxFqkvQ6;`ARfzFF%{(=BtVNFIDJ z=yyI2JTL}~e))TDi$BrvuL^W(RpR--e1)b6*tZC*%n3p9@w=Co%EQiGm!6bPN;URq z_Tg>noI;Pc^;k%FF7LGH!5B`vsYiZd;k$U_TFw?;j?KItD|aRcc;^FaZrH3p-p*IV|cJ?bA}W5-}4yhi*SKF)IM3GqQA_! zMkyGwP|iy%*Ho&f_gl6m{~6ben)=m&4b0+?OLn2~?!lC@%Ji}}l&t3cFbF7v|h z#Ic&~kXt$N#$Eyg$gL@F4jUp}kuG6AE%KDS5SGmWo2ULMoVAp8O#0r$kqu&hUC#(s z9Bj0RH!qZLy?dT_wKZ!gAO)55W=*un|W2!R=@F=Y-Lp*@pPn zCdux!C6n?hC)va02;&FS{-Hb$KTfLxunvvd{<`;}q<_+{B5&gUhEL%ME`6(!rat?Q5tv%1zW2k7+R@!$PJt)E$t&m zdXsT%)$=RoAt1Nf*XX4rlO;vJ+#wDVhW5HuypoA@jWxY|20!|k3!Mvs8BMxH-hO(< z0CN?HzaA4wK3EWl7C%!g897R6KZz4)T&xyG_je4PB*fpoC$dtsk)ea_mP<7 zRLp4BDHyhe%)P23Zb{$aU5JtiD3BD>cJ_YuFN^1i3@^tsUY~Eq)TLAGw-nOYWOrKm z@u0Ji8A*EON`4~{S5rfm%S-JDwX|82b69~*Z}_C76$f=bZ_h^JUYj~cwK{?vi<%AE z5Y5CBg=aZs+?x`{DPiszyhf7JkVn@KdLp{s-~t}1ZF&?`fLrpihj^Qdozl^3J9BJv z4#TzWaN*t(OD-!g?NWd!vZm#zgOTgQy}&miL-8b^l>40M+MyCi+E{&7UbJ_?xMD!5?xyt;@Vl_?;V2Th#(qQV4L_uA>Gvj!;Xiy8|^*y zapsjk>IAveo2SO|`l3UT!y)P~Z|0_axOneksV$St_tng`{xQTD?Ly3;%a>Lwr&d)9 z$*Rkm(F<-#9479ZEq5_!IfB|bC0}UN)!K3a{xK-e&HLf?u!@^X$Y_l{5o;~!Kw-u1 zUYaIgnD1k@KFGS`fId~H;$T432#{qK*PjJyT~(NxScAl5mO`OvE%+u=9xJ2Ar@dI} zEml5!TDPetBRG0^9N&eveUoKfxga0X66x;1ai8Sp)R9PONBI+lU3I zM9-&cPB9#y#7IR*KFIAId@D!$B>4cZx4`)CHSXY5aO$+bc-`k+^)g(%;i?FqkHxv( zTx9&N;;Vm_<)XPm>j6&K7+Sg>`uB)Td*9Ew6f|)`bcrtg%7Cnre+3KQPn%z)&nFm= zN#WYqeFIu6Cy-f<7Ps`ERm^<(PRU1VaPVed)8`fWkbK)ROk^lYiKe~|m+uKtbCU9Y zL>t!6%{D6>ZPtj}@4{~k?#>t5svg9o&%v-^T<(^!6H$Qw2~Qg8C0UnDCOyq9QAKkk zb8%fAdnMnokmJRk@u$&@Ke1B^T2P)Qum>3n3H}*2T@zV2w*Qi2{f>+BnLQk=7u^c= zbT{bQZ%9GAAN?IUe-~|nS7hakhN(hYq5DVNRbP#Ua1+z0;`(C2I%Ij9))j{H8zt(7 zi35->Z9r~Uj_?@{@QZ5XMj`&i1cf7{BypMV$ykejV4W<>PBh0gAzvCB{x`jl&(Gj6 zR?^2x0-yQyCS$r9`0`|KLL^^bR`}K@tXxX6xj}KG*>IHxJ}50G)$xG0(HuOHSh8B6 zjBP#vd46ENpz4@j3ei6(u)V`* zf*gk{@$C4GzBG5$xy;yeH}$RKoM0)#QE@I~6mR@tlY5MbG>%-a|B%+x(p*VeUsL8D zG54o{G~8*yoN9;V%aenEMXv01`Z#)0VvPC(6|J4L7tO2dns)+Y`)sstKgLc&8oM|1 zBp=d>yaxtve}jfCw(&&|{w-1M%*pHmV*Ovxf*~*T7i0td*kc=EXF2pgt+X5AF!hwt zcPZFfPqJnI%9KW%pSG%QD1P4^^R%`_Yf7VNb{LW(34AnB-vnH=8zMQl-^}a?p*M@k z33%0NhPHIf0QyPv2aaZ?vz0IC&L;UP8UA{+#gRutAAK=)uqB4lK%Y9G|9rs@|1Qk6 zSd3X7M8%WGQqX-1mRhiSwN5Axp;Z`dx>$b$e0FcYo4Ldx5d#+e7E6MeY3dHjSUAm# z!Abm@<+MWW;$U|JyaGpp`FFSF$$|gIT!&( zB60NZvAzwn6Q7TrD=)lQ>*TW*fg0LE9ETkcf_F1jb#Rr!Xye7>Gmy9#i#0j79o+h! zI_5uc6?^q|tA%Y6Ne+tHc%>YZ<~N&yR_hS^hg!MN5bScFkj=NryLV>ScOwEdxCNX~ z`}GR?+YJ|VH{RTO|G0d`>da*<+}oe)Rlaz1Iq5SG47t3)z2~)?-P(<`>LuGgJuB^L zMl*8!`+C>5ghkFcFLb?mzFc#&dS6pMf>Vxh1-l-q=GTj)DSQ+4t#OSWJenAYv)Y== z+FI2WCZ^F_FQWCXK@tS(#2U8Go&hv2Mh|N4na~sf8b;@)?bS02J9k792mf*4d-W>j z#t83;gF9$mo^JO`N&>H51&&=AWrtl-ViKK=j|bL0*ky|+8neTv#{O|oxWELsmd5lX zP`AzrCjZMOy!yPGo}hT9yXXJ?3X{#Bdq=-0tZ2y>+68=y$%ayRhgJ`_nz29VZr@1J zK{+0*AH`S>9Mk{NBvrh_pDwj+^6m8vLI<{T{z^|?o&mM{gDk2HBDHZsm zv|4~~2xv{Xv}^|hZ6B5JU>k zqwxU%AiP|OxTV`3AlHL(k+}Z62QC-?%a$v0m&er%xBPQ(|JRMp%Zp*>k=c#kOBmN& z2$eP<-b)hG2NhkDjQ5HjW0{btX3|n9nt3mL4y9tfx zbX)8`Zkt&yf+o#GW|R5Uf_Y&m_wBsO!%kgbAp%8!a}xDg8|8FF9U{9z17xp_uMJTs!jIQBtp)fz8XpNtY7rX#P2oDT z7@@T8kX=W;5>W@PwuBETCyBsSmVLY&Y+3(ZhG0*b&50KEZqd7QHdQ5{2yR*2bV1Jw zuSl0pNPtsL9ksc8a%Nl@s)_K{@%)tksn>^ddGGG)laIDtb0y_>PquOnBD6uC&%MvW z^qxH^QTb~)`6dc#=7TUOUYw2`&m`msJQHoKquz~Eob&fYdAz0^rXcz*+KZy z`W5?3Y-QA@1xCWu$9KGUGM67Ekm$3jEvT=Gk}&h}9qXOEbgb-gPl27!GlrMSxM`XQ z+$Ckkc-GnZQ@gr5y54_x>yyO7k8mNMxlG60Ye39wZw@-}`|xDYlomQ3!D;yT@6}Oj<|Iyvm#( zbBs|Y@A_aG>g%Hx8NSD3e_Ka=@2;g7ci4_7=@B|(O+E6Ea^qPVwc z0I7QkeHLsjc-)00Nbforgo7(rH>e@%B$G*Wg{4|;K zu`13M7@JEq3yb@LnmNRlVT!jl?P}&|{te*rW+A*1yhiabW^VC+-bKIBjBkml*hlvY zRs9VmH%YqeGg21cG)A=v#k?usKhNJMBZxJeM)&2hbA(tG_jxdvg>Vyzi#V3CD@}^{ zxGhFgU4E}b%|(*{c=1qqyxN4;=%b?E-2pA^F`>0W?1qb`yvm_{s?hKbSOm)eI7iOA zgqx=dDeZAy|M}o;7fq$v?C`?EStXLDV(($IPp)$1d2Gnr4`{N$pP&E6;&!cw`B?Yx z`_|qHaEE?q63AnxuyfM?{qEVL;x*-ldhE3M;X_ru7u$Pw2KDILbgm4n41u6GhmJxTddLw5=P9p0L` z>nq6UaS1g4*tBZH?;B|F6Mu&vl-%hKV%Tm?wHQq!zW3Q24?EETSW!N{M|h8*{@xoy zjU2c{@=2P?-e3N^JYoN{in3{?Vq54ZU61IS%Mk-Uok6Fs-IkcEUh>&?%1WZW(ZrVQ z4Q%tg4M!mtT6D%rf~dElQdgjG9bKw--u6phQGI^{lm#>Zib>cnv!eA>EzBfqVk{SP zKO@?E5epxlFVO3O*9K@ITrfXqa$e_sji}MmDj|S9Y<^L*zm`b46Ac z$zBDnTE+}e85o)r{ym)`n@UMUf?GE)4UbP=c(3Rfc22rU3T$FtjIINrCcn}6djoua zBqEGeW2S}9meySntM&}{;Ssz~CZV;ks#k~&;WsQzD#?o6nf_>7XWg_V%ebQ#Tpq{0 zPYXo_x0j21d=$x>vav3VIpK_v@6%T_`<77^N9Us5d>uxbT#;O9#6e7gd6d@1urmwn(T7oGsmbdvtsF zme2*ICU-ow5m&yCG&FCG>A}la`#eHy-P;oNJpzO6>z1PvnAgLDqgDGZa(HB^mde*M>js@A%ssJmY6Jotx}Lc<+VEu3$r_!2 zeueNlvf`6El6f0?1Go|?@gHv)2=Nc$eB`G&{I%$?up;n0H0Ux#ap#VJE82YINv zzj;YLvQX6~sR_0C(t`_^{RbMBxK-X^Xk)d z^XPP@h&9Ms#p-ZLXieh4inrPUBQ)IgBI&~uJ?O@bJeRI$Qyqv#;ZEjTXAxM3K0)*S zj_*y-QS8viEb~tPff83G3Q0G7zWXGl{lS^)Gsw+LGH)z%T%X#Qc-8B~oEG!ZMX_$DVG4xtCA z=$M(eY4TQ&SoY=gz+F!gd84mV9ruGPQ%hUkez&g)<$5&O_}x_2Pg|E7fmey9(GthkSIEYjY@S$(7T@@+v{jSH)xa zjd)=B_M`SL8(zXx7hR0^X0b=O)2C<3fqB0?^MTj06R~d=7(PQdY}T5vfOF|R%cRTl zQ&q8*&%e*!uT%8p(jJwLsw4|Y`f@3ry-LE*Xx4bQRw5KX(tbN+B3H{%W)uKztXi0d zD%hBkS^n^RR6tyRimM}S=IUh02L6e}cd=fb{v)VM|ENf7#KWL=xyquQdj%40)z?s8 zpRX@apRxEgYraisDb=f8{Vawsp-4HHoqfO$0+8FpKSHhhk45* z%XcH)NCy0<`6Qp{*kbTxZHQ&>q?H;(a@0HY0o{x-m45Y`vP#XyogbSD>L<;o1cttT zL2-E%k#?=`3WTrGsMktKwO7g`mzda=)gQU;y?@Q};`3TA^tvf8-??V?!GQP$={}gS zNDonasiqpa{`l?MndsS#ffT3~WAR~I2s|M({^J#kppLEKlq4Yu@us%*!#A=`QhK*! z`^`4H~P)J8>m=%nIK;f^DD9R^A~(L<9Lv%>0%p0hkT8xtO% z-JXhJY=!f|Wb-o*J}QXbEe$V=L5MjElp`9=b9N4ICpCoMiboOOqZTvolwA5-0uPmR z&-5tKIyt8tUq5k{VwG7@qNeMZe}BLxoiunG<5p7JbKuSx%SJ8SJ_bqi%t-XaCDFc+ zDX#jZqkjo07u!(AbSjP&va=7_9q)WVaS;9%sF&q2hdY3M zY#FHebH}y@6{e&uD{*o+Ly_&(r#%KadDNKkTuk3%R6yDLNAN3 zk=9nWLWuq$-UlB(u;waZeeo(cb+uo^<$BEA^5uT&JL~PHvWA;U;4_~AOwtb;Hglih zVbx0)H_yb@0;gS3yV^JNXc7%oM5FC$ovi!4Icw>sp`ZQ7M^&p1tCobVBTs~n>))Td zU0t=8#GsV^C3fWp%du%cbbSwCS;_ zYGVF)*rlVEeKnj4N^h2VLz%ib|Ng*0P3Zox@7epa0JPC`Vsetx%L3ZQYeVH}usZ{7 z0O@Xss-&+!H&QA2(jNIE%8svOeE(@OMYL7fcz+)r`StYn`M%kO8Rr*$U4catn;SF6 zsM4BZgq9GZ8cQ6F@!ymf{@k9!l}XZDj8Po$?>0lS-!RY}3bwH;(%~;+dO%6eekoVl zz){PXeK=DN0S4J5J*<+7mjEJ9J zV6A+ETvKK~nnk6`nIPGvku%g(t%4@-2UcdUfl3`M(X-T-`g!B!dKu|I8n=B4&Zxkg z`fkD;7eM?$^yyC+w@JK=3N}HoMu&55HDQ59(WtU}C<`68CYtrz)xZ^taRFKBJ>w_4 z5HIa-A%`M6 z4&sZA-^0A@-%X&}S&n(^H@*Y=(;BQy-)NZc2PQCwdolr=kW`zD9@vG=!!S5?Yrw(H z+>mjy#kXu`^u|mmX*QfaEW~PCy4uzkSbOt}uJn+Z*wz_k-7Yc9SkGJ$kio>lG~x_y zJK&iSGcqqU$3{vV=4GTZ6EAeXud2WzWdQgpgb-|DuB4XGrXFj62vi>L&D)b+CA9HH z`eheBOx&u17X+H-QV}GIyU|e!77dnWBrTQfh)Dxz@W-n5C6&9+^I)bx`dDqC9{ODVIT6H+4da&Vh)GK*Z^C`$!wMKS>G9z;<10E`1 zZS^0$VLi*eq1}X4xG+7|_VQL)Pp1&6{sAhVp2W+OO^D~ahO_sIm$2j2E_vD z`i?Is;Ec}BEQcMt7Ut&(`Q-6aV@g(*Ijr*;yYp7ED_BHk*^ogYdmZwXL>*<}dCnK}`T z=sbxY1IwhhKm;d*#bSqyTJ}UOQhN8=5*77QJ*TD!eWi3w)4P(%xm>@vuG~2H>eWe} zf1h4DcKp0hV#hy!o%sKL>!{!>J?U5BUzK6Lf`^Co=no?B%)_ozfzlLS#_|V4AUYPY zNeLT``+Xg;N}>98X*#Y7rlqUq1Kr9nOXn3iaEyZm`4I-v}Ntg z!?wd6Z9~>Q=*rwRwhN5ylmP&5ae!VwW_*RM(~lWi0p9&2F@G6Jw!*g9r(x_zUjtQb z4-V5a533<88ACLht8BNDaf&UoLx;tQ=@BVyhc*1lq+HIaWtlsV4Otd35na~+VGPJ4 z1Jej*jqw~1ydf+>Ll!I=y=iSRGtGR%o;$@}>fgE0zmw6w1DwNv{4xOJ`cMAzzs3-T ztS2!M8hU0tMgys?XJDA9o6yG*2aNA;3r*2r}@Olm`K^r!K$#DKins93| zA_%DkT2oi5D%ghiSc3fYYO9|($M-d4ub*Rj8HdW5%kyrxmw#7ZXKNjG%25DA6=s)O z)QJGjFh|m8eXdvmR=hB)I9%kDD`S|0K%?93WK4_4F;^>_EpIwC62E939eTHAo)0Ma z7jBssWs%=yi85{9nhml&@3B4_vex@|#QJv>`s=r6$#?meYoap z5}oTAzS^DC#gN~TtoRDlUSX5e_sG>1w%JVIzrhVxFmaxI)creZ5%;U#7V3^-tSra| z{V&$=>9bkzaQn?qfSrH9N8B2F1fcqUW*ZkQupj&X>uZ`jHI4j6^E~7#p&MbFdjP9foThGz4t^!lY~ z78Xz1e8iXgKKfx0vXp=;R={N{%!YWi`T5{qZL}gC;Y|Pu87e-3OrBq~rzx?$@%tj^ z_Vt5e$C?IGP^iu@5;IM`)fVG{|F#~P?>6tf67sZ=2L9x~#Uc`wDFRFu6Fajv^iE)SbrI z_&lFRNQh*t(81cQMxv26w2^pMTcVNp*DS1&X)p_JWa`Zl8kpL%um+~;tX>`S$Sis) h+@FX_EmSv~p?MOA*vF0>VY9=JW@VW+^w)VD|39V(&sYEe delta 45150 zcmY&;2{=^W8-IJLzA2Kig|ZZiAsOowA=xTrXUdZ7$(Hr@Es`2rlB^Sw>^o(fvJF`$ z`#Km51`}hO_5QEE|KI<4{+{#9oO|cobI$v|pZD{==e_cm_7yMfi!}zCOZWW!3p(`Q z_wJ``<$wKWdzb4EeiYK)+6E+V!j5o_>m6C`zI^ptZSCc=XDr6$YoVUj6Muis)iYOO zr{p+}EpAg+^7;n+YraT>{*$zoRSI@fEu^PDr<->6;-X8vy%K+v*T^8sqcGfb47mUJjTCF6(3|`79;72Q78m$B#F= zahJ6FNsrxdo5`-yt3&&UtBQ}^bF(ky&>vp+zKbCbgT{LQw5L%-LN{!Jq4yJ72 zpa+?5dGQ54vpIIWJ#t^RgWKO%x9_M+rvP$uJ?QjXo{z55@(iDqS+MJ`U`clIoWECG z;*otN?W;a+#PW+e?lTvW@9wCL&Tgx@{TgD+-*k4qGODdxcJTw~0geiOy>yR_s?;(w zw^_sWd-!jf_=Oe!wz%9%mg!3)s7Dcm2sQcn!v)s(d_1B9>=jJvIS|FGrd$3#1u%&s zI^1?iO&U_uTY1Zyj4RozQmgePv#$XUffp8*c_X zcrFnS6!uA3YDrnPa#-e=R@mk~=97G!b{8L5ndzAN$@i%?x$?~iuNQ+oJcYW_sW|D> zVyV=JJl#V)-AX*&e~voc2&cM-Q*D57YDhR$E1YT{PBjTvXiz!QGN&8jTX!_aI9wqh zT;X~+)iv5mhn?Lx(&X*eQ)wZhh%UG4#*4taFY|O8@pN||bedtNj5XEb9a1Borf6R6 z4&yPxq@F(#k3aeuA3JD%cEq{eiHP94k0Lt?Mwht=I@QZ4z$-ltJ(B?+X#o1Ih@a5U zR+^!inTqw*XJey?%sZ!B7cs8-KM|rwwN7XVNSb47+iS`mrhalveXeE^AF+-@h~6cJ z4Z@>M-xOU!bH^4_+cFMDaWmmYZ9VGBnU1!1V3LqNhWV{aA!RtGJ|IuNfiwm+t06Nt zKHQw{Kr07g`@S0=kR!$IHgWsEVJC-JJXWn7?hl~{bUdYRK;IpRpM(2%Zz5VbsyyL#@zC>c3mVf#2*p5TXLR&lN(?{hjLk?o=U7 z(4_9Wy(%=o?PfS#nJ1W&J<{sX82mW(L4EcM`_$+5f{AcZ0bJLeWK0xyteLas2ecOi z0H|rUqKUDNA+IDHSaL?!uI{$BS6=(p-|)=Fs7dZX&$^{Pm_QWy&X$WF<|7^ugoJM8 zI9p`B){EFX^0(0xVu%$R#79>XdV#ENU-S{l$Aybt^tm#6=?4;m>}a$g@P!BQNc!31 zR1y2LhsY4bpmxUjbw}AL2h(*8Xxgv>eo2P`#Lt?R`*UG#AJOI64G$4NF=NuoSB(Dr ziGG9jdeM0_;TxKJMn1ax_;;RUuTe3H+A#|W0A8Y+N4 z2i1M*tJe!;hZ$tW5=TK&jM^3GJ2jS8b#zx~oxr5tFRi)1!c4EGPCbmkaquY^-Iowa zG2l_Y;ZiluEEtaBK4(+KwHTl9jomi(Nlg;AtBk2~FHP%Kj!TcL1f!1=?v*+U(kOvn zy!?8UX9S!cgqr}BJb@EY-+gFxVUX>9^6)`O4dMU$WX!JmAS3q-s&LQQUO=`c$&uP> zyfo9JxJM@t854;vF8K8!o9+8I?w{aL7!MSy(CZ68@0vibjvqzDrxSdRZl=GGlVzVMD71xI)q*?o{QbgD((p`(eO$Xbkf&IF(?5Xp^p43!3z4l*eLGI zzleI>82b|KrAhes6$0?j4_<=Nr_tO`Wb8$$6RXg-|iG2E`xZe$Iv2tjMe_K?R1 z6eaBiA71rtWV*@k_vX{%mlQ$84UL?=Q3wGtI5&~Qiw`$)cX$`_CY3xDogezRcAySt41XQqqF6q$Ddr3wNZx~ z!BDLnas0dL`vcJk(9_C6Q#KYgc@fdd0kMXNfaFvv<-sjT`~Nja;UcKp*LVFaTFX=u zaz?1h7C_^6;Sa6hKs+!T#{+#rZP!Q(AmTwOJER36d^4c`F~?5>V}Vo-Ia3y_{h z!P!Hr^pfIJBKBHmSr9K`;=`s9r2lO6it3+c~E{V8On*nsX1 zgu^sUvP}&Yw|m*z5q1H+3suM|SWn$8l#H&OwQ)8fhMl=$bgetNBFruIQvxRav&4?u zuKVX%{xp3%mGT6F@P92(ar(lZzq|-hBam8}{A2@iO=yR^`u=e1MAUx+O z!aqq>3bKT}zo`RsZ#AzCQo%-i%KNDpFYC`PhlpXF5LOK=o&EnZ>2PvEZUW>SkiSBB z+1EX5h&bB5>qysKZG?j$teqVC=RxkbI~NZU5%G>j2+{+{Pi^!7ew^rAh5P?=mIevk z3UAdHa?vm2k!FhR@0IT-O_e(&EF1s+L5f$oNjV2=3Pp{q0nzep(FCPBdl+K*tF zT3z?~?e^SV^#8xMVyQQ^;T@Zu{vfp%a%D@%2@V!}u3JD30h#6j%cYpd4fq>AAKT1U zrussp(Plj!Cc{VkR`5#;f{%WkXY@)f|EhgiELPTg$+Imee=< zzg}p%T^hlJN#4MlMmGM9l>s9X0ECbKsr9KL;_1-;(2|2c?R;WM`Fe-Li@{qwP?#M2 z4?AaiAF8*sAMv@~<0U^Mb1%+9kaMLwXy|O?v+KgAadBU~EWH~V%Yqh!bmal3dEYPM zCbCz(#30WsZ|I3D7l%A2>7NvbuwB2m*WVnso60R3%8wS;jsJJyY$*Sm|M!3W zT&N$I9eIA&+VKuNu~CiL z1Kw$#Kc6cU=;;i+l$J-CITRJQ1)Icu&p4-0ch1{h`_T>U`7duet9g=Aq~!_s9XxW| zf>Cj$41`u_=-0M!)1S1`b5s*R7!*|Sb5 zbvhxPMNd?dIHv3F;VpB3$gG=6>#1_Ce7NbafiETaHlIM!b57?W1fek8HVl77JVH93 zdpmUy5v*X;8>pTr$EWR*`p&?_S~Dn1&y~p~`M7>WEQ{wQG`|%Tz7Hf-OYeFO<=(E> zOcw5XO`X|a;=t}Q4fVSAF3VK|BiCB zX|~@7wJEe)nK;?cZ>;^XbzQHR!l_ir6vXec+83!G0*i$>4_o=A>WFL0E6o$eO`3t# z<7r;=U9MqnxEiB-mP6l*Y2`R0$ZN^3c5^6n8q5D|-lb)9@Ko@U*LdnDH33}vg;O0J zhHr=qxL#&;7uT=dxY=xIS*CG^TNk-(-s0STSoP@9DqA;~V_lZ}lb?KcB#owi(D^0l zCUyC8z+))TP7sKQ_1@KajzJ{Ad!%Lht1rZi4V~f!I#9Th$0)Y%@?(;ol-G#zW67)w zyQ^C-#R(pAg-h!9fzByP&pAsv>-AIE+_Tl9u} zhkLyXk)b;RB%PPc8X1NV!T;}VO-?1Fg*!tkr)0CB%++Dp`dw4fAqY}@s#s#??U*v6 zH;zw4)v(?ySar}>K3Qqme8}tlebvE#1Zy>8t34-wREy-yAmqJW*|nYD3md=JH-67* zEcM>lODlTQY0uNm&C`8aW9xa}-RXk6)19}c3(Tj1VXEZbfb2J&XD5ZLqfIxaA9Z{I+rHIOXcb?>UX%q0i#R@7TtGPmKX3jR9X9 z1HLr|d~W<+(wJ1wwb=FsU8tZXZETJ&Q?-B5Y01M^OS#mRYF6s#Uve;M@M!czB$vZ7 z;_{PaU~(J^=r5?cE%Yd!ZbP2v74`k=b394<(y1*{gNMx=mUCY|SM$_%Z8+*wbQHIG zn-gJn-)#gfuo2$|(qlsKy)_e!}KKoz!XW&H5m*Wni zPsTVcs;(Y;3$vjo%H-eiOqKJsanFKpRa6DbMkY*lh^%Ki4i`D&w zWw()d)&uKRd9&zZaUVd+VWBcu_Q1V5{>|B)`ee43h`W!>;V`($nUI_l$N`{MHI!F^ zE!zBT&l>LQGhcT0D0pB7`EqAlgbhnuLkWVa%}8E&gB}wuW$QmfNZaw0WLLgM>Le~c zV&>AM2SCz!w$f`akC~V|Yc<@U9~wN&2TIGa?R^HCnZtc7;KU3N0|T7I*)X1B)ip3_ z3ryX@L~q65>uW~X6GC7)7Z}luP}p64A`&z-HO}`d0jA$;q9;2wzn(zpD%H+3Dev%R z%?vD3&=WOJ$2~2saxkVS%0Ex--piTChJZTTc>xo~CiKSO)w${M zpOj8fdty3~aJu@i;-Y7;0kh*IXx}WH#o}56!a@b;F_}=Gn@-F^Dr=mOjE1okGq6%Q z1_XzHlz7RtkffK{_dr`tCht#kXj?qRzzL+H23D+L%=~3>m)BkNn;{{lD-1-k(;d0J zG*xt3$S2sjQ`KBrhgV=(GrosGw?DXjM9x3<_v%)sggMx!9|Z3Yg>V#sSwdzd0d%k9 zf7T9ojlQMjpTH`yJf$`wGK6=vvF$T)Q#B1Ap0PG5FmVYSUD+_@-Qlg115_BPS5u?a zTE0HB`8vNWZH{$Ul<%m?%**c+4iEKo_}ioW@+9Ukfs+jB2U*g2H7bnUn)`gbzH=6X zN(8u$Pxe)v72C`rIr-6*V_U*~W#DVPJ2$m&u+!DM1bmjl(nS}=!mx+$AWsT7z2MH0 z^qKocA{dXC!*#J808=QdT7pTCy@Qb>AU-k&5_A#R^?s5?Rdaq`pf0_Lkv*x4?oRAQ3e|^<;4p1$ zq>k{-1*`!UrURxQMcUn~t6>`JVhg0PUbWpys8Y@pDZpr3*fs`uB!uwI-7+J5eR;D> zIR1BoS$tEMax@z6$xR*-YNs4(8$V)%W-G$kvJXS*%XvrR7?MQ{|0Nbl&Y4ZZ4LpBJi0$Bwj(dQqhxGVT``~4$;8h zwF3h0G&KI9qR`PPFmOT$>FZuxbB}4c&H3n`3OFMY79tPVFtxX~ZDTTc=LryAx^ew% z=Oe%T@i;Rl!Ck-HG5cg@=HX-uGhnzIFj^MMBUxttI42iy>nLsF7--ajg@tIu5i*v| z&N_h=R~R|45V=!?V-;ksROGWaRNye2?x2aSB~pW1NoaIW2D%5v^0o`UY&5PEhuv&P zp&LH_fqqsPXwZ60s9&R;X1>Mqa;?-VuaqC6`$K4##{2oQfJ5CKCy4x0_u^X@8#q|2 zRg{Ajl;ahYoC;4g`y#%fDGAU$1$fTH;B$kXu?;IJ=a$VR9YR>#0GSLE>K-j93h}Xh zpga@wamVh8BGxE;vON_bMEFJHow-=~wJSjvS5y^O7(6QiS;r(tw?$iV%R4(KSZX?E zMg=iJflQ4?Hj%QUbOf~9U^O$#&wkzVmM!uefeMpCjl#a0hnvnfEXw$Q7`Es{H^Fk3}pa)P_50$l_ z2)d{|{vkj@=dk!-B5yA;`U@avpo&|NjNGD`_4EKaa_o5rbbX5oT*u6y8Po`Hb!7VW z8r%Cs18X}rXF!dI%+BVWNPIRImAchjxsSMgo$iIcoCd>k;*qA}hqq%1u#ih}gw<$* zG#@tJ-X1|z(jghAqL}ZQo0%cH@e!~ULeol$C2Fx|N@vGko!zoAp960 zz}yWhC{@eIqDNq@azHfxV9+x#=%QcFmk?}bi2cS!Gc!1{8&#r_`fBqbI=W-rv>IGp zWu}gTtFP8-+bJ&1d|B}lGpd-awC7u_a7a~NTq~+VoYY{g&@UoLcnvb7_%d=r2%C6v z`$`2R5dy^JcMB*+Zv)T-#{U73I>yF3guay^SbOcet%-LC`z!jXfJ(YwTJ(;FWCJYi zTxr9%WL9IbOS-jt5k==U*Sf4J2&Ws`vER~IdQ2NoqML69w6D-oLmHkC4Bq?Kgvd9vxL-SLZ=PXu< zaMsJn!pndQ&q2uYwf9&RQu$7*1TM~h`L2!*7K_ejE zQ(^Vf4UE@>_P1W2`pX(ty&Arb0!#M5kj+Y9!P3$Oke~l~^%!#%x5NIpCX}TwF(@qi z9R3gPHcH+Yx4;)kbGL6D*w<;S^$}y|6V=STBD@l$#4dgX!s+waXQ9cFG@_c_aMGqB zdNOVpOVG4_E3bobVzw@HMG}WtZ2)RMmhus0=ab&dCi>7(%ME*LF;icf0jAHD(4J;C zgM0mCma61DW}p-_qwg$k^ug+-@yN~9Fs)wXQ5H;H6^|^E07`wc3MI{qXFDogC$z?36HCE2cwl04 zd(V6q?qgP>XgAD83eHw?0INBEGyJ})R09}cN6`pPS4E+lrD%!WS1Xf zN$POk+`#m~Qgbq~ymZKZ6FY{R7;ip8X~4uhBc6*5`1&)y4Ehs)v-|LDOdlJrqHPB% z!s;*a37g4l*!i?K?<_>IoJ+xj2zZ{8=F&Q#9%%Idlv>6u@Nlp?dSfQcJh#VkR*Q|h zViKP)tK^A9jaFTBbo>G#r3BnbhJA5JJC#)!xi&Jvj!yUuuHTh!M)-rb!;C-oacGavx8G zk~oU8w zHp3?!uN-#&a}}be8`~Z-w?ZSzIg?WTq9IqNlg>nLZtvXyX>DNsEb^}_uYlEixA|L1 zkDy@2Q+xvH8(Jt#CZ)AeXb>eh85UrTKM^LwIrE7qH1NiZgmPi4z4pp=l}ULaoYhX2 zjoh+&ccMao2)ZpdFQB*>ASVp)E&~JJ23*lGD>#;3GgLa3=)FuR#Xza;lE~d{SwdS~ z@G)|PuLZJsp(B!ec7^p zsP_}Hn_R9`6)@*fFzOb1j0-@IGNx)85t8@@+)FF7E#p~#FpRy%!AkeQ^s`B3tu12c z9ts}@p1clTqLaFsznu+ujnCy~0jdKU=ecOCH?1itS3_UYlpzJW67aqW{hPN6dgx*^ zj3j1%5==D%4AKOFAka_gX|^M$$ZmPO#M(PyLl|f&s<{XD z))IQou_q#tI-Yu+p7BpmT>_}}(94reu&lACo&jkwZ^hPYm5JN>t&}UX$~rj1PT^dJ zs}Im)mHmBeJ!S&;@34Eq)yYVUJ*(AM{I`pZyYoqCEE ziI3r?5|lRxKik|4z(~9>_e#0&me~)0{c<=4U&yEG%NEvSxJ7h|ql;l7vhap^yU^ix zTlMcC-rCPa41#ailkZv;H@q()Owb@k^7)4W;+Kg*UcxTuXoFN#z{?$8F{Erf24&B*B9BrXPSb*rbJ?x?YhTdl2TtSK3z zvEasL$8fX%VQLQjI=UhCG3L}2^34sL7E&kRlD_-mDm(W~>aTvfyH>XqI~*P?N>tUs z>I-60lRpCNU-Wrk&$_oDq@6x)oUzcdS%V{}a*;6vtA~5BQQNvvUHnAQbvnJ$m$DU!ujXFy z)}SF@?VLE*024}X19=uU&r!0HUfXYMH#7JK#j*Ym0pBNf6|$uRz8Q?RF(qp)%nM&5 zbZ9yNBfQ;XTOEq0p7(VWBS#OE07_d>^4GjJSybVLad{Dwu~YJ(1RzVuvH#AMJnenw2~ z2++K6G?JloB0I$ApKC+Pc0S`b*hYH&Kuj|hxj?k{O1l7g{#$HLG3N6iuKX0CY1T=3 zojc20Y*03rEDVnIP_`dh4<^)evrr67O<9m8u-(jjW?=_bI%|TBVWF<)*oh0M?UPM; zP(rwcG_;u$8NeDD4G%He?OCIAMr|ZJEf7g#Lus!+wjsHOjax1EW2*ovc!1qUrG}ns zNI#3p5nIP36G$u5YeRjE;(q3@-(RZ$_80HFisA`a<#xh;^cqhR*6xZgXyL-9Q`n^q zAvLu?1#p~_cdXziExgMaRWB)}qhzoQZaIb`gjiVUfI{|l9Hwr99F(Ugo?VSTZ@)3w zyqR!Nd37gUab654K-xp;%v#UdGWg|uYft2P9TSkVM3Rkp8B_a|jewM*e|?EL@m4S~ zv}v>NA_99dizpc#6GUeTAjR z-sxuo2+|So=Vl+wkOeyU7h|&LQ4{{i^DNg3ENLAu6^0^afO|=}4Z%lfk9X$SML^Qy zQ;5=M=#W6W!PxQ%mB<7*Td`4VvN{+8G`QB@6Ey2&I)lbXanbnNrjkBv(Oy8#qD?-; z>#N@j6z6~*H$Wf?PvY7NQo+^$q?=6D)1ciw(DOF$jPyODZrE9)bo-6j<{h__z;Z)K zEe0$LWB=Om=U{tAKxt<$7J;q7dY@nSR)+|ro{L?7gJGZz3h01u@qJu$t#;$(dgm>T z$_Q?nO@x>K)u9W1M7lnDGU*%pcOR>|wkJ{D00h#awgM8NZRvB<__RaqDfdgZFIlJb zBEx7QO3RoiDDy1x_;Y8Ql8&-b5^hxt%Qe?+UZ{*DQ;>LYaGrnG-5U26AHYpcsl*3G zkv*wTQ@OLK;zd{?Ek;W^xs(+0#b_BLJkRpXp91T5PG!YN3<8qBTd{HH)t!X1!hri$ zCz`M}3#h=DYv{8k`4cRLu*ndnh7pRxyq4a=Mr;AEYrdHA&bW2v3&#^ep#(mNra={7HtL$d~4*E*;_EM6WYhbjnW^hHFU?7DFsg z_z{VJ$xRz#^i@ARj%r;4^wCR5ZSpd*iS4QIH29wYG+y;1hP+`cg6zu#57OZ(0hz3r zhZFGEFwhA32JgtlaH_AKSGQYU0uw0uv!0T48yU*rS$~Q3#3iJwB;9z4zGBkJp!Q*H zGk{$fuJrYhbk9nFzS^XyNy&)v-X8l6_6^2kFyylRw|ro`gZX{|wZEj;-(1KDIu~bf zFmkujuHqYj3|rD;&j-QH3;Iw&VG>i%OT(+`Y$8!`757ZmnHKB_;#Ei@bgPMVG|HmNAMmY{lx5X^7<5ewC1wZNMbWp|xF*RhA3v=On$G^+M-45W%EZ5#@ax0-| zg8>BfqIg)aG#PwoM5dCYcc&Fp+*1)L1;$?a#iUhNP?J=}M?viw0xxLX90QvWIR&_c zV&qSOo#>eJC0?)q^zSe*XBrG$z&sB{e_?|j0?V9^%+8x*n zlw?CEscrlmf&`SjDF~#!o%GtE>|Y?j=@xdutHzUdI3B+`xRV{&ph$i(92CABqJ^8X zvSDAHtNC5N0Z>{N32+tt8J0R7_?XZ}U_sTGF)kdKQl?SQAv`1rz-x3hLBs|ngXN0} zL4R45MZjuHx9^TH@&d)_m{nIK4HghBGwve1L|~~f zdpABPW3QL-Vw3ia%59BTs>g(gyY|#*l<-h-zQcqA42as(Li4~LxH3sm8EBA`Ot$wy zxSK=}pyQS$D3oLl-v_Ax$%a)K`f$|M1ZUfn^+j7j%q%FWA79t zu{hMkke4*M8t^s8LWwjzbcxpI%gyGrA4gte6yAgiiK0-p07w9?ao91a3fLVF14v$> zo8~jfSm-@P-wm)Kc?|;xtK?!@7QQw!2PTN*^RGcsw*`cvj_j@G;%%V%d|x*mf|I@= zFdojLJSH|kri~CEblT2il>n++_7%o6JAf@kaya7ZZ{IeUO|i$*jG_c$L~i7o^xztt zUfsObcXgq-6W$mih-+CW<6v354!WS697imHY?6WHr=MR0hxS9pWXu&>V0coT~D zh=XD2gyG^6q#{IKSMVUGQ;44o1Kgi<*v==R85Ahep9Fs3BG=CAM2OKTKz%1r+l%YY zhJxT)GwrRfGP3d%eJBe`8^oeP;VF78+kXBs-4iOY4~3hM^M{t+=k|fd0|^~VQn|JA zrJ*|?H&^_cpKz?TJPz82ttCTh7f|{gT1w{kt0&GiGy5i>QwD*`i^eqkeJkZ;Ym0}4jOdRuNgE>7&pkwf$?!@Uc$2n zj=ih{g^ZGBb~ZF@erU3SQn*un0;+Jv0;K>!&a-7JzX~gqj?h?KWOnJXjYNTs<}g14m>65K>;~sGh_Y@r6Mz(7?N(aSncYK3Mi_fY4J_ptdQwbO zyN{my@J*v&la<=Ma|U|%v!9EmU)Ww8y4s99-zv^0{i&_81$%6B2osyW zOUz~bFc!nE<$?lYkMWNGp>7PiVN&aKRudOamJW^%gO($p&0^YKUz&25?-<80fs+9b z^tEFe8Z*@2vS*;zewrLm8PrMQ3Hg@)n37^BBDk;%AT;=P-`SR+eGg|A=tD(b$8*SB zmX$F{vJ!Y0V3UIBrzQ5&iu=K)i6rRg&5nCKspAq7PX{_kTq}U`TH!Ux;8@~&*n|cg z@Ka*<@4()bAkEHcOrY*167PYFtF)L+GTLMt6B-=rf=#y-{tVU98O}0I%pDlj9;$!E z!U#E^go7wK^_)I8>_D2-iMm#?tFk1d{YFbOtpleFa4}E{3~ae=B#Y8GJ_J8CgaKw% zjL^|H)d=!Ou(Bj3aWEA?PtzaZiZbX8CjoXo9butMOy?z9%bj6V0*o@v^h9^3 zmlSXIJQ?+T3Kd)P#nyp60YC~Tqu36qL%NxY96MBBLrRG@8b|raktjt!*^e3{dpo$c zHo?}uUR~^N-ZV2{=#|T&FlVh|p-WK4_VZ{#=53p!2)&D-a_ST}4X z#E|eje@1^xA|3n$Y=R1l0AHeHL&R%Y9TN)1I(3UCz2dF10&r&q=9t)oHrO)_TVQzcLGHu?=T8&3RRC2YRZ)SqUy24m|nYvJxPh?HffVTn~S*m z$SX0^cD`9@miIiD`H?}I6k)}!B|FcraTiK=mevFA847IX{S=(-)LvoT$x`w#R296# z9b_|h_Wnx?UWN#YufqaJ!}zW|d1ko0qUc-iVn?y*)8PlCN?}V(2dQ>_gW_)9uJd=% ziz8yNeAk}BmdzzOGTp*vY*YaYM=j02s7W1&Dy`7~dBO78K8Oe;cdh2rO_>lVxVtG z$z>;BR*gqNKh0t&acOygX2DCKD0(~CsP-2oE(PaW@%DF#`Zn~>E&l;KcGx-apmR=9 zx12rmOKKdN9r;$Z7w=Zy&Cu!H^L{C#!4ylqo_os_)Xo;pH8MyLO!l{FUuyzxXPZuc z2)mUE7K*8YM-3Z6U#D|w%c*?X;vH*bTQ!M=Jo3$rfio~)C1J96t=RbB39_pv!~(TmQm zPuOtq9IDwoIc7!w%Y*Odt{2u+=sh{5!RF#V{Z0^PIc+jsa$D?yM&-Zjw>h-iM%RJh ziwC)?3~#+PVLaCRx%4L5xeb@l$$K%b;x?|W`XGE$v;E$5%E^r;1Lru8k%*OFprncS zzHR!)k17RYU+DI?z+|Tr{(J*_C$1{~GmMFf%&o6=&y)S~Dkd^_0_yRYAfcWR)H`T` zg?jEa?k=ZUzHYCa%dFf6-Aw@GgS?)PA4f$V{hAk!l6B_~ll?Q-b~oMa!F>7a(*_@d z=Z_lyK-5Tw4__%HKMBOX&OR(?R&luR#7`MmeVQ!JKl?|^hgVlQI?V9-JG}zGvd(;C zd@d0=$kO;HJihksRGCx!i}?xr+X%%kp9+SH9xdJNersX1Z~e}foQoWP`VxSr(U(2} zpS-TOr|zjxRD5&VaC(nRN3G^s<=jzg;PO7MH&Ri zHR4}BpGn0X`}KQ|#^gngGf~;k#od4qo5s;EbHtbLUaV2o>AuV7TI2|uw)H8u_Vz6V zv~Q=6opXGloAubHO7G@;j=jLE*>3Uj@Ypgrb*qtr2Fkw7%azLu2?stK22Iq7^L*;G z+T-|~Gq)EgOVa-x=T?L`GDd$masAD>RqWx0W5^Q=pD)zc#eKUj!7Hzy8MIys0Fnm_ z6`mfnSXj=K5ggXLerWy2w*x79dShn6N?`cMth^b!s~ML2HYTb>gO$I9UFM~t^**Kq zAH9BdtH++UH$3#3?j^mxSk*qIhsQ5m{PnJ?F0QlEQ6D~azg4_ShLZ43=6w2nE}7V{ zOvyyC#|9xo6Gphi7gs*1-JMm~ya+D_F41x}oIB!H2bJDvUm{e@B^=IsWtXt$`#Irm zJ963GEQDq54ciFar?3S;>q(#r_XF2ZB4Y+ume789_3%kG&{HE z;N0B!%jQ@3T*{^%2kOlq?zFJ%*et_;!@}IgzRr4I{>-Tu_oDoe()8P%GOvQj{wo0L z<>x&GNU5*ePga~8Iu{d~O-?;n4FVeYY8I4(Wp0;!8vfzCUv|*TSnDDC-HU(c8m#CJ zgHlE_9WTTW2E60tK!#o77~8t~rLd`uCqXda{_S61HHRGcupH|yzRtPCTX*Zu?Si}Z z62eNq*8E;4>Gi3+mhF4rJDXl*{pW>B#lOJWEB?|T!gvp4^6#2Vhz zshA7-NiPXIlo+j=|U(%BEA0%~HXR9R}q@PRqG^q7>_1#H( zIMDauSngt&pHd$6eb|HVnO{cuI5%ElI+HsJQ(a1b4 zbm$ewFCSZn4~%<9uU|T3aG|B2c9xC=fU*{I-+juh2pS(5{lOz zTAesxWA>ik)nAKI685N0&945`wZ^-@C+d~n2TQ-WlYQ>PC&5AYXG4hx9)8d4A7-KTLG~Bb@7{fvdX38IdTKKn zR~P#}@*>(*%XVMJx|mdK-TM$Gx2ADIXOe-&HjGQ&P~+BO_`AE)S;0wnyKl=Vj#ghN zQF~5_JDFS+DlRJexT8zWDEy7+k=s_b-#@!tFue$5*WC=UesVC%GyHk*?+?I6yRTOE zfy%iA&D$XdEm;drSE<$&YS@bz0Rnort;}BB`+iQ;`Tar3*GV5p#=n~GI>O)P-(^{4 z&s0byK74EXD^$9*V=L>Fv+|JgIZ@ZXNSgCCSTyj+mRTptV-s-c>!B;6A&Hf02j{N5 z5AXHY-Uqz6g7Uv08gR-f4=whPb}n5)W%GDt#dncw19Lx}ZQK_!Era!L@A(xnNfUEF zb9stUcjLihuHPRRG9ZKGrY7swJ#HEnU)T4xQ)^n7^^MYLGz*UepgiZTrae`iQ*j z_qrqZV?=MYom`Eoe15?9v%Af$jm4q^;Pq_U#e1vS=oAg~(L&qs%Rkrgib$I~wJzIs zue}p`cajqYf6|jU-nBLstgYMLJ!AdS!^L^+LD#W)NjaqLMgQ>F*4)&qk3)v4&NgNS zt(E%d-MI*ueENQ@%J^Lwn}oNX$`_c`R6?p^e#ZaSx+`<=!rON}Ih<)Pu2ie^)#h3? ze7=xY*Oqzd-;P^U`m^XC%`R~5i;FgXPk&YoUHf=yQbVr$QnvYrA5TaEliFY17SErS zImI>OwAr@y&&RTLu`&6y(+55{O$$kN@=Ulme9h~*Ge-rUrFH5DS-2d|RQ^0${=EMg z?YGCHuQav(+NVNwX19)(eR@}0cE3G={Ls|;PQDG%=KC8;Nzsu2_Xuq4Gd;EC&GyLoas|?eUV6o{5|5IBn?+v`QLLYK{&@W0Q9JPKq z7}@p)#r1o&H*8@o{G^-N`?aT<;=zygdf!u%G#mSQE@<|f0tV;jZbkIuWcHpZ?6)=5 zR=vwpFLqf8Gy>&JQ6+m7kBi;Kq^*xJUt)P8nq=y}k} zY4Dzg&*!zc9Lw*y!&*F+KKbLzH;KNeVxm-nqWZv5TkVbz9wD`_!LwvpAEbbaMUMRM zxK>^uy?d30d8X3eXROZZ?CVjt>FSeJPwVYdRI};mEB;T-m!}p-)7cA)P}u>+q|nq^ z1AQlqbpurrbF$P}U41~H3MPjdNdJrjM*DKq21Fx&l}BGmr)~roW7h6Ty4|mkxJ^TV zZdWwct{|^WF%sF^PU-Usas;a@+<-}7fJ#5hEqG~>lpZ}tc3SgmUBluwE2?w$2Z!W? zg+kH44zRZ`tvV4+jXkOTXLo9vz=poQTD2A8CL@3u$)rT8r#1IAq#G?QI;0z|F4owB zhhkI{NGKwJBIgc6g*8hAC<43)SoROm$K(M zfgf!g&r%3n?Cp2yOFo!iOAQTOpzYwUdGzD%n{Heoj8TKc!Jxe>;}>i8>N|=WQ-wRW z6xV`D1wuZ#$6c;p-I-}C*M0(uzg!*Rn}LnTT@Q{ z%e-NA`SH`j071*Mkw9TMXU|a*!Mn84Lw8GME#FrA?Un5=js59H!8fnk8g#e7h08Xd zf(o>hx+jfHa+}Y*0j=-1p`%LGpG@U=*RB{b@f4Jp*1UJac7o>L@#&=*OWV^e#S&&K zhgC2<{RZ80#yLgzl`u4+kw3uO$A`&h&@(iw= zh)nPEy&KDc)E z@R4JvGcA9t*@H}_cEY*v@_Cf*))}}I04(`S>6g{t-d+BmIRlyr*6KCI_>4UB!W`uc zBtby#e-aoa{wKjEw0iZuzpMWvsxxQIGIBgvCHdx?VNqqNNHBEm!O?&;Z~K$=9{V$! zsXp*``Qj^(Ejx=k2wy-6npNqOLCBEl;=4}+-M{w#)!z=RQs?2E^&N%0&ycibTKt!OvX_?A0$@ zv-A39?k~8%7A&T2qw1b^m^AQl7MY$ePX?|qYaH?0Y9QTvRWTlFNqJ^ z%L^|=o@j5?FQ2e){ZX{4Da>6PD0ic5?YCPsnRhkGTG#J+H6!SB->fB-q%WrGcjZjH zcYubd)cF|0qVr=tV}&tUih#lHw9mR%_3R&Pd)hxXGp>b1PhLrvKjgQ4R;xDfvCi&< z+ZFHRiV4T6w%Prnq$jZR#ono`zv|;8O^folUP3GCHl^WboC?ot!cJC z%yL|MM%?hA!pHCC535J8O~I^FMz4*Vs7lA4v5{&6 zDm@{J-(|)M`%x#hH(yFY2#eROm0;-WO7N+_nKkq~2Ry1)OdN4M{zoi}l%cYhhS_T@ zuNt#A-C^T^*-@w)wcCCEw=1z`EnE_MyQSip?mWp}bxghRw%qq81d}^0yc2HAp()n- zliLqS!L?OEIvEa6PxLhQo-cZ7v9XLln7-*v%O**7znOC1|FJuAN#!|oX_5AI#N8RN zHe&l2-SZFli1Ld%zY#>jq+vgxJYQm64|?89N2&ssu=9^yw93a+Q9~mErZiuScPEf+ zy&0Ti`-S($*qC28P(9nQ{QDm7=hL`@v>us0-#Uy8-Z73@JL1c_7K2aJULMs|mSxzR zuw3k9zn#=0H2i5IUQ(4({Wowp$pg4HRN0t#^PSnh&%W!nx?57%2S=n|+>Rxp3rl{H zX9{>fa)t@_+Sr}o9(M}G-F%-6E+RjE!)y>2_JYT3gR5C8nMkoi#3ZM{)`*P zdy}`Mr#*2J0_5u}$#+l5Dgkact=zO(gbb^o)GqaZo)$(4IKP#i;(T!kn+gnw48;}7 z3Jzmp1!=xtB4_)VuFm7fyYKh+H1FIQxYmF|wIy4BaNmoV__I=vDB~&WJ7-dWLY-5{S6lE<-c2fxnGxmvLhGff@EQ2vK_HB$A z#u$tlzuV{g`|sYzT*o=D*Xz7q&*$@;d+syPi~R4Bq1dnfM|+;5V2i`IS7~E8P|hL0 z@3jwPFJy;yvxJr%B_CQre5E%QXFqU_)9VJQF}enw{kwxdh|Rc2b3fxJC-uC1tPFsq zxA$4+Yf@NP7k}IV42F~i&^k1COP_gKDx5>u$=cRR)DidLpbA5*U*bZyQ^3w$S0C1W6GK_alMeX(S3; z`s*xyP+=wTYB)HevVD0dtYIf`7JrO=;m-cnnVT-`7e(5($>s>#@j9Cm1OxnBK~2Vr z+dG(#-k#l2^4wg{s!Y}?9p|dL=N34@{taz{wp`{)UwM$f*XX$w(*wLZ9424TrrqhH zwc{h%p{)xegF347oW&3a$hug{#`E=oBOS|pcFd&h&Z@J?1K{5)Kp_A$J(S=RqWGpg zXo}{QGz+V|ed$B{_Y#a{T#H;$>1ASTTxXphDm^WAHtzx=!~VlXp%2>=GB^va$J-w! zDIT2^Cq9GhY}x_mL44k-{tce=N|06|u0i>AcT6}(Y|zh8o^z_~wHN6E-c`l<^x|v> zGo2y6a>{d!D)jUm%?nULs`M>oYm43{9-wd8cd{m7?P@@1uvvMlan3?nNcvPp@z z{gwp*o4f6tBL@}0GVn>);sr!JyQ;Z{bhUj(U%j#NDbR-`O$)!7XxvX;%~RM>Z+g)V zh&l^4A+i8-M8wxUHpfytyw+bAt#aIw^fU~xSG(H^(ru3^OoJ6`cOdTVujfb!$ZeIb zIes&9Rup<5Tr-OODMR!fTtqqT?WpmUo6P=s2gMGpzH1sMH25+XKUxeDX_}&)=+1*u zrlERsC!pzZiQuM`-~fY+3KC!I-n0sMx;@{b6dw=<2Y-9tHiZG?|b6< zuTAXEQOU0@Y#p=gJ$N?@^CyzJ&dO9>i3!U+dFY`vGb;G&yd}-=EL*dqM%&>`pGhc! z(e+Oc3cEY2HiE3^L?1}|@;M_1yL*HCEHr7$$_lBbM_?cGZ^+1@T89cfuw7}Nis^J2 zEp-HDtzIAnHT!Pge~UQ-^c-7`huRc|rD*l-$TmCGIg|z~PIBlS>o4{1`e3Z^$uK8L z_2l*E>m`yCO7=9u3}J+9X=>WbQXrr;A!7&{+k*MPM5PZ|beYhFk4d`QYTadKqAhrH z*MUJwC%;YtfeJiER&*`gEh?QM8G4ogychY0uD}SSJX2cB5^R4v5wV{<$zUy%?ffyc zX|i=#D9WNHeVkI%-eLtwGSlWlStSRIdS$hkY1>yDY-CZeMoKYkw6W&~w%@c+(9GP0 z{nms0r;7g&h4Dxl8C9^xANXys!G)cgzWR&%O(2u}Vz*xk{dXk!2c>K`f`CQqq^?7< zJyvU7D<1f!^k|+f1 zEv8_#jBXu@b2-_0l>VjAY6cww`AR6i9oLP?UDanPZLw~g$ZralT`%F3=lg0|cA_(d zvMv7Sm1<;l$Wn_1e5LNC@&Lj~toXyP`vQft=u_Fp@)b`wv4IMYJgJ9&!))4M?Q=VF z{b#o4)4cxaR#b+)iMBXgF>FOge!goznJ_`)&$}K4bLd~2npet&(Wu-|olCHYd9R*_ z8Zh%u*G=MDC%4la&e}+17avu15>l?98He=PA@5Ilxd&__Ski%^(bt$CjGXSS^XuD% z;I@xt>b>UzUo{+x5NGh@3(hkYNl}Qv61sI((M-A#W^(G8k3YAarBr6^nfHu0(6^x- z3~98Zd#$9#^^GS&K0%=)uGher{MWbGW*H?gPp29cCPr2IJ&jl-F{K2Efx^^85XhZH&?u9-Q!X1s5KJEz2%dr zOc<+@xRN*xqo9}TWP9w;5*0Q)$8Rln)>RIWQqUn?c}LDqr*Vd;9mZPX z&y}^u*$ATx`jpO^kG zmI4p{|5yq=;lUg%%Vm7%V;#QiWe0{*?B5}551r{yo~9ZX!i?@XW`O}*zwt@3q2nfG zd+67Z23RMvU}CYZDCXTzLjj>jSBH|q z=%mbOtA=s=3qDTPAqs+jWSzMi7NoM7?m~I_nv>AOy3*eeXmTWOvPSdlgP2bXXRd|b z{Es<2=1>%`c*);Vb!YT=CQ*MHoI`6Kz9&wpHbA$BMkk74?w~vDB(-yMs1Ov)od#0b z*<8lBKk&l)Vnft@GnXj7fhk%?JMqC8sffmkCXjc9H+a2F`WCGH-9oHc=E^5pf$5 z(87WkNucS5IIOlw(E4^F^A8RtvL2X)L0t$}1L{7s1>j)0rn%&Q3qw3g{<;Gi)qSYL z{{N>bNpbM)dSU@AcZQQTcYcdy^LU`MjyJuXHq6qtyf|>chiISVmj2P8?({JvQ8Giq z$D}Y=D-cHx_Iv66JSX_HBNnyj$@sOgpX~F~k6!}wW#yhPF2><_^MIZU)a?)8F+M{$ z{W^YJ3oAETv{~d~PC0oBzr1B>DggE@eiL&Eo)RkC6NUXa9XnY^-7^dCGnpIzQQHY# zOVBMkmS1(R9BG&&;W(Evu+2ef?VQ z;#)u>pHgh+12lC>>&@%qaFdzMiwUA1={lna?^PO{ODaBis)j%x^`|D*J0}}B zYy7oIM^C4hmQ_~;^gopa2@0z5+YV`3cKGkr+;jQ)ulvc&8LIIsR6aOMWEGA!+r@{M z36sx)2`pjS=_LFKNj3l2R-j~j)CKqouOzJjSo2_Ay_xkPoxH_W5&f~Hq`pR#l{yE4 z4H>q#F+4X^2jbgjt=KT_Z-h#@%s#(PN>4d>yQ&KaabEkZD}P}L4z&OSrff?IamL_^ z;%)Z8%y@Xcpi{7)T8?Twn~ym2U4c)z6|1Fa%w}(F#EuLRZO(-0DK?c9iu8@jEzAqY zG2`Embecm)_-%8-t}ibMppz5QU9s3Oti=525vCVjDGAygb_pP>_sbzS1=vzw56+8rinP>BkFbI_*hBg$_%Lf|N^~f7csmBlS^bSNu!TY9rX+hC3Av-`NV?lNlnddew|F<@q^3Y|@Pgr<=~` zi_Ri_G|4E_X!zo{QT)*h)Z@hKA_W7t&@NJ(%B-Q7FflGkp7~Wg9*)H2A z5Z{pnx|as?c{A~r^*R1tH(Epq?kx`+;YjX04?O`iGPO3k%C&$lwBo_+WG^58>0b#L z!LOLDu;L$uDOf!MwIfMP^jOJ#?~7{LOi#c60_@is{yJ5qPa?*1=VqP{ozK$mYpI}x zDr0zw&*utKa!U)4ZZJ3nCk%L_U$8C(u9iJo9v+In90(5`IZSQ~8lS~-HS6xG2 zEr<6_c1M|6Gj6&B|I3uB)OP@mQ_`h>zf|5HrW`w(#{R?&_z_DAhx!moAI zCh0daNuO0T$63CO3P#Z9^(M~amn_lOfWH92uMQsA+q7n2`Pk}@eM|>SUp?2XDsZDw zo|?utu>4%vT((|bEelb3&_?ux1^{~WVgl>UH3ENVzooNNh_gf71QcYmg5fuF+sfu% zhbY1GE4`YDM;aE3Q()Sv=VIT@lM`m&)g4Y8gCQFEMG6{wTIp-g16 zC}rX?Zkz0>z+D8}d1%1Gn-Q~4Ip`48Ss@#kQ8 zo9FJjooWzM`AKCMuLAI@NTv+85RimxgcxpVsc`2~-T-bX^OnsrH$aTD?OeE@yfPEA zj}dCcKBDe%6`wc}@v7Tx0t)_GFR@!#I`Nvtlo)hR{Ed}1Mo$FJ%nP~hqigyGcowc2 zL-+dV>~Ibf!y@xTZcKAa_UCPw@Hb(F8>9?-2ag!il1>9y5%`6j*k{x;1^Bx2k z!+(Iqw?=!T8A6I;>#XhYs|Oj6xM#}Jhc4e-MI?3q6i7@t$g7zlBnQIir_W@*7vCL6 zn6dw)$2qjn5ZO@jz)N7P{jaLP6pY~*1KV*Jw%yMaKgS5+46=#to{j1}Im{ExnO%%p zPf>ok>TXRQ*Cn_yt}0(ZI9kpp&L5Sjs*^yFe`T|+2Z=6 z|7VP0z0=w0cTjR)d=;z{ ztvhbktF_A&Yn4DI!7hNpg3-37opxgSd+{(g=O${0%FlVfuXb_MdzJ7v80&q3$q_ZL z+}8H=^;i@CwmSlg|E= zYqBbtady5fhLm(s27OB7fh0T#vavr&qg2Qct3cezDiks#b>*MLZ=YhiNW!O6u61^z zlip>jM6z|@r{4E-Zp?z)|K`OT?v8dc+W6_FUw7{9O4cg<#RZM)^^ix*OwdhfSvejG z1n-yuZ*2f+L_XkibDfpUJoOagl=FObR24k{qMI>uc6EAJyFg)QU62fMzID_wLcZDQ zt84zA?5z4v6U%}T`H}N0*6+br2v_!g<-rEUK}9_GpCqdVkucSgB}&``P1LedR6Oud zXM}WKHeq93T_Y@Pqj&TjxHJJ@(iHU);QsEy15ig9(0=%wj3QW@#%j9y_b$}vC@sTqK}7wIPy8=9i+2!cP^Nl z0S(Rzy>Q^}%x>jtbHCfY30=rc(Vs)`@V%pF4%()4RpMK+_fL0Cq$ji&o#fTDq%1qt zgn-gQyL_bw*S+`+Gy!Q^9(MRXz%}I%6fXpsxLE(-kRS6c`qvClORG}L}W4{*wT$|h=Nfb#~C8T8dah08SP;3q)gdr0_mdQC^v z1WBR!)T^n8R;7Qd_|!|_3i{LLi>vbMy6m8r>>7IC9N`&QxC5Rsw#BM<{)8if_tKBS zVK3Q7;%;meY=!si&s)7R7pg5bOf_cin z0?KQE54+jd{zr|0ZWg|55vT=l^&rH{riwK*NK>U!+7&Rk+xxD&zIX z<#Vr|b`+VBfx%S%J_WryX9D{oU0gdcF3!PZp0lvp>1wtj^`VbX8rbm?u!!`AA z>rQ=P&M|9Z)ODFw|1;hoF6nM4aVuH|Qqa`V5J*up~Kh%xApZuS50PoqgB^8soJBM!deVg!uomWq8Y$lYo z`)p0cZncMfoiN>ylN-M$F&BNgbq)a*fSO3f(b3}Iz*%q!fa9Ny!I<#Q_y#AAJdme3 zyo+l_@WJ}xM$*Pr2RpNNVA2;L_Ls2U0AT!S{@1R+DlTH!qo5Wo5m~nN5FvMOB-In7Bi7yxi=oIKjEOi%#)$xiDeo)2 za<1Z>_r)fyFML&ciLFB&sV2?(@BAec!FoBrwx%3(Mp35yxo;J)mwxF9R|C#1wt|F9 ze~U<6|218f0JDrLnzr0xxh=drVpv!Eiru%PuN|rQzNi71BQzf|EHh2NYSXT{X4Z}| z`HK%#o5T1F<$^m^Z zQj&7Hc`xmn)@+_731T?+NdTVQkR&&1RTL;rE3+~3)Sd~UMP=( z()`b0-R}}=pv0s{Iqf%=pCJpGuQ*k_DqYcYdIIL;9=W z=csv96ws#@&0YU+R(Ov2Bj}B_ha<~MDQdIP|C1XCG7TnI*KLmE_hR#;n#IiKrAl*N zp!t>HLmV%*Qg7?6f#rHmG_VmANHdvTegkcF+E>PH3z#}Nl6)_$t9R$y_5H$qIcNS({)Ss-&33m2*;lx(UnW{jYSG(x2 z^67OEuc%VTW_N<^3Ra<-nRb21hp}m2XO+Z>w=Nw2$9XryJYmfxwe5LYwAEY;NIN7^ zVwu2-WvyZ>*|uZ(O=DU)*5xOvO~owUGZ+>O2~tJ>oE+9mu30;!ZfC+6#7WO51K4Ak zZx2fObwgr`{E90ts*7y~ew&8tQDDmrv1=S5s)%B~rK$;FE0wK}ett;(7ajZi4#-UL zzFZgA?N};gykw*kLQvFS^B5n7d5+_3z_p*1aMaq4a-cNE!^H`e?HX((%E&3IVEuvb4%f<{H-jb@y* z@ZNMjpBqVv_zzC_>W7kWQMln2OD%V!cR!hr@o=AyyYEbTuURQ@7mS)lKDseOUeE-g zg-kDphv|5K>Up@wl6q!~W%zeKU?_f^Q>1i9YQYVm>|_wjc=a^ituAAwQH_QpKq5}- zK)ZWIE+1af<};%F-?s7 z2rq**nLwA-9Z(bFe0(SMkN=G&?N#mcbDr6ex<;q=|=Y-zlV08 z#2C>{Da%cT)5`PE1;AUOOwU0}G$hRO`tmot=ZkL15h%G!RlK5vcXHIdy=mpK1LWuE zD1;y{6H+^6sHBbD_FJ#@5$%D5?wiQGC2Lza4+zim`9PL5cXKWq0&9p@4b_?(H|ETp zEk9b&>J?TEC7TCoGV0!w;t-#MhV%m~4wAnv%fUlU2*vASTSE;NH9^!|$TdAt_@ll~ zwDqUIlWcXW?fpt{Icq%p|7wF?<0(!W&Xd_#Cgh-^)}zAiZ^{sG%@|m`>ZDhnm082eT^Twl)3+m)IKI-XBk$KMzv5N9+rH*4^Ip9ot*8w#?X_ ztO9U_brsvNfD_5K&Z49Zk~j3X_0OZJJZ@H7ZPkKt56Zy!9x=^>^6S#iOM1Z$W&>@w znZ92+uk*m^MCjPBWAGl>X`J*UznwVHHR2ytrN<4}=!GNXu6(Zp)~HK`e)F`-#0)v9 z*CnjNo$WtO{%%C#cxZFkselC1aQ474?K30f4FsxP%Sv_`61_E`^SBPKV z_IXz&gBK+9Z;iU8(6!H5tkX+%B+-V(Pe-uzyQYszuVO_mPf7#0KAQ?+GDWB@HJN0F z?cD9z)Vu!e`_%uov|Nh(X2yuDsJnwi{d;t&qsdA%ua@VqI_rPFaVENEvl}dAJur!$ zx_cBl3falUPXWN_#$ebi}p0o?qNDdK;?Rn{wJti+W0i9OVpO+0G`%G3(lG_mBI; z%4XSr=V|A%xo3P$Bx}KK8evk|S&vkdmNFC?EZ*eTQ~-z7lSzeNrz4zSTQrH8RX$wL z%UKnYODRdjl)kx=b#{L4n`F5X_*O5u;|S5e#nG)}wZ?3ceI9eblc%qdnOcM87Gc1U2?{LfV2uIiRs--q4C2z-!o zO39oh{Q;m}-Mhsh6S>U>--5NYrURYpYsVxD$wF$Ef+Rm$#Ve~tL7{eO%fiNz*A}cB zPr~)tCr6QuJxZCvCMJx46&Kygh*yHWA8tE1nK0H>ex83vJ1k#`JSj#l65HVh^|7e#v3?Vs>big=u| z=ZD$x@2P-ORMD!gNM)!=rqUiut9Q`gVffDeOqdYy>^u)Sf-)$9awOHSf-4Bik3E+{ zN5x0(V-Z#(%3U?uALfgkF+U2Q6=b;@D&7rcXDFSWAD&i%qsVyHML5NTk(w^pocwhs>zHAq!SA#eMK^kHsbQRp+z|Od0s9oVaW4B^TCqA6(>%o#HY%L#zl{%45KI>fFy#(g-@S z4bo_Nh58LQqVRu`0cJOV^Pub#;04vPJyMdAtxxc$`q3c2TC&(&45oxO<1xqKX9+pa`y*Q6vAb zc#41fp5%+^q9=m_Z+~)%hF>xahDGPjFItai{N=NEghO{4Rqc{ZdsY}7I(?>=fFhAlv9_?uR{*K$7BAtbK`a#K(q?x3#?pK zH|}=PzmZ1@K>=nB)AW-i!DzfxbBYIXt2P;iUyI07VW=?SYt%Ef1Z)$rZMsvnG0C33 z5Y#&5%Y#nVt(nochq3wDv*TNwGD8POmy*Ql+KzEZ-ND*K$SmR3Yx7Q!VQ=`+HVpNu zru#VHVyFczfBH^McCSl)(2R`~?<%-(b%YmXJpiNzup9zsZ=U-^na7UrJbINV<*>o} zm7uhzFY)g2xlGS*uh<_2>!OMY%yOZM&bg_MrK|>}E4`?mg-4yA2O)8#sLtRIR#-9b zX}#L{h(=T=_9$Z;-iv&fZY~Z@38vikcGlh>y;7tXW#2Vd-=SjLoG-WVBI-Ri8_jta zGzb6!n$tC!uCMWz;EH$IZq6_P>)jiFl;=^lP*H!2rM#O#R$qCJVm?^XcxUpN{~#;F8eJ#pZXrl`LW2MZ0Ey=JzE9!Tf~K(fed*#ZKMo zCtm5=xys6|!`agrIR=zEfuPp(#s{MhodN&W8QHwVPY>4dhuGytcbfgDy)=?A;V-Ax zuL3Kik$7EnRN2;DL>cKckv!LyCgW32X`X8*9?bsX>Eo{#%obeO`SRrVuGQCb64uIz z@04b{w`-rce~`&E4fL3L=To2eT|Qpx0$jG`PH?uB_gBi|ZrVyINJH6-QX2C!0>JDc zK7vk=R#pe;-p<&@NSzAdP{sS)xsX!WOhZnPsaY@?RvllnJ%(=y0kcVk=axs^_ns z!Uy#yefHgjq2|Zf@?(UyzPen-G5nY{Aw}{@)$!V;S4H+VcV(aHqyb9$lbJb#tmT5W z4?@}z;Eo2PeW_zkI>#_dp4mCs^w*6Tw1LdH*+Ed?U^b3T@0JHk_U;|aBg`@Vx z>?KTizf_CbcfcF+pKmb6G3xcdSE7hdnzi=EK*w`0`w}LSA160)084AqQdZ~?Cu{lY z4~P|VF@*G>sMm=75D2UZR=74AEsu4Nwxs{}PC%I%x?`1aQWvx&F_fIAJ(yo zal@7(uSgd&!rR+LZkw7Lm+$_(USI1r_UA(MMj-K&!K?Quu?!2ZNvbN&x#mJmFLfU8*Y+LHR$cMv4;h*31(-IF7Af!%+Iv8^`z;J+8vD#Pcu)WJEvXC z;l#2Ph4Ve33d=Rk3)!_l(zoklgT)r)_$8mf1=Z5`-j4SVZ|Qu*kDG4xJm%S^+a7!& zJiVnT`IBR?kPQ>aN5kja9;WX8q7E>XO$3P1)C**{z=PqEO&Fo$Mtzkg0+eA!nE<8V z(RH8ybpc8z19}h{U?k*<7KZ6$cui10ss2aMXYf>7f(0{Dnhq8Dm=apaK9#0(CNTd? zYQ$s>NO%E?@7QF0EWKkf;;?A5Tfr=PwQkjAo8R0eO^K@P+*6~9QS#T$I zUbdN@)`mEb3Ffu>BYb&|?w)*J<9ilAy=+gJMu#QEhV3rC9vN#18Eiij3h_#{yZTxie5*QmZi)X(;hswmPRW)hWNIx*88kz>=K>5tG^Rcu2r}b2VeqTQ=pqGX2Kl?y`Q149W~zi`eknH zFfq$IjMfzp(3E9N?z{YY&B)PP25VOC^&JI7_x6u)Y7-+(=sLREEx|{_B{dRWz93EX zM@SpEYz82%(POuhH%-&YPS3-mE$82@^H7&2Q&bu$E-}KCjX{F(66JrlH*i>Igl}Uu zFW|iFtv_0|eB#NISK7DcE&BnS~r=UYnZsg?=< zQ=`9)ApIavcC=V5*_pd354}$BSxO1>k^?j{0{Mz2^`)6FL;hL5f4j2cWQLhWcv0kl z{{i5$CsZw#^GM3rh(81}a4Xf6(AEvhn>2W{Eu;Z8&kQhcrb}N8=l1Y_1r!D@fIrLZ znV#YkD6Z0_SM3*)#urd;BD%nBY*ULO0^P%KZy+#*TF%>vcc0nefx)-RvnZ7R^~J*r zf$n0Q4+_PP-T?nEcL()u(rS6-9}WQCUELwSn;fW#^)9qKmx9s&j|6^=#@C&)$7oyx zJMGmLE@c*H=C&%IF0We+IQ&O|HW&WRVW0mF#c~sas#s4d90w-7RhC%d?Aa2dsQ`}& zO{`~B)45*cb|rNSdcjv3m0zJzFFx;gJ|$sxOYXyDifLHVxO$%3TVbScY}I-uil`B8 z!hheMw-V^yNbP0N4ibC|FEy%^1qVN5T--yM2Rm8Uz1skG3pkH^eA~(DEL28KwM@m< zUO#Tt-&D6c&yWU> zcFPScAs8z^;{(`2k2wh(Z;IC|*5uuSb1OD`miD(gV1ER?u%v>aco{e+MmQqmP4LDJ zc-Q7dbnr~$!#@2Uvo@4ui)~J=-)j@SGAKB$35`=gkrJClCv!wlN^YA#VsjsKlKRJ*BU2msf*wq*-Hf)R z_M;q4@;#-?)0=c|L|b0!U;SYX8gY!#m^Nv(5YX`PG*nE@fvel1IDVILKoP2NXavxK z!21pzmlF(#e2=nAMgafH=N=hB?&4e05o*r}1^sM?IODvoq90_`y=$}!__OZ)?fzT7 zqY?0D)-^1S6mIr+5r@(CxqB?|b7~Uvg3f14>L1C}wE8d5PEJ=yT7L6WQqErE#YZPr zgMUO4N$C|LT%M5+wf+kQxc@Ye5@JK)P(4>gen>#JV|@yq0+28>Acy^L#;RfP@Ai}_ zX}k3tYH@YCr}95@Rl>-r`f~$?j0Dk}9Ls6v&B5IjSA8+##Xr21MP=HCR`5$Rj$SsH zY=ZY@-`)9L6jYzH7(hWv2ofdBUGQTEP+FPV8@y+`RZ1h;_SVz7-&LISWpJuZPj{;z zEy3~`H4_5>Z_dWi^|3vzo8k4(+`aNKN-E0jxV1m;8SJ@Nx$32~dSTn80ppbQMg4>{ zL|Q-Qr0!yNAG?UQC+S0fu$&?;$+$V0MBFi!M+BUcf#EU3o)+3 ze{Z-Yhga;MCilrwrnj-knr&l2?+OV2ltejH-32h~2MIE}pfs=jb| zrZC%Ob$NJ@ao&_bo@n=}=rZ`)wDY6+$8M5(5WBgse9JkA6f(TkbWq2;+^t5KFuIvh z5fV~agWo#8;VS=vMhoJc_ujqHH#`E`zv@c1ZWvba!jhl&%jwsdMosM^Pftdz3bgA|)ECD@jpLp-$h%YS(}GT<1YA7g3Dj@CH(z@C zl(K%fydG4+TAD1x%OxZ+6dbbTw9R`5kN)|M-9sDpR`5Lc3!8@+;Xd#@>kFF!@u#B- zJ?e##xNE-uhHpE(Tns(m5`6r4uGt^N>zl&7u?p4 zzQ4Je#lAM(q~U0NAcYa|F92JOaF8QjoaqW6O;t3xe@9Ib%S2e>YlM6CEeNPz6VI+2ZrO zYLS(Vde5-0p|junAA|$!Ax^RUZIdM+sA|z3VT_vaZ0N zht{jy(n6Lhtcz0|Q!~>hQ&2DIk(a>PeSfo>gm0tcaU!*#-aVgML!u0~TM`Qs9jMw=ggC z#JX7*!^`?;p3-XH7N^IVetta;oV;HbSstdH;IT=EpbGIDMv#yGrn_@jvRmq=&4Xp@ z_ZxqozPCX-ip48~CBN@5b#z*{Ojfr{;k(ZT(S*y8kG)!8ZRNJIzw4YFj{Mbz|9$77 zhP|7g!lvG_r&zy>hGE-?h4My{cX;6R%2nTyplRRj$KCUJ*Pd$6Ms1u)U00q=s#$yO z90b()#2Xr{@Q#u($I-){s~Gf_()a6_TvehJuIteb?b*)zjXT@?E+tV$`*1ZH;bSnX z49utHgXP$kVAE(zj>l)ix8Q`Td}7!r`ObcPfI4efD0N|Ju#U@DMTqefexhwrr;lnD0&%14Uzog0* zT7gK3(t^1Xo#g7{y%(7rVBV<<_l~w?`-!}^)Ljg1oYHmJ)^;7^j}N$ra*THNTRex; zL#@v4_n{tI_xkfWiEFj)9^N13teiXaS@a)38n!Nbtt#=l=Ou=kdo%(4H0 zak<-Psb9{%Ngjh*6U*USbOG|SOq z$KfgV&x6J_#4BJ$F4*x#P67j1*r0b~s%iQP(%?i(QE=y1Qt0^<6)e!Z<%3`#jMSd# zkdhuQRi2YVm3-o{&yH*&s&k7|*4$yw51ut+4=5ydE?`AaIvKBsN8}SSv94gmBa_`j zhmq40dfA9wjxe&8gVt#5*ggf7;jFeSszJ81rm|z*Z*G2}HY@|7gF}arW9$&TF-nw@ z2YxaXJ%~&BQS@d0_@Taqfz6_g2uU2NIfvhsE=*B!9K>OdVon+LJ(j|`H-C)?GzU+x z(R{^v!>*5?CUj+k6OW=uy!iA#XhPrCu5@@;Q&A37s%fKZVF2;DH~sbscL*NhdlR`K z26G9O0oZ%;fz`Da2XXE>Z`wXNRyXREM_N|A*IxXyG1nxF+%A5Se;PU_FAu_KJTS^M zO|OkXec+{YZ*W8O3yW8+>xV_Oo$Rfzac$>s!dToJY<%rn9cMehyIOfV904Na72@sZ z*XU7qLSIlQdo5a6I+Ty`XT6sq4#&K(Rdc-G?KA|Z}T7D3TwEYOy;Yy0|_5*?#qiA z`k|+WeBDX>H~&^Qn{G}Ctxew1V!yUr@7C;2uCNNx+Y`xXpQ0g2bDERX5-h~~X+vmRPxB&_YrfB^#ka|>Vocg@k2 zJVDpk7e}y@`f)zTzu~{)(V}yeAnnQ7RZ(#|B1xrtoDG*02OXmGi}_&cP0DB>k9;Hh zXF>Wt&>!~l$G153Y_^e_2Pk$-bBkWgtfX#+UCJ=!yD0&>BZI`|ui4diL>>4)cMNg# zO1ah+z$WV4lAlQOM32skYla`XQB3Rk!qV$_YtSeDls74ObSR*}Uv=xRi>GA#)`Fky zG#>39-*d{frH*rX2=|OI)HMD#J21PpO4vvntV$*WYBxANF76yEcrynj1hNfuF;{l$ zNRc>5Y3pOz{lEUgd@?a(a)A5U71&76bE^}kocfu82R$Rw$LJA7iu$z#u$(vLqi^F< zWh+ePVmx59sx;YgH^P(j;GB=YCGiiW)ARE1Uig+7L$F{*xfpL$2L4?=n&AQPLe7wM5Bw@ zri&2=IgiRScSHUP7|~>?hFo~e$JL-d|KMoLK7X8W%stG!t!7KnJopu*PX(yY(!1T> zu+^Rz>+qmrrPe^L^^3wx(k*)5f=smWWB}rhyLY0b#IFUrWc82cxe5)E%TApkDsFM? zk>5pwIDLik{UZVwfJ8|B*N^%fxSi+^w(m{Khy&#!&eMH!u4{qwgKC#mDcDTrqvT5& z#|lL>$q2#oLe#_c$17NW0*vw|2f|(qK0tlGT|a!8Vh`dE!8fQO`!w~%`i7H;l$Y-_ z-~OA1a#xyS%O7v0M{FxV64EC*W;ZES$Hl!RxJ>ujc;j3E`7?kim4o=hG)$Sq3L4k= zD2DsP^K8|_#gy|Uo;_FFA(lYiyGU5ur>ju4(8Mz=JRN}@_NM0ina`?=J-97 zYAKxgsx{EQChhcvYBt6m5~gG`7Hu5b%l@#mGKw|At(uAg7a#7Vp-$|%HhT`y*HRQ{ zN|afYzSqCIE^q#C>&6{nSU$Zc9%sCKAQ-1ABZEWkC2FHqdHXMSc<{0+3C)N@6e0*Z z?Vi;8op!N`l#|4DYJ}?}MXKuzK=t!{INfyvw?i&1W?=Ko=J>R&uK4$C(e9%}W6Kd@`3w12|GPU5I!wqYgsagWwBGL0x zKemGOz6A~NSjQRNPp=+q0kIL#MT-F%FDsODsq@-(kVN)zNDoBKYD1?^KSP*K%fkHXDy z7gA)sRz2_I{En-3`&$uIB#psG9iH(LrD!6PPp*k62?z ztVamAhN^L;aAp5=Qr;f^7pl51{=SXAN=kte!sQ8~`OXyT((WdG1Ay_4MlC{$X$Kv0HP0b)@%CwlCtCr%Z2zwb0Xk zP-JF06&}zCmw9=YgELj!*_(&SFz#UF^53L!#D>imvvS<0geii!h(P(P^%5V+6!mbi z$H$&p&7b%}-Gd(5azQZU4y_GUBiAhJ$p`GOf_D4Fw7<3==>C2eIP&96OWmriU{gWR zeAi|BI`5A8&IY8*c!JOW{5xo^;CAAH-SMQxuA-Uzgx>{gh^f!U~|S=O9zjbizi!etNton8(F+6SJlP2FYZ)s`H`+w zZugO1AWQ+S>waRt?0svzsNVyle7sORGr*Z*8UOBY{*Ulby6NhgZmmh!Z}h_F9^geL z-|~4q<60AXv*M_}0%PBZNcG`+J{aQ4cUr&E;jyh3{!yZQ?4UmT!Rf7lVI*K16_RJm z=eF1mIZw6l?E?YGYTo_u@43fge~nJS&$JLMLy~~`uwmxTnHHUW;VnkU#jH~;Y<1n- zqKC;Sxi`!dDcs^Yg}JRS)J^-GI^(ZCWaY)JFCInq*h_2f5aymKW$dl?A3BzC#;Ac7 z%;AdRE$;%o$uP|!6Ue5B3mXgvl7BPvx`hIF;?m=Zf#Y0VLm`3eCv+O(%Y=N@2wv_` z5MZ@jGQ$Ha#hmIAODp|_8Q0iCXrF}#j37}J716}05Jngt)-^f=8I-VmY)v3JPtP5M z$nVh*3};4$?9XeBG(W#c3kuCuz`P7Z_=!4zR5T8xqFH9mE)e|Z4}TV8H)(rmB;bGT@Pq9@1hWrea@bBG-{9CEBuGp-uw&D{SdNY{w+n*UW;Q!pcyfc=?#CCm=+q_7pDYvKOr{Me)p=S&(V2vKA?yN zQp3ReVYePc{NWt!JqW55&r07YRB^n%c`ig8$adL8y`ERi^EhW13i&A|g-e^r>H(Pm zS@f~=Rr?XuEsab|4&UM*j^wZVOJYc$#LqT#*vN%^`q5iXIb;prTQ@2CjPq|jh2ZOX zFTK>m-R`2)(4C=v(uP76Ys%;xE*g7CZ;pLAh`2ib&eL}3H8L8m!H+AKZ) zdX&%)|9_2rX*^q7_-=>O>Y&xpSezb3Y0YCR;&ebw)tZ%vc?_ziNWyNbMU6Gja#|uq zQBzEnv=lX_W}>7hLCi!FA-U=Q-uvZ#x?l3!dnYS9D{HOyeV^xf_j8+(+zq4uHb@li9MNK*R72t8Pk4c8qed;?deIT0f`VD|i z^m>(=P(JVp8s+b`OcnHUfr%d{_BfvH-v=@Voc{gh{&mb zkFV%hdn)$;&3r|h4c+)0^Sn?Qg)p6t+?a|}L53AfDWle33?R?bK0YV`dc3aB4UU1% z_1<#?RQ|P&rF!qY49i*}@6r0st&Ppjt|euW=>qAHc)rd$#tXi*I}`Bf%<@P+EgWND zz~-DhMo31h!-d$lAr~p`O_pQStBjX@h5cw98)-OJ*jk`x|6ITq9`c8{FF)%f*LNyn zjvt>mi)?Ax$p3XK;}8&Pb{g7fG9{LHQ6|%5DY$Ev(w}}MX3AvIaq?w)U%7G!^2?Ry zQ#Cf3uKw4Dyo_bYJ&rrDj6wAc*tc3Py-#0T4l|#5_=0k!ScOYP?s8SNt3&Qw{mW!? z>6Xc6dQ)wYD?5ar{BC5sSeSyLwsKAVPsXo~gu=~{4rXv9z(te355)iRL-4$CcJ_Tg z-ryS2v-?OSe$}YO?&f@el{}hft8rbIeBxg)>MqdoS1zS~JecJeB4%+A?pqA4-)KAt z$)8UbrO|t`*fw7^iZ7b)(A8$1RK6xA%pvHQ|1k1UCC21LRtCEa?#Z1?)JPL2WHaO^ z1i3gNAp#IG`P4-pQd>GUS3Q$jvS3p!X{h!NjB>wlW_pl=Rqz;>5s*=i1ovN@izMBF zCkV+}!|Y|5K~ok6a}6+A8FjykZzZ0Bsa)OM+K+RF(orWFzHfn$xLoO7)f0Xs&48?e zUlDj(Aq-EbEUZ$sH>`OS^19O@b!JHYPWTQS=(gfPY7w}iORdQv($5#lOJ;c$eH$`j zs`|-AKDNbBE}zo){>G)vw-t6-6=lC_e2atR`fBf3* zo>$&hApdvwbOI07|2^S*#pW8<0M;e#k-?giJ+4al?ETy$*gE}N{5=9AkmKNXK=0-z z{69fEj`?J%MO8q_?;61y)Bk6VOC$I2Z~t$<_Q+e{2-z0#{u#NDFnpZy5-U!@?nHmV z7ax>p`=)GA-^BIiK9}|H8+}VGG!AR@GCZ2xu7Wb%W7nVJ2K&N4L){p&Y-z}8Wx0%* zI2Kpx{}yC=3=Pn9mU7Tb9&)IoEN+yUY*F11#7|yG5lTP~((ZG;c?G(WU9WLK>}a{g zWgg5~A2_1M`VAT5Hu2)uI4`)l4LrL#BalPjcDxP~Ie+cof|MOrEa=?$fb(v_xm9>)h1+0RvI4LvTBJ5Etle&A6mY0Wd za&$kF7d6M4`es8+I4Lb+^qlDvwSKA_yNjxcY`oBGs)F+Qg7w*-O|2OD0^))}?Rj7b z{s)r;sJJmgBG)eyr6C>{`~$d>`;HpTq|i$n9V99OJLT1o*ufRh7MT{+$A^p#`)KZo zGF9$x4k5>|K7W{B<~sop`Sb?tjtD+wCYQH2eq+^Wg15jU=Z`wr@|zf`{i@n5Gfg%d z-yen7DWMtHv7r!~47H8YF|8#OGc&SXDe89Oju6~6m z`S{wJ!aX6%i6iwhd%1*{Mqa$#;Mh-z6HoIK24c`rH)zDouWXk?f9}-P?r%5iaS*uU zXdd4(g$4v@n#JE{bbZ^F<8?WGT>3@0eB;J4{SSu&Sv>T6{S_PZ-E(~P6qghmupw+@ zu8-IPH}ImWiS7a`;6zN0<1#H#hwt0eA*q&$EW?q6zqYC6CN;aRv>qbVzG#? z*)c=6p0(fif<;^w$-EgM-_dPOww@x+n8VDj$Y0tt2Oisfu>WIHE+(by_PCiFR0RY| zKCGHdJD%~!Z;EpzCN*n78hYU94h_-VWH!96EDON=l~RC#*?&R~@+vx(_kha~tXpr`>b$K`;`u1S<@bwsCKP2L_g8?esvv@J0#z_z&sVhkZ1t8WJR}0p);XC+5t|kXO zubli7-Hj>fMkST@X@Ss72#GdqpnV(?Z&Hs8G6lA-k zDiqFo1m{Cd;f>c@!XyjV>&Fj-Q1#~Omu*_;?oGU8{*5W>LHL=KXF(mA$|!6b)}sew z(&YEwHqiU%YbctMS^@b%zk7__T436gn7A*`vV@xNtbqY4vhoK;~MzHj>}JFPO6H4YMcSCv~}N6 z^=w}sd{Y3Pabz1FwSH9b6^1D|$Bj}?xfk$~y`QU|eKQ=SoilS|+WItxO+=Jnd8n}VX`J|H)3?v2C{6~G$t*J+c>m19c0u&()HA;N5g9Wqx%q84 zo(DwK7Q%=B8q)Tdy%FqX(6wg-4UEWG3C|d$%^X7Wj*Y-I2c@G<#!zO3!bKjr@4XtT zV{0kz^~deV9Z#yDaizVTa;>3IKmddg@7drb8*EI#|Ay>>`MqKe@)NQ=K@IND<)dY} zBntNHBL43w2`7w^$4=XBRBVvujSJU`m|wdX)5Jp!=RkZRj~@+2G8 zRH4iD>3s#EznU+F_Z$-|bK1Kt0=)Kr#t1?^yZ1{bz>hPy8oK*j6pk{RwUUK>I&eAH zyE9|l2quCQ zaou1Hx7e)MhGh(>o#rwe=fk<^%&wWHrs3KZB3k4#@2CQF1grJGz7erY7yd-$fpuv} zk3?KJ_Jj`PXAaP>p%BoVkNcJhko@2l1rtp!CbL&tH(RQ#7$JrIGP8~iBqNhr%?_y(7wwMbwuXBq zwC*o`1#lCq-u8!24E3F~QBbFW?A#fnQKkp}PxiX93n;_9WX#54U%au7Oz>r8rUy5d z0zITK!r8e3`NKG0CX#ns1$6Z5ef7x8j%SPZ(l%^wD;2IAp5i;iy1j?n1xdHn)jBGx8x0-hU{`v&iJ1u2Qj(3vGWWS1o$4`BX%ro&B9KK6^Fjv%nY6M67A zndkVNvYubU{IabP}K`G{4FCDV4;6T z7N`{q1$+&#sCE|vka^YLz1AB~OY0vfNi96q4)Bcim4O<73{$WdzbGYbt=cy&&~E0f zU40NXDq#xJS)O+|*WZWDv7{f7asrEXXz%A`j@6;ZGxneS+_pjOfS$l^?E2J-ttk|O z>e4%~d=D|>R=r1c%NZ8!KlYdZO)7BeU24oj0nF(u9opCS} zqN=<>Zn(H9D3fG38Dv3Yma6OC9k8BfS*W3aqh#8Ol@=KrMQ8nHnR+wW>UbUNHad_^ z@W7i|wbAJt2XBP&M4I+?_^dFV8lJ~Dyb=CxJ$wD)@Wz1)iclD}PAZ5%kJ2}}ZH4e; zi?&dRUFBB(`>3^2(dm2{naqWEB&w$ymzRTrw;mcOO*F0&^9^;7QozxSmJ@*Qqw;Dn(bE()HCVaw5xYxjJ9*CXQ7U`;SG7UJ*ZF12 zIETL#c74>AEttBG=TwXHcxvTf-QmP&QCPG-O4MsMX}$Ct(8P> zSI3tVq;R9}`(t1b>MfT(S2g!-<~@Y)nHHXvl=yP&MLX&vkNY@3I*?aVev8|xK(COe zKTa7}U3myzA!nfwv6e4Fyk|JByhq9f0#qtX!iK+(x~#O$#GJ7RC!@lF#L=iGT}SF8`3e3 zaJ~Yn?`*W5? z=(l2Cy_36YhB+W6qSCISj$o(H45$co1d6KUHF?fdvGDENM(`j%=@A!~N|erFvHWHO z+W78WkXGxqZj?SfF}DDcB_!SEGO4+Foc$Vcc%3ZytqpEbOY*`a76 z6ZP>w=F>w6X}Gsf)rlI7kf?zdW(Cck~Au*1%|Au4$B6 zz0zi{GmM0~@QxfIhLZTISdfmVcS$V}UY+|x$e7r<)VP@<7}=$lW5^3Ul8AzykN5ET zwt?joD($}v_z2Otl6#qzEUc8b4yZ;UqG}<$wEe{dHRpz(98X?a-%N;7Ub-N=PLJ1v zDV{JB4shQ&q4c>~k5_=jJ^%B;D@s=~R}DY+_4#H7=kdF+t#Lh>!!a|rzda&{#{Idy zJ10`fLTA9{gV%l5whk5*QLGttlRxZL{R2ew^F(WNvF5Yy=koiM(h^%rVPob&p9}pn z;#B6t&iU0?Vr=cBoEC*<0%8+Q=_NmEfKQZxfUoPaJFfx*HY}KR|51dJp&_fqh0$|a zv256w8@F{}4?@BGCw~CY;d*{=2l!gs_ zA64_5Jc9JH^9)zgj!i1QRJe6ys0aBoE3(eD8B)Z(nkbUfIDmMf7?#$#73M^5&Pupvbg%)s{3O9Hhl}9c8Xwg z*&cbGQh#IrLVev>!)1`g84%P0*!V6mmyLVLlk;W!$+0BOQ(_UB&bL)%+41;^;wD@{)xA50M1TwL6@AIgF z)~*3<(N@l&nLR{Zdz{88DgUvyV;Of(SbS~( zzgcwCbLwH(3j6wMB`GX2t#d8u0x{?^XrUQitB~U}^r`Y#5=j#4A+u!(ShM8nEjNBH zZy&oDU2IX)eM$@pzH3}L#2xuI*`47kx6P$Rr~P;SMR;m~d|k4thEmXx=RH}oyWNmE zo6{A;p`tul>s$fKiQieQTDVM6NROUH%_YkaN6sfX7s|B1QpXsBy$qFlQl#y+!fS-_ z=#y`XZ36p4128yeav89*nDd&67uzmVX~)^XK3`%+oZm)7DAuWoYR*l(Vb0q=2y=*s zCwe%HeKr@H)XAJG)tsz)u4ow{XOO*A(h54yeK~aU0DDS8)ZM7_WsYe$S*vx?=26yg zsJ4$Yh%~*-Ldp;w&J$%eR$9}xJjp}wWzUM(8cqC3V)-vL@XfI_+x;ve!zrS|7&=mA zr)9TUMTr|v_D{zNs(7>}Y7Q|zI5?GM`om^Wi9Fd4RX_?BwV9g6+bX>}{b|d0?rwyu zFHoEeX}ocYgYRY9-(H4|e6^@s@+}RkFD&s`m6(eT@~=LFq&;Xum)@^Su_K{;ej0ERTAVtjRxr?1kXum=U+xl z$L#DMm7|XSDBO-LDUkUrHnDf-b-9Lw9n^^;paz>tt5S12LnbV#X%(T$!dIe`7X-4$ zcK`MZM$9p+8?|pd-XIZcpq_Ou+H{8cb>Cneg>`eD7(B|jrQr?m+Wv= zn3ufs@SXnL=L)x;==02AwdZQeh!vYR%V)wTSG(g2ZnI_{H3wreQ{&(NV`lVaqdz`Y zP)xM0d1XIFrcP2vRi^9VTDEPvkh}Ln=wDelFZf#0)>su1;jW!PJV`uSA#UGY=z;GY zol6U?%JXLH|IXY!I=ML>!HR)jLd#^O6y6m1w+N1jD8J;yUtC^mnz6Mn6I%mUjl#_( z zynssdNQv>NjAeYLY`@?S=uc=7_U{Xw7_cbm%+MtL!-wx4r4+ zvU|iX@?B2JmXT8OLySsxW1h_FcG+Y1tUlYrqt1q`@pSUbg=DYF@yc>pl5@}Z${|_O z?C|%>RarPL7XGmG*DcA})b=8Az;p=~=)O0j|CkqD$Oleeik=($M5DxD6ANx$2c1Zy)O95AzX?mjw=Mx4wRYVO`ccin9+gShAx-HHB<@H)`{W z$X5mT->Jnx$n#WN;pZ9VWR0t)Ov8FhMugSx=0xH%kjP^)T&(PuknpD8gUVtH726jc z7EXGWNXyL!SSGksb!=uJ0KKMfJZbU7IH`XE)v*Kl)|j{;Yy7eCRHWV4O78EuXeX)- zs@FZ|rjS@uF<~-8`x9381bktb#<&=-ZFO-IhE2Kiv$sJRC)5i$3U^wS##;AU_~-!os22}h4fE7Q!I84Ou9?xcOnuk zmIT~xM;}~I)hfOUpjpIM*@7J|FZYrPbeWt|4g+7vPTjk_$LHqDLYc&Oh(XFsSfyKW z_-=)(^3wr%%kQo`E-wz?6DpvI-!pcR!DpRaeMbh&c_jJ*-Bddd9_0jcOUTD9$P%3U zECuZk^n9O0W0&F5)Ba3zQU7c0A6*d*vsya&!mGy++$!ATm&CvyC8`ZDpupvw0`G3DicTgy7@ zpJ-{x#aLvMJkhvdE1lgjUdRlx`_DJQX?dsZc9v|Pu#sM!TtZ8ef;0^h(wouYAWAI4 zA3d3MJ0g5Ci~G22u3X*HhX!k_h`Q!bzd%J2uv_F?io>aEr*8cW|5WOc)#tsDH^1pc zjtO(MHjfpWUmc-N_q-Rx;Cbxw$UnF_;{=_NdsZx?HNg5-7Q@@Ba!dBr|< z-+1!nN8Bn6-MX&nHc zH%1bp25@%d4wh-hhR$X23GKwl^z$?&r;vr`{`WV}FJuXWnn!;z!_O2SJ_i!L6n zjP~^KvK-vZ<{}Q?7^k3*r84aw_F3@*h~!CN`g&|qLa@XZg!pNpz$ITQ)>O1$T|#fu zE~`JKgHm!m>URC6>QQ4L_6^#=nwue5|L?`UU${eO*ecGX z>1@gNlalpKHLDhmP1OUXBwbvQF1RSmriOHvVrCZKeQQi8zS(KRy%1GTPRBW%kkNP$GhubS)vm#%aSZ5-B=@9&hgy? zJcne(mTGrPTfc>WJX_qYpj}Ar=YNY6OgP$6qq?0l2(Apj+qU@R1-HZWT;XDGtq&~z zGv;+IKk(*Sz5gW3wVZxnUMd~I(X-4`x(u^)n&o2kL?ug50Pkwkz0zA^Z6legm@JQf z`h=~Yx%BM*w&MjX!7fph=P~*@b%Y$!6ZzSatvGLAm+xiXNAwPCT|B+1xDzT0^S6&1 zC`AwdkRbGh`kFqYgzP5343Y}6O?}%zB>ZpZhKo%1GH>k!f{NMer8n^3tRu!H1s5gy zZY#W#Y5$gk{SURrtH_0&Ufkm%E?WD} zb#RWEG0W3%;>g#FG~1h6}=FHI}h=@GCRZtl+n3Wl4%&-t5ft#9;%N-;y3^PwsG zaoWUY1GXfX({hain4vlK*Eq^(PDDy2cN3ZLUlFaG8z^@4)y_WqrEML>uH{p|e)=G0sxv{90lfO3XFL|FVaz>ok6Ng>oi3$AxTM-`INe=E#o za{P`TtfNtE0Rwg&7~nw@e9Wd(H$tU*jHG&uBzue)!2D*)zFq3Peu`UafL#i=cF}ZO z(#1l_c`N@jXo81wflb(+mTv2I3eU<&G5da3L)I2)t0%&J{nfe*nj?Y+S}Z>>@)ZZT ziapBBOreGFkBpz~KDWR^C$;h2vBwH?UVM{d+dpk8pPXJ6Lf4=vjU|7sR*K;Wl*UGf zI)Hz;2243b3^Y3Z-k~EOA*@j-&$;bX~=^t zm}ws*aMtIG07`-7n+cDH8_il1j(E0Ica1}Hy=6_&Nv1o!r;fNb8k$?1 z*jpYn-dG0Ak~zA%Y}w1qZHwPT%VcuqZ;rMtTiN#E!JfV@)B5DbmMb&Xb%LK*Bg8@a zRqsD?USD$!^L*)F&lqxT266n&=FHW)&RW|SGsjRJ zP6pa-37Ny<8n){8&E&>ZM4)7kB~83ygZ{FpRXlZm$!AM?M0m(*oNCB$H+ou$cu%(c z7O+ypW^_F(Eu7lQ6?+Q6xjTe}GtV0^MZlcz*9iIAH#m<)rtYO|d8KHE=id}r@4n2m z!h7d#5mUEVsq<$p0MV?igcMXwCc8hGL8Io-)@UK>n~XrSSy$%1Yva^xWC2i<&$@^FnALwh^{NJ$T%Z>*?4b4^iVseD1GB~(#0#JCJ{HnT$hcrL z4-O2`s(5U8rBhTbKqkBi^u(kGlmMys z0lPnMocvFfPNM?3n*!ZAvwHAtjNCCsV2F%zaKAjP&Y>-n{U>U|fYEJsp6@Z=2#WFS z&*R|kSPzZ@v=XUjX|Fd(Y)p;NF|7vqSHs3It3Xo~ga=1$3dtX>XeI?)G|MBR{hgZe zUSsqXL;-|QLk$iI@2}{bplLK0xQ`K6nzA7ZHB{Fnno@It+gRZW;w^+;Mb!#{bXE|@ zX_uS9&SM%Yd#@nSPt>C!5kLcdtiNoM8K~v&M=9^*=6+F1thahX#7O-*lVKiOXuzAI zyZX5}zq58bBLqCqFF|6qZGW!dW>r1n_)w@T``P)GIc?OcZSxR^?tYyKrhwMEGsR@( zbBri`GN472fSy|=1yqOvqyUVlDJkHcC?6@{<*F%(UAU@1Vxw2rC)oL`#0hrxDtbKP exi1vuG0001Zob8=&Z`(!^#^3i-5PIK;BT}LWI00G_(psf1n*2o8oE zF{uzsaw$4(fWv+El9FpFw#sLp5s$kI^j)Gqqvh;Av$Hcl(kGv8i>ujnu$(Tk=biEC z>)v;hXN&3W)%A5JsNVaW#PWh zFSq4r^6W#FXUq3lC-`Na<>foK&pX}C7xupr%xC53IuBp^IA0f8e*dxZgVm+ISdPyp zC*93Iw_oo*eE*=m9bKK(ga0rlV_@>%KaPj5FTP_;_Dx0^ zQKK9HlP@Rl`jo*08x+owLtyc2y8QG`D3d92&3h%vKeI;X{ekhE@nnZL#0c9T)*e0 zDncsSWE%-LP^6_!vBi;c>Lz|f=rwPfZntATv7b6*+pN*-rDUwjBbZ&%wxB-!yMc+g} z+{)}D{gf-SDoBi0OKN5I4RSed3H6PN2Sd)Lfqs@%k%P-T`tTT34VBv}`$$0>n_P_V zBMDuy3HQK2M8d7mfzd`p7LAaQs$p_F@Bj(vC7XH-kc7&`d9j>c-%!FFKpCB}yW0S% zh|N6)@Gvw|9b5(`yAV0;xSlZP>In&wkRl)@NJHgPQh78%LMpe95oORoMqG?fU_Qsz z+7l$9H~+ZoQ5$<=R#kYDf27KB3DQxu-Z^O|M%xg#X(R^q1mTi}AuMNC!%R7wNJQl@ zx!^HG>e*~VRJ zL5@DHrf?TxyH+VIYoC8lwFgq9o=s@yT#4jU1!3+ikQ!}3oF|Pc31mS(h3BAhdN@g@ z2KBhwu)g=-4G^$-?dzBBf1t>x%k>r{*nOuNK4QSbb-7Com2}1 zCX_97f!Ktihc1wuP&Uy8@)L9yT_8ZAX`>5-C=`8k!5jtI8xcrQ=sM{FDGEg^T}%)P zvX?FpqF|fp0tpIw7lHf)-%b~ZP$>H80s#uTp)Qc0P;}G<5)^z(T_8kJL3jTv-y=~= zT=^h7)dEopMXz2UOQCGm3q&e3-Fksgg`!NCro1his0>x|vPXh?#D|9%3K*&PD0|+E66hMGL$bu#U1Tq#p z5+D$;P%r@k2@4(y5J*_iRDeLlLWKng#4PB12SOIgF1|q2f^Xvsge^3>hpa_)>lhzS zA#b7W<_iQb*mk}^6b_g~n%dm6iZ1^kvhYABOe@Y|%Pky$6u$o0!;gQuJ@`n4Ki|Lq zL@_P0V7{DXzd!GUdv9*u-a@nw^KhH5e*e;WQI7R?&r0`CGY`Mq-Tw69k?bQE^jP-J zSE|9BbPqY}|L(!QLmu3PR*yV*F)2s)R;{;*HgON)r-nA$_^5Bt! zZrFp7haTLOYaQ_5t|0G`2alZgW<9v0j6CvS;?f%274kgtVC+&HjD5^z(&MJR;e60X z6{jwp>QWz1b+JollGw{^#-+XZ$mz7S7rV5RBtGsW;!-vvKB_ozNyP&nReWRu(Qr27 z;~Gp|+KW>kt6MHPF!a%ZiAy3*d@RlMT`I8leJm`bE+sRmk83b;$%B!P$KruY9vt|n zVmVGY4e!N1Hku-r_TtFPHRw`d;mB?6Wb@utQBn8zc0SMZ;%<7=36^(@tM{v$b@?kh zZ0Esmd%sbB=x(vx8>sAle*50;$De%vWbgXxY<^o~^ofa+?%s7(FCAJb2iFN&CAIVN z5a;Wb_2VgdG$C+p7>!`>MiX)f?RqMzJ6pBmsifS%SJBi->+ZMnRi6*chVEYq_e&qcfWwwxo__f+4HD5YNd4XV$N z^YKs{P8-ao{Kye%?=WfU)aQr#V*Bcg{ZL;m-_}x`@*|(>*{@ECA8|^3kZ8_Rp&yy2 zy4c@fKIKPs%Kq^<^knO^?qpdklsf!scSEuZ+soJ~#-SJfIog*#PT>My5efxF1TG-wlPpQj| z4aO-yGEX())tsk#e#9v?xYVGhJjti5J1X>}G_0P_2A7l{o~peosHGI$k0e1IO&eTO ze$?>>_7hKaM%QvF!F~~4JOLN&t8eH@M7N(M)IJW%9Z%KX&)HH$_e6d6vxLNx6y3h3 z)C5V(_=f!)z4lQ#4tdIc!=d&ra(6tXrc4^lr~JqgBKtXd&yP5z?jSW7(LFi$+28xr zcY?LNrtFtIBR@{aYHGK^z0Z#vT|J=qADK+2Rpr`!Er__9NgE_i7OW3?_`k0ZY z4;lH(M~r;^ERZLU7diBBk-vVl$YW!VzWnH+A}wdr_ID{YYt?ei&AOvPKLU#VdUxo@ zZmzzovcWy-@5pN_^430pzol-69|1*OL}<=aYR0_fT+V((Q8$KLj=lCBrKSP@4!y@7 zqwUYW`c{mVkJ0u^$m&P=wH$h_J1X=e@}~B8^V&be#ChuREBAj%xcX9YHM@TC%^!PE FFtB|!74ZN7 literal 2648 zcmV-e3a9m~4*>uG0001Zob8=&Z`(!^#^3i-5PE+RM-oL6a01wt)4ENrISF?;ASetu zVp1U%tLy7tP`~$UR&18*{CQ8FNiX>2ZeEmko87Db*uD_FDVD1&_}BL3K+mN$!F9EU-e_6LLhAe1zieILA9mRWGISeDgidoR8Tf|F0#=d0WGGA}n*o2(4V z&$rcVitIyHWcmB77yP`;it3%)=e>UOh5fGt%SAQ2-ouwZE;nUX+<&b4V0~#X^6B~P zq~H8=`}O|A_YaOY0OMWe%W|5{7oV9yhhWg@`ah@d#y>Gbj=+%r{&AYTzWA0IGBTOv zkecNf4Eb{QE}{mE@qoe^G65r=&GS$1gc>qMhCH1WLuNn;4MrSLBO={2m(8t>Ani;~ zC8P#Kx_LXDkg^e^n|cEcxFL~lE@$sTZbT%Tf4+SErdWS0<|}GgB%W%0gc=ZOC!fB( zpaw+Bsl53q=gyIG>Mg{r_W(&}GChCw12rNP&e=!y}j0~a?ZvdpBX64CcLNcmXo;&!Bkc`gHrqtOKNvKjfH^T@9ATn4) zCi>xaW`y)p?aaC$Fhy%7-^{5N-B%SNJv%qm{0>6$cXdtG0f-K zT6>Hn^ya(E0TtL|v#P?I;v-d#i;<4%{mw}S;n7XUqf%=#oui6O)2AH=;=;ft;j)g6LvjVssKBn?XoG6TZ-wz@re`yh#lT za`b66g;9v@TBWe8ef|U09!QaT8rRO563M4_!rWOPH3~qSC5rf!4sJV4v^3&9Fl#` z5Xo5q2uKJO%_9O58YGouBRVt*imXAAtQDYugh<#5Q~-B*Symu4VkFo#!juF_3qVRj zfeS!RLct3_PJ#ypASt0F1|Tj$BLk3@;2ZJ+c?mi;3S=ed{<%O}f^MJ-4mX zbb$~B+e{ZoP|#5X@)LYJT_8fC=%)(=DCmZ|Kz>5eQ5Q&1@GW(L5Je5${jYkDL@jaU zgX~lbL@5-#dVws3vRN+>snB%m1ws{ycD+EXg74Q01S`mfy+Eph?$`?iD%h62K$?Q@ z*$bp8ludhqOhsL=;gxTKR*)MMvlToIAds)n;Q#_53k44#kg!ky0RkZlng|fcSnx=I zK)^!51PCN7cql+1VL?*?0uc)p79bF_pz|FFStz^s0#OUTjV}kEW0=)S%{@S>rDc;!R-LIDg2#4l)KKp=iWBLiYWUy#gzK>k7l4G1JK6x4t~2t$Dl z2;?wmZa^T1p{ndc5Q8QM1acT#4wBa>gfV!8Kp>96GXw%@3?3p7$YW?I0)bG*_U1)? zsUVuc^9cgk3K8BNy~s_Da;M z$(-~L8SBrs;I3wQ3>F-DXu;&`Cf%(CldoG&Hx}IWVUECpyKbOI7Tk4OkHCVv&c8<% z{K`ppYr$RI)>*K5@2adzdH3V|x-81O`Asj#?^aju*EgH`=|m6Tez4o#Z&V+;TjhHb zmEF&8-`oB8lkcDGU4Pq{e`^`^$q*;~z3bX0CQmoT!F_^uN$a{iXsl&T9T{}seH@9M_J=*T5EwyXwIPeDW0cb1C%@aQA=durs#o^l`i#(w1J zk^4OrX^#n()#uz$q4tzuIq}qb(+(3)KavFX>9oT=WQs)+RF@ z`YAuuH*jw$wX3w8CAg1$>Xgp%o^oHFQdjaV=jiTZpSm?`IZJS#qpPtb%O_;_SwiYZ zmXNqtpE^;oY$^91UANC3KCYF0Y)p{rJ@mNaS?Vs#6vdEN9OBTpYO@`n!?`SM91PaZCE=+Pp7{9uvCgFX84qeqHZ z4pQ#JdFn?vckg<~YS;UhOup7hI$!+Ik7%NP?nZZ(8vYecT**ZJB%coRQ$G+ZbwjR0 zkK%`=689dZ_34_&V<~lh(4nRL=ya(I-5n-#essFj?zBUX?nmaMzN@S|OR4YIv`l%p z&!*MfuH{<0drPT#ILm&@J=`yTfY>AV`IP!@Y0G}f{hm@UmgOp<`+Q0b1z5I}`!ZtU zho4g4f7fA-?uYu+cT{(nCHRqfsvi&4VV2-W>`UEe3F`ZxEN2Pst1girIl4OVbQt^m zP@lRX-l0eLT`eWQ$KFo zvijVIJ~d@&`7q<&QtJDlET`!1)u(>qujO>gy`|JWkEfP;{L20R99w^>yjonp_~!rL GJMN+7`W*)V diff --git a/CPLD/MAXV/output_files/RAM2GS.asm.rpt b/CPLD/MAXV/output_files/RAM2GS.asm.rpt index 5ec12b8..820df5d 100644 --- a/CPLD/MAXV/output_files/RAM2GS.asm.rpt +++ b/CPLD/MAXV/output_files/RAM2GS.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2GS -Sun Aug 13 04:26:34 2023 +Sun Aug 13 04:50:03 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Aug 13 04:26:34 2023 ; +; Assembler Status ; Successful - Sun Aug 13 04:50:03 2023 ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; ; Family ; MAX V ; @@ -78,15 +78,15 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sun Aug 13 04:26:33 2023 + Info: Processing started: Sun Aug 13 04:50:02 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 1 warning Info: Peak virtual memory: 4662 megabytes - Info: Processing ended: Sun Aug 13 04:26:34 2023 + Info: Processing ended: Sun Aug 13 04:50:03 2023 Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 + Info: Total CPU time (on all processors): 00:00:00 diff --git a/CPLD/MAXV/output_files/RAM2GS.done b/CPLD/MAXV/output_files/RAM2GS.done index 7c82637..a58a91f 100644 --- a/CPLD/MAXV/output_files/RAM2GS.done +++ b/CPLD/MAXV/output_files/RAM2GS.done @@ -1 +1 @@ -Sun Aug 13 04:26:36 2023 +Sun Aug 13 04:50:06 2023 diff --git a/CPLD/MAXV/output_files/RAM2GS.fit.rpt b/CPLD/MAXV/output_files/RAM2GS.fit.rpt index 877e3f6..bcc8b06 100644 --- a/CPLD/MAXV/output_files/RAM2GS.fit.rpt +++ b/CPLD/MAXV/output_files/RAM2GS.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2GS -Sun Aug 13 04:26:33 2023 +Sun Aug 13 04:50:01 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -59,7 +59,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Sun Aug 13 04:26:33 2023 ; +; Fitter Status ; Successful - Sun Aug 13 04:50:01 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; @@ -136,7 +136,7 @@ https://fpgasoftware.intel.com/eula. ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; ; Processor 2 ; 1.5% ; -; Processors 3-4 ; 1.3% ; +; Processors 3-4 ; 1.4% ; +----------------------------+-------------+ @@ -731,7 +731,7 @@ Info (176234): Starting register packing Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 @@ -750,8 +750,8 @@ Warning (169174): The Reserve All Unused Pins setting has not been specified, an Info (144001): Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 4 warnings Info: Peak virtual memory: 5345 megabytes - Info: Processing ended: Sun Aug 13 04:26:33 2023 - Info: Elapsed time: 00:00:02 + Info: Processing ended: Sun Aug 13 04:50:01 2023 + Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:02 diff --git a/CPLD/MAXV/output_files/RAM2GS.fit.summary b/CPLD/MAXV/output_files/RAM2GS.fit.summary index 2949ad4..256cb2c 100644 --- a/CPLD/MAXV/output_files/RAM2GS.fit.summary +++ b/CPLD/MAXV/output_files/RAM2GS.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Sun Aug 13 04:26:33 2023 +Fitter Status : Successful - Sun Aug 13 04:50:01 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2GS Top-level Entity Name : RAM2GS diff --git a/CPLD/MAXV/output_files/RAM2GS.flow.rpt b/CPLD/MAXV/output_files/RAM2GS.flow.rpt index 2c54bf4..ecfb508 100644 --- a/CPLD/MAXV/output_files/RAM2GS.flow.rpt +++ b/CPLD/MAXV/output_files/RAM2GS.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2GS -Sun Aug 13 04:26:36 2023 +Sun Aug 13 04:50:05 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Sun Aug 13 04:26:34 2023 ; +; Flow Status ; Successful - Sun Aug 13 04:50:03 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 08/13/2023 04:26:21 ; +; Start date & time ; 08/13/2023 04:49:50 ; ; Main task ; Compilation ; ; Revision Name ; RAM2GS ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------+---------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------+---------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 207120313862967.169191518110472 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 207120313862967.169191659008924 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; POWER_PRESET_COOLING_SOLUTION ; No Heat Sink With Still Air ; -- ; -- ; -- ; @@ -85,10 +85,10 @@ https://fpgasoftware.intel.com/eula. ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Analysis & Synthesis ; 00:00:09 ; 1.0 ; 4702 MB ; 00:00:22 ; -; Fitter ; 00:00:02 ; 1.0 ; 5345 MB ; 00:00:02 ; +; Fitter ; 00:00:01 ; 1.0 ; 5345 MB ; 00:00:02 ; ; Assembler ; 00:00:01 ; 1.0 ; 4662 MB ; 00:00:00 ; ; Timing Analyzer ; 00:00:01 ; 1.0 ; 4676 MB ; 00:00:01 ; -; Total ; 00:00:13 ; -- ; -- ; 00:00:25 ; +; Total ; 00:00:12 ; -- ; -- ; 00:00:25 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/CPLD/MAXV/output_files/RAM2GS.map.rpt b/CPLD/MAXV/output_files/RAM2GS.map.rpt index 742df3f..3f94942 100644 --- a/CPLD/MAXV/output_files/RAM2GS.map.rpt +++ b/CPLD/MAXV/output_files/RAM2GS.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2GS -Sun Aug 13 04:26:30 2023 +Sun Aug 13 04:49:59 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -46,7 +46,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Aug 13 04:26:30 2023 ; +; Analysis & Synthesis Status ; Successful - Sun Aug 13 04:49:59 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; @@ -152,7 +152,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------------+-----------------+----------------------------------------+----------------------------------------------------------+---------+ ; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v ; ; ; UFM.v ; yes ; User Wizard-Generated File ; D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v ; ; -; RAM2GS.mif ; yes ; Auto-Found Memory Initialization File ; D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/RAM2GS.mif ; ; +; ram2gs.mif ; yes ; Auto-Found Memory Initialization File ; D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/ram2gs.mif ; ; +----------------------------------+-----------------+----------------------------------------+----------------------------------------------------------+---------+ @@ -270,7 +270,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sun Aug 13 04:26:21 2023 + Info: Processing started: Sun Aug 13 04:49:50 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected @@ -302,7 +302,7 @@ Info (21057): Implemented 248 device resources after synthesis - the final resou Info (144001): Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.map.smsg Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings Info: Peak virtual memory: 4702 megabytes - Info: Processing ended: Sun Aug 13 04:26:30 2023 + Info: Processing ended: Sun Aug 13 04:49:59 2023 Info: Elapsed time: 00:00:09 Info: Total CPU time (on all processors): 00:00:22 diff --git a/CPLD/MAXV/output_files/RAM2GS.map.summary b/CPLD/MAXV/output_files/RAM2GS.map.summary index 90e9085..27c7401 100644 --- a/CPLD/MAXV/output_files/RAM2GS.map.summary +++ b/CPLD/MAXV/output_files/RAM2GS.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Sun Aug 13 04:26:30 2023 +Analysis & Synthesis Status : Successful - Sun Aug 13 04:49:59 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2GS Top-level Entity Name : RAM2GS diff --git a/CPLD/MAXV/output_files/RAM2GS.sta.rpt b/CPLD/MAXV/output_files/RAM2GS.sta.rpt index 76840b1..3606954 100644 --- a/CPLD/MAXV/output_files/RAM2GS.sta.rpt +++ b/CPLD/MAXV/output_files/RAM2GS.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2GS -Sun Aug 13 04:26:36 2023 +Sun Aug 13 04:50:05 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -948,7 +948,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sun Aug 13 04:26:35 2023 + Info: Processing started: Sun Aug 13 04:50:04 2023 Info: Command: quartus_sta RAM2GS-MAXV -c RAM2GS Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -1001,7 +1001,7 @@ Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings Info: Peak virtual memory: 4676 megabytes - Info: Processing ended: Sun Aug 13 04:26:36 2023 + Info: Processing ended: Sun Aug 13 04:50:05 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01

    >wk8Ci# zIy7Y=u`TliLBfo#`|EHcY5QXRGQV1A*|)4$3$uuOW+<=TMmAJ@ZtYnL+gD4>-HcTIX%1cHFwJES?ytcMFxZ#Gl-ceC!teIe9KY)+}&( zdkFu{xKi#IHsSsb%4!+0u|Wj;n6vN?gVFkDE-CIC3=<&O5r*9Nz_L)ieGE{O!wzYMb9wQre31{5QZj&72{h}rKCf*C7 zc9PHh21-pyReL|R?;Y~U;V$y{l&yuI6RAeU-ec4*)$ys^D|u0)<{*0oGZ}Qo|1f-? z_qgtQ;AKKt{Z7L1RWV3d)p`&VVC0v$pW?`_+_q^X$sU%KCSbCvFRRjj1mS)?Xarie ztw{@VIM#(==z)@4)QT9Rw>@C9uIp>jXZF)_UoXpus+5vGobP{tRX8@-NZU}SV50&_ zg3Mml0d{@_W#I+11Ex&$lC6$~()Y=^jO|A*uX1vJ zt>BNLxGNFpqxB~~K9M`U0h6GTc5tm&GqXdg4Jb8(C^vpF(``AYA9=(YNoOHXq~=YqxS&G%0p>WORUt@8o+KLFjz zvRCWJ8}APH)b-{t@a?r54py*(H#YqKYXT2Wjc#s$4Len1yG8N)kU=pb4Rc2KPN`h_oMsavswDk!Q(_@G3CK=dj ziv__GOcyTO5B@V_wZK_h?)VoNTri|P9s>PkMEmRT=$noz-H!~r|I%W$L9Tl4Z73FiVMs1otc?`!gf*Hd|kvQENo=ujxba;ur7>QGWCA* zA)~)}hhBj;_8HYCrd^I&t9Vmeoak93G752)gO!UX9l@Yt@Yp7IjN|rVp*?H zf1E*PS9@fT4=C9;=!S2F4sH*zYKkBuUOzlh_&&@LoYhV19Efc-n3N6N8W0deq_=#) zQ`=?nX@{h=tr_MUYfrqafYR3sJ3c60*W$(Kk^*YWMdqCZ_k68t?&B6q@NM!Rc&%ql z42FJd$tH{Q)3$lgkfaIph!-*UYoj?hA>yNu?W+-rDP_FZE0Q5ud2g)kvbQ6bF7Qxk zkbwGz;~xjU2;?)u^NqeTD$WV;rZbioF{)x$KTos^+COeoN*LK>{}Cj%bq>YSNy%?6 zG$q?xO=1tKvV|gECqY8PHUb+(I;LO46LsC;p{m^vW&}$lY_D`{Gdn z>m;xl#M*E1nulf}d<1W?Q_^ms&hX)T$Sy<4qD*^y{J6Oo%i-eT7X}HMFkz^0Jq>^{ zY%}TufbfKH56L%HD{m;`z1lrbPCqS&Yx5+UTK8AGZJw2(L^=g7%62}5hD>^&+{^7S zic+9cB6Ez=+IicjYaD&ZK&vrJqNiuQjil0<>RoT~($w9*>o#4?nFCXM&9xGSx@C)q zY@UiY!{0eg;O)yW02?Qs+TKNERX%$lY^iJr&OUw`EBUfpEdh+|S^uE%1%&oQWPXT3Uz_8j@U9gU@@R+Yz&~Q@D z4kaQ0&hM#=M$(unx*3ruUVq?Y;z9yWRQ`+vr9grmdSm99lj3gZX(#9A8DVjwxHw$f z_CCrfC-C)~tfYt=2Lh#K#LgPZ0fEQaX*K>lu*)hJmHAYBrhB}-XmG=Ev+7GXP?qRdnhg#m^)8wWcUD>s&-Z z{nKG3(&~0Gw4u*%Hht*=ch}>|j*`NLK8z4V9U}{4ez$&Gt8Hk$!eE?2&b)FeIUPgW zmDQA#HIA>4-fW7?9RS&EixtS`1PZo7VJ6ggXc^u?S!}2zo`AR6)l=sNocxuAe)t3M z8o?Z==qZL==QdwoY+=C?@85jTy4z*eF{d-lF>2jaB~*vJhO=OJVllmiUw}fwwh6gj zqXa9@S@RE6``*5^tx!t5N52Q=>IIX&)6!1!R7x+%EWU>wi}P6wyxVms z4@>I%p#k-`@lumOXB$!Ck1VkE>aM(lGBro!aOGzM7Z3|7{b$IOnRuJgrB1VTuU1F3 z8Qg(n7(Blf9#7CO8>gOQ(~0U`s-)7yzazn6_60n!9njlBU4&P^xbhsX0W2glOE*F> z*}CJzpNzKoF-FNv_62kv3+T86S+Rn5D012lcaU}H9D+Il`lCP}?9PA#Rf1jyW$knm zhT=P&N?8MC7T+Ug;i>)qLyt&nBfMq(YN)(y*q@Szz8OiLP+vZ6lj-{0xiyW((ljoGw`Ap4S`nq%yRQ*WO= zNj3k>mRmhj;3AUnJR{s(C-vV)tfYX8ZBl02DumR6j{_i!E}u6SYy^lK&3!mKuAX+s zmoQLbs`-gA+7$T9*gY6XXydR(z%Jzs{zphPKp`8Sj#voAEneKbhdrVS@#j*07A--_}-iLXEYg z1&c~R@7g&UuYHPTGToQFPC~ztL}5V(Z_2Ed-%8(jzT`_YUkU%y)b_`D9dac3f1@cU zsA%=WAzO9*=zuu-e1PntGbS4lWgJ??h;my$-dZ^pt@4r%E7dmsQ?e%g|4=yd+4E;B zwBlOxXVvK1Gz?G3PtMA-hh_EShYHXnzW(dDrXlK{jr8=*fxJ2?=11sL8?RlC%wuw_ z>FC*H zk8juMh8GNJbLZWDuwC8_#P6O}N7u5vm{;d9!UxXGY(20V% zVb`Kz>CUd({$mxn%h1;)m}8v`AKNDPc8Ya```WdB&&d~PfZlt2t+s$aC)&2SADjP1 z*TC>m19tN&Kt4Cu#v18hKyLh_Nm6n08&w&&DF92a%BXwIhIs3Bz75z5 z06mbh@WgSg)wSP~{z7n!&<9F%i=Nr&_y|gs?KeIbSeJU2@2vt`X1sV_>nm)@6L#z< zsTfv`9d}*aeJii1hPAO4sVrly^+rcG}pqBQe4CoC|a&r)+ zGrKon&%IUzjx6PICnXfin02;e(rb4F2E&>>c_#1-VQlQc;gh407z_qH3fUu^y6bF> zikk3@00e;*xx4=im?1Q(ZB?udk04P7h{am;&myc%LvSiX5{0*o{zpc{Ura~Vf|f+{D6O?`qevM%O$TAULh}6jFavV?>Wi%HCM}eJApacL=LZ2cKGe#FH05r z^lLYD$w6noj$cQIqSdDO=H~+0!K`4 z&3Np5j-OiS>9kG4hEQB`&Arj{IV@MT^p(gJ5wl+V*Mo~utK$t;cUMX%)0B=$N?5RZ zH6~ZR=WgS`p<-KnxQDFx&AHbK#(~h|oT*k}9DY9zS_<`^z}}PY|4;BQzJkE#OW~5w zCO;Wlc^pj-31y{EkPm`~DF-ZuZAq4E7!P}rTsg$2$3A_bE+C^VK^u0irvRkkPk+l$ zf33?M78~`hU(Dbg3llrSi5;Hoy;r|tIxvDIr&H(??H^M zvVOK?RmKS8>l;h(<-*!Q)9lb9Ea$AeY-xT;K_E1Tg4Rxg+C%6=N;?uaXXbD{5ixkv z#$4{V(-{-ID;@UpHx~9JR1X_EtyjO;sA}s~gw%NjgzU|xP_;ESzcb83q1J=~L_f4g z5wZk+GHgG(G1P$t&q)vPRAes_nJ$7>hEg}1#r0To4S$$r zMX6QQGwW8QPtIze;qkccyKh-_pF{KY0zx+8pb7Y3K3!{r0M>q!n-q`(L0`kSkVMnB zPCckMT)eU#zsd}jdD`84~&J!H;(#4&QRv-(W zY7hC<#KcSoOB;@7FQz8+<%RdP2ocA=x_G@RfiF}!3?9skl6jDku-QtOrsmg=0A z0)wa^v5lMagZnha`?NJec#SKkg7(gTXCU&eo!2X~zNChLV7;&-cx(5ao2gN-fArm= zPG>w|4zuz1-f59G$vA2+%)L~}58DB7A{&<`J!o7FLVgp(N||J3WKw%6#Jf)QRXPNx z@91+EOo;0!}OYMr%V4WQr8_xS3r70H#(AA z0qLb2I(4IA$AhliW<(Ea&INyP{W1?hA#5?S|6qoLbxa$%4rR8Mj>aujOXD$pP2qhD z<<$7<;s#eEt4-a$4WWXGeFG``e2+bjb17Fp*Jr93BJvt&0q%tziWttMt~jrAA{qZK z&jMF2+zJIOTeAadf-2*GREgGq#UGKWBCm|5o`;w&^QI4HqjZ0c`H2qsnUj9&R@NvK`jg`k-S<=bFF&z0_z>{c zQzRP@nRLeXLj~0NlcT6H|GY5|5bq5?`4rbJr>Y=Oby1hyJhv7rAF+@&p6{{TR7F-_ zKMMwQ*)4@@TC!riJCahsF_O?(f}P}LHXdn|>|6JjkY^#9W)^ovt-w;nQ`{Xk zuHy<8%}d>nr3Pq*gzc^n2|0gPSAWQTJmW$WS~tyv5Nev`$Ctx#HA3n*)rvzFfY%&Z zS0J*PA~Q!zL4fp=ZeeN_UU5MSdmr7ZYl)3F`LjJaV!{nMP~gvxT^esvK-kJ8gWF7V zh$FBq1w^DlG#^n=7)^ZPLZ~j>V1JQT_K1Oi(Y2- z*Xe^#%{>LracRd#7jcI{q_rG0%<354@=)=jp_oh%f_RdJdAXchFq=C<9qVtk<{3JE z4}FD3uDXVC{U)!-j8Hc;nhMHp^WKvibx)?5HpHe(7)q%!6_LBL{kkNt-m|UAg(}um zX4jkr!c#!06wM%}#_j-hI27I^TCM2d8RE^#vysKcVeun^g~QdNq$hobi>Nc%2dE^6 zN`bysQvo7hdmNr^^npIt#&)H$d`cw}%^-ss&gcT~lWXn~1KU-8T}QJN5Axq~hEBzD z#4E#8QY57c@ZGaEyE8fBGi<)AO=;7M)iGg`=TyP0C6(a2hY?eFg}Iz*Y}@hC{jPc!U6-$1OgUh)Ll(i>hkZc zaYRbL`)Ey+?R@!u>3FW?{Sy9Fh*-r4F&4ZA^6aKB!A!+7kPetBtF1~M-Y&!PEU4k98o#dMccuO>FSn?q&_?(0#QGFATf{N}J*?*= ziIC1|h97ddsll}dFuirQ%d!MOC=snJ(k6JCwjzm=Ul-3`<#vqw#&5=3r@S;y}fx%UhP}qE4^g%&C%_aZKV6LZq1;*)NLdS(!JumH#@%8smIU! z%LG{>cEp-$brn+LvVLT5E@yyy{0^yK3fD|1M2I;yU-1hM(r(ffR;^+!dWal?RJK-1 z;i@L?^Ss2e{jw~1+t~Nem$YLw{kT?wB81^gM(1)=8BrtFJVCFvZ)xFw1DMgx$-!J| zcoknj2hu`D4v-cCqpau$Xj)d|$~?klhkmb$jXHTY?JXdqoH%#(H74gUtX#dOF#-KI z-w_%oq!t0uAM)GGw4+BO2dccsM;!VloK;eMyDNV(C$-??S_n{cz=MFj=#M3RoXxo% zQBTTbJWZ7YQeY((soA7!Xp0HLbVqE6c?W4UaSz*$EShEeiCWsu5ZQB?AF6o}AY@nT zCb+Eo#or{4!+#{JdO~kgyAqI5>y@H*!m)nCunW=J0G6VEd_AzZVpJEeW!$ma;*CA| z@zs?Lz8XX^L~lqH*mP3lC(E;6i3pPKjF18|B{e^hLdB@L4t}yLgu~gKm>WSm@Pm*}YgK9d$T+ zu|iK2JaHS6Zl!?%#X$(Or_*q159fV;9Mk>bf8kWzlTF;G0oAeZ2{fx!*TY6Oad$?J zc6vUOsxbV)(T7Pkq0h#ZUr4JUD5p+HbQw^nh7FkqW@Z#{GjxBQBc}@4{utvcs9|@i zRbF0bmr~H_)*OSdVnlbsoNX`OwP)tlVql+a^t|*pL)B?Y#_vTIkk~%BymMizE8SUw z<~6u_Pi&?=ciENSRM80ou!~8|%C}9qim&BM@>-u_q)@qmBD4ArUShn3j4-^=+0r#t zU0j`a09elhHg18;^xi+hee6_a34gz{WVLyc5+Egb1YJfKRq&A#d}J;|mMFH;Ez3dEg>h?g zUqp@klS^Z(M97EM`&}sC`!a<%4p&{ihh*Y|PdZ8xboCKW>Nq<}3W=35u;8B$>4;23 znM9aJ`_dw1be*F*;?5h%WUxK$lw0*({Fp-anbn6gXG|@Zj%YQ%kOycayu}d!aF7wr z(`V+LOt@j5SrazP>;OnG8<|(XaKjcBYLQZH1N~&UMt-thC#X zRK7xP(iHQo@r{CBt2Dok{IDH*+K65*C;NE zrJp;}&ITP$-K6qnlTj-|H_vq@AX{cbvGo#&Uf6^3vBu+t6`YHL>5gNwR_#O&^mgW7 zUCBdjl4c{6)2BQ##)u=yudp{xdO@#_P!>`rY!0H@+pWe~1VA!#+fq2g@!;gGecQKF z7uCj$n+j+jl-eYKQey-R{I<`#S!OD%ac7if>AjWm#{G7AlAkO5aegn=aH6;Np4o`p z2rH?3j8x#8`$Cp$u-Ae{%iP0MsEb34<=)}$6dNOfB8PigzVyoqJ5ydK?rmDaDtr8l zaTB=9Uo{P~%X#BV%a^fSyMtqfF*Dh+kCf?@;jc7Ihz5p8wK*1+aJWM%-k0Mr#gYmI z1&ej65~?SnbXtTjgsmA(O*kkVIi;j-Yq}(Q?Vc;9OeRfY$7n+J!xZ0iRLhVRLRyb^ z)COqGrd`VJM6Fo!9;+{|mu5~phGOxp@G#?D6_8TTnw(~C|1``0rP0aGH@nXtieg;C ztzEoa#W>Wh%EraQWB<%X4kriqh2S70u$zZg3>k%6sWepnOld&U&u&KpSU zOB1$+b({gihri@YlG*x#QQm`Jh=C&oiXpwI??bM!kM0|Kq_b-;OQypA&VF1JybbT$ z8Xp^8|M|ioB)9(1Ou|n5k`e66tvUPx0|%$w+H-R>it(dshW2e6r7`E*uKj5Z?)lqh- zDMwJ3w!xy#(+=cUFL)Lo@Ya!EKmd262RtLP^$>&FGlK$hYNkEW9xM1g0$X~+ih8b3 zgj~xHaQi)%-{9&Wq;`&(;~&$v46HG$8T8dncOp}iqMtI+7n=NqpGAM7(^SA$*ggpE zg9QMpKqB6`=NB0^afO|h^QPW`G>mO|;3L&j<0ChdA&c49GA5VBpj)@DnrRKoj;tS# zom`d~;rd_o-50w6JR~_;`%m)?KJc&|b#KNI`pDlZme{K&#&TyTe7D7Q{hsiC%6gft ze}~*!c42!zGE_FlsX3V2Tbi(vd%1j0nZpV^5m;uHHWuT2d;Q3D8VE@bKb(!EhX@_X zQ|+#}8)ez;JHjlA1xwJ)Pb1cJp_97|Et{8G|5N_@g2Snni)6iz1vlEv3Q70c zN+C4PBldu1f{=gMa~bx%0V1%KhKdV^h=~(22X8W_lYkONb{4MCq^ofX_Sqf4s{`X1 zDorGzDAd6E4lD5D#+HKcc}?2&%Mh!FzgZ?%KTu7D-`!$ruiLfF!liN>&2z)RU7!By zg02z#XeTm5i7WMK6Br3_Gs|XK8R-&rn40wZxN$St51Tmw-vw?ikwE0T*Bz!dvXw$X zA5-e;P5y2!37`4$YAogKG3o9O+R=`Mv}HF5Xu$_i6H##DcJllq%IH)PZL zw&a~ir;HRhy)efSn&;ZEwafVfP*Ix1?t7#+Cw+LP_%QX$Y#(>s?xGp#-7L>D3M#Q0 zcaYleG`AUWLjd24E1Bp8J?_=6`6ieH81wfi{MADKE~>G{CN9se=1|C38=J3?YspNg zY{~us7g^9{W3wk@_Q>bEo8Hw`8u~ktaS4c`$G^rw3X@T4<2;urOD^w+Ko&sAz-5G` zz+)_vm(pY_MTq^w-%#Oorx}gyTcny3OFTL7_6OdTFa4Boo}_Y;&5w<73W*o6 z5}ui{V35#(8#J?)$S}gn0laY+Thz36Qg>enjgSj>ZvnJt6aIlpX9Foto^RAtz|2T} zG%LLqct3H-2u>;Vj$7~A!}0sK=1M3c4i9^^^M0CW*t&RL|I=dS5VCodEO)<^`zhF! zj+56sx{yLXvf(juJGg=@S6CoXdy?PKo`kb)4GsIZBpR9PCX*`j9jLS(>?{$4jgyWQZ$T5jUG)gR9$TtC;-` zw;^^fXGK7wAjeC(l&F&a&yxo3hZ+=(6%LKmW~=8~&ioqty=-%p`eOC(xwrVn!y+>( zS7oJeCOwK_)PJd5q|3`Fs2Ynkwf_?AJ*0iIw@j1%}9s z9tUTsC8I=yZeG5PFlY_ichP`)2?8NF$1;?O?M1*D!nC4S2`7MwZ+<$&#j$vT*DHNH z{@+~X`ZezT4NOmeITK z7J$?HHSq^#0=AsxKN#gTKEaxs83(TbAC8%CA9y}n2M~%8q?)JecIy>{BoJu(o9AezjZE zWL%lQt_vGGu-Lqlf}e_jN8`G``RM4`6^{1>y~s-ibsstrGLm|N=+~7r(TDc0R4cjw zA&b-L8X=o0rKqxlijY?NWarA!k|vi{jovB~)YwqD`QGl_-%mnrw>IjUCWBFZO}g@B zgBxCXPbT1(2TYB7D)bT>3RcD{2rC{I^89hvXXAzvTbk@nNskX$%9;}a(;l~PVLRqvp`&{TH=03#KoV8CtK16nuURGL>8TvoGc%5FNtkPx z*K6q&3a?l-=}y7MLS$R@WTFQ9X)hC633tS@M<&je#@wiH)W;GN3>%&r9zLKWOa0dD z18Rm}DEMFwyh`p>O6ctHYo#*awJ&A?BE()XS$uswM3$-#OjEgPf(W|2-hYG}0Wp|7 zLEO2SeS;$t)ee12D)*FI`PQ$xPGwAT@9$im{#bEu(Mo=bmsn<=ynzevh(C7#xEiWLM zKiSOTsel_$@zg9)6ZfZ^IqD(jfIC6I<|BSz$?Rin)g1U$)uc_xr`ePNwR+he+m+V* zb*v9y6Fu<6mfbS)srE9!l+MnE>+1f---yHS+Rcm?MRI*%ZqW<^O5DQ$VNtR|+gCbx zBvmBi2>K`2@kUMEbE_>o5Fity>+skkk4QGk5OH9VnF0{50fj>E$QBR^H)k&M^~l8K zz!bCULpzfg0F&q{(x^`<37;p@|M)v$+p9D~ZNud?bC;mvoXLK%YE$>uL2?VRmDv>p z*zd#gr6bClrgi-*wrLX*Q~K*~Gyzs(9ho1h)2#?`oFHu|$&=CgLr3Q1B$jTG3V#2G zhg_!FhS={1%RSR(FkdZ2da}yk6bTb`{)IMG0m5!3bQ^VXDHb zztb|303pL|XnhWTVjCJ{ps=`(Z+j5$+_tOaPZ}} z&Px{!ysWcH1|#hg%?)B@13Jwyrzzi|FHJ~i{o)=n=2Y26@gI}Ot4lbob-ynE7nA-M zhJ+%_a~P256QhgUOTO&2r&JWM=jI671t8~_;=8(X*S605;U39!PUWke>{E}+^X>_L zHLf-Kb!6-J|7q+!V)*|Z_!x>Q-Vvq1Eljq`+aE*r{O9bOuN&)D64snsHAZ>^;ccW>=4v7f0uZ_ zbJB!v!lluj<%rP11JEVc>-CZS#gjr=y7cUP^^7#(?P7I~WP)fwVGHF4;4#+zl z-Al*T(%TBykZIq6ih7k8rtim+3vNnu`3}8xZ909g5MBSl?*+DNiL$KP9TXg^TKNG< z60P-FR{j(@n`xIL4&DpzNL@_XZ~(r|`YjFkwb=Eazf576v z`_YnkkCIR><%cK9^zXx*=w}S*vvjMN7WNybYZ15MAT|5S;bA69T?z5(ZmfgCs2-}X zyZk@CN4+gz)jhf;0K7|gaW;G%7~|RmBbf$8V{e;A<(%EGiriDE$kyE9)o*s{g!LeF zOee$Z=s$$7l0EAT^aM~nw~*01#y;Z|dS9LJy=nAtD3yG|@h?e~7;FgCp@2dTRScqi zZ=zJ!r=~Wu;n)y;bNO(CQ%Xe&@o#qr#)EjqU7M93d7(q{~n5^gJ$Z)gI1l%SPiGu2!ZA z7}5Evea8-y_hL(tX2J`WPUKKXxfo| zPYV6tn^mVzAhz5}zao^Rr)_{%qZdw`AIHC-htJxgc517}JH@Nw*Su8<=Cmn(eg!od z68){FU?4pSUwbKT-@IdTAfo6bf^X*r(oE;8EE)nT|21)oEj3n_&a~MJ86F?)-6e_U z*Dg`{M)4Y5dV`(zt{28U^y%2{8ygNBM+QJ`sypszGNQJ<54e+A=O~= zc}Auj%8>_~r%7r`_id|RlcM7HuMqDO==zX&u7_N-*AGHDO4wHQLNa^4;9mGMWD1=r zEbnr1QHHy*puHeQGoTNcZ8}pJQ|7z%035kb&g>4Qk3sXgNVw(lY_eiBoe00rz1Y;w z8e$p+8O$ffE2=Y=L@BZs*G>wR>C&tArawSDx;<}xtY!UgV2FUUt|9_JCO38_WdP3c#5X1l8 z@2&fu&Un>L-ob5ghrc!jHm8b=UX(pxIR7)+wk=JhIS_odapIRNj`4rKr8cq(Xvf>~ z%}L%SL$KB+gqQW-_N~B%5}Rv^xXWVsx<5PUCTNaHf92(05mq59SqoA+;5Ap34d9XN z?I$WHJSp_1uMr5pEs0K;;r4xDfFWg3wH9yHmweRzb#Q&fUn9rRI>vx1*(0pMu6jSN zcI@v~#b47CQ5{syzpwPWPx{y>%JIM8$di-0lK;b6tr?<&y7E7_jFPg6nLXp?t=1_+ z_ltd|U4Qj^H*dB(70Zj7_Vo)T6(uzcO%hsmEa?tJ^Dv7<7a4{1BrD~e*)C-ykWueya2pY z4V`eXp(eKfikO;EH;>cFS!$BiiBHqwBZR9@;C<;NS6c^w9<#nnQKp)JDjIOGl&wf@ z)A4<+j`Bdsj&Grd$#99vNd*qQ4g~FYGTgMj^CL=$2f#HpW9mu_rcJ#)2r(uo0#1r? zc)Co3vAzbU>rS=j|2zzdH$o3Z{@Tu{E&Lm$&=V#a%@j^YF2Vo8X6wSG#G_fG)HmlC z;IdW)5%i-Ds%wb$PbJVZ^!S-ziqBbl=r*SmUDdr>v^N&!;| z*r0WBJ=-ur`!-LEWhVc6X>~Xw)ziK^HaY|2HE_dP>#0M8OBNE!UQ=04kPIjNbdiX| zM)%zB3&`Y|B8eU)N$wQQCONzBXOK4>AarQL9A7UFas5t@JKZCnmVpc(`@c%vQm{38 zMNA}2{e-$r8K7)<;O5Jw6EPQyHY4w>t%8%PFDJrkBJG+c``&0B2DC@@&K*y#dKwU{ zGCKgtp+P9WMGB|bqnQ)+q#H_pU#CDNt5R1SGS~g+a(lofe58_2fq)_9@56*HcIRl$ z(b>NzS9suB6-7NbwJT|`yR5Fny@Y)cI@+I0&E)-tIFes4_cx>;79Ab_2e^grfL3_& z!zJ^^S_MMNjoR}6b}G@OyV0~G3FfpVtJ%WkiQ}H5Mb@+>t{^&SFz zDQaijk*6oX{vJ0zCt?E{76F-;jShQl921d@Z=8H}MOVG0t|cM33*v)*taU9&swV3U7=lXWV86|qPoX8x(^i(-b zWj6fX?uaN4++dlH4?b1dDX??yz_xH%^*r3@Z|578&BzKT)wT=Kt3T*6dA>F_^8(raH>9`Sh_0`V_LWRKu8rtA&~<>W3q?zQ_X~n; z8C`pr(QhWVDXzNXAr}zH(F3&$MUdY&3_FgfCX~?1--Pvp<8Ky{D5>)R5~u>L5!^irGv3ckg`=F$?@w)+B&WE^ z=05N1Nm@C*0&&f8EOhzG(JpHdq^WhTMviR3V9F@%F}ZIzveC(_&%dL3;IkP4`+aad zwV%55cMyVk$6Um@$olO|xz`CZy2Ih>896z!J}Q6*&h%r4={VvjtE0Eptl!T{n$bj7 zEV``r9Q$%Ot4PomBk~zm&TOPLdBAE>NO6Xp!8PZ^zFtgSFXlZAC4+7}s?E#-dcNrldWC@MR6$P$p?9x|e06Omdnl{7 zslhN8$^hf0=IlL+^N+M!Rkm6KTNlwCYLc0wqnYmiSxP^dBp5&h|J0yCiGw1yX{zY z^3Hm540NMmU)-YUB*;Z?-+OqLEZta!IajxC{Zb5A9k?vq(o%vk&fg+idNOVOQJO6G z8!K<*pEm)DuS9$^5O!#vu~^{rG_~!}^C|P0vj^FQW;&~laO&&|p@qGA2w_-Tq;Jb1 zrPaS5Mx6)I4EW%PwEY;r9>OO072IeheqV5b= zXu(bvv_=mhYW~1>e!||gGi@w>KT>edhu+C++Z=9cKA{%P>%1DGy=F>2>|R`Oep3jP zg)M#2AD&Zu^$=`1JI)QijNep@D|XPEuWS1I{nO3uu}}=lkP{H$+z$8Nos6$>UjAOo z0u&=SCRNQD|FdC+V%OewMnITU>C-~Hbgg!BAUqX^^m88n3M5jtMFkgjmuA03y`A8u zsx_2Ra^c>#`k>M5JvUk4_Kygy{_MS1H~Pu_yb|7vFC4wfe`PO;g?ZOf65M zD`Wdjat)LXxZP-D4_ZCH6$D#9{O=|?Z;aw(VGw=q8l)^}mOK|!4*tD5%orB5Z~^m> z2bd-dQ*`+Z_h#v@EU=#gS4I0P4X`d~ln01Tm&oYOJ34+t`i<=C0z(LtjD>J+@5SFi zb~7qnEWrGhdIia!*0bYXRnTTfuVGGDu%D9{u*2`LSJB&N;^#E8&rBo_aG=%E>x2`= z>Dx2?c1ER~1vsaUpHfov>6!jKqteI%?1a^~=<&f)`==d!X68zyfRnrN64bo@ia(gn zh|7rHObZz!3y8em6Yjf`;EU6b2rlaEIS@Y&P-$fwNZ86t={lrb_@NS( zakxSCUY!+y)X4!lESDTTTMCIX*6{ah0JIxmGwj%<06Y)xHee788WdEmpynLdYW8JFq zz5ZT|PP2wl2v(q+ThsWrHwY#e|9nLsf5{E3lN8ADEKlj3Ftq*P!>cmV&GN`~p=ma+ z@{X7EJ(+t4!ryt6VW3WLYC5*}EA3a(1}=2@JsK{>Hpo22T6Nt~SD{WcCL*))(#eTN zS^KSx1>u<+ds>G03y6xkmCMfT+@OT#!@ZHYHM`Fgfn?4iFcfw*?Z|BQMh>?5ZRO!` zzkXlD<()*a>2D#zsW{uo^Cvn1*)_h(r6MgtMVV9O1Xl&7rZze%JnYSU~fN zM;y=OE<8fi=B6M%qNxl_yogU|p0TSC+yXVpuxiC(xONj}C_>K4S9j+{D_X$AD_u zb<4aj&&^?G9=Qy}8r*A-7>Mdmz&Yq>XIb8E9@C%flNlBD#m=8~q%H}5uAA1&mdad= zX-k;AB;{L3Oa6T^Lf?A`Y-3jp65pAa4W)3Q^o(yzgPC!j3yN%V%Z#OnDp zvP@f(%mHZh17uhnJYox9s{VT!rTbU) zoIpT1Si%*SyJy!e*XteoNpWp5EV00~eD^42?Uq$i!PaGGWk72&CNR)*xZi*F+qj%Y zh!*q7kLdAu`xW=T^QHFEUj>i9Ml3isn@@2HYQcwV19>swi_Y-OWD zC?CL4z-Zd8+~5mt9LWb~U85^0ebzyaR{W_T4;fDB=^GSg@Yn?+tgT z#N2Y_&tH~ab)w84v$&Sg;$#^V&NEG;j z3trI`yeIEAoQjyVQ{#Xg*`)Qg^8-EW3TlMD3(R&j-iZ%;2Ai{zn(lv5+clEylKE>?8=0D+ee#hxo=3~)%K7b7=uU?v}R1aTj>=@eTs7zy#!Wx$zn@6 zSm#1n@{Wo=et z)r6V4WhC1&-3H!cZubk=P8i@fs4s9`wgSs1E0vy1S@|_p%1tT^YE1R74?raRC2-4l ziSh<$R0O&739?!rCHoM+?J!|lH>G%{2#9DdG9mVn%P^*ak#Ge8Ve&twh)hCxkz?ZbRC zBHX`v#`3w(CfpK7VFWYxhgUsZ^5YR;Ji0TVDNJwiTn#7(V5$AB8jdmNJyCWcVQIzD zdOm~TWiHA=b)xcl5$QQ4$ZK?B)R1#t3&L|AI~g{weFK@v0T?!sR9lIteqz*SKOFSj z$TO+pkCC-mpyAARr0f~oV`sG zz7NpYuWV;*^i$`>!cv_1+s3)6a)-8rc#X3jBbvs$1eb|#Q6reaqX;!QH}1Y?4_iWj z?>-p~iS(0mqfssA9G%oE_ubAZr`>c8gx8^ehRqrq;=k#CCbc|7DBT-?<<@iwkmws+ zB88v;(>HPueqtB1oCwnEdK%#7PYK{F+gs50~9Js+} zp8FDMsC?hYBl4rQPTEG~B^2{i)+~qi-$AA#z1ouzy zxig>%`Tl?XBssb5Lyk}TY(Lvgerg)~MEU6%F#&g`smrICmOY49cBIW^kswh&G3TmbqD(spkD5i> z%{%Q`EO`Yxm+k@iIbiAsd9Sw7GgfdGAQ|lMsYj`Le-{>CS6{ZO=;*Q?wX^ZvUknk5 zGTH@MyqH=NMrfr*n59eo~JQ*el$+?_JOFOehn0!#F#Mflu`^%*ahqnU;qNvI>A zRx!EA+LY*oJF2jXg=jyXA{AuY*-?@?q$CurGfd(b4T+LyEF>F#nlK&MPvqWXmrm(7 z=a2!YU_tuXIzBqiJ5hU|t!|yg0l|K0<;@1GxaEBZLa=-pYz4Q~q3!peEmIpgmn%@H zvG1b3HWmWtaTl!PNZZXI^p%9>zAM=J`e360KF0NooMj5Udkl@*9 zEVaV0nKIMyk?~V8yUKNryCbK~S;vDWknIxXB<=Yo3xH=1Je-}8-xA90b+<}%KxN9R zvs&Vx5*G5cV3_WER^dyt46;KzNQ)7{fkzF-%m&)eSR?Q2_rLH`F zl3`DGU&m&vk4M95I>$?QE7QT2*cVgT`&-TfA19QD;l!-qnkcSAqek;E&_hg)H#_Vl z!W&UN&I!wGR5am(IT2u_Sxg>%wd5s*uwCqB`lqSNzdNsvwO8wqF zFe8<{qDdVUB#$u-ZjxJcOWnLIU?GDZF>sYcM^&(o@t9q{Hq5Zvz0UM5(0muJ)oVJn z2Ym|IZMIa+4UI-OvY?H8dI+LwVo%|gj<1iR1oN}uB~h?(D$au)W4Wm+^@@yqd%YY% z_Yn;AJ#Y!4zY))Xhguz3Ri`G4QgNh*M`rkxzm{DVz)L-ig~u3{-(klN1r&x zv{-VaMH}m9f^`tV3((c(W`nZ6qm2Rs)dAvoAxxD%v@pkF)2C<`FS^kD~t~Q9-gZ+7!Mm_G*#UZa_XcvKB)26DCs5q~)JAMyDI5j+W`m&X$ zkSo}P*F)Zd!R{#g*L9qhF(m|KQ$(qbU@JYJRm{hti1bUZjWCgMmIJ2hrb&dM!funKKXho zP|c!NM0*lhMRvSdBsx;xuT6>Q(a-OPP0}~zX!h`AdO3VduH?qM?Vf)xysJ^JO|c^A zHE$u!Wta(|$1me#fO%AQeN{r*2m9TxN2cB$B~hcu=!&=eenveszPTHU0nBG|sTk%I#3iDGsGq0N9Tg zWAuO?z5{jYtH~@=ty>A>vXbp4av|qWKG)2Pp*X)Te)zf-#GRN=epwC2I!DB7rHz)k z7i82_!5uLsy(d8)@g3;Z61?rhDS$ZkQ*F_B`FR+7H&wOhk0H>VhL~!%NeQ8$Fo7Ig zc-Ase1bC2om%m*5gWVP6Fml{^;tX+CD@R$`h@ z82Qi^S-%c(E(9bRsR9tsl2=-96$+&wamLIczMA_0BN?pRrXsAA+x>|>MTFZ>xb@$M zlO`~R{2da}s$RGHeF49htBqGN$|Q5xJ5NO@b~P#V0r+RrIqi!6u=mVl&ppAIm_S~M zDE;0&k|E*w0*8WtrP|=NXfDL8C)b4M{5{Bm`Xf)Vu%-Kb%{>#T_BGy{r@^(0n52~w zP{^A6D3)@=e3uSJ)jhXrH}1I5+VN;ntS5QEZ_Ui;JKVCxawn(Xe2tEkLoKn- zlQt`V_JY1$VY3IKI<+%R)sEp_Rf(V!`eZiPNah$ zm`;@k6toB!JvPKIaBP0gk4#w=S-&+jVxbx-fBbKBcZY3u9Cj<=17-a96>ngb8{?3^ z(G-y_X5uLv)8DZ7UK@0)m7*duZu3fP8gLn4`qA|F)@s8#W#(FWZZnb==K#$;fSe^Z z^Z)W;%1-k(Z?TLv@U%P_s+35fl}EUN1wVB!-00JmnI>l+_F_F4O9H~gRQ&7#<3-?! zl;V}PDfw1sJ#L?Ba-)#iaPyy%hJZ+m-c0T7H=CdH(4MR8Y<^;4P;e8o>yx2msAn=g zSitBsKws{|3QI#7Yo*`WDO~~oF4ILOm)@-ZCW(?g6BQBM8P>*{R@+S@!|tzTkVs?c zhh?YVm!z%Fkg~-{mp-re0{6ckq4rY!vJz_`8dZeuNLz zfaYBHZlA#(-YSLYK`ql z;KB39cmP>(J55LGB<>go2s`DzkxlBfuicB-JN*QxBd#420AZBp5KBrRV>sltMmk0T zu@DQv>I>R@Q+jafLhW{W2pU$;0YAS|XSiOcyN)ziPY4M;bWv4%EQ@e%ghNl+?h|>^NhHxz z{fKeWaK;-Cwxz*zk`G%UK`1oLa4Ut1zPzU~_exFUh?oqH6P%6VG& z&eQHI=UFW?HO!2uprr5un}&rFjf^kB)`ogU0EVaT**ncXlv8mxM;-(-t>E|-0*1jkcQC5+oBzHRp86}HonYFpH>Efxyut@WG1U+#oEpcwa#!Aq;qP{`tF(R>7M40JL}+R8fpURVUf zjf8?{N5$qz=BiSj7=>Lxd0}fa?gJ)@Kh*BeDC1njk04N2p<5HqH55KHN)HzJS<(Yl znhVBuCpxK6sR4HIGvn@ff}$&{XTq3J_Ue*ZCJoM?d+K|G+OxC%uIvl9LDSeb*`0J_F2c+ zX2@K^ix*@40(F+FBO$JVu6thV>W{4#?zEJC)LrC#ob*NO`q5>rmo9?M?;pIV_;Shm zX_7UpI>lH1o#I$=5PeB`lcZus!s6$s7)$|84FmCT)DpKEnMuPzzu)QS1~isQmrj+R zlT=em@AKvpx2fRy{Q9zgKd-|r2bK0OZ-G@A`6ll?8n1IA#U0!OLl2`+Pi}E_yHgXi zM6(pU?SAUMp3q2sw-2JcWuj@HYxRc2evyc{T=ut^x!?ZYM=iT2B3fjJRCK|`{5ok* zhmoOp*>+48<$m+Iim%jIcn?KwQRjuts73eCqX~WnHldoUuDZPUMuXm76^aWKVx{!m zt1Ic`V%2vS8oA2(}?Q^_uJYJMfBOd))xIxnUPdH74<{v>`($!wB{B zpfmR+roz{;>5UMmzELj!5X)TLVal1r>lTfkGaA8b+x6?%=fCztgp>=;Tgoshib=6R z#{Hd{`5r1)h`f0oAJ6yep~ckBnZGSSjWmzywFdKFS$7+)by*@1;j}fj-hLpS_U z7~CuV&gdO>F}ERw9nqax;f_iPW2|U0yu<6C8^-@G`Ce9&G~Aw~u0R zO4Sfwz)zwkB6mNwd(Ui(*bUF{*eH^amSvgg*%|w@$CLZMhX8`*=(Z$%)J}gs>D!q- z#1Q}QY}%bHg$3!R>^I5k%AcM&@3?r2y=!>8$y-}u1JF+v=yojv!JqRY>hBFYFu?&A z6YCFW*et$$f^(zPTU^)oFTPm1zqL?Pt-Z9lI5z`ZytHu3UQ?C5-5cjuT6_DWf1lge z?M?SCXYCkwXh(*Oi)b8;q#l&~1uyAD%h`4v({iKHGxs&I#Rn^o~)y_e+Pg-9)X?8EzP|ifD`0uf?Q$v5I z1mmth7>wH;8Y;B3bZGBUC(Um&0M9(synA>qw~MooogRS&7Q4F!wEJxdg{7rSbENae zI1(hzH#gr7>)(tZ{^?!yDKQ{#XB2DF^%zQZ6K@+szA0~xAU1g|)7dgQ{vVHDPat`% zJ+iQ>@Vz`1c0XAhTOyx*zxq==QO?CX`)m7EyH6?Q<{cV3*<4{!{uN~QPK9BE`^k%c z3P#jUWzV}uIB2j=jg=3}XliE9%Sb!-w?BW#R^eZ`KSc`)OR+p(ZvIowu{TVi;n+~0 zpYQjS&ecs>mVs_|WTKy4_JT#5T%C1A{EzocFA>X?B=iW*9J%S1o#P8X_O9~6@x`}4 zhWpY0Ka~H0J~0KLn*BzxxRfdFQ(ebCtNYz>#t54olm%|kuW0@bKhYb6K~2@0PX9iI#{dIMF(*JV$5bIFPqeE{+wT}FeV?ah_pt2X-N$|By1KMYo-}FgP{@%4$NnxROTIcL z6xXIj`J?gdevXT1*B5P*LvlFLtGH7oB>hh*N!=|0YK4uprp8w42^F?!$FL5XJM^+p zYFJsfoQaBn9OY9m7gAP>qfl#$fU>#;*2!^Si$KXacTZ=v7J&h}_oq@XhzVdKtx*(oy zmVrZyjC^(#`BeG$J$e@`W+f>*v3Os@0Wk^)iY;8Ye{Kh(Q?u_=!1hl8hIEMhN!0A$ z7;vGWV+l0tFt65cKSUKy94AhG7y*}aqf9iiQ9wI25!NE0RTNe-Uh}T8*yS74Vm7pQ zpTuRsf$q-3?E~>5#p3uvTO&aUpL<^EM$&7~0&>!(>g)aOder`|0#%&9ZCJ}TQz5YR z=w(6v?-`x|>72#anugPEIVUUb=R3CqoG4suA!VMbHau^!>^ZkD0krU7U|H?d@xOuA zyO3j0gP4TiL%R-t2#AKY+kh+n=#g{Cb8FuMTDSWaofMaM|NcN##KAkK5baYi;-U5J zGG(Wbt^$Y_kOoy~>(8Pyo)w}FBZOZ!|3-s$^PI(U*hiv(8tOD&IDA+OT;m((76EXA zLAG*?^bgJHwBOn{(;Hf>I-J{yAZYMUj1 z>uM3;Ljn__7PfNC`wbL!!*BSh=hvD1eZ#IfD}m%cZ?=L?{9L3YazE4TG^G?jUFnmn)ubVLAuqbpH%X z0YgW*Z`zXhWZ;XaJLM_JF)-AX-xHsp19H$EXuJOyAc!v(eW2ueYSo7gdCr9q0kgy;26 zjjfF>EP3rw1wsH#scfowW!`K*c(@@TItJWIyJ?;GvZj9-fkKOH0T*duVddm^#@yiNN`=PjTeao z-`GDgUD3FPIJK#Nd*IgjrD9g%l-ff@o@-{Kr1FJSxXGRQeF909H0p3k%K?OHyi|#`4BKOx^$FN0rCF>vWy#wRdfkO>dB1ZoQ*6X57r42VVt7+wbh%>V2nZ?g;htC03XyNs`{S`C?+$lzdiGJ)x;}f1 zfha4xCI^vUdmXSnaNw1Ip@v1zPgS(_76QPR+m`%WWVCFqwFQ&E1E)UuVcY+|)0~`* z3)r6UcXXjsA;)MG)Vt!c%kDKsQ6w5pm-~}j7@h# zWG$A7?pwPK1EIgVd>o_aX8|Vv|Dnm&g`NI(eDxD0kLUoy(&Jk@V<_Y@I9&nm8kq8< z*A*LXfoiY?e?-z?;DGGCoRf?)IrlaQ=-`Rt@?OphpADzuMW#Sm1nHJjlf%hJfL?&0 zd+VKZsJ|xr!F0v{`Ku@TAAiBmoM-wL;8GLkf*DWh z`z6sAv^}A1XUQK$FjInjDi#OHf%_5ulCn2=e4nR5cYs#1Jw#}+D`HUU`$G%hOoFsF zrE(@xy?yCTs6<;%=3r);nLfEG2@P7;F);2*1C6*3_#__&@zQb3yy_{#P{d@5MtIFO zFT$$p|JJ-c}j-OGdiHE=%i|nnjOJjj6FinMT07Eqw_} zL02xgc*{$dm}I&4K{4t9M_8%~IX;m>yK_{t-EE?LjqctynyG!+VJDhNQ&fZ7x%+)+ zjkHdxDL>8dHJW+jAR3mD|^31xHgpe`g-A`+ltY zd*-|EbM}*5hjNe2m}j?!g7$XRp7h()x^!0)Q{mx)lc-SqZSh_#M@+%NMVP2U$d8%8vk z`s4`9do_?`aH3DZSY`iZP_+VBFRJ<5ZAry=!Io6iJ0@)jNZD37!ay2r3C@rA9~7Qr z)$g6{0^{nV=@G~7)4J4B8l;uL?knxw+0`RQ`)OPEbIQF^%)te3Z08LPi6oF=K5<5*IUu1KfEIRX2`cX}m$Cb}Bkx2K-)c;YvOto|6H? zE_Ct0{T#cowRqN0`xos?4Q>+DitXj-oVQPJMNUDGa#oRGP+I(d-HdGUnVP*$mP-g; zJkvUP2Dk9ACBU7=x<((W(U8Y6hZ=MfaQx%n-%mLx`~d6l?VszyBuvyU58LFVfvK(1 zp!>hLCbB9`jX{A@6S-h?`RJlVWs244B06%yX}jjDyvX`K)WKsOIX(1rTTnvWj~vuB z4AGk357MnY`+)IJvQKaZuEys9bp19S|<H;zCCH?IH_be zImD3P7RGwn;WsW-op1{QyfkxsR$=z6;{LOW;AiKN&(4QGJ8%9h$WGQ(1SDc%YmVdO zZ|BM1)|0=%3%kkR@JYQJlX|x&^=u~foF<2yo!$`c7E}g5H1b)0X;!w6xP%sHty(>h z!%%Fc+G>UDaqRIf8TzDa6^)i8?n)TT7S{^0d%!QgO?dOYru=)&yYJ<^dmj<@Mt9%5 zT=!8_+vvOWHM8igy63a=5zpL0KkSjn#_sUE(HxH5*CiopLElGA*uMRfv*`Yu>xxzP z5r^FuN(a7{uNzMctyoM9HJ*J?S~ld6KgF1M*^wgJB_f(ovMss zRuxf5Vl;G5!lM2T;zsJ@AoJz{BT?|~Nm>bH2Oci#y8ltd-cjNEyx}xA$5>zWs?S5k z_uZwgT?qPfuJum1N$(XuPlseLzSbeyC_mXlZ1M)WQR{SjmU7g78;CEev} zCEe%w9_SOxCJg}gV-I$Tro?$TrKLZ~3FmbRGe<3(>^+vLJ7LD7$PYxR(C0G`L-`tG ziAtlHd{{OgW3{3~dqu#r>FTSY3V{>nSEY?H$C`PYZuC#p{oS4TA#x1($@>LLX!}I)qIgxpjGK$9bROJX1YT}nEI7bB9<(+>a}=9JxXFSkvk3d$xJoT3^h+@Z zN8T%Mpau;bd@=dCNz+(rafV4>;uq!er8t91;$29s|Fa~xO=5hes*Xp4SE{ zFfAXILztisxyV8uClT0_2oRG2sLpa(IM>r2So7d93>IIIcE$SVqKG%NA4wRba}!8A z>|YGb(MEc%%%b9u=&p<&vKWs?f(h~<`lsFyv{vfknI#rn*Wd@Ik@%i6M>W2R2e*0l zL@d8QEQ|4xAid$XR{J!nPn_JtkG+RN|Kg4?d)J5kXED!^=wHPnt1_P}UIQ9za9NTU z6$k{}<6{<8iP)`Mq<18;{WtW{I;*C*+s&qaX+pDy{Akf(`R}#VckZf&w#NQ22yP0o z2iUs|-+`0b@-B3_P8WE;>gm_geR;tx?JgjKQ}y|$|CJ|1>b&ri&D#XxhllF(xO(p| zxm~m0e+|+3{mQ_|;@T}SroTR57ozUwCA~oa4T%+MM?|ctTzs!sSgXSm0UggQ{V+ej zCgd6QV2Ex%AZqKJ%f}C4kBd87_4m)==Lg&YCp!Z<0^Gg#*bszwHi;~5g*xJ^m!Z`( zs~*dXS;}Q+Y2O!~sfI{q^uV{1Jze8hR2I=zx^S}X0SzMH!URP4#Mv4F4o8MvtytGW z&?;}dKly3lRt~)8DMJy;riHGv0`_qlLbZwKMYN!`r+xM?WR1JcD(S&9>$RUQEM}*$ z7`8Lrklb^RVG!Oy5@9zFl4}4p$eW0!=2ZgjBT_ibgC$%SuvyMI4xJ~m6+oEvVxfnz zPqbmxqEs!_Oc@L8^)>oJEZ1zE5cswW=;J~k1=OkWg2Vz|l7d8NDK4|{$Namq#M$21 z^>4c>2{1Jw5h|oX|1dC28hdU|{04pL^LfRzQ!2}Pek%JX=5s4Xqz%*1hJi@(HL1kX zSeBwX>fI{MdX=I*aCQGj8{# zi7&tbP9v`i6NoS1=*FxJRGqFtjNAYbBTP1LEms@{h_fw~4W%d;4&@e5{xB-Jhp-4DlN4qv6g6;)EAbaoKOUN^T-1IF%Jy$9YAu;aVW-p z0q(k%)5NZ?<7FQwsFGksBv^GX3|i~`7BzEPrR*(Co(&DZ`-5BodDn(Tyg~%Z(RXu*SZg` zS)wg+zaYyE!T^B{d@~YVnt2-@QjM~EgR;QK1FunTYo%9!6Q*^VuJHlt0ceuH2zyMD zP{3k2zfT*g{W;*sk)5GZ?+s?Hbt{9$N|RbKnlI=QO;p4K*ENYid{Ma~vJhoW15OR0 z1f-~MK!fR5011433n0D>GbWhLhE}7j84S@TFO=&UFl2-#c9`l0R$c_F4~EGv@iq*V zz#nP-eI?R$?XlHw0=AdXJpmXprEp}q8BD}7L6!4*Gc(3@Eze31hHs(&kwC$&bB?}? zf`(hajj~IU;`Hp<0b+DaH%SWntE~4B_Dz$#G3NS^WjwiWh2+D8Y4C-J0K}Fv|FdpZ z@-kOY1_{;aJad9jz~?>XOTIvHCgA9$yccxoweI&F-A^*GZowiSX;VS_IrPsj=$%bx zjTe`=rRQo#345T(>K0-gD2FFfX0g|YP|69wlNFdT++u-Ts|&-kHwD<6-XqnA7vo4G zBD~Qt3NHUeyW7z1WG&+CmDu&nC2?*p!^AC+f5sSZSxknX=2KiLo&zgTZ%dWnRCxMZ z0))rex%k3rrS_L7aDQ$7T4=X_{(uy`X9*t*d~e!HQw&vgm|xR+Ca&=h`xLdtZH)uo zuxMy&JZs%0(rFt2%B;?dK31DRCaT4gx4eUK8!dPZ4Vd2pn3cdDP)YX4j%+11o~|S> zVz z%S1mI%;usWKpoX&Op2`-r6tG{$63p6B|SW}6@aw@kX&UF$_~18^xt?uBJ2>ZOl||S zDGU_#kRd#X^MyCCW4RTFed`@4=M0;RXoS9%$qP5rghqsnz0xwU7FYbG0=-VML~&F7 ztW6tdS;S~BP!x= zC9q}(#aby4TV#K#GAZH_MD;q?&Bmunhqq$|btiD`-l~kTra2RJW~2Hm-viW~C=m`| zeJm8gDZ4C8@!YAQYg$2tZlZ8Hs6p9A#2-<1S!s?rplo@74vNoOM-!JM z$giIzyZ||gZN=c0VO8)7-qcYUFMQpj~}`U*$TgpkXu6v0BA&)xxy z*DNpIg)b0iM+G>wWotV&Q0LDHy4uv&Zr~G8cYhEZzun%!h3KE1B=e@}7Wb*=fF`e! zAg%E+u4yOCE}5)vFU>X7bz7@wVv6v^*T@TNm(hY(i{U3c#=o54t@nV1;XGRv!TqTC zMY9*>Lqb6dJsanjEl;ToPW*U2(e&XVf*pagv)g@`(7ob+jT=W&nj|Bc)q4zbqI1Dw zv5Wt~g^<7MuRTH#_nAK5`U9e`Uyd4dOuuUkDzsbh14DQ>HRlQ6VwUJ-xmr)MGMc*g$f^CqRnIiRB;`S||28@ggp zWR(YZYdy?@b*giYovsA>$JedpFI#ict7F@}rd#1dv48Jr>BwT#`hn8C-guHc?Q21H z9^Wx#C15{A+L&r7L0GuRS#pp1xQ}-P+@hMqdBFE8Aw%2GXNCY7g9dPmsBE3(H=@AS z%YmDNdxBl2qIO%sXJQ~@ijM)h0W9(dcUhA0<7tNw)Z0IGN#Qvr8D^b~#in4P;h|GZ z7i!EcSs48!%+03TKg7c^@(;jfq9nnZMpS^e{;^J5J4Bl^3F+6bLu`aKT-wl8b903m zFG{*N-^qEw&zdDiBhfDhQ%jizEXoe{pQ|l{r_d>oT(vFmn8yS9$Kd6Zqq=!D`jg~a zP4tuQ335nimJT7<+!a9Rv8?Piq(f=*LguqlNj*~iw7Ncikl^ZjF&4vGEV$wdcU&59 zF}W+q);8w!@50pD07@Az=+~x+Nz=qr(Wy?lTk(*!lPoEm!5MObR_A82AvYN9v)jWUSlJwP{RXu-aSu*)~E z4RPeE_EeV!R|7X0?Sj+&1|6q%WP)YIO4G{l?qVqoS=gS(A|UBkgCbVzQVnMRkq1>b z&eA(7Qin0J)M1H-!kYhsr8Ahj=|ZfW4d6R>R0K@Vg-z^f)0RP*>50Kz$AWB`ECus&#kNKyT~I9Z<8OwkltNMKC2xSuhFO6c_pp-Dn0Ds^ja*x8e|8d7I)ikTMn7G(yDO zsPj(9!m7W{NPhE)oovZhf)ELVn;-d%BJP? zrpg5Q`*D={QW=JnEkcKl>~Ba30|xTyQHsW>>$CWAEMJ{jMB~q=i{UoGgvkKxsbc5OgM?cB3qA3~#?v zwL?!7XDPdeAg?vfVjqEY2Hit&UsJ~~5Obemp(9xtuv%RLJ`cqa1O##MF}-|HN=tbK zJYsrf1oGTkPB@yM_m%LH)>6((Sj0S++kh2pijbR-p;oJa>C_>RWS>zsaMaZj49qRE zxs*1vo(H&)3+MzpuviE*e+vwn&cf4rU>V#ZMZDY$*;#~5lwG5nF$t#_)(c;H62!>n z5~$!!cHhVlMsjm_W#W|p=Z7$ZhGMU`^{t zVZ*us+_&G(ALlR$UM6URlp&zIiIo~#)5tIvvq2zMES*ujWE#>k0D0$dmul1O(O3#) zsS%JyM6Mo=cZ`+@%i+e7pu#J?QIEj}JLK=2?9)l)s8)=8+hZebi@&{-$vQVL99gbR zY81OS*zELfIEzvb(N{}CX$oUe4-SOs5YwTxj;9RVwTULa`MTq;#_RXsXuj>JPFGu!CiNF=$1E0-t4M<3bPPf#2BmVINIgk-Ng9u( zitIi_ZaB6>9z?l5hWlYZ>Ex>4sR5zxkH{CKK@loZ?#EIg^Wh$bCt8q|O&J}d2JJpv zKNPWlA!>Ja|E~1f%WdS&HgbC#8Dc&LWAEnaCK?RIfCw=7cd+JMA!AczbyIUSRB2Vy z7=L}5eqIZ9*q52cY?}R!h{yR|s#45^Yi#NM9}%_=Ku|N7*M0}#E&F%D^?}cae7<_N zK7owMkPK7~dXR<5u`m457CE=2d>`>ivr4veMW(E0)ljr3uVfT!2UX!Vi@kBo9#8j_AB(twwM|aU3lJhdc@n z(x4dt%uI5ZEOpfuo%WzfsltQ6(Ys^A-y!X_uhV4`P)FreJ?|nTV^~mQx_lL4MXG>G*i!-RZk~;bWiG|>6iMWrJc#6# z(fKJ|aSBD*{cb)Fnl(@EV{@yTxQG*7&3TIDRP$Trm4M<@way|TeuFq0Bfw+a+w__C zgsq-8rdv!?BNpJC3RF}E&j(#4i-a?-bMv-y;QzvmVQ))I0S!;5#<&hM@ch9qmM;~< zY)0A*A^jibU*W(np+pzRv0#gRrW`d;>neKx_t~7i4QEKG0$O$GC%-&QgbaLw)e9<) zN+XWVZJnzmlq#8eEHqr-FnDh&!ohZvFk|Wdn>5wL4DuSsy0?dLd5(O>eA?3+nV|xO znRRkQG{VZygB9+k>Xfii3bGM*GB zLPdpoAhk2Jr_Zcv8OvX5LfMpcSLO43&H>}s@|tFQu0+<+;ND!cCAYeXaD7G9INh9C z4F&VF$|xs8%DkhjNEoYIUPdl>LAu;lQoB;N>2o5Qp9BVg8M~splOvxb9gze;Yl&Bf z$j5ncBn+u!xugpqK*%@|9Bf6}7;E0-zi%yEnqeijHqgWb{%NpY0kB|ajF;iUl9^IJ z4a^AAVmL*awe`Bjk(kXEB>Me8XkAZZ#2ii(Ou%r{CdY5$Nj*e>bPBUZ$5^rm-c)7ngw>`vPh$rk^$38D$S1)Z#9B7Qt6;~H zwNNP|fL|x~mfae6?)DHfo@a*^#Q7rFb?5!Zz8d&Bmp*BkU5{OVgM^pCG)~9~LUlq6 z;WQ2^NqV$rE1mlHv0xfRdRYMXHzwc+PE&ROgW6S(OtXo7n>gNzY}!=mm;5l4R&7CSt!HsAm*KPpSOVCpwtWz1L^lK9r!~u zm`+FTaP;z9Zo0_<9 zc{I67D>^BTR3^e=sIyIaS}xClh4ZPyFrLZ}{2HmRQrb91Zk4Vv(y*Hqs>l+F{&EG_xI}pnr9PY88KsHut8R7?JL0ToWGz44|)(hv)Lii z9m*Z!!!3TNQ#bK+$|l}zZLEnkxWoqAaq`_rZ1eF4Vl7ta6NwN&vnQqwhdR!N);^eG z&dSb6Hc(cb*1+z%R^r+p3=-ftt$O~$62S$ll7N!167x_7s{HEEBSX#FD8`Qx?aw4cRCj@wPS_TFZfY1-{ct8tk z(C9HH5#j%(!7{S0iS?LBD&z3b2SwO%<$h7g>%iOMCvI!6n%F4m1h|1AK@$w2rz@`n zae1L(c*DRqmr*Dljs3_U)bF$Wl>l*;xdW$%WjxkEUet9BzWtWx-wQbY0@9;F3W6+q zyrTJvoBbSYBJsiuVsH+Yxt~Ys!H{4glu-XQiz%k7>Oc*&*1)Yug+B_VH+RJiv63Z) zDR6oj_Xz}ZiR-q8y8miQJXzuOT4cqA(aXg7f=Y?+LiQx)Z6?GIq zzXiBEMA*)OX0dq5tWXg)?O(tcB!P`Ulyfy~J0}Kg+~iHC>2n=$ zIuz(=)f2|irqdXcYl%g3V7}GrbfZ3 zeQeJlcNIL!HTeA%;J2}hWIX>8D1?2n-1#MLrcPsDPyl|Cyu=j4ab#M6`USB@S1H}I z;KB8s*o`^f_~pb*FhpxvO)Ho@OOpQugIFnORxQf^ABsi@{N0$Uw!JGq zu-vL*-~|*){t8{;oW^dLaXL4fM{5fh~G4p52BIdAyQ-jyIu50fI z+Q_(H1kFkE2)KxLpp##cfD)S^Z-94<1XtiDk0Z$A$niU$qoB2;XEs#Tk+479@3X~1 ze3=Aj_&E3*QNq$V95_vtawA0WL>T4F0y4qxBNCOqPBklrxm`e@Zia4R3Mg?gnf2>} z6^cW!=GphL>;2aku=7h~iKHRehP=U#VM0PDU)|X9>JaK6hl(pBzlkMX7U9@QqDo(2 zHhcIG=Tmp!94e^?*w2GR$&rw`V(|@jW8>9)n<=hld&PaJ`v-Q)8*f@L%gELG$?83) z!1I&RtYy@}5vd4Od_x)I;WF)~&=60aYGUz+dlj9Kszo4;aj-Y(-ONYK{xJd|xLBrG zu!-Sr@{X<3h=^8NZE`rlhWwOYIPDBy!aT%sq+Nq|cku>>{SP-LoXSACt`#(`G(SaS z>@#rVOVNd;UC4PJRTm0dXoDCWgSoBMG%@Sd0=Rfi3+<=tP+0_QR2K2GFMp#9KuN)~ zfcc-1U;`h(vGhg8r$S&r6zo>iBWpTVSNSkNh=>II$uJ(CAhl>eAn$peK=06sC(-M) z34lKxuoGsCen1k^ky392Qc6-HfDzf2qwog% z6WhnaNX1$@^AA`uH?hdb#Cd{MgTd8YLeJ_&`bF^XB2eQ&i)o_A>|g_&{iumKw6{)Y zH#sp@#@L!#T^E5e_3tVw`*vxeL=ScX#|T(zP%*+zvDd?MQ1y9?i_lsXpC>xJB^PoO z`pe>v<8CLBO&^dc;F;K%%*BNopNJD2?o+;ZafFL&l9te>X3K0`EK~mx(0&-l`4&qG z5TW7s#Bv@F!FF??wMM7;Y+hG<2D$7m5@V(B=qyGs`ACr3z!10EM?7`}*l>?*8h3P~ zSC}?nL$;Te=@a!0_dk%-F%C6#f@kNg)E&@XK1q8A$<-)F){2b{(bb0O>iJ9E;{AhV zwH%Hatp}zFMU|MJAbNQN2o=hPaA2_FD$JOAeVY7odQoYX5D(UEXnzDtQ)9#AoN>fC zp_W`E+{=&kAL5eXsKW-ehr<085%=>;luFs6W3Q9VWOTALgOkDHcWEz><`h%QZ3 z`6&@x8Q2G&Ub|~NldCd27Q4Qy*V|2ZP4x=Qc%4H-@Hhgg<>dTwa*@4E5*B{06fc9s zihxJY$q*^HTI0Z#nWNx&<6bv>7oed)ea~a(egN|ui67QVFPo#7g6-q`aXL~nT#*7e zgH@l1+(!4o1=a5c69p=>=MnLEKa+*cf04((agX5OvI|mXeBz94w*MZPHTpZiwcB}= zF_a>+in3tKhrqv#sTx?n<$WP%L^Go(sh;zgFNNh}NOTb%o-smA1ZpvQ#wClRE0h1YZ_2xr^}e?r zo>@yJsF@7WgF|gKx6z7%co>$&xj5^{xu;4feJ1}mFc@)=s5mHTc)#|O=5uVi>xSSa z6w|*gGyS%6ZeAYNCU$NZdZoB)94=WTj%(?*dJ}pjzM-~i^q&`>C%;-tL%d2ZAcIHu~2&Bm~dS ztxRPA5#>mw&Z_%_twgZ~cvx#CP7XsKv%adw)YvLAe28(@=ON9mi6bhh}o@bmh86|GNq&7^Lnfb$+a z1Q(pq|G7b>Y}99=e^F|_1wO{TIk=${fj|y?rem^dKKmgHD)6DQget+%M(r4N-1Lh2PN;Sy77&n4Obu1zKWSejE9$*Uqst}#!u%_O_!AT=uM zsSQ?4;9j3;N^ZcQp&<(+^2@#AboP|hc-866U`)<&_W)}hZ=VnOPM4LA$jJQZ{LpYn z*80((m#$}a3Ct_ZrplgLPpFc(wEXhpsWTHJtEI_RzUiq?-@Q{NlsQRF$IT{lN8h{e zOHO{&wkJ(*(DY4@we8U+(O{Yuq@Y^k%QU;|bMg7r$4T-n-*1 zb@uFS=cJ71k&$hYhlNe|Bi==3i&=N4nu#_FT!MGJIyy%>hps%^u$%We35vK{Z9Zp4 zj*xcqCirD%30q$_Y3VyLrlEf7edCE^*5RkXi%lPBG~33+PTg-7JYGgwNecL@|4y)EqX z&~Fgzau|JgDEmGA^5mD~?T}J0mqEcxt$!9Z8gqJ5w~OuAZ};vU{R#7dtKB}Q<_=!k zM}xS$7D7th%?U*Gvt8Li7^BI)jOf#Dby;VxK~?WA2F|`$5_nC0*?b#mPn7tUzW>^c z4Zftn`kmK4j+NuMs0LzRx81b!4?R@&H6Dqsz z3e&JpdH^@5cNSLCx&A@8O5--w{MmPo?5=J1+BathKgRWm1-Z#q`YEYrSae@+-2A=o ztcc!jBXJ{hLp5FX(e$fJx78f?p552`F|~7^@y{Vdfk#A{%t_y@7ppEdJ3L&zS7qu- zt-fh+KbYjCVW+ouG*|Sqndl1__b+gHqqEf9rd{v#bUb`?86ISdeGZ<}`Fv>&$g0GBP!ro{$OTir<3Giux2g;A8CY46TX4sGo2Xp}YDe{I}!W<)JtV_@t3ySr(fR3HV=5@8wXV0 zd|ba9Gu^kJn~k5&xbgW9ui!v>BD6*>)AY`zvVSAn{z%`llg$5jRP^crmH69jZTU_a zMXzf<&g}9{KgE40f9LFUYRZm#AKuc^BfFlhSvtRKv5(3AZWMVNHefWL)qUyaj{Bi< z`9cBa{-%r6)V>>{{eK#1@{slBsvzd;K?O(EH+1%(liDR5e&x>N`u>>j8O2ZUuWOH-Otd{? zBFnT_=aEqFtDfS}pi4Zp(rZT+rB0Y%t=c~xuUh-;>ydpI5EaTo@0Jg=%E?HNtU7&^ zNb5n!o@%T;y-lRHTIM9ocJ(K%pr^RF_siWDS{?WIKarUqOX~N3I`oG#)K!t8V7ZNF zVxTnL87F^|q{mT~c#iy69>&TNo%Qgwz8xb}kv?@MQ^I*^Xy<1Q!=P7BA#s^idI?n5 z%U+>xG#pL$rYX*6{B|Yql1B~eEL$6L)Go6t zt|KiS67DtfG{;K#vhrZrk)KzdfB)~mY72VVP&o3#w6qlE$Hvd(Lpr5r zE)38IYB|@UTiPAD`l7;VQi{v;+GKwtx2GSTU%i1%zp~6uKB;7PBx~hsdTc5)6tAh+ zyxrVz1{=7#lPnE#QIlJPCMVoyBZ#Mdj8Zb1#>gJ^qmd!47`$JRc=OVG&Z>Uy)Vs9B_D+PwX>?)JHJG?R2yD2xYrKEXVacv6Yy?VRQD*b zd7Y|DsK}(g{lhZf*2%dVJyI+D?x(1LDNbqV{9T7U*cqYgscI$4p$=!QN~enye>uHW zb~wukKT~oaHga0>P_SQ;k&XNee&;2^2{)*<^LUbX?yJsdot~#_+WwDrsmMJoRTLI} zCtzgxu+4`0=%?7q7pRW-e&6-Vnv)m%|HSv!j`SEwEk|#^vA~#4skHy{s5|J__RA@W zRlmE%3J!5q&zU_cE8Tks>FqHSsWB!hA)4$fFy~dQEE}w1*p7eNb?K_AVWE1chM^Ds zX>j7FJJ+t({pfTvye6-|3{PNs=HD*(GqNg6`F_>@%)8~&j{AS68t+|~#dz=Ce08HB z#3=fAAvQGWP`|BZi0ng|R1a89x|$#RUxmtW(b^80*eL~rLdNF^XcJQQl);Rw$w$5O zm%iVAeN5G|<&b>LC(>gl+uof>yYtjLJq zy5^s0n_4fH&-zh!QcEEOByYRS9h&QVp6+zD?cbS3Wr1U= z$14I=`}WP}r;C!O2cO!sRtnxV`zLLGslylTFS|=blH}a$e}^p!#$Rd?GyLdm)uent z?)HhtE$yBH0y}QL-fQLPa@MmwRS*kkWW7xtX)p9LDGYor9p9_3-`Q)euixC8qR$)d zHPMUe=vCF@{p!7whx{`)n1`gyRp%k8bDMemi8)Lje|k=9-vOhPGUu3oBs)HmzJRa=+9cPpRpWe>eo#mJo~DKdp-5@8eUaPg0~r4$0rxMwE?XOKVZ zT9wBv1{>P`L6{s5jR-HH5+(^`${ZpOcn=`U@PiS2M?NPiZ+wE~&!KNdgyX0L3IR4T zXPyU?0;Rn7KRfe)JkH3&)?PzU^OI8-n&RXST@azEV)O&hSUwgy!oqK!Rb8b_1TLNoq#+G{` zYT9|5*Yz8|_fjKyj69X;f{IZTX!3JQvE`=e&)Lz(E$h_DZo@ zYf?*UUe`QJj70}l*IX^BtLs4#g)vubk8V_AH~pbNRDdPosHKM}oIFF=+zh^FnZ6RN zZOLfR4{ztt8D3Ni(!tvbjIb=!9PCXjHNtQ4_4Pj=jd5d^{*tVlO?Amd8o>FQ0SThh zy)=?$N>JSPVTE=t9_?itVtUT0h4$CSBb;IagmbLGzFoC9>!oJ(xDmBH4TS@E7S4FtKtEGg4# z8icFQJMfWtH}-`=wFeW*;}6=7Gk&9X3ElD=yi%>~-UQVXgXPu=hwAZs6y&H2^Le)HlfMKf4Gk3a{ue_&Us#bT^(DPLVQh1Qz)Jt3jeHdy&v)F%LZ33e*^etkwx zMcwTbOOJyo98`*G?~(UUoc}yuHKLg1tB&@(9g`az$vD#3lHD?F6Nw}wPF_DuO<1sU zbp_>7wg0*Ba`1-brxn*g6(kQZpVD-jEM^TR-TQq8dE8SW=wpLj<><5nqYiNd*4F(9 zZINq=woP3b3Hvdgm)qLid(@XMxb2SfeD}rmmtyrjKmN5K<39|b=W+dgZ|JSzk5k5U*ro*jT+TdAu$LXgjL{~1`qO5NSo5;u%k8J#7|AlpT|vQU!4 zy_Hrg*5mdyguio-zPSnBDZ54QQm;wi5-pUJgpO*-d4Y3{AiQ(ez`)0mk4hhgl^{&+ zHWXEP$B9*pCOM=1rJvxbMl#~6&#CwQbcGjtFwqIDqx)TOFF#`4m+@#tx~R!4zE8a5>OD ztbb2tVM!e{SQ+=%;92QAp_rt~qL{mX%~^^I)S(9JYshzleh7|wCo)BtWM%Kt1NK^e?h2AJ@8a!!KCIt2D0M5zrxSZ* zQ_Y#!yZ`DIO7(i&);zT9Hmnlb8;5LM;_17n(zBySkPqwm(w`pp{pbqbQClk7crmel zUsJoj-mTv7P+j-MaCC|~P#8cm*Yv0_S*0rd3KIz{nM;K56Ng+90yVq|U?J8?n#yZWXP3pG972c_QgGP(fVbD7J1pLMb zLf^IwJ^|7`L4MTd2tqp%@C;Nb3-)=hurQJX{)h8L1QpUJm>RD)qqD1O(w6b{-VjQ4 z5a4ignq^&Jl@%!xEc|Fd)$@}2|3}l8$3yjh|EG zl8?j`5M|iHkfzXuRLOhC!eN(}`@Jt`i(wop>wJ{8*@vjg7!~2H4PbADZ%DW4)u=BF z!nR-VAZWxv+S)dIhGh#UK z7&kVNhW3xizKG7~tSv^d#?J=iR!D{L%Zd{^>TF&<%Lx8TxA!q07nNF?BQ_f|s$b22 z>#klT%Z2Clw@_8(dyw!(<1YSyhV1-oK?C6ZMfv!ppz5(dNEQRCs-pDg+ zjb|%^M{Sbkq+`8&$j0gqXbJ|78>emD4*x50G`srYgyB{T0By(ws%<~4^jfBq!8;}K zeTj0nLt6ZVFjt17e;Sz06#BY``4Qztf_?+;;0zx-cKAfO+LSW1&f6eX;nFg^OL3i8 zL@$edkab4s<2~rv64kIY7VC)*e_>O9zlx{Gu%;ccZX{=F1WN@Y@Kl{*zP*jMhV}p; z+)6*xpx&Zyv>8Sv1BnLx-Q^2GL(pnkddF~Jp2W#As4;JZ;$$|B-|47XZuTBIhNX>? z*_n@&8N1;pZJ!z!X=MUdgZEZB16 z7xH7)IP*y#d*5^UQyQIut%OI6eb?h&#>dB!L??de>rtjhZIHhmM22$`6uA=z#nFoB zd(f>zIqp?;n7bP+6NLW!&+ju({PNZQ0Odj2`g_^~88VgMP0?<%K60U_l<7@;g z2N}$*ZXWImWEsJ%q-Y(!9QQtsgDK)gl9T_g;C|$MSfBEV{NQk%Q=_pbH50qP&6#wH zqeI`U=>mCq`9A9$+-kd5!Cl0qaO?J74XAAD?wP^etSKP?P1}z{%rcne|G=bt;Z>jw zV{uqh!KScDn&I}t*5$FxY>wuc9Ri0)%!LOU;&T+yt^`j1uUJp`LC*f=Z$RqK6hp!y zk;`w;;^>Z>+(#F!4r?2S_g^!sb=3RKgd^G+W^^?8P;FoEDYUBA&#}^eXETDVy>k-^ zkgAU9KlD3;0m?I`3C3-U>hcGw7@j-9b77L(*{F_$xsW5+f=&H7^!{+;QrV8qoGU`- z{D27n)@qq$$^PA*VjB@Ss2^Hr^?|>VxV_e79Oy(-W@k-shZiC$n17T8Utja4M`MQR z7p2lgf0`C93pBtR6U+vR`5gUN~8*<_hpfTz6! zl@uzJO+rRanIvo8Mpk*5n}`~;Z}iKq%cBhwha6I}q%gje@y(+n3&T_Ngj%6@vv#B1 z(aWXYjm82dlritQ)zDSk$B=lqYJTgC*T5T{ z#dgD(>77H8pzI|J!M5Mi7c{pbK{i7R_pxrx!;G<0O(631s|w!AE?jkGB=9(?;6Tj3%Vr+Hj~J0Yf%_{nUWSae@6)h3Ct_Qw5J z9e^jZu>S?te~%#gnljp!x4AsHOZWEph>Q+#$=#O*gPi z4BQ@|3;|z_faeV{x>nR~X?-dR++5|rzCQkzeRGG(#wZN@J2@IwNn9@GoLgZC0IVTS)EXBW@hn_cL7jeQe8 zmri+eM_%$8<)KTXao`Q~#w}neF!gP$6O5G1D4+H%D$7>?x1KMbG0%Z}uD(YZB4Uob zv3ykhJVWx1w2ZJhyLa6sfneiDl)i%#KLBFgQP5KVvOwiIun@9%uR(f>4I2B|wUTU9 z@UPfR0;|(~D|z;}K-Q;&Z;>(jv5i5a(`(jgW?>5sji19jn};>*dVQ$tRzqIv zsAfuFbyjlJa_O`r$-~v~`}=|wr6)mp4=Ll9ZQuzKa=s?A`$N8AC~(LBX1?RTo(L2C z(aa?h-Z-pz16^~|U0p3xM+R9{Qy#chVGP+&yNlw(zWh~K;Ti6_MeIVla@}{{{hDN^ z#QP6AmpHSGwziblauYZE9EQ$r&k08O+^Cq22)Iy@jY7oF8Qnjk!bZNfwwSqGI`?am z)D?Pyd>h2GFaIdb&12{I;9lFCV@hhM=Dk9LduhIbh5Sd@xu!sWx)y&CkTrVFPlou? z+_b|ARX$iJ^eyu=nrsLChgr985_G%wBA!+@^xWd#>WgcM5%OSr_gOdw9*&P)J*M(Xx7?575iDntt z<_fo@UwJ)b*vwPBhW5Gq=DtlS7 z)TM9m9D2Sk!Fn167CBd59H@&)!F_2OA8-%@D|tNxQ&V1(kH|WZG;i9|UX*S8-gr7% zl$VW4LQDv!^heFUaHX`2c8B#_j#2BGzJ5j)4-WH>h2AW{sdt(n?@9FvXW>zb*qAkl z)M&a+HA*-GRV0>ksy?_bZZL-6Z=Y=)Fgv)fu+?@Nn&yi+SkJDjY-ze`J-0el+%qpi z_$agKM^eh9sOsx)!fx2qp9Ej^-!`D6*tA@0`kR!|asQ9oiOrgC#-Xm->dFxk;~{l2 z1ZGN|a0eT&W&mq!8>_>pwzz6qGcAS|C9u6>-PH@t6Fc_6)yo%;?Ppg%>qj|8gZj5> zT*ctkPx%er$AzdC{jNHk+rR4lRAWPS4PtHlKT6SHc%#AUso6;tH5JkI<<1yN6hDT4 zLcH_foNZB)W!bwajKg8&Z)G)@Ah3%oSU}=a58tdynlCtD7hIa>^51$h+qkYs*HoS5 zAB4^3?MaAhO+!4u>@+p(Y5rv*Z0j~_C3zb9>uWE1Qb__z?%>%_XP0%EvwE-Lx3a8~ z_nW_T+Pbm6g0WBN;*(V6e=F8+#*LZj&xy;O39Pfx|A8+K`Exs+^Ryul@gnsf%bWVn zRE7YiduqR?;B}R?mud-LIj{$R{v*|9)eE-_HP(9^_X=DI8 zAUMEUP?Phk=DL)yHVzo}w9Mogy|2RHeV%=qbNm6%;E9MaZffMjnZtk#eBIsk$KWiB z2D`>nR~JoP8buh{lm`>p=yVfqez_F);2c3S&&FAkrheQ({Abm=9G|8n_rR4y`}7{^ z6F=Isn2N4#lrHETu`1uP5=i429qLs9p?e-D*Bo`3b<@`%_LB#4Zej|2_<&sw*y8%( zCC08Go|edgm6(8@nsoS?26A|(5_|$#Ehj9W3M}~pHmn(UZi117QT+q%n|%?uvun zR5g(N?HN`kF(flz$LhDk4CejPqENAZsMpeHnc_eOJF)#Av|`BXYnQMclZ|=nX9spG z43(!JUvk+Be7H3`rJ+6COQ`UEUkoMGm;W((WHSMP9NZH@JuVES+wvRr-&ZERyK7WrX4X zWO5nh6;MIk%(Kqxtb}G4rdg{5cq5J@&?WADd- zc$Et$vCC8B1s~qsaqcue<-C~&V4c>S+PPBxcyI7%^6}$ch6#OxB4*F-A*#!7;eO~*?UNN`cHsAw=kg-L@~;M;-g+^_Sh9)o00_o< zY*;v(Rm@WaxscM;FF?&Lc_GzZHJq=Q(ebkUFwjQfhit79|0Z3e!)}WCjo+k|CE>)CA zvpgC?SVUvfRP{~_E>U*o9z72|IDs&We5C<^GA`_>KBZ#6g2t=nJ*8 z|5=M$KY1u~{j-f8bv-YI4Jp>}u{P<^?Aki3WS_5PL>BXintmSE&8``sSsJo=E@8Ss zvQux67jWwMStPq*6KLCHu^KHPQXX8p_PTQrc!Y!gsVF2H8M@||4BtR0vb+{96-|1& z9ae^bTNgbkM%S=eS+I=;R65Y_c?3`#Ze z-Z@0V`PTpe3teViUc1vpF2n!|^57yS_3x9ZX)9K3sK%$zO2&G*F=S*ZNSIM~;Bf5j zVNpQ1E7%&n3Zwcke*RWe!+3#B8Y)|+ZbiW54*6}x0gYwsiyp5ZoeRjAuvlo^GB1fasn=C{rFl3oBgpBcALY} zqtlxf6x`CM_38)K7=SRy7vzb%L4>oYXnZS{@AA|np!efgk6-G@*j0yLhUKuRRAvY< zEI7vVwJgS^<#Jki^F<0`mn8`Zht~4p1(r(v0C_ z4MFI#rS1zn4Hv%MoJ7W}CpAbyHV$f1t1FJm%U)D>#x&umf}7Xj0UWsaz6hSiZ*xX* zefEErZX{FOkCV?qQbWEf%gC_6JA2ElR-T6U*I6WMh6HQ(Y(88Jd;?B#OHE_-lh0OA z!HzMeDmUaS-(A?x%!um-?0O<;uEoBv*26Ly*hP;2`Be?I_&R)c!#`Yw%~<5f3vE}{ z9;8rMtU_`wWUvt|^*r$Ffm@k7*xdE+_^FnWP@}*K8O2Wkd_?O5eU3nCKqG^H)0d`v zw32jN)YYFa=4Z&<=||*9NIWeInar&2)dh;Jy1;F>^~Z+F#xB32{=19`haY6`Tb!wf9ydW4Z5$||H{$0WEgJk|L1D+q`ujQO=L4HSL75}oyp7}g zS{GA8hA^wb&xmFK|IwOHOeR%_Sc6vlc^fl2Zf!OnA&Y}(+J0lFVMOkw%5=AsIK0NsAs12EY0iz2p4`(w#~ zTSNVJSg)iC)~}?*f)bYZVb*%iD7kOP!5hS}J>`XcWh;pNu#9oqB!1`A(6ndZ4tj50qsc26Knr+seJ%Ln#@TYa&R*wO{I8L^LsOwZI1vXw) zpF(=x*9T@RP6hWCta6C z4o;hpYDWp-l~2gmds$}&OH~Fo_l|uGW1E@1 z2IkdokkECQ^M)!l`Dk3Har#$?voharU{Bb{o_e~-YNQ8d)4nOd4r<=*2X&*ST`e?b z>W!J)ybL81(*~mfQW%JOXwGsA^>1ICf#hI(OIaqr=!8w19mqI~=@+ho?yNi%ebwTN zCj}<+1ERnKEUhd5s>QjQWlM(t6Fbuil82{N-PRAcP-UEm^@N{~Ck~sUb-zb4bucuT#fcr!TvKh1l61USAaID)@83NmDeHJvQ?p~ zJeMB_=TI=!x|m>(=81+2*sFE0h7ENmZ|u!0btcXjlB`ld=8dzm?m0r3(z#o36X~_u zFvMS=I}F`ODIrDn))q7kAgJuWbVeQ4YJc;#`MO;|+J{L} z3QN9x**Z~yv3v7h^vFz>G4anE@0fg+w`n}EJLBK)sxNQSR{z&r6IteQ=rs9e^Ar5# zSv)i8AED^RWDAFerj!gczyyG5o}f~a8;t~qUi(wHCsH^Y?^`~s*su}0l;1Qv(%4if z1|B;(ExVrN#V=OrO8{@?MlQOeudq-uj*r2C1NUfwuhDPsoZb$50$`f-iI|wClQWqd zsu5?Tlml+{l_Pf{1*eo;t;L?z{rX)+_2HJ*T*~heu@!EiC2|@9#t@zei>f_ZKJIwP z+j60o>Xj<|FwEVwrI^~Ot#q)JhYAiy1w8m8(=gmTSr2$&$VXSpy~dc3VgEL81~=`E+97-bEF>@>&P={oakagPBN8 z*aDN22%JR7vPpstH4Ub=ay}O z16X65(w}-zQgvtn|0}14)jeU-8DXkaihj}uG#2XC9wNi?Pyq&i5Ca0zksDbP*0^4z zKzZ?Qlw*MKsfHSzGo+mjbCI{RCJa=rOL3k{`*xgwnU8L4{(s1p=8dT4V{38MnM~`H zp}K6RpVQ|W!>e4z9&1=!))4!~6chPwd{EC#3cMW=AYG@TRROOwN6S2G!3W5^eNw^N z)V_v=G)8m=2QTthaPQSCeKB~xX>l3Z+8|ou1kIy%(q3%N>;(o2P@G(;#9sZ)i)dz} z7wsM8T;yRmhs`l;Tvw>%ns-Lx{Lpyt@0_2D7+s#m$@$XbHaRo{f?Y<^T+=DC=cdS3 z=&EL)7>{PPgOf5ZrMNVLK(!2DP*0+BZREJ+a%_w=UPhJfmhA`Cl-&ZDB?|GDcxG18 zmNk~(#fW8*6 zzyx8~A%A(*%R2qU=V`dd4y-K#r?Dz z8A$nW#fDtTa23Jq;MA}hna`;I72+D37cGfsJ3qw-O-y}9oaW*nOJBf`v@Y|{tfuaN{gn)~-K1pj503s1xL z;5dAn73}z9%^ic3IQ1w=f+g{PjT!DA5A=wprD97g$GnadqE{xn*iV%IcdWwo>t<8a z&3z$DHf%pPie)pA+v?sWO~R8HMaQt3)kdv;LK>fTwh&tKp^H!_RgUVYOJS(+G~SvM z{xbJOoThp~f3x|0fD|N^Gjug44p40TSk!R$__VNX;$f?^IpPM|%#`NMEk5zf{OshW z$n`c~vh8@tOny)<pr}bsT`@}Ybs(v&$1&4TDw_6YwBZ%adF-Eh zeyU#Q#sp7;&Q*$3g~V{cHp^uFP^9I8+f`*=1~Q;AL7?T>W7E4&Ly@kXrd^MLGUUy; z4UL%_A5^nXo~KNe8zL_2G?{e%Rqq^xnbBJ`eg*6(;{6@f$Ft8Z>vtt2&jvn>n?K=P zR_vhHO{JDYVjC|@M_|Ge9K)!}I`@{59I%0=mHLC)R$XTc$Bqwkt9fztXUDHTp5c3Zn29e2%SmMQWh zf&yFNX7ObV}Fk{9J4+JnR-O5 zbypZSSjMQxY4*=|*~p#m9HB8jA7>SVm-3Tv&lg#e<(iw!F@LB=bK9X+Rh#Q7+KZhz zhTb$GoBpkTbALU|v}&xo?f^cGd~?Qw_$xNPM!oKqj}}Svoi4S^P{j8qR2}Er-PO~P2u?94j6s6IUP*Q`;n_h{ST4h^mY>))2i>I|i7a*lJ|{N(JN^-w>?u0~K0 zyL)A>#@UbVb&aCs#SW*175>DxPtMZb5F&&4sfN9aL5qX%)dP0*W+q3luSz$t+XBxQ zp!v;L2n?n9%;(%OM-hTHpxu)o7oHhSi} zu`;YRW>O3y9|*H5XpAhuHXZ5#3twZeITeK7t^@Hy_!nPm4ss1}x+DF=QB43r<}6#a z9t24AjQ8XNm?U}!K{mS$+0g8&5s{^j2_zF}vAykO{`^bf-2_@KA^5c&R8T*zWWlhA2gYT>NcI#wMLt;qg zAY|};t5c9=TuE2&kGPFf-uZ@}5b)6ivy?g^+es_V$#$TuG%uG-neD}d+}Bw{9nIdd zxUA5MJKmV~)AHgZp=Hb~MX|RICscQO*?m+ic3tnuLCvE|A#z=0fzo(Rv$5MJUP*}X zqz2cyZqId^E^e+<>tB`Cw~0C7aPxVMO&j`qO8rcMtZldvAH&a02|`OI#>s-m``&3Gr-PwfMZ4aqI1lQTt|)qF?fO>03pe z9;8yXBe2V$)(21GvA3h(OQVcp2=*Hr+@E9a{yei6a+-Pdl?eERU&e@%_tKZ;>YR`!d;H_ym2O_y7)R3w<=*)bxzUs7j*PvW z^xLK47H1e}Y-mXlSmBOJ$%Iqh*^K?^S&TK?veF5}eD&gB-n<3)vOh6+GEoeoKK^XO zH7rQDV)`!f7oeLY^S+f0&IPnr6cf8C<>rFQ+#Z zhxZ$Sq{%$)(2J~`kohp@y1{lWId*?4(L>)V zR9|d*ZrYWI)Z?d8Z*X>{Ui_G=keuN7QAk9M@Z}wF2d7kV>;53B*wf#`XxCT=vYCdq z%qQh#{W2xMf{W|b&6q~|1;k6 zhrz9K@1n&@sg7grsUH%VFBi&C33upmMm+&#Jy!=p+GiyC(yGI`se|w5^J?Y{LaYeE-bgci}g6$$Z|%F*ca<0F@_~GQtAHqA2Oiv% z7Vks;>7<7v{M&<{M3X)3jQOc2ccuLfCYhz~Hz?nbb>Hvg+>QxZd1l5I_trX5V<%os zVuL?FJtMz^(2-fkn^R#(>8_?1kn$lbVHY5Cq-_V*D0iODZ<9w-Fu^HPO@rnAzl=yH zN7KI@94R7~AchaTP&tJ5{;;5CciHsUeqGoN?ET^fc4!F4w<=J)Xh^#iaBOc8S@w6B z=(?p<{bkNBkyVe?0A;^HlW6ks6g#l})^1!($fC786wM<_Nq7ql@88{*!1Yr7N#Uea z-Gjn*1VTTaQ)hhndYwsw%ep-K=?Nk`iG4#A##}zU6~@g)O_*F5Ht!p{FE>4+N|Kxgnr3MK4ZczGQ zN5D=f0MpKN%t>`Veu@ zi-tNHTi=Zt1Cij;JH(U5ZT^bOFBlHPU2^A@UtV1P%7uH>+F!aPd)w0FGi5gaM# zw}IB3{NQg$#sVOLp}{X zfBiF>k)P(Ljjd>}&7V1~Og+INdpGTTpL$z;k@wU3KFDhUOxi@F zYQgCJcY~9EwW0*HVbb4bwVzH_EZF7H)3|U+3)i9@fbrcgc=c`yBliAmDx??0?;<9ASFyic!PTO-`uHrOU7_M~p;AJsXD~x^ z&5>zU(4s8?!30s73;j||&FFS{4GaZ&WM? zv1Y7*OPw^Uf@&m0#`7^SV^ImBcfp2lM^NREx)oLB$*4hw(Tpil~`CIpf%_x zpp6g^5^pwn;ardH-V~P6-gvO~ENeCUdla&`JdOUF8YMsLf@_6)bA+^l=@mH_2}SpX z-I5XZpxgkM;tOhAS?|pOFJOsWaCF%UF>xB<2(F|5=-MQS^%b-pS~{&*=t8}m=$9~H z9I85IcFX&qCCZJ_)t2GJg*t#slcW>uZfY^Q~o`#@Dz=_0NXM5>mCZAp)A1 z-6WL1(E^!0>Sbxe)W97T+g$B8Te=i|4h3`uhzTiUDcY>Xz$)};kru{JHOpEKM|2dR z2`4yTPg+3noWA*Y@Q(CLmLh+Ba_N7opZxwZv6ny6dD#17K^ODER-r>JBr}ezxb?K+ zQ&|X`UGBLB_gA7<~Ni&>dREu!P;Lj8Ze0t?LNm#8{S1t@`|vW7mPBV_Q|ToD0w zrYoOYwzr$q`46LT*=%Svb&@1W^&aP;dPo-{E@ED+=|ISY7#iYNC}fSPn=EID`~@Gh>`G1QNDdSCYsO$DdJ|Cg5Z z7k&nVX|!RuN5bMDkk|~oqLrD$iS)`d3#ubB4-+!xze(4I1)NOxe<<21338;rwj8Gr zUPQ|znKft5x5a4v2}tMjGm`8>D?sVL4;qSJ-^28>MM^B|gVLBYmsAj=&(&reoJm{4NcN&2uau{an=}U?_tX=u+PomkeYO~gA@IgO3j#ja?f6rmLW9X znf?lMXFC7_`-tKCf}tD%iEo00_DIP*X?xOI}R41s{N9RWd^_ znta=PNSym8mo*qW@?dKJ@4kQQUr!0Qdzj&tAMyDW>FRL-j|;qgr59(U))m>@pq^;q zeEiPicx_Zz8JdvYLov*p7ZYa!XX@}aE(hB5Z1SXqL@kA!_~Ew4B|5Cit}AaX>qtMH zi*c!U?%R~Uai#M;yIVVuq_PH`=$v zKwwX2n0_p`wct;*Dw6I+8k)2XmD>|#vR1OS06L0luHAjjYv;jYb=!{2J4>(a@(yPB zV5N+8&H_=bNrOjcdD{uplZ*!P=dOwXYZEV*Zs+hSN)!pvxx@}T58kyMS@jLd6O}yW zhX2hAWZ{1E(UAr*MUl6mV#dDg#v>TBM$^>8#t*U%!3VGB06^k>7(^_?bcgSEV( zk(O3yOvT3@5q@XG5bfOg25T#(Yn_SH%AR39#f7fw(z|!>J|^rUfn5RG2k+fuJ8S*T z1z$oeUa&t{q1L+xKWwT1t*W_s+fkLh;z{$Z0wK8+HdHCj#4#A+r6)@G_^|V*j_ggY z>9z+7(Dj(2)ytM2$f0&Cpa2*81b5{2^{s;V!)i!$vI8Wlq&%9cGSu!rq@e)K?R|=R zV}m#_0`mX!F=$2TU$aK^Q3W?(F0J^b)8^~r0>txg4;UOA5_R5_PQ7Z|S%E;2R?FU#qg5F&Z_3u9l4XRdt#CvxBJc%Bu$cDL%&y0+EKBWodoQR zFF3bzi7FD~ll{`}z)$&laDs@w8aXGql$vH?-0^Nyq2iC0YXtsoyq{2g_#L6*M)*L~ zA&A}MEFno{)_djJc8hT4n!2fdK>Al3&=!~6ph%}{^>0^iZ}M{yk#Ie;Jb=8+@5MWO zO5}C>GC@yP{4~aenS}huaQXF))bV0rvY)0KLn49k#exC+=f`UV*^9rmLpRip)Akja z?bl&9awF1ZuU8Ub>^DNc54JO-JBq6<_eqjiUCwotW_SKA>zM^Fv&gM?evLij=cy(O zO!MgE=KG&fPbUjoH*Pj_DnNI%ZYIAFs89NN$%K54gFk>$U&E!G?t1WmaN<(HARpCs z(Dy3@!~H7W0JJr*ekN*mHdFc*mLk8WdQ!`v_ug%0T^TB#mdOhhjP=q;x4yD&yr2P( zNG6byUkcQpy?~1r&`$cMJYJF6^S8Q*KG3u2Eu>`C%Lee2r@UJun-jq20IvHP6?s=1 z@?|F3BLqA6C4;Sb!^XavOgnDLH8(C`3XespH9Y|7%W+@x&`jLJcC@bF>T?#*_M{dJ zN)=bk!w93Fk_mC|)l8r&ze+}(-ZY6`RvACH%-@Pw^gocBI6gg1>R?y#?33~E6dI~5 z2iB0s(@|fteAR(cZrI2cd8-(N5k!AN@W-HcZ2`q}n>Y+e(57zJ8BxP z4b=g9IGs{97-57|$*QQdG{q6Dzl z_|dc0?r3WNrnje$z+j9_4d~xZnC_#Z^%J36v;Qhuwfx$-+~OSM9rH4`0h#Ra*8E3s(oy(UT|mv;Ns9ExjWGflAcA{2vc-nFUKFl$;VXTuY`W5I z{(Q8i;RlnfDTB{#nzA?Fdd~RaS3j*Ct6^1}wg$X?YQnsF4NsTJ??X^%^?z`>x>{|k zxlMQI!M{Ms*mKSV+v&Fl{h}Q2(K(OsS6dBv?%b5Ka&hq9y4MbNFtbZKr7S$aSlZ7s zw5pk%P@dQL`jfEiICn|%f8#~}!F>b9b8Xr^6d@gi7ElTABur0-h~?Zi1R z5!mJMo|_LE(N)B=t+_nz>baC&Mz1FKI3njdw3BytX66Dp$Rq01fyULh+0D)34n-rj zH-*2Q_?JL0}~Cx$_F+^!R<)~8o8;P1$qCiUt&G1YC~SoHd|b{pQHMn8Rj{s zs58@Wv}j~ia7oE7lm{praL}ih7KV|ejmcI+-aBsv5tWdb8{P$IuPc4+z`9doSzC2H zvnoL&^ER!YjQaX|ej*gleMp=1KlIsN4*0eCpm*Yss8 zfwurQ{~e?~MdCv}Q#QPfAj#bXjgnXeJG$3yEeE>3aE@%L> ztWyrQzCGXY3t%;7*Bs6!s9Il8?MG;YE$xA0t!}-&JdlTO<$f{{4a)@h!WDUihB$)x z)i&A}`x11-pxK#C)bKKAV$Ly( zH~IFCpK)zY(C+)f{r4D*kivz#WP@9}ZFyHy=S6#FaDafY4<=#5o8w54|ra zp7}2CCoR@oCl3Xk{)QN{(sNp=FS-_*b}BL}_P;oU!0iQE(w#qK>k|(}6AA4!OoBGd z?j%3jV7*fyM6*q5#0YzX`koMYyNy;we~mMLpdLfWQfgBvzWaxK>p;PJ(2>4zUUZ9i zK7Td)MjNV@{sELve{B8h)pwr*TajaZhF6=PWyVD9{w$V5(>pHU1Q#lY2?~2Q=Wv}z zr3}xdM;B>1cdg{ygC?Zs5+E-%LBF(Y`Zn`qv^ySme9ro#5KRyLJU{&$ivN%-chWjP zp1j0`BQD@WpuGm50t4uXGyTVTQ8FGMnswjo_fMY>1|T^JCfxB>0kPLMO(?!HhujkL zKBD7}9f%v?IZEhHT5k#T3b{hB9$*DnCk`D?ZCsXpLH$(*=vgWXP}Bz##6`+m6Y2HZ zg&fVcPBqSZISs&kipG;kT%t6EzpSKZ0`(nyxbFhZ>3`r)R8VFD_1Zl7zZj08uSLwZ z?3V8z=wgm9NT$vE%|3wcanc00XLf_1OQp{5#Rbg26J$?;zR9}tE!k!DzoC72M`YLs zf6a44`*Rnl=D1PEep8Y8M)c;J_N&Xr+1={*TM8}#-%UnBVlIU?p6{s=`5wBmf1RmI zmrLx)Ju@Gn2T+?dwQnCV1`C4y6varrHh*4n>o*Vhg&(6!QPH7)F^wkB+O1pwFt-rJ z#S}`Yj0yzXxs7eF6RRh!x>K*?Sae!;>NpsQ9#9uNV!Ai zBM1(S3A=aHA{KHrNzMep{0lTXP)|=nrANh^WCpl_q*O;UjQ#pLdFzitRK_U!9VGh*-lyGgCu%YV0o%C*em6p9=smxfe>7ntBX^B1Jjk84WDUl|zu#|qrJIo)H z-6or(7ixN=mNYbbt%}0taza$dGvh048T~Abg;Kio;Bn>2f#KvZNwh?Z7O(0Vc;K_8 zw$g0@5f|M~Nr2=Esv!-7Iw<=}07<*atN`>VApvb{bz8I4DeLK511662ju&be-0uS7 zidC+X*Kf{+N}|`w)K!eQuo7+)kv>e{h>IU*v+h z=EVCZ)by%o&QJ2-u`E3V2JGG>8KeIWhgNa9> znqmz?ovMP-%Om(v5$@9zv8|!AG!ACk2mag<;(qO;S8g$u5k{RT$EQf-XMLaOiBHY6 z;{(Iourr@+z-rBver~`xbCd7*9W(J7E^U9I8KHkOUCxP;-Zqr=K4_fFwIOt#X$5vS zopV=jE{q3+!I|jF_8&VTlfTXPS{Uz&oH8jtGCa9*3q|9*lFj~I4MZ0(qz-D$KD++W z*O-xlrAHdY{Hvldr`$+y{mWM0N;X##Wr2fGxZKY}smk-`df+ zWcKgrmL)?>z&Nw4N}jaL(3x9YgtgZ(XpRQsveg~6rh(+*_toIqn-hMu)s3RXam5p+wR(wAexH#oEP>~U1wK!TI`BRkBjXx-LV#Qq>H3$Z74|;xIr2I;2t=sRdY&2PKN_%f_%BOHCre@klc-(S-?XCts9Q# zT=^Gf6Q&32{uA;<>k}FPd`#E=gcCu-m7wzk&r z?;E!0Gs^}OB@yIPgGyGKeT27fPT+)K+}3@Bx#HEfb*d8UE68N;sGl6`{=Xt%uI@EX z=z$zX);(*eQz(D#CFKCZQz{|hyd&pwbM^5qzQ5f!c{~m0NFO-IZ+BQnH|8@z(US%@ z<@A5m-=iD_dLGJK9zZ>I*J}A%{b%33WWSYLeY79#q^}U6|vCbIPQDXu>|w21LZdOul{1F zj@A@K2sV#zJTZv>ZML?+U$hgTx3$&$i~S<%S*C7!ZBhrN=Qbi%&wIN1K|V|;%?MFG z<=wd-hrcSlw-+BUTQ2RWHEXWPy{D?)`@@7%7@O=dzZN%L(7M6z9!Jy?-|#`_Js@Ev7m@ZM^FTj5~@-I97UQSC{=nBLKP6{Nl+1xuF?e(6{JI?g`ONr zKx$~xArv7r385vBgxop*_x;_^_gf~j_w1S3dsca#wb#0?^cXJWtvVwJ&&c6u&oUVb z(DCkZBXX_?b|?^mA*C$1uTAiH31-LF=LgO#R#N8@x1l~~iAMIMrnq3rOFAT9&Tc6Wh z7UBVJkKd-K)ze^>5Su*l(Q-Y22wgo_CKi79%6ZA88vxK6%{j6~oR=_Z%AGe?0y-_d zP2hT$kSncEkL`AVvQvnGo2Q%5+ky9k*vFmM6_|rz{vSgS8E>={pd6P1>Zm*%K@_kW zggVFR_RNWw&21L)DM@mVE?Zu}AZt{Xiue{JZi?oxN9hm*~N-ghC=7Hst4gBT#wPEwowt-fdwH0+9{ zPOD&P{dxQ5OS=hN7|aT%#A&bCU{9anw()1)mcg6^IfToTg(TmF08CqLxpI=JisI15 zXB>WZgCRD_NK>5{YjY0hO_&vQ3i>oVQY-D`Tc4g%n-7(_*j{axPxt_F0cAs|1>9~B zr`FuN2!+}l>(<~*qSm;MwW1fm@3hsW5G4a!jpQTL;wgjj+?-2bz-0$q(nPkGGs?D@ zDP^oZAlND{&+gu?;g_payrn3eHCv_b9$5)14mQB>bg9igs(!C_8-r2Dgt~F)<3oFPrn*A{^8OSNWhhH3? z%(T^!Ygc|#5&rJU&z9K=WW#YC>b#G@bCLfo@Z-9|oWH-F5cIJRIO7l~yCXjWeztfMC*D3*i$K>~DZ?nP;hb{l|D?AVE)*n09LH6o=@Dw} zO4YI%vB`{2;_CSP|LAc@l$Ghk%e1t{iy3?P%^*dLj9SK}-mv(JwgJyruCc3 z>z2-CUx{HRH0eros(H1t42NHExE^=XA0fM-Ah0x-Yki0B=@o@;y5IEL{&lSk(s9If zZ+;^pyZIRk)cC#h={l)J)9{)yV<7d)*h&+V@l_#SVb;fqM`nyf4IfCvE`ha z8%P41qSNNzYv&G^i15q=(}>KZ!_P9kDDvU_0}@udfjg+b`}!vnn(AOp_7of zUhZ3p(HnQ?qV6=V=t^u{4Uz%@UXgf*c0{L}>4b4DU9CMvsN;%2W9QJYRjV%T^WeTQ zhyhHGwqC6SC-jH3B`N_>m0NZeY-0+q%LTmO0q{MeZ9gM%IiVb4$&!6V7rb`1P+x$u z&cQJ}aU`NBZAG_D=*}aVQaH%$+1JImuo1YAKX{^KMxW*qO zly9=UjEIDdRvF?=&(eUkGIC~nw&cz6lVk9Ii04qfnXSk_np}AA9Y_T$;Zd9HmHzU5 z8fwwXIlAu##Y^PtM{i#)pz|b zaaqr*0nrX8@@o9zg}xX+U7jI2#rp5hjk2i|{aEDE@$)}h>l@vpNo*2Cb=iEHI)0WH zqmH9|08EL7FjwZt?m$n~q14d+@d;#^!&)d&Pe_X6F2cZV&nHiQgeB?F`6Yf;O?tSl zUbc^WBxPaxAAtgrk&})dp_~^J(9yLI^ZxE7zP8k40C3m=FP-W!5s@MP!%0Gd1u17i z++?YgA*F)NR}NPxP1cR#c_`zk8SV~7g+b`%t8YfdzM)R5GM9>wN!wth7u1(mqmOsq zjP(L$`rSi!2QRkQEgyXdN#9|iZtXk;83f7N)e#!J_T_e8r)rs@hTX__6gC8cw^?k` zJF++rwrJlA7G@!Cs2`Aeoan1 z>H2P<0yMj5rVSb!lBv0e2e6dI&rN5A8Z!ZG1%T`jRg9b8yd-P84tX)UxE~c(+ut_M z)W($JBE0G_E4D}4zZ4G|(TPW1u^XOOfm(Zh^>u*>RK;JbOM(RPyc6=+wMkx>aV#m2 z-~hkHx|{tnb-~6KY8ndU`CIrx&f>*1bx^(lwxsVq3Iye{(Rf%j=f5eCqFHS?>B8k<%K%QXN)H#Z{{;$+~0(vl+nz{JfO9cjOZw zGVwkkXS1_D^uF@*+)UrIuATLTlGK-aRJd8|c<%O%)a@H49k2R2v)6+aN)<8-W;rx^ zh3M7X0r!WmN(<$Gt7pYUooC&T{05gDW!r%{-j&w!uRPD1n8FqN7OCaW%fm*yf1NwN z<6k_`G$Dq;7Z@_cJZHMvTEW<8qBx)bPVLU$m7%c5>-MVKcR&Mu%-K7Z4tM zr~9}Uhl=NfahaJhVSYb5(7(i5wH^wJy>SuT!H+oeAflE8-=#ue%Wn@KptjBqH5{5% z$MF$8PwtXIjWG_y<%R=}={A(8dNMACIOJ?o>5#X-dMuw-h#scO#@rW2bMAilZUHTE zc83S*vEgM`_H4gHp}uq9!}azWK2)UDjI_h9Rgy}^e$oPd?!%+XgN1Ft+#y*NcD2>D z=@mlx=%77t%^I8J)acPUpPt%#-d$+-cu{b2?OOiu_360jcZz8j9_x49Z(?Fc}LyY9O+q0m+wbb#VY8eo3^}^-})O~Y^tW95hPBpIH2sowG zB~Lk5C`S)>j%Q@Hdgr2ca>}j#8^2#&bRb>{F3&YT8S4L8*wj_ z7`UW>K2D#owhTO19hglt^yy#NjoH7)r@q*H(`BQ(V=SyhA+tP29pV}9^Qm?my7*!% zpGON>M`L(1jw`aJB0D)ti1yZ_kZq%WvguX5!T{9emA!T#i-u8B&Wm z{?;X5?slK4iN)M|f4#|NdqelVeF&Xni}$@}K{mRhKXC^hKQZJDKD1Fvxt7<&)Q`mS zT(1dLTlAF*Cof8?cd@tS@ z4q3U3z9*9uqFl$(rHi}FA5LcQko~sq{C1hXwC62@FjfF3KMI3OBK+mS=)_2(9vPam z5Gu8(@((yCLx0~dqB$;ZPnW!{j!OmeDX2r%)y{NQzde^IfNx`8vCW-7k+L)6^~JnX z?T6d&=k-|91K4vg-b+7pqEJyi!AVM7$Lhgu>nT~W5Kk}i`RbU>neSIpcV^an0{8Cm z*{rLfodwYjo7lX<(D-mwy*uVOX_b~tj3IPbm}X)GnV7Q_jZlq zbKH~-cl}^Qpwy0WnH3Iqq~uZnN?>6PdOpl!VqvK;A%So#9p4Z3t8`oMbUC$q`Kj1% z7nf6Ht0rNf=H9am#g_NRKPD{rkP`jlrHI1{>E|7Czd7h8p`6A%dG8n0AC1QDuR>fb zL2mKEM6!Bqpk97q50`DHDU0cHMq*Y+sK;cKk(t{SzzWNsE~x|-+@bcK5rM|Jq^@yXF2wuYc}SVN775pvN;PiBLi zrhtv)LHm7YWdhTkH8i@$jx`j%*U2YmyA^RSy7_j!9V^6?6V z!9qW1BP0q$e-pFxE>gmKvY|dnuqEKfccG~U*Cf**_63E=gcx~CD&uxyj0bc}0UNfT z6nU}#QVD#aX)(Yp%B{)h1^PpPXS_U6MDEQ+Y#C_V%l9qn#vkwrXbr9>E4=SvQz`vL zZ0pOZi29iC+XhG*3u<6CPB)Vw8N!tua!@>98IbnQqJld$3-NpJ#%%ElPPor}IC|^e zKwpCsxFbds_tf4oY&Pss_zyJvn(UYO?tlVtT_%W}bN91=@rUXo{1_+sfo$@Bq)v8Rp*g&=|FHuVSA4$^3#HW`2bFenVcObVTXH zX@j1;va87Dv(I%6_=vIx7sK-awJEw>tZ*PLFj+FZ`}R=Un^Q;oK>+8Sn(>=pj^FdK zKIXW?Sv`dyt6^#ftn6`sd+={mTSu4^e_hZr zCs$PVQH2UHsZFu-ra#2Snj1E^)oS-Sma`lRd#(^h4jM&0MF~fz#b0XOkeAr3TqaN`k)HB$<9~UFJMogo4 zc?Ay=BP4|DO$a`kZ(&Qll1Dld#!nflVemVHJiIpQJqlRqbWm=aFRx`g=KasW#pZ9` ztyxF4W-m5!E@E{a!SZ@!;*ImhTT)KhdiGXqRQk3zd#&n^qo`r7X&`e+=(iT-;4js# zU5{!k9il*pjY{S5O6~AvZ_wX;$9Nyd0aW&Fo4_frbN)s@L=zX}=h8L!Wa4%&gFIM$ zzoZL+{eRWi6rjZwsQC)U=&Ul7#0dxy3GLp_l`?FS$1n=oBJdk9JcuEIe%9!$inZCaoN3hef%8R55QBT=nC#$@`&WGEGKb zcAzhOBm`IZ`VY-3dc(S2;2KRKW%0Cg6lIW)E*j?6gJ}@Q!@2~rKA0`lT3${G%C^C0^9lMR8I8S zz_IwlM^#Vn43LkGKRDC>`R_+kdQe#U)i=t8atY%P<_@#H;#D{1Lq3tr!$!eQtb~Wu zC-Pwj2_Z)8$A%z}9JYjzub?~wLb(}b$i_>Q9ifx2RcCY?-5N9D_cSwA>kx&7rN*ye-sz89o@Z@n4W zW1PbDfSyY3JTx^MQ2TCzcr%o7)=VQx!xS-;27#-KAe5H1dh#~s$7OH*)Lm#qp(Nyw#ZZii%}k6J`lp&ZVKtC) zw=M1HtZr*wP@Rvir@_Zl?HF?YQBrwoS107a@~?n)kIRw*c*Jsh0%oz@Y|BpcL#BmH zoLkZJ*2aJ z&vljO=(RL^-qRUJ(kd0R_t7)um08b!U{WkQ zo`OlSB3-vFPvb>AO9j~WFABmidCT`f#e(L6V2Nx)LLsme8${dw?f&eX%IR(Hv*43tT6gO_t=TbpB+BA&Ld(gkJcs%#s?=^9@4nAMP|^ zOkZZIenDM57CdRH{>`@t`ef2hs#-c`L#=JJ()>s0qd%565J5cX$lT%gEu%8=Y|{@m z>O7iCtv@U@$_IT-PmO_G1PySe;z-k4y~ zmYBo+oIQt6Rx(LD@W4IyE%w92mdqHR0j81aN+L{43J8 z@0M0p*WoC{D6B+#fP2U7xXs2$p_TAl9{7!feXVOGkN8T-sl`B&nh&}7 zgT?r81*qb>V3~EAg7sq1I6hj0rC(u{R%Sh{JibWpllu_ zq@K_X)m0$=!?M)i@Tfy_-|P1Wh*K>m?S(kr;k$BsJI_=Si%%r^DoawSX+rI%IL~sm z4i>D5;CdI?3H6c`Z0V9I9=^pXkqe=Z=#6`2Mc2Uvk?%GL$3vDqgMs{^V!7k zkt=OD4c)OTwh#bLc?52<>>%Di%DA%=XD0X$IGS&Go39^o$#!&3odT(-XY&?V?uwj0 zamUBBVGCUd=p}34c|*oUpnn1UPsk=UV`IhCeDijcS5Vcnz}b;0>yJ9W1MwqIs%71s z^zTcS`apHKOl$E65xxSbx6=M#Fppu2~8|vnnX?avLr!&0E3_qRwN1Iu29s=a}-O)Tjp0U04 z$s1LI{z|C)i18p`o|p`zUG$uJ~TEboDU1*PsF|8nO@_mjrACV_qK3utnof` zD6iW0IY^w(z|3|} z!*tBU&B%MZ*duQ?Jd|iWe7hbepK7u}(Mol-P+9Sj-cf zR?6J^Zs3CTn*$}iRhqR6%DYuxGrmiRAvI1ReFv=(1r*2UBp4>V(@GVbuyUTb!V+fD zuX65|-#*sP?nBQ;3T$6SSv(Xf)45PGs-bk}ixwRNDx`doEXZmI5D;H9lQ4uW-c z0%q8T=;KV`esehPOR4Ou!2GG2D{OLqtO{yoml#~mzna?}>XLsCnX*K#Xi7L1uP8^m zyTP}Ez5wVo5YPOF?=sq?zejp%v_hc@56E6C^8o>dxN%6j=`#M;m4LlM@BzKxCXR8v zdR1XL*5y}aUw}36;YQy6?SWF|p%3i0o8g&(nhE=(NwY7q0^_WltFvj<{ZYmI#eZ=oi?s}H=rSWSOh7Hi69O7tg) z-flP5Wpg179@<;GJ9=_MdZwKm@3H!*N^GV5crrb!M)7i*ILK#Yp%v8&i^0J{Qv0mc zR+kYY>Ke0L9pDL9eYpuao4_Ma!0lXtaER59QXGLyQ}r@lo=zs}J$zrqlp+k`x^GNqU1+zhB>?JRzY-o~U7UR7}70mav+K$SHml6CDN5*|x ztN|{mRV+1@6|<)c#%oVENA6#y58%YMS1$!AV-=p~w2pcN`~3}BpIK79E_7C2UlE<~ z1Dbwsz{&8b)o(?m⩔n{55lW#Sr^FQsFx~)CWJy5KCl}tK@0ht@YV&{CnbHIPmH* zAUGk{2&VttE@V)d0&r*_r!LB+f|AGqu-mm!1wmm`i7oD}7A0b}Q4VRD_QBFoXg#?~ z2ls`;;h--Y)cfu^u8})Iu+yL!1-oJ^SNCH*1GWCZJ!neiRftFDfRcy7o)3*4Y{f=2 z;URu-GAH~D5T_mz=9EO_YQ`hl6_=+s`I-G_Oh4L@836^EGqN<~?~Pr?NLMHSOV;Mo zn)(FSah~o!K4LCsZu=aBLKO*z;Ku`n8o zpPOJ88{$# z*f4!~7VC0U0h>xJk4OH%j}R0Wi_|Z~E+5!D;+R_8aD3fR3NoHS9wIh&XS!ba^!U%c zw>H5#3sz{Y(Bn{*uMmmEzorP?+cxgqXt~HxLlbq{BmYj+OgK3`Iiv)t8^2cE)&ZA0kdH11(|Hs&NP7n_ z%slNiVNyF7;dUK9mQdbun>Fm!M))T8bVimuSnVzz8WSV>TL6p7zc|7{_KU!s;zcY8 z_wStqEP}F7i8Q8cq!Y9CUVCNo3j5>GMY*?%{r%I1gnNSM(+xhYU)T#ueBCotYsRJ9 zs`JApgznj>turNpqL9KYEVEm=xXR56cnu#7%!f#((JvsI&(0xJZ%5|fkaXuTgG#4-rW?SHY5K>m8q7!$<|`!A=`%hY zsVCF`ba{+q`U73=92_zYchsQAna3k>85C@n-cI2Gw##TI6tC{_T_i$<8D1t5Va22= zSc2%xcs%popL!X~L;7Fnv5|hLaT_6*ZZC1z@_L6Rc1#J;Kkn})#iS7KxIFrdO=7l` z3W@0$^pwvT!;W8ehd3{<5zQ{(FA4q(&pzT1U2^X6!aD3Z9OzLIdxzNF!*T1VIQ#{U zT;n@f8H4p76iX2tNRzo9aIhCv;q_p&mzo{(cR92IWm$f9KNUYYy*o4bt|)_C6T<+i z);&jAUs~ryRwol1iqAfiSt1FJZG^t8_^)jlesX*_!5z3l$UytSF1T*AbnIQ&9C4Er z`qJkCv^Ie|1rGN-+b?xt>vFQ%zZ;dF$mxtf0Z$y5|DIvGwb8vRm77{yb zd9@Rs_NR`FefEiIq)To2y^!j1=39#MMjvXMtnLUqYroZLKj5P(a2y? zI>hEJ6DljNDJgCoaUd2V34!mm4kv&9OUdshot+*vZYX@RZv2@(cZ=6@_-KuEDJ3E6 z8m2gfFfBr_6FZ8?P8Hir#BtDbx=AXZ3Du%lQ%sa(j|2IiEsvxTlUPlEUV_6uZ-n7G z@5|==wqn@A_@A&P^wb~UJIo$@x@Zz+~D~`rP5+CSF6@> z<6UIYFQN25s7$(1=oABU_oQtO9U&bXZbDCF*sgj_<$is_&`xeBE zpq#y4te5R^((uL-^tFm#LcCAp4q8w}GAkdj*gp{hQ*JOu$o1*O`_OCxsYEu&So?UE z^N{Jmk-Q|iK5O|YB0~H4H0Pm1O=X0yc1y|Tw%_xMMUxR~o{J_&dldKkp*pD8CA)Y2 zi@C1;NA^2v(n~bTbZTJ;O^PVaF!|-U5N<@}-1q_h3pYkiOjh($AyDFU z2I^47z&T>KQ~-*^O6>Oa)ptC3%i(`%*yO z<4S*z5O(W}F zvCrFtaUR#*Jc_nM{@l0}1p9Y@L|A9k?ydzL&kQ7^)~9_1m>$I9zx0}12lVc*pyP>w z(y7pAYA6q)+~4LOxyCiSAA*kk>c<1oOmJ_+)S z5z3(^oxSb4WWN*C3{1o<1Gw z6hv7r^#!`Ua@+D+(Rg4zBV3#R@$oF*gZQu7?0XlqpT7{Q`Ed7X(bx0VkK(P-rHL=^ zy;mIi9x#wiGl~DsLYd79k09nS)rklXCv7RKzKP`B!e-%49&ptU+5F!Hr=-=DKI6Rk zrEH6Mv))|r>*RC1>8RT9HPWUeCEMh^M-@94Udqw^RnSiO_`{prt?tJ$+7bZ8Xy5ku z&4^~gdlHNhd5Nies?Hnn_A4m#!jJjytep-bAGPftifbP^CJ}PZWus(09s7Esac>t3|}!mNh!tbhhs}tJ{U={H5GC zZ$DQd3Jr}jZ}eQAirPs$5yx&(2Uh|AHg<6gtTzv3YjP1t`tA{Gs1o1PY+T#3leL#styLGg9+X*8+$cwWov&edz z{D%9Lwj5Q?dJB?W9|RJ|ufg5I@d00pejZ8dj|ZQ&IUJO-ztO}}>xWQ>mFG$i8HrOL zr@yCsj_+=l{gfe*S;-pWvs-A7JNS2MihSWDhujfYAKtj;%OlZ}pufLYABX%CjPZ&V z9uU4R3F*1NDX}>z)>sUBHdmFI*sCR;d`;?7FUqqs#_9X&zMPxCN4+5Q*52D)rBcL8 za2r(%n@M`xx@ERbZN;Q`tRg|O@|P#tH-`qdcr!n=Q()+f$F=bvbwBHkzHCbGIUD`w zWU_GD{TbQn^mhpw%Aa&xHeYy4zORg2uG0001Zob6p(Z`(#1eebUz^xlY{B;EigfNeQlZ<98a(9HtD!jK~- z6=G2?MW+q0*xz1~axKMH=gb*$$r+$;i7uapXXbp@L;B>?ZE>}{_Oit~eLk3-z8-xy zf3{xSUR_@gyz0HrX}(=;vgZSNCIj!+yG35yZTGMKw0psOldsmP_pjZ{o+QuYneUz5 zt!|cH82O%`3`e8k$d|;M|I>T5DpK!axhl%f4qklYc_*LJFITslRaR`TwrSxNUvA6Q z=&gU#5+Wh?T^_zV2F<-1Hvxq$9{s?6daVML-y`T&t=9J$2 zlym2ZIn@#3_Ire=Go78k`kt}~iF0;Jx}XuF&MCb}4d#$QBI3Ndm|pxqSwzG+UoT7U zT;z*wO4&usIi1aaS$!xdn}|H;GQYcCuZkjFQg#uC%6;N|<`{9Pg!ISldv2@7h(&d_ z5pfGeJSv?>P8mcj%F0!qZ*J!44P_QlslN6JckPHwRbb=d`50zzZ!b7F5slbDIYu0+ zE}C`iG2-@Sf(TS*2;3?sTIm}H<^-{)3Y8ooCx}1O+4M4_ENW4Qyl_OF(!b5p zU+JhZ(TGMo0Ek2N&Xe1OXjJVySNKg3jn2R6B zX4R0GZZhg*1{%2>cZ341=0VKa)ZkB6H95GnTxExV| zJ<{tcyvaXO?YIc>s5zD3-pvV{5b|)k_5DENuU|{9LCSRw^r)HjB9<0br!~x=Kg+p@A=_5KD2n583jOHZ* z;%g+8fN}|1G62;Q{6JoyUV@H|0<{wK{9K?~f*zm?)JxDwwLrmy;Rs!zY{FoM zE>JmPI7AnypP;Ac0tFN%V|0Nc3WGViU>yZH8xg3WFq@~lu#JV(*+7B=z+RG{e;0pU7&)3AE^rzQB9Bf1qv(3fxSRg1wFABD5zjZ_5#%u{LEgU zn!<2sFHloaHEek1>qsle1B$g3yc$5DzQRlnAW&pspa&4BurLq=2ozb+iU5Hc3tkc+ zP+(!82@t5T;6(uf6&AEAK%m6JNERSaWM(XXNS>omjKNC?1j;dZ4S_&41}`EIsK+o- z5eO7z>~3Dr`0-D- zM<1#1=ll1cC>BNPt+HkM`}2W+@MisXeTDnZ=xx6F{mbA*xz^hQE5kp{JpA%-_tVE$ zawxf=*K*LBH(Jce@EBPCZv^+mvjD+~iwN$=aTXxBpA9>N;9kgJ0fKu$i9-nPJLDE1 zIChc6HU$#DnNRKqz?7XpiL}JnnksK5R7d)gRzTeaAcDo zlxBqJ=?vPG4(@xr4k0+UsU#UWS(4auDFk$VC>9ZK_E|F6M(1n^-({k;S1+2nH@@GqFvvICe1> z2R0!XIEkQ5!_L6PsxE5Ql507eaq$c`oQ}1Opo?d)q3dZE!HJ7K>V|T&mh(XuYZ9^P z4Eipr2Q30HaS^}JrbyhdJnE?;u?fN0MK2iH6h|T#1*~J6W}OX3Ha(p|ivo!>bjm&D zGB&Ze;mGEse($QN9?5ZU=kqEr?iM!#FS}b`z2Dqy%U^l;?UM)G4t}Hj(A_#aSg7oO ze)rz~$De%vMHosLEePZHdcyL|SOUF*i(Q|@MiE>{ayp-x#Ki-l@8v@UU)(S*9 z1W+xZW298A*^ZD>IYfJqN>m9?H%P@Q$7c~zsvMysq*Pwn9;B3mk#3L*R5_GINSV6R z_K=#mai-$_&y?~GBYTh%(+zD8Ddjx~_8>Jf4bkl(WgLDxW?%0>`KpynXWSv{IPvWNOer6YX%AAy5xPC3 zl(*zqgjDZ9`EKN$%7?#olq0wy-{@bx;X9Ic_FwcFj~hFVeEs|Lu^W-kc+%El~S32q>zeB4M!Ri7K9cI89TI;#5I@aV>qwiZ3Q zadWDEUH9&Ur}rlO<(&y%-$L-@u7qRvB>eRq36G6E`tqZD5jxI%`X3A^A5UoyPn3_m z>nNN4nsS!$LaB=G^GF8n+QzWS<*(ukt}$9c6QF zAfXZlr literal 2654 zcmV-k3ZeC^4*>uG0001Zob8=kZ`(!?h2Q%t2)#GrNa77}0@#+*x=q?tLYo3XVaO4a z3b81cqT2>2`rAuNj;+`#56_6*^~L37&#T`1Jix8R*^}gnJn_Ag zo5j`K3nSn2lm1}PANZ1Z({H>Niz4;T=8K~IZ133@o_F*i{djS`T4crgVx1OV@$tGG zO`g6>^E7*#_Pk#gXa!KUiJbvutuYJ?d}% zx&3D#Y*9?o+5978bO?-2R(~A734dWsj)2LZzn{b}&%Rf#Lf2rNoPDceeo@25enzzm|TJeNIJ*#AR8!$bR-hai?i|Bca%jWoYUpJ z)XrHxTc?y=q@3f)^w-6^g0hLkb1KuD%jKdd(m7=pX{ekhZp|Db4V5nae*Ko4sv%O* zCfkU(fg&B1i$_iwL@LV4QJ$`@rs)-B7D;Jy?IZ5mk(jEDjoZ(MFnfD_#<>&8h}|fM zNJG^{a|`DX$!C)oadR_50xB~EZj_@&=^N+F5mHaJRdRwHA^nUe)Ry#jovjz4R#~m0Z2oenJ1?S$*7un?%+2@aUm2mDjvj~%?A3(sv-xMc?9qnR1KBeDg&gTjZJQi50He; z*@Qc6AR^%+G;FjHkwqgUq-vPl4jdvOJ!eyo5J{*E&a-TGc|{2`gfco|cefC!h|N7h zco-V14lZGnU5K1^Tu+#A^@IpXNU6NF0^Vpz_uhM90Sk%-D+a*Icd z)U(;zIA_L4K<8{48#gIva~7La639U|P!L`0iyNJU$Yu}{(3mgu#qcP^HgA#!1v&b( zn!sI%?OG+UtbO_|)gDNYdNxZt=Sn1>Y7yqn0!gC{i1TElN&;EXPvAMIoE}b+NrQS^ z?Xte}-whD3dF}I;ZhxT2XW4oS66`<%fAthr-mUUwI)7~D@#Vo2nFbCJztMI`_Bnkd zX9XZ2K2$W12#DVxsU#cGev?g+btsax0u&G*30r{*;4UxA3iyo}33iS!B|*{xkdjc~ z0+5qX@B)yN;DG^1N+^i|h)dAO0Hh`OhP*&tg5DbivJ!OvTp%q$H_!$05_D265SUQ5 z&;?=>iXOT^azfce7syZ0U37r}g{F-z5Ta1@(FJo9WN${WJ zfe;1TOczK{(7OoaC-`={K!ifkPZtPK&<%Bg{Dh*TE|8$$Tj~NKiVC{>yL^vCEpg?8 z>{JUxDHOeWfh>ixSuYT&&~)nsLKTX3y+Evj@7D_iE69euK&pc7*b4+I*p|IOnu71y z3#2KOO?!b%MOCojmEQ!dAOjS$6+8_fkgw3;00JQk1rH#QuuuR20wD{U2oT6v@JN6_ zz(Tl^Bo9TD7*LqQ479}FA%oS=pM2b)vaUvate71 zZ8u*ac)_;w1tJ%8KVKkn!Pmzjb3u3Xr3rGOXz2?iF8H3lK&_|F=%o?AcwK#AbE{K7=uR$1mYMxLm-gG;2{EmJcfoM5C~;#Z(ii5 z3ZfZ2pCFLUK!Cy?lSosWdsflq??o2w=!99tIc&LwJ&?lZAG`bU57&DisqpFj+fNj; zBJ~#8JpJ=&&)<1-^Y#Pi^EzMs`LXw`9P9OtmHsC)cfZ`<{`CHl>>?NRSavd2)nJbL z`<(S(dvMex4-Q@QVAvrC`iIS?r4W=3dGNm1;*bZWOCI!H6!D--9+bnD6iYD}b;*Mx z2R#^cDF#O_#$bHdw72WQ`%;EO9y~AuYk4moxah$y<%2^PV=y>u&@HcnPUeGM@}PfM zVqob|*QLEUaM6SJ{BH+5m>ihRY~H&ls^0jmo!=LEaWlK>dD+eK;_d2cT|Mse?%Q{M z+xd<1LpRH8XP|Qb^V|3CfBez+k9MxV&gPdjMjx3t>hD}v_0qmb*&8P)N^0lj)2t+Q ztJK3Oc`zX`HX4m!r|Ccr!K|lhixOr$HK>zwcRUr}-SJec9cGK3syl3S z$5Www^~G*@%6dp8j;vC){j_rzYNs7#UwOV8tNB2i$h4RtXwx~fp3(+>iy>8aw(O3h zM7!s-=c%D~q2vy1kB@8rdRY7XRQ2efw(pqs?}xO9#vXk6!ST%S;mrD8zH?7Wr*#t8 zUr}l+rrq&WVn6Rm+{k-EZRoe>DfLPE|F!qvqq+T^x3-ho9i7DXSCqI8x$2Im68lG~ z#EransGZREJT*|Sy=Kc8_SdOe0JuAzs_nxYiYYf%bajH(;yUFJ-DEwg55Mb{=OhGWXUrvgWs*V?qN#l6psm{Ny+i|TV@ol++=?RiRF9yi?XuwO|U zIg)wWZ=tD+?uON8|Abt7w@G(A71+fuV@iGUF}$7I_f+lex83nnY=4)E+&J{t-acw5@o^)jYHxBhq&_!dN?q@2&r|Ar z-ms_aX9H)n`9PS1$mDS9JTH zs(qelcRZyoqqXO$$c_4x{gCqAC?!PpnH2SHLxxKU_R}f#N@@7mXWdc08yUL&i1OVy z^r@eC)Sjo*Po6QHOxZ7Zs!!;K#bfVrHPuXub)IMpoJDyS#0quFJ_6hsl@sv85X)&L2Bl8sd z=f2u!ZFk30k^NGFxQ-C#dUP*X{UYYFz%rw(hw>a%~E3Ee2iw?DOG_nuvSz3rPeZ`ib9WBZ@)+x7M5+CTrm#`cYyJMO!$ z_^p1#jZE#2P?8^5kRpeQx7lZ`!!=;rkP}C;k9S+k5x! z+WYk#&n23`!#{T=?)+o>z<++mCK)2q$=vJ^k*Mr9WN31Be3r<3YiLq~X6XS&1-{VE zRbw48GvL8|5BQ7@quk>wL={S2vvOZspsJ+{Y3G8d3XlvvKqf5eOjHt%PQuc`YXGJx zfL?_$boxn#mM)31SgQaAbFKAFa#l}BWzaK_Wlu6;O;|b5tR4|y48x2TL4hdrGf~|> z*5hf4q=7v9SEUkZFva!(m!uY!3q7;~HR85Nbnt z%+(FLBG?UjNW%F~+CPXn<3{m_=i)f)vGY(z>}5QcRr2h*5hV&23>`Ec$(U~AII07U zx%00s7yGBnv<X2mb{yEJ+CXO?386}Dr<>i;OGL0^LWO?1t4D&phO z*u?wknVInqzZaxZ$vuM~4-8CnK5%VtU;w)Wf5Yl zC-QllAD)jqae09{<+!o^CV>4;9^`UR9nD~#m1Tu|N*BP@g?w}S!2D!NM)9aM3Dilt z-f!TiQPsdqd60Mf1U(w{5>f$ch$Hf&k4kVTtBQ*v41GEQ{-Emi ziS;$?M&p`7XNlu7mCsmQRi_8QLtSWDz#6v2J z>(???o&)4?Lgznei$9`Aj$?fgA4@M+0#nhWr>Qjcc5cpWiQ`*}dRGDYKTWeGqo+^Gvyqn;b-V1iFAaJ~fltz{%j7Ne zmk)yO(}iLEm^w~gR8k7jXyA*C_d$36&Pc$yYh`i4bajxrC4Oa!$~BYsDeq-R4pzK{ zuUY&q_76Sp{Nmz|Qr}))Y+73S)mlo-53gOnf$i^M`;nA8R?Yu7l`ywAee+6UYx2vD1tr+BVebvbZRAcDum^S!fId+7Ahi60G=?qb} z2oHQkR{n;6N@khgBjlx=^1`s_;@eF|aa>s*?S(uta|->i$aAc7r^8>t_0nBId=NP3 z8;xSRCyi24OEfi9wmzezSronw8~q#h0;jCnVBhE#A)tSP29N#ZsfK=vBJO)x8d=iD zJ5U@tQ_YAji{EqqsfT8MdU1bKVyq?A{5Rpc|C(9V{Jr@F+-gt$95R{Yo_A-)r&^lM zO@1oX{LRX`|7=M#A4<&s(QOGApZovy9h3ZQGvr%S&EIbwT$)YZo!GmuF7e$FmiIco z(K;hve-`o`OkcOu0{W-t2Uj1m@|r&MC(`L~eC+74RO+El@8bclcxwNJ!I$pow)TsO z7mnO}?+?evwp{}KrNQABHxHe8@Zmq}%v<|Cg}9=BcoFhW&KW&xlu3tX95HqA1s%_v zEzf8neL`G?h_FqLZb%xXP>)y-_}rGJh|7S^OX>15=A&iE@qY2X@O@HRd7nripEbv~ zuporb@**Gk(|jH=e=LuOf~~)&MbWK?j*dE10r`^c4ImG`0)<{(jP0m8m0UT?%Ri(zLb(l{H%I-I??7%ku0Qm#Dn)vxs>;gZ<%ViT>(-B~{P5XV zk~7yXE^m3^3oXs_t)#!Tetq-e{CsKWFI{}(EAOUeFMems9p~0s`hKx~Yvs=Q%05?r zCk}k+9kcVZN4C86vt;vY|46#l265OeBp&7e|zr_OJk{*ds9Ps|MjyE4xP$> z<;0nRdVI*_QRle9RU^cAmit;dEZK^){#ri7wHp!l5Kk(manG8o#qv3f3f$bENR{Y` zAdA-ljo{;#?^AXJU0^O*-sJ5$7SkP{N2H9vCgS|+1)5%{x&C3#yHMy#5&03@!{-2` z8Q<_TxIr=GpkRxu6_bSVWerxfkBF+;rn^ z{cj3%B^vZ9lgyPQGZxq3p7c_ z!#==3d~Cl&;a$YDQF6}p3v4jY@mVHiM>|K%{W&pifUz9Y9iP3ADD#T^@tL{EH|Q;O z`7XK!c_pqOI_0dGg7XslcNuiW@v`_(k1o*pbMLk^;~Sap zmY43SQpd`#5uXe1D1Y1ajvx)^fTu9=h5ev*}s~le}MaEf9kUTgP{7kw$6cT1O9(>c245` zPxALW%k+Nv?dvn;f8X}_`K!+r2F721aiEybKm2+=uizHw(^SLx=SO(2lRUTg7IsxI zJ>C!UrM>4EpJ>r=9~brbGoP=PgHY8p<@8Eu`e|8bf+@-J?5D-a8OD>BF)xIuzc{~d zs!w!OFoF;Kg2%eNtq0PwK37>Ip}!yB z2Y|=>+AMZxl z$+|w&r?~ZgdpgJXwlAfP7;4}T*HocOznSRSPu(X`<6Yd3LPc9t#rBIve4#s={typo@RF^uXv!EDg_Ylqk~NZgy(nUSfukS$6Y31P{D&O+mFJZT=pRp0 z(9j2L#s|HA-`82p@M5VJ><9-fT@9MByz&=`-|ux;dKcWjN>h`A zIuxJp)e`5vG&u0I7d>^|%A;?p{i7M|ni;Jr-0aK0m$hOTVC;_Bx_jovdCp4fW0 z{WpCx=c(-|HfpH%_T??NyZbBrdvE58f6?4@E@(Y+m|EAY+;wQ^s~Yrk#`*W5|9(34 ztMQeS6RG}(I*)#Qd9b``&o%FZ`uR4o?fBKPiPFT{OT9CNSN(r}ar4B3o4>r~pE~RD z{u=lVKCD}L#0~MdA^+Prbv!q^IR9_>oj-Nl`si@rfAV+XBj4f84f{o^ zI*Ip+oikjossyka<(9WJ-p_B?^}Fp%<{JMWSoy#CRrlkQ@z(wO|BAIo$5I2)z#T_H663~P{ zQYfr$XKG`6CJ7(XdaN+g##3WY$3=2N4P(o@7wQ2fMOwL&0o4|7uVWhtN9=ooW%o(@ zbnof!-1~MVTu*26sUKp&?%jL-{O&pDo^$SfyPF@}m`EfRBo^EE2k`!v`ySoBci*1I z&AZ!oZo_-W_T7)}+_`Oc<2SeM-qYT(tMSg}Rd=ph)A*=EjG<)_8yW-ffNdJ=VS#vWZV5J_E@6Ha~FJ7uI~^&NXZ9U7Pr1;?p2)*|oQQ z?{~L7mRJZG{<}4C%kS9_{PrfBWQa&7(-VC}qO{+Tp^=H<2_kc_Z$yJ<`2h)|AQ#%X zs?ovs@xpu$k&g%zaPG1Jf;%EZ?2;_)7yc~Xnu>UY3 z^y4$)DGhCyjPr~2m@hE$K0#kef08`#)4-E4A9DHr6X0*5Kw;a;z)^YVc(RHwsSkXQ z*iK100A0bZYFuSij_sXPh^y$w0-Okjto`wLZb!Kky#)O9Bcqh$eYSj&v}1uHX#!Q& zqcMHJj#6W-iw;K_`n=~&QpXFJ_u}R76^ENL6u^lxB01mN#hgkKP{Xm zwe)6wmiI?TLqiwCw(;RhKM2~kZ)nP#?&--jHNDsS)(UH%);a&s-|X@)=T@EBl*``T z`}}Kz`N6?&A3JhH+I0}mz9^IkS39B=`K+5D9A1^a98)#Ar^t7(1ONa~PZ64u$ zBJfF&#s+jkAM#3i)AZ*7^k=^HVM# zm9+y1j1M`=;Kd{A5h|#6;`$#@!;~B=n=Z@`I6mi%2q+bXB40Sca#`r<3@IKl54mMX z&{01D^yNM@L(t>;K(!m8F=sy4p8&2PJyvx1V3?5ChaZf`^FX8iSdQ^ch<#)-nk@Y1 z5&tyWgp*gmFF?-qA67R?VqfF1I6x)Aj96cVTA%0`$U>(V>2GoJBoH?ahRB-M z=oJ{y5B}ClS{Owo@8o!3)=BIm;@1U#%C8Na0H4=|zTmODI`>9FSGN8ThYw6Za%W&< zUZ+7vpB^NWk@33ph%gHMNlyf2eJLzBzhS+_Wt?qhRFrgKH0W#<=Ta1g=Cy0rTe@Am z3Uq^vEarzV&b0dGKO^J|b#Do@KG~pL9{ll7$^mukOZqbZ0pbGKa*(DA=Vz?nnJ+J! z$=-YWmu@?Fdhw#~*Zo^+diUOPs?=pI(|+a;^UHNBZ;7KelQ*VO08Mz4hJ0 zyO!6zSwG$Jw`UXQr|J)_%o^~uxc}6}?Op%jc|Sbd+5WljWs08Xf42Buefrj59Q>3S z-*n>d+O7@{J$9ijmu4mIC%G;AA1!+1iuhq1B=|RRoETzejq!-ASjG@>nuK1 zIt*nZ?nfq!2=NmL9c_g!^D~2DhQ|`U9efcZcGj4((0cfF%SXIkG^9W;QQq>=Y@wh- z>G-mOG19ib0w4KfvWlohIDF20#?RozhY0@TJdrldnO@6t#qvR*O;9v4imW{N8OpkK zAo+;kx=c+1hx4O?y0CbRlVW|XppSE344SGwug83kNBHgLu(K6;2pZZB>|xV<%F8lY z!$QUv@w)61>Vk~w9N_)|x&V$Iw)tamq0cy3yevM)L2;F0jyE1-Imd@fh>OgF&U#kP zj=<;on=nD9z~yT7G|OqDsFM`yqkna3Ek~_B?n_oqgU9mVyD_3T|Eu_6I(rP~C?aaM zDoO>sZ!9mWdNK#Etv%6^YCi>E&N{g;nFDwVCz3IJ=+|khm#NhB12d+St-re`f((_u z>FSRtk97)Wgie$z99}A)*?%L|> z^c}zT?6SZ5dj0g1?PrfHpQ=wh9{W8sd}uq)zo8%a<%#YtTYp{OJJ<4RPph-vzxvD- z-ygo(cBak$li$DXxo4l+*mU>3$C{2?{oX(l+n=%f!tvyN56!UW#rSYAK>i392iyO; zP=7elgg6oE^$2i^1GW6d*@w6VR-VTL$S>&f9Px8FS)!~xKe;bTY6&7_ z^t^ni%E30oll5C;Jgc9v{DAjg8OizY_6Ks7&vxgrTq&Xq0w3WXH922D73*v2LO*8w z59vdA18Bg5x;<}WT!&u@NtM;8xqu#KUNl%=&F2ee$BwQG8W+E4Jk&_E`RnsMXX^^| z0bbwfU#?g=p+kk|i;fuQ1N1{N!1?t`)jxp2EnENHz|uwDNupREeHQzQjnxA8(PP|~ z$m-u#)knPhD+_--V5* zMizZ%#n86tnHh6@=~Qa_HLLH%@o7mgzb?W2rN^hiUtsOmG&FP;^>^k>Z_v4S{b294 zUN5)qz`yjShpqh{`TjG%_Wi=u`_GJzXM2X9f3_!gyy@G=UhSESA94ow3EUeI#|dM) zQH#UKd48`fi7JL2AlN$tK@rAy}X{g5Q&Y@c-U#@<&w$aM>Q zUPzPw2z~IJ{3MU_^qC$Bw|;m4_wq15z!@6%6M;uw)E@Y_p`~$CV0ne-OHOwHNsAln z<6ad>(N_vXJkM79Q3d$Y;wyRb74Cy+oDZR}_8`Bk{W{}OcQA;^B90}}86j3@fnT!s z-q-ojU-Eh_L{XkPC`FUhkNMGx@p<1eL8Qu5X-y5{{18D!5BB%>fxktX?IvmaBl%Gl zZJ=eq%t-c+PJr*?tnxEsI9Iu^S^trU-8-5VEI6BJc)7RdpX~kfU;lpU&&I#nJ-*+cpz8GJ(`Q(uV|EGVB|Hr2CurTMkx4-MzK+RpxBxc4xg|4zR3 zUp?u!f4uHjUQe&&{GFlk_Yb{0boGPxZ@KWb-0R1CkN&(l*K_#4N;T|heHomsM&yy>;b)_EDG7tK^{$=G+7ueS^#NWmR_2LKDS<{jWd5!!2ZVg@tDG1yld$v{}-S0qeOd7`jT{J(ACdY z(3n1lBXJ*Gg1LIw<~MkDo%p`>K#9c9BO2tJF4N=lkMtykjC048-}&oJiREv8Ch>Uf z{Hp*Qe47UgzrW;j_(B)=$A7Tv#^)cN=d0@^qkJN91AMDrvn%GWolcHjbo|&qcmCn~ zmyx!%4Nbl8c|AXB`h&^dx1Nsq@%fiqb;0+ugTv1~+njG|`qmG7Za)98j`2!lNJYy#^)olTU!08s109+r0eE>Bj0+?W>Ls z2ltg^&7P0I=legp7W{PbqOCkKOM3e_*Q>(w1?cISmg4^vhmXQfrfa_s%sT#W>Q~i| ukH?$i`~MY>+Y`5Zs`iUeBmW}w@!xN61gI4)Il@?--`eFcmD@-glbs; diff --git a/CPLD/MAXII/output_files/RAM2GS.sta.rpt b/CPLD/MAXII/output_files/RAM2GS.sta.rpt index c986c31..19d7096 100644 --- a/CPLD/MAXII/output_files/RAM2GS.sta.rpt +++ b/CPLD/MAXII/output_files/RAM2GS.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2GS -Sun Aug 13 04:10:22 2023 +Sun Aug 13 04:50:44 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -18,13 +18,13 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition 10. Minimum Pulse Width Summary 11. Setup: 'ARCLK' 12. Setup: 'DRCLK' - 13. Setup: 'PHI2' - 14. Setup: 'RCLK' + 13. Setup: 'RCLK' + 14. Setup: 'PHI2' 15. Setup: 'nCRAS' 16. Hold: 'DRCLK' 17. Hold: 'ARCLK' - 18. Hold: 'PHI2' - 19. Hold: 'nCRAS' + 18. Hold: 'nCRAS' + 19. Hold: 'PHI2' 20. Hold: 'RCLK' 21. Setup Transfers 22. Hold Transfers @@ -83,10 +83,11 @@ https://fpgasoftware.intel.com/eula. ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 1 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; +; Processor 2 ; 0.2% ; +----------------------------+-------------+ @@ -104,16 +105,16 @@ https://fpgasoftware.intel.com/eula. +------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -+-------------------------------------------------+ -; Fmax Summary ; -+-----------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+-----------+-----------------+------------+------+ -; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; -; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; -; 51.58 MHz ; 51.58 MHz ; PHI2 ; ; -; 125.3 MHz ; 125.3 MHz ; RCLK ; ; -+-----------+-----------------+------------+------+ ++--------------------------------------------------+ +; Fmax Summary ; ++------------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++------------+-----------------+------------+------+ +; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; +; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; +; 55.54 MHz ; 55.54 MHz ; PHI2 ; ; +; 114.17 MHz ; 114.17 MHz ; RCLK ; ; ++------------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -124,9 +125,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; ARCLK ; -99.000 ; -99.000 ; ; DRCLK ; -99.000 ; -99.000 ; -; PHI2 ; -9.193 ; -97.128 ; -; RCLK ; -8.818 ; -246.083 ; -; nCRAS ; -1.245 ; -5.591 ; +; RCLK ; -8.541 ; -253.391 ; +; PHI2 ; -8.503 ; -92.361 ; +; nCRAS ; -1.149 ; -5.683 ; +-------+---------+---------------+ @@ -135,11 +136,11 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; DRCLK ; -16.888 ; -16.888 ; -; ARCLK ; -16.289 ; -16.289 ; -; PHI2 ; -0.679 ; -2.377 ; -; nCRAS ; -0.104 ; -0.104 ; -; RCLK ; 1.157 ; 0.000 ; +; DRCLK ; -17.185 ; -17.185 ; +; ARCLK ; -16.694 ; -16.694 ; +; nCRAS ; -0.367 ; -1.096 ; +; PHI2 ; -0.107 ; -0.165 ; +; RCLK ; 1.163 ; 0.000 ; +-------+---------+---------------+ @@ -175,7 +176,7 @@ No paths to report. ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; -99.000 ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.711 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 1.000 ; -1.711 ; 2.000 ; +; -22.306 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 1.000 ; -1.732 ; 1.574 ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -185,246 +186,246 @@ No paths to report. ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; -99.000 ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.633 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -1.583 ; 2.050 ; -; -22.112 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -1.583 ; 1.529 ; +; -22.695 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -0.773 ; 2.922 ; +; -21.815 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -0.773 ; 2.042 ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -+---------------------------------------------------------------------------------------------------------+ -; Setup: 'PHI2' ; -+--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ -; -9.193 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.360 ; -; -9.057 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.224 ; -; -8.759 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.926 ; -; -8.623 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.790 ; -; -8.524 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.691 ; -; -8.432 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.599 ; -; -8.273 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.440 ; -; -8.143 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.310 ; -; -8.143 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.310 ; -; -8.143 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.310 ; -; -8.090 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.257 ; -; -8.007 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.174 ; -; -8.007 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.174 ; -; -8.007 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.174 ; -; -7.999 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.166 ; -; -7.998 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.165 ; -; -7.925 ; Bank[3] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.092 ; -; -7.925 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.092 ; -; -7.925 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.092 ; -; -7.839 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.006 ; -; -7.789 ; Bank[1] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.956 ; -; -7.789 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.956 ; -; -7.789 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.956 ; -; -7.741 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.908 ; -; -7.565 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.732 ; -; -7.486 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.653 ; -; -7.474 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.641 ; -; -7.474 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.641 ; -; -7.474 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.641 ; -; -7.382 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.549 ; -; -7.382 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.549 ; -; -7.382 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.549 ; -; -7.350 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.517 ; -; -7.340 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.507 ; -; -7.340 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.507 ; -; -7.307 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.474 ; -; -7.256 ; Bank[2] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.423 ; -; -7.256 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.423 ; -; -7.256 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.423 ; -; -7.223 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.390 ; -; -7.223 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.390 ; -; -7.223 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.390 ; -; -7.223 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.390 ; -; -7.204 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.371 ; -; -7.204 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.371 ; -; -7.164 ; Bank[5] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.331 ; -; -7.164 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.331 ; -; -7.164 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.331 ; -; -7.005 ; Bank[7] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.172 ; -; -7.005 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.172 ; -; -7.005 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.172 ; -; -6.949 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.116 ; -; -6.949 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.116 ; -; -6.949 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.116 ; -; -6.817 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.984 ; -; -6.789 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.956 ; -; -6.731 ; Bank[0] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.898 ; -; -6.731 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.898 ; -; -6.731 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.898 ; -; -6.725 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.892 ; -; -6.691 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.858 ; -; -6.691 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.858 ; -; -6.691 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.858 ; -; -6.671 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.838 ; -; -6.671 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.838 ; -; -6.671 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.838 ; -; -6.579 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.746 ; -; -6.579 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.746 ; -; -6.566 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.733 ; -; -6.535 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.702 ; -; -6.473 ; Bank[6] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.640 ; -; -6.473 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.640 ; -; -6.473 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.640 ; -; -6.420 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.587 ; -; -6.420 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.587 ; -; -6.292 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.459 ; -; -6.173 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.340 ; -; -6.173 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.340 ; -; -6.173 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.340 ; -; -6.146 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.313 ; -; -6.146 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.313 ; -; -6.034 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.201 ; -; -6.002 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.169 ; -; -5.955 ; Bank[4] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.122 ; -; -5.955 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.122 ; -; -5.955 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.122 ; -; -5.910 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.077 ; -; -5.888 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.055 ; -; -5.888 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.055 ; -; -5.751 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.918 ; -; -5.649 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.316 ; -; -5.649 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.316 ; -; -5.649 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.316 ; -; -5.516 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.683 ; -; -5.477 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.644 ; -; -5.431 ; CmdEnable ; CmdLEDEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.098 ; -; -5.431 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.098 ; -; -5.431 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.098 ; -; -5.370 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.537 ; -; -5.370 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.537 ; -+--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ - - +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Setup: 'RCLK' ; +--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ -; -8.818 ; FWEr ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.579 ; 6.406 ; -; -8.660 ; CmdSubmitted ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.295 ; 5.532 ; -; -8.299 ; CBR ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.579 ; 5.887 ; -; -8.291 ; FWEr ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.579 ; 5.879 ; -; -8.162 ; CmdSubmitted ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.295 ; 5.034 ; -; -8.155 ; CmdSubmitted ; LEDEN ; PHI2 ; RCLK ; 0.500 ; -3.295 ; 5.027 ; -; -8.127 ; FWEr ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.579 ; 5.715 ; -; -7.772 ; CBR ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.579 ; 5.360 ; -; -7.608 ; CBR ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.579 ; 5.196 ; -; -7.489 ; CmdSubmitted ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.295 ; 4.361 ; -; -7.128 ; FWEr ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.579 ; 4.716 ; -; -7.094 ; FWEr ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.579 ; 4.682 ; -; -6.981 ; FS[7] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.648 ; -; -6.856 ; FS[16] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.523 ; -; -6.689 ; FS[5] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.356 ; -; -6.689 ; CmdDRDIn ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.295 ; 3.561 ; -; -6.681 ; Cmdn8MEGEN ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.295 ; 3.553 ; -; -6.675 ; FS[17] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.342 ; -; -6.656 ; Ready ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.323 ; -; -6.575 ; CBR ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.579 ; 4.163 ; -; -6.564 ; FS[16] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.231 ; -; -6.533 ; FS[6] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.200 ; -; -6.486 ; S[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.153 ; -; -6.449 ; FS[7] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.116 ; -; -6.400 ; CBR ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.579 ; 3.988 ; -; -6.383 ; FS[17] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.050 ; -; -6.378 ; FS[0] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.045 ; -; -6.329 ; FS[2] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.996 ; -; -6.324 ; FS[16] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.991 ; -; -6.311 ; S[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.978 ; -; -6.276 ; CmdLEDEN ; LEDEN ; PHI2 ; RCLK ; 0.500 ; -3.295 ; 3.148 ; -; -6.247 ; FS[16] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.914 ; -; -6.166 ; Ready ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.833 ; -; -6.157 ; FS[5] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.824 ; -; -6.143 ; FS[17] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.810 ; -; -6.143 ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; n8MEGEN ; DRCLK ; RCLK ; 1.000 ; 1.583 ; 8.393 ; -; -6.142 ; FS[1] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.809 ; -; -6.140 ; RASr2 ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.807 ; -; -6.138 ; FS[16] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.805 ; -; -6.120 ; InitReady ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.787 ; -; -6.119 ; UFMInitDone ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.786 ; -; -6.116 ; FS[6] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.783 ; -; -6.067 ; FS[6] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.734 ; -; -5.964 ; FS[17] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.631 ; -; -5.957 ; FS[17] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.624 ; -; -5.924 ; FS[6] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.591 ; -; -5.896 ; FS[6] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.563 ; -; -5.895 ; S[1] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.562 ; -; -5.859 ; FS[16] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.526 ; -; -5.841 ; FS[3] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.508 ; -; -5.827 ; UFMInitDone ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.494 ; -; -5.781 ; FS[7] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.448 ; -; -5.735 ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; LEDEN ; DRCLK ; RCLK ; 1.000 ; 1.583 ; 7.985 ; -; -5.720 ; S[0] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.387 ; -; -5.719 ; CmdDRCLK ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.295 ; 2.591 ; -; -5.716 ; FS[16] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.383 ; -; -5.688 ; FS[4] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.355 ; -; -5.678 ; FS[17] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.345 ; -; -5.677 ; RASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.344 ; -; -5.658 ; IS[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.325 ; -; -5.651 ; RCKE~reg0 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.318 ; -; -5.639 ; FS[4] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.306 ; -; -5.621 ; S[1] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.288 ; -; -5.587 ; UFMInitDone ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.254 ; -; -5.576 ; PHI2r2 ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.243 ; -; -5.549 ; FS[3] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.216 ; -; -5.538 ; Ready ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.205 ; -; -5.537 ; S[0] ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.204 ; -; -5.535 ; FS[17] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.202 ; -; -5.529 ; InitReady ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.196 ; -; -5.528 ; Ready ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.195 ; -; -5.507 ; FS[4] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.174 ; -; -5.496 ; FS[4] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.163 ; -; -5.493 ; Ready ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.160 ; -; -5.491 ; S[0] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.158 ; -; -5.473 ; RASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.140 ; -; -5.430 ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; UFMD ; DRCLK ; RCLK ; 1.000 ; 1.583 ; 7.680 ; -; -5.413 ; FS[16] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.080 ; -; -5.407 ; IS[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.074 ; -; -5.402 ; FS[7] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.069 ; -; -5.401 ; UFMInitDone ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.068 ; -; -5.395 ; Ready ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.062 ; -; -5.379 ; FS[13] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.046 ; -; -5.377 ; FS[13] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.044 ; -; -5.377 ; IS[3] ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.044 ; -; -5.371 ; FS[4] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.038 ; -; -5.347 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.014 ; -; -5.339 ; IS[3] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.006 ; -; -5.327 ; FS[7] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.994 ; -; -5.323 ; IS[2] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.990 ; -; -5.279 ; CmdUFMErase ; UFMErase ; PHI2 ; RCLK ; 0.500 ; -3.295 ; 2.151 ; -; -5.278 ; FS[7] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.945 ; -; -5.278 ; CmdUFMErase ; UFMProgram ; PHI2 ; RCLK ; 0.500 ; -3.295 ; 2.150 ; -; -5.274 ; FS[7] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.941 ; -; -5.255 ; InitReady ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.922 ; -; -5.249 ; S[1] ; IS[3] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.916 ; -; -5.247 ; S[1] ; IS[1] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.914 ; -; -5.183 ; FS[10] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.850 ; -; -5.181 ; FS[10] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.848 ; -; -5.146 ; FS[7] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.813 ; +; -8.541 ; FWEr ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.580 ; 6.128 ; +; -8.532 ; FWEr ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.580 ; 6.119 ; +; -8.528 ; CBR ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.580 ; 6.115 ; +; -8.039 ; FWEr ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.580 ; 5.626 ; +; -8.035 ; FWEr ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.580 ; 5.622 ; +; -8.002 ; CBR ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.580 ; 5.589 ; +; -7.967 ; FWEr ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.580 ; 5.554 ; +; -7.852 ; CmdSubmitted ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.314 ; 4.705 ; +; -7.759 ; FS[0] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.426 ; +; -7.570 ; CmdSubmitted ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.314 ; 4.423 ; +; -7.509 ; CBR ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.580 ; 5.096 ; +; -7.505 ; CBR ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.580 ; 5.092 ; +; -7.443 ; CmdSubmitted ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.314 ; 4.296 ; +; -7.380 ; CmdLEDEN ; LEDEN ; PHI2 ; RCLK ; 0.500 ; -3.314 ; 4.233 ; +; -7.265 ; CmdSubmitted ; LEDEN ; PHI2 ; RCLK ; 0.500 ; -3.314 ; 4.118 ; +; -7.255 ; FS[2] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.922 ; +; -7.175 ; Ready ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.842 ; +; -7.160 ; S[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.827 ; +; -7.120 ; Cmdn8MEGEN ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.314 ; 3.973 ; +; -7.095 ; CBR ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.580 ; 4.682 ; +; -7.019 ; FS[16] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.686 ; +; -7.009 ; S[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.676 ; +; -6.997 ; S[0] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.664 ; +; -6.961 ; FS[16] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.628 ; +; -6.931 ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; LEDEN ; DRCLK ; RCLK ; 1.000 ; 0.773 ; 8.371 ; +; -6.887 ; FS[17] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.554 ; +; -6.881 ; FS[1] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.548 ; +; -6.846 ; S[1] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.513 ; +; -6.829 ; FS[17] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.496 ; +; -6.773 ; FS[16] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.440 ; +; -6.772 ; FS[13] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.439 ; +; -6.768 ; FS[16] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.435 ; +; -6.762 ; FS[3] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.429 ; +; -6.668 ; RASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.335 ; +; -6.653 ; RASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.320 ; +; -6.641 ; FS[17] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.308 ; +; -6.636 ; FS[17] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.303 ; +; -6.629 ; FS[0] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.296 ; +; -6.530 ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; n8MEGEN ; DRCLK ; RCLK ; 1.000 ; 0.773 ; 7.970 ; +; -6.510 ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; UFMD ; DRCLK ; RCLK ; 1.000 ; 0.773 ; 7.950 ; +; -6.448 ; InitReady ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.115 ; +; -6.396 ; FS[16] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.063 ; +; -6.395 ; FS[16] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.062 ; +; -6.362 ; FS[6] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.029 ; +; -6.356 ; FS[12] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.023 ; +; -6.329 ; FS[16] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.996 ; +; -6.325 ; FS[4] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.992 ; +; -6.321 ; IS[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.988 ; +; -6.309 ; FS[6] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.976 ; +; -6.285 ; InitReady ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.952 ; +; -6.267 ; FS[4] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.934 ; +; -6.264 ; FS[17] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.931 ; +; -6.263 ; FS[17] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.930 ; +; -6.257 ; FS[11] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.924 ; +; -6.256 ; FS[4] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.923 ; +; -6.251 ; FS[6] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.918 ; +; -6.207 ; S[1] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.874 ; +; -6.197 ; FS[17] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.864 ; +; -6.181 ; FS[10] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.848 ; +; -6.177 ; RASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.844 ; +; -6.162 ; FS[3] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.829 ; +; -6.145 ; FS[8] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.812 ; +; -6.125 ; FS[2] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.792 ; +; -6.116 ; IS[3] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.783 ; +; -6.093 ; IS[2] ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.760 ; +; -6.073 ; CmdDRDIn ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.314 ; 2.926 ; +; -6.045 ; Ready ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.712 ; +; -6.006 ; FS[14] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.673 ; +; -5.993 ; FS[5] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.660 ; +; -5.988 ; FS[5] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.655 ; +; -5.976 ; FS[7] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.643 ; +; -5.972 ; S[0] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.639 ; +; -5.955 ; Ready ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.622 ; +; -5.947 ; IS[0] ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.614 ; +; -5.928 ; FS[6] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.595 ; +; -5.918 ; FS[7] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.585 ; +; -5.862 ; FS[7] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.529 ; +; -5.857 ; FS[7] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.524 ; +; -5.839 ; Ready ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.506 ; +; -5.826 ; FS[13] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.493 ; +; -5.791 ; UFMInitDone ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.458 ; +; -5.753 ; S[1] ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.420 ; +; -5.751 ; FS[1] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.418 ; +; -5.733 ; UFMInitDone ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.400 ; +; -5.702 ; FS[4] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.369 ; +; -5.701 ; FS[4] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.368 ; +; -5.686 ; FS[6] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.353 ; +; -5.685 ; FS[6] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.352 ; +; -5.671 ; CmdDRCLK ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.314 ; 2.524 ; +; -5.638 ; FS[16] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.305 ; +; -5.632 ; FS[3] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.299 ; +; -5.592 ; IS[2] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.259 ; +; -5.591 ; IS[1] ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.258 ; +; -5.548 ; FS[5] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.215 ; +; -5.546 ; Ready ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.213 ; +; -5.545 ; UFMInitDone ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.212 ; +; -5.540 ; UFMInitDone ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.207 ; +; -5.506 ; FS[17] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.173 ; +; -5.495 ; Ready ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.162 ; +; -5.490 ; FS[16] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.157 ; +--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------+ +; Setup: 'PHI2' ; ++--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ +; -8.503 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.670 ; +; -8.349 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.516 ; +; -8.052 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.219 ; +; -8.052 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.219 ; +; -7.898 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.065 ; +; -7.898 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.065 ; +; -7.828 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.995 ; +; -7.810 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.977 ; +; -7.731 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.898 ; +; -7.674 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.841 ; +; -7.581 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.748 ; +; -7.536 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.703 ; +; -7.442 ; Bank[6] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.609 ; +; -7.442 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.609 ; +; -7.442 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.609 ; +; -7.382 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.549 ; +; -7.359 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.526 ; +; -7.359 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.526 ; +; -7.292 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.459 ; +; -7.288 ; Bank[5] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.455 ; +; -7.288 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.455 ; +; -7.288 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.455 ; +; -7.280 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.447 ; +; -7.280 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.447 ; +; -7.135 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.302 ; +; -7.130 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.297 ; +; -7.130 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.297 ; +; -7.056 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.223 ; +; -7.024 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.191 ; +; -7.015 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.182 ; +; -7.015 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.182 ; +; -6.906 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.073 ; +; -6.861 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.028 ; +; -6.861 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.028 ; +; -6.843 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.010 ; +; -6.841 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.008 ; +; -6.841 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.008 ; +; -6.764 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.931 ; +; -6.749 ; Bank[7] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.916 ; +; -6.749 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.916 ; +; -6.749 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.916 ; +; -6.670 ; Bank[2] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.837 ; +; -6.670 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.837 ; +; -6.670 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.837 ; +; -6.618 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.785 ; +; -6.618 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.785 ; +; -6.617 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.784 ; +; -6.614 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.781 ; +; -6.573 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.740 ; +; -6.573 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.740 ; +; -6.524 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.691 ; +; -6.520 ; Bank[3] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.687 ; +; -6.520 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.687 ; +; -6.520 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.687 ; +; -6.464 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.631 ; +; -6.464 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.631 ; +; -6.349 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.516 ; +; -6.325 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.492 ; +; -6.322 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.489 ; +; -6.322 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.489 ; +; -6.243 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.410 ; +; -6.243 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.410 ; +; -6.231 ; Bank[4] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.398 ; +; -6.231 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.398 ; +; -6.231 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.398 ; +; -6.093 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.260 ; +; -6.093 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.260 ; +; -6.073 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.240 ; +; -6.073 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.240 ; +; -6.057 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.224 ; +; -5.963 ; Bank[1] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.130 ; +; -5.963 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.130 ; +; -5.963 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.130 ; +; -5.925 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.092 ; +; -5.925 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.092 ; +; -5.849 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.016 ; +; -5.846 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.013 ; +; -5.846 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.013 ; +; -5.804 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.971 ; +; -5.804 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.971 ; +; -5.696 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.863 ; +; -5.696 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.863 ; +; -5.578 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.245 ; +; -5.578 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.245 ; +; -5.557 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.724 ; +; -5.536 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.703 ; +; -5.536 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.703 ; +; -5.463 ; Bank[0] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.630 ; +; -5.463 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.630 ; +; -5.463 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.630 ; +; -5.407 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.574 ; +; -5.407 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.574 ; +; -5.139 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.306 ; +; -5.139 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.306 ; +; -5.062 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 5.729 ; +; -5.036 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.203 ; +; -5.036 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.203 ; +; -4.968 ; CmdEnable ; CmdLEDEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 5.635 ; +; -4.968 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 5.635 ; +; -4.968 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 5.635 ; ++--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ + + +--------------------------------------------------------------------------------------------------------+ ; Setup: 'nCRAS' ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -1.245 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.500 ; 5.927 ; 7.339 ; -; -0.825 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 3.571 ; -; -0.745 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 1.000 ; 5.927 ; 7.339 ; -; -0.595 ; Ready ; RowA[3] ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 3.341 ; -; -0.593 ; Ready ; RowA[2] ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 3.339 ; -; -0.592 ; Ready ; RowA[5] ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 3.338 ; -; -0.584 ; Ready ; RowA[4] ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 3.330 ; -; -0.323 ; Ready ; RowA[7] ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 3.069 ; -; -0.321 ; Ready ; RowA[0] ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 3.067 ; -; -0.317 ; Ready ; RowA[6] ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 3.063 ; -; -0.196 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 2.942 ; -; 0.344 ; Ready ; RowA[9] ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 2.402 ; -; 0.346 ; Ready ; RowA[1] ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 2.400 ; -; 0.550 ; Ready ; RowA[8] ; RCLK ; nCRAS ; 0.500 ; 2.579 ; 2.196 ; +; -1.149 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.500 ; 5.928 ; 7.244 ; +; -0.869 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 3.616 ; +; -0.755 ; Ready ; RowA[5] ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 3.502 ; +; -0.754 ; Ready ; RowA[3] ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 3.501 ; +; -0.753 ; Ready ; RowA[2] ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 3.500 ; +; -0.749 ; Ready ; RowA[4] ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 3.496 ; +; -0.649 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 1.000 ; 5.928 ; 7.244 ; +; -0.222 ; Ready ; RowA[7] ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 2.969 ; +; -0.221 ; Ready ; RowA[0] ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 2.968 ; +; -0.211 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 2.958 ; +; 0.085 ; Ready ; RowA[6] ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 2.662 ; +; 0.809 ; Ready ; RowA[9] ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 1.938 ; +; 0.812 ; Ready ; RowA[1] ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 1.935 ; +; 0.813 ; Ready ; RowA[8] ; RCLK ; nCRAS ; 0.500 ; 2.580 ; 1.934 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -433,8 +434,8 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.888 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -1.583 ; 1.529 ; -; -16.367 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -1.583 ; 2.050 ; +; -17.185 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -0.773 ; 2.042 ; +; -16.305 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -0.773 ; 2.922 ; ; 60.000 ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -444,246 +445,246 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.289 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 0.000 ; -1.711 ; 2.000 ; +; -16.694 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 0.000 ; -1.732 ; 1.574 ; ; 60.000 ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -+-----------------------------------------------------------------------------------------------------------+ -; Hold: 'PHI2' ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; -0.679 ; n8MEGEN ; Cmdn8MEGEN ; RCLK ; PHI2 ; -0.500 ; 3.295 ; 2.337 ; -; -0.636 ; LEDEN ; XOR8MEG ; RCLK ; PHI2 ; -0.500 ; 3.295 ; 2.380 ; -; -0.607 ; n8MEGEN ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.295 ; 2.909 ; -; -0.455 ; LEDEN ; CmdLEDEN ; RCLK ; PHI2 ; -0.500 ; 3.295 ; 2.561 ; -; 0.653 ; Ready ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.295 ; 4.169 ; -; 2.356 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.577 ; -; 2.581 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 2.302 ; -; 3.301 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.522 ; -; 3.321 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.542 ; -; 3.348 ; XOR8MEG ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.569 ; -; 3.755 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.976 ; -; 4.097 ; C1Submitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.318 ; -; 4.531 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.752 ; -; 4.623 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.844 ; -; 4.770 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.491 ; -; 5.147 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.868 ; -; 5.288 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.009 ; -; 5.438 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.659 ; -; 5.546 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.267 ; -; 5.590 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.311 ; -; 5.665 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.386 ; -; 5.820 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.541 ; -; 5.877 ; CmdEnable ; CmdLEDEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.098 ; -; 5.877 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.098 ; -; 5.877 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.098 ; -; 5.923 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.644 ; -; 5.962 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.683 ; -; 5.979 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.700 ; -; 6.071 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.792 ; -; 6.095 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.316 ; -; 6.095 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.316 ; -; 6.095 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.316 ; -; 6.108 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.829 ; -; 6.197 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.918 ; -; 6.250 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.971 ; -; 6.356 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.077 ; -; 6.366 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.087 ; -; 6.401 ; Bank[4] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.122 ; -; 6.401 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.122 ; -; 6.401 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.122 ; -; 6.448 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.169 ; -; 6.480 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.201 ; -; 6.604 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.325 ; -; 6.619 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.340 ; -; 6.619 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.340 ; -; 6.619 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.340 ; -; 6.640 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.361 ; -; 6.738 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.459 ; -; 6.740 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.461 ; -; 6.768 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.489 ; -; 6.799 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.520 ; -; 6.814 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.535 ; -; 6.891 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.612 ; -; 6.919 ; Bank[6] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.640 ; -; 6.919 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.640 ; -; 6.919 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.640 ; -; 6.981 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.702 ; -; 7.012 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.733 ; -; 7.026 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.747 ; -; 7.117 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.838 ; -; 7.137 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.858 ; -; 7.137 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.858 ; -; 7.137 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.858 ; -; 7.171 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.892 ; -; 7.177 ; Bank[0] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.898 ; -; 7.177 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.898 ; -; 7.177 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.898 ; -; 7.263 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.984 ; -; 7.300 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.021 ; -; 7.332 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.053 ; -; 7.395 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.116 ; -; 7.395 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.116 ; -; 7.395 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.116 ; -; 7.424 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.145 ; -; 7.451 ; Bank[7] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.172 ; -; 7.451 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.172 ; -; 7.451 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.172 ; -; 7.459 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.180 ; -; 7.551 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.272 ; -; 7.560 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.281 ; -; 7.590 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.311 ; -; 7.610 ; Bank[5] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.331 ; -; 7.610 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.331 ; -; 7.610 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.331 ; -; 7.669 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.390 ; -; 7.669 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.390 ; -; 7.669 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.390 ; -; 7.702 ; Bank[2] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.423 ; -; 7.702 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.423 ; -; 7.702 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.423 ; -; 7.796 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.517 ; -; 7.828 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.549 ; -; 7.828 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.549 ; -; 7.828 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.549 ; -; 7.864 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.585 ; -; 7.920 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.641 ; -; 7.920 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.641 ; -; 7.920 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.641 ; -; 7.932 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.653 ; -; 8.023 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.744 ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ - - +--------------------------------------------------------------------------------------------------------+ ; Hold: 'nCRAS' ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.104 ; Ready ; RowA[8] ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 2.196 ; -; 0.100 ; Ready ; RowA[1] ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 2.400 ; -; 0.102 ; Ready ; RowA[9] ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 2.402 ; -; 0.642 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 2.942 ; -; 0.763 ; Ready ; RowA[6] ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 3.063 ; -; 0.767 ; Ready ; RowA[0] ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 3.067 ; -; 0.769 ; Ready ; RowA[7] ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 3.069 ; -; 1.030 ; Ready ; RowA[4] ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 3.330 ; -; 1.038 ; Ready ; RowA[5] ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 3.338 ; -; 1.039 ; Ready ; RowA[2] ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 3.339 ; -; 1.041 ; Ready ; RowA[3] ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 3.341 ; -; 1.191 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.000 ; 5.927 ; 7.339 ; -; 1.271 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.579 ; 3.571 ; -; 1.691 ; nCCAS ; CBR ; nCCAS ; nCRAS ; -0.500 ; 5.927 ; 7.339 ; +; -0.367 ; Ready ; RowA[8] ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 1.934 ; +; -0.366 ; Ready ; RowA[1] ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 1.935 ; +; -0.363 ; Ready ; RowA[9] ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 1.938 ; +; 0.361 ; Ready ; RowA[6] ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 2.662 ; +; 0.657 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 2.958 ; +; 0.667 ; Ready ; RowA[0] ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 2.968 ; +; 0.668 ; Ready ; RowA[7] ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 2.969 ; +; 1.095 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.000 ; 5.928 ; 7.244 ; +; 1.195 ; Ready ; RowA[4] ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 3.496 ; +; 1.199 ; Ready ; RowA[2] ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 3.500 ; +; 1.200 ; Ready ; RowA[3] ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 3.501 ; +; 1.201 ; Ready ; RowA[5] ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 3.502 ; +; 1.315 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.580 ; 3.616 ; +; 1.595 ; nCCAS ; CBR ; nCCAS ; nCRAS ; -0.500 ; 5.928 ; 7.244 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ++-----------------------------------------------------------------------------------------------------------+ +; Hold: 'PHI2' ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ +; -0.107 ; Ready ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.314 ; 3.428 ; +; -0.098 ; n8MEGEN ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.314 ; 3.437 ; +; -0.058 ; LEDEN ; CmdLEDEN ; RCLK ; PHI2 ; -0.500 ; 3.314 ; 2.977 ; +; 0.023 ; n8MEGEN ; Cmdn8MEGEN ; RCLK ; PHI2 ; -0.500 ; 3.314 ; 3.058 ; +; 0.130 ; LEDEN ; XOR8MEG ; RCLK ; PHI2 ; -0.500 ; 3.314 ; 3.165 ; +; 2.127 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.348 ; +; 2.238 ; XOR8MEG ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.459 ; +; 3.126 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.347 ; +; 3.128 ; C1Submitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.349 ; +; 3.244 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 2.965 ; +; 3.264 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.485 ; +; 3.556 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.777 ; +; 3.692 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.913 ; +; 4.057 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.778 ; +; 4.488 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.209 ; +; 4.557 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.278 ; +; 4.825 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.546 ; +; 4.987 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.208 ; +; 4.987 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.208 ; +; 4.988 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.709 ; +; 5.067 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.788 ; +; 5.114 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.835 ; +; 5.256 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.977 ; +; 5.264 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.985 ; +; 5.343 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.064 ; +; 5.414 ; CmdEnable ; CmdLEDEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.635 ; +; 5.414 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.635 ; +; 5.414 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.635 ; +; 5.482 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.203 ; +; 5.482 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.203 ; +; 5.495 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.216 ; +; 5.508 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.729 ; +; 5.545 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.266 ; +; 5.567 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.288 ; +; 5.695 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.416 ; +; 5.774 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.495 ; +; 5.835 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.556 ; +; 5.882 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.603 ; +; 5.909 ; Bank[0] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.630 ; +; 5.909 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.630 ; +; 5.909 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.630 ; +; 5.982 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.703 ; +; 5.982 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.703 ; +; 5.995 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.716 ; +; 6.003 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.724 ; +; 6.024 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.245 ; +; 6.024 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.245 ; +; 6.036 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.757 ; +; 6.124 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.845 ; +; 6.250 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.971 ; +; 6.250 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.971 ; +; 6.263 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.984 ; +; 6.274 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.995 ; +; 6.313 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.034 ; +; 6.353 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.074 ; +; 6.409 ; Bank[1] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.130 ; +; 6.409 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.130 ; +; 6.409 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.130 ; +; 6.467 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.188 ; +; 6.503 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.224 ; +; 6.519 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.240 ; +; 6.519 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.240 ; +; 6.539 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.260 ; +; 6.539 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.260 ; +; 6.552 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.273 ; +; 6.677 ; Bank[4] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.398 ; +; 6.677 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.398 ; +; 6.677 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.398 ; +; 6.689 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.410 ; +; 6.689 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.410 ; +; 6.702 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.423 ; +; 6.768 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.489 ; +; 6.768 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.489 ; +; 6.771 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.492 ; +; 6.781 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.502 ; +; 6.892 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.613 ; +; 6.966 ; Bank[3] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.687 ; +; 6.966 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.687 ; +; 6.966 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.687 ; +; 7.019 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.740 ; +; 7.019 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.740 ; +; 7.046 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.767 ; +; 7.060 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.781 ; +; 7.116 ; Bank[2] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.837 ; +; 7.116 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.837 ; +; 7.116 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.837 ; +; 7.195 ; Bank[7] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.916 ; +; 7.195 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.916 ; +; 7.195 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.916 ; +; 7.210 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.931 ; +; 7.287 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.008 ; +; 7.287 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.008 ; +; 7.289 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.010 ; +; 7.307 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.028 ; +; 7.307 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.028 ; +; 7.320 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.041 ; +; 7.461 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.182 ; +; 7.461 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.182 ; +; 7.474 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.195 ; +; 7.576 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.297 ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ + + +---------------------------------------------------------------------------------------------------------+ ; Hold: 'RCLK' ; +-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ -; 1.157 ; nCCAS ; CASr ; nCCAS ; RCLK ; 0.000 ; 3.348 ; 4.726 ; -; 1.194 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; 0.000 ; 3.348 ; 4.763 ; -; 1.237 ; nCRAS ; RASr ; nCRAS ; RCLK ; 0.000 ; 3.348 ; 4.806 ; -; 1.402 ; PHI2r ; PHI2r2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.623 ; -; 1.657 ; nCCAS ; CASr ; nCCAS ; RCLK ; -0.500 ; 3.348 ; 4.726 ; -; 1.659 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.880 ; -; 1.694 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; -0.500 ; 3.348 ; 4.763 ; -; 1.715 ; S[1] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.936 ; -; 1.721 ; S[1] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.942 ; -; 1.737 ; nCRAS ; RASr ; nCRAS ; RCLK ; -0.500 ; 3.348 ; 4.806 ; -; 1.772 ; CASr2 ; CASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.993 ; -; 1.928 ; IS[2] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.149 ; -; 1.965 ; IS[3] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.186 ; -; 2.069 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.290 ; -; 2.107 ; n8MEGEN ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.328 ; +; 1.163 ; nCRAS ; RASr ; nCRAS ; RCLK ; 0.000 ; 3.348 ; 4.732 ; +; 1.221 ; nCCAS ; CASr ; nCCAS ; RCLK ; 0.000 ; 3.348 ; 4.790 ; +; 1.404 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; 0.000 ; 3.348 ; 4.973 ; +; 1.649 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.870 ; +; 1.663 ; nCRAS ; RASr ; nCRAS ; RCLK ; -0.500 ; 3.348 ; 4.732 ; +; 1.667 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.888 ; +; 1.721 ; nCCAS ; CASr ; nCCAS ; RCLK ; -0.500 ; 3.348 ; 4.790 ; +; 1.732 ; S[1] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.953 ; +; 1.735 ; S[1] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.956 ; +; 1.822 ; CASr2 ; CASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.043 ; +; 1.904 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; -0.500 ; 3.348 ; 4.973 ; +; 1.926 ; IS[3] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.147 ; +; 1.979 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.200 ; +; 1.986 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.207 ; +; 1.989 ; IS[0] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.210 ; +; 1.995 ; IS[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.216 ; ; 2.108 ; FS[6] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.329 ; ; 2.116 ; FS[9] ; FS[9] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.337 ; ; 2.117 ; FS[16] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; FS[8] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; -; 2.120 ; RASr3 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.341 ; -; 2.126 ; FS[10] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; FS[11] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.347 ; -; 2.145 ; IS[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.366 ; -; 2.147 ; IS[0] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.368 ; -; 2.157 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.378 ; -; 2.161 ; UFMD ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.382 ; -; 2.161 ; UFMD ; UFMD ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.382 ; -; 2.171 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.392 ; -; 2.176 ; Ready ; Ready ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.397 ; -; 2.182 ; IS[3] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.403 ; -; 2.204 ; RASr2 ; RASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.425 ; -; 2.212 ; FS[12] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.433 ; -; 2.230 ; UFMReqErase ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; +; 2.117 ; FS[10] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; FS[11] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; +; 2.126 ; FS[8] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; LEDEN ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.348 ; +; 2.127 ; UFMErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.348 ; +; 2.138 ; FS[0] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.359 ; +; 2.153 ; UFMProgram ; UFMProgram ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.374 ; +; 2.154 ; IS[2] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.375 ; +; 2.216 ; RASr3 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.437 ; +; 2.221 ; FS[12] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.442 ; +; 2.230 ; FS[5] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; ; 2.230 ; FS[14] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; +; 2.231 ; FS[3] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; FS[15] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; FS[13] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; -; 2.239 ; FS[5] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.460 ; -; 2.240 ; UFMErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.461 ; -; 2.240 ; FS[3] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.461 ; -; 2.243 ; InitReady ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.464 ; -; 2.249 ; FS[7] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.470 ; -; 2.250 ; FS[4] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.471 ; -; 2.251 ; FS[2] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.472 ; -; 2.378 ; PHI2r2 ; PHI2r3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.599 ; -; 2.379 ; IS[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.600 ; -; 2.395 ; RASr ; RASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.616 ; -; 2.401 ; RASr2 ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.622 ; -; 2.407 ; RASr2 ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.628 ; -; 2.516 ; LEDEN ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.737 ; -; 2.534 ; RASr2 ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.755 ; -; 2.535 ; FS[0] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.756 ; -; 2.605 ; FS[1] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.826 ; -; 2.646 ; UFMProgram ; UFMProgram ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.867 ; +; 2.231 ; FS[2] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; +; 2.233 ; UFMD ; UFMD ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.454 ; +; 2.240 ; FS[7] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.461 ; +; 2.253 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.474 ; +; 2.274 ; UFMReqErase ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.495 ; +; 2.283 ; UFMReqErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.504 ; +; 2.284 ; UFMReqErase ; UFMProgram ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.505 ; +; 2.289 ; Ready ; Ready ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.510 ; +; 2.296 ; IS[1] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.517 ; +; 2.300 ; IS[1] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.521 ; +; 2.306 ; IS[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.527 ; +; 2.357 ; PHI2r2 ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.578 ; +; 2.431 ; RASr ; RASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.652 ; +; 2.435 ; PHI2r ; PHI2r2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.656 ; +; 2.502 ; RCKEEN ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.723 ; +; 2.530 ; n8MEGEN ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.751 ; +; 2.621 ; FS[1] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.842 ; ; 2.656 ; PHI2r3 ; DRDIn ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.877 ; -; 2.659 ; RASr ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.880 ; -; 2.664 ; PHI2r2 ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.885 ; -; 2.671 ; PHI2r2 ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.892 ; -; 2.786 ; RASr2 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.007 ; +; 2.662 ; PHI2r2 ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.883 ; +; 2.664 ; FS[4] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.885 ; +; 2.688 ; PHI2r2 ; PHI2r3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.909 ; +; 2.704 ; IS[3] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.925 ; +; 2.710 ; nRowColSel ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.931 ; +; 2.753 ; RASr2 ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.974 ; +; 2.759 ; RASr2 ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.980 ; ; 2.940 ; FS[6] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.161 ; ; 2.948 ; FS[9] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.169 ; ; 2.949 ; FS[16] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.170 ; -; 2.958 ; UFMReqErase ; ARShift ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.179 ; -; 2.958 ; FS[10] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.179 ; -; 2.958 ; FS[11] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.179 ; -; 2.979 ; CASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.200 ; +; 2.949 ; FS[10] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; FS[11] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.170 ; +; 2.970 ; FS[0] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.191 ; ; 3.051 ; FS[6] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.272 ; ; 3.059 ; FS[9] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.280 ; -; 3.069 ; FS[11] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.290 ; -; 3.069 ; FS[10] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.290 ; -; 3.143 ; CASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.364 ; -; 3.152 ; FS[12] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.373 ; +; 3.060 ; FS[11] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; FS[10] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.281 ; +; 3.065 ; PHI2r3 ; DRCLK ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.286 ; +; 3.066 ; RASr ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.287 ; +; 3.081 ; FS[0] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.302 ; +; 3.105 ; Ready ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.326 ; +; 3.161 ; FS[12] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.382 ; +; 3.170 ; FS[5] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; ; 3.170 ; FS[14] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; ; 3.170 ; FS[9] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; ; 3.171 ; FS[15] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.392 ; -; 3.179 ; FS[5] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; -; 3.180 ; FS[10] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.401 ; -; 3.184 ; S[0] ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.405 ; -; 3.189 ; FS[7] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.410 ; -; 3.190 ; FS[4] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.411 ; -; 3.191 ; FS[2] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.412 ; -; 3.205 ; FS[17] ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.426 ; -; 3.240 ; IS[2] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.461 ; -; 3.247 ; IS[1] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.468 ; -; 3.255 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.476 ; +; 3.171 ; FS[10] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.392 ; +; 3.171 ; FS[2] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.392 ; +; 3.180 ; FS[7] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.401 ; +; 3.198 ; UFMD ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.419 ; +; 3.245 ; RASr2 ; RASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.466 ; +; 3.252 ; IS[1] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.473 ; +; 3.257 ; RASr2 ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.478 ; +; 3.263 ; RASr2 ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.484 ; +; 3.268 ; RASr2 ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.489 ; +; 3.281 ; FS[5] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.502 ; ; 3.281 ; FS[14] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.502 ; ; 3.281 ; FS[9] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.502 ; ; 3.282 ; FS[15] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.503 ; -; 3.290 ; FS[5] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.511 ; -; 3.301 ; FS[4] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.522 ; -; 3.352 ; CASr3 ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.573 ; -; 3.367 ; FS[0] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.588 ; -; 3.383 ; InitReady ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.604 ; +; 3.392 ; FS[5] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.613 ; ; 3.392 ; FS[14] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.613 ; -; 3.401 ; FS[5] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.622 ; -; 3.412 ; FS[4] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.633 ; -; 3.429 ; S[1] ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.650 ; -; 3.443 ; InitReady ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.664 ; -; 3.478 ; FS[0] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.699 ; ; 3.492 ; FS[13] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.713 ; ; 3.492 ; FS[13] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.713 ; +; 3.492 ; FS[3] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.713 ; +; 3.492 ; FS[3] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.713 ; +; 3.492 ; FS[3] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.713 ; +; 3.492 ; FS[3] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.713 ; +; 3.492 ; FS[13] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.713 ; +-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ @@ -947,7 +948,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sun Aug 13 04:10:21 2023 + Info: Processing started: Sun Aug 13 04:50:43 2023 Info: Command: quartus_sta RAM2GS-MAXII -c RAM2GS Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -973,17 +974,17 @@ Info (332146): Worst-case setup slack is -99.000 Info (332119): ========= =================== ===================== Info (332119): -99.000 -99.000 ARCLK Info (332119): -99.000 -99.000 DRCLK - Info (332119): -9.193 -97.128 PHI2 - Info (332119): -8.818 -246.083 RCLK - Info (332119): -1.245 -5.591 nCRAS -Info (332146): Worst-case hold slack is -16.888 + Info (332119): -8.541 -253.391 RCLK + Info (332119): -8.503 -92.361 PHI2 + Info (332119): -1.149 -5.683 nCRAS +Info (332146): Worst-case hold slack is -17.185 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -16.888 -16.888 DRCLK - Info (332119): -16.289 -16.289 ARCLK - Info (332119): -0.679 -2.377 PHI2 - Info (332119): -0.104 -0.104 nCRAS - Info (332119): 1.157 0.000 RCLK + Info (332119): -17.185 -17.185 DRCLK + Info (332119): -16.694 -16.694 ARCLK + Info (332119): -0.367 -1.096 nCRAS + Info (332119): -0.107 -0.165 PHI2 + Info (332119): 1.163 0.000 RCLK Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is -29.500 @@ -1000,7 +1001,7 @@ Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings Info: Peak virtual memory: 4677 megabytes - Info: Processing ended: Sun Aug 13 04:10:22 2023 + Info: Processing ended: Sun Aug 13 04:50:44 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXII/output_files/RAM2GS.sta.summary b/CPLD/MAXII/output_files/RAM2GS.sta.summary index 256737a..92e1319 100644 --- a/CPLD/MAXII/output_files/RAM2GS.sta.summary +++ b/CPLD/MAXII/output_files/RAM2GS.sta.summary @@ -10,36 +10,36 @@ Type : Setup 'DRCLK' Slack : -99.000 TNS : -99.000 -Type : Setup 'PHI2' -Slack : -9.193 -TNS : -97.128 - Type : Setup 'RCLK' -Slack : -8.818 -TNS : -246.083 +Slack : -8.541 +TNS : -253.391 + +Type : Setup 'PHI2' +Slack : -8.503 +TNS : -92.361 Type : Setup 'nCRAS' -Slack : -1.245 -TNS : -5.591 +Slack : -1.149 +TNS : -5.683 Type : Hold 'DRCLK' -Slack : -16.888 -TNS : -16.888 +Slack : -17.185 +TNS : -17.185 Type : Hold 'ARCLK' -Slack : -16.289 -TNS : -16.289 - -Type : Hold 'PHI2' -Slack : -0.679 -TNS : -2.377 +Slack : -16.694 +TNS : -16.694 Type : Hold 'nCRAS' -Slack : -0.104 -TNS : -0.104 +Slack : -0.367 +TNS : -1.096 + +Type : Hold 'PHI2' +Slack : -0.107 +TNS : -0.165 Type : Hold 'RCLK' -Slack : 1.157 +Slack : 1.163 TNS : 0.000 Type : Minimum Pulse Width 'ARCLK' diff --git a/CPLD/MAXV/RAM2GS.qws b/CPLD/MAXV/RAM2GS.qws index efac7a5835e0b93290f46f49dacae4ebc2a35e6f..acccbd5272eece8206fc452046b64c4a2ff6b293 100644 GIT binary patch delta 142 zcmcb@w~}juHY4N2I8{cb$v0Ta89z-9V3lKJo}ACBXv@IBz{Q}z;Kq>2kjIe12;>3* zs~v=9VEFwX2*4aD;{*d(fT@UqfpN)XMaGkp%h(Q0-ov6ic?nY%6BFlT0cI;9CQhK1 W1c*u&D+UH8&drL95sZ@!*i`^*9wnv# delta 351 zcmb7;y-EX75QV?FOHv31vDS!)m9B1#s8|T8M9@YXt&-JbK@bi2SBUruF1NC@v`D4( z7FKE_1Rp{h-$2&0@d>=cIm{f+nL7_dAC=pgx&!7o;hZzN18$OV$Ppb*nP-~@TP(27 zj(i8SNz&Qrn?SKmu2`W)%%Th(>f%t!vTRH0YO1yn$`P?IghC`&BqdSB$|Qs2_5aS8 zDDE%=Ybuxv{1gO12JYgq7wlTqH6*-4xgz~u`iACj&1KDZ{?lDL%X}QHj+#=m$aAf- wVUCez-LD3sRv+>Hq)$ diff --git a/CPLD/MAXV/db/RAM2GS.asm.qmsg b/CPLD/MAXV/db/RAM2GS.asm.qmsg index d0edc93..2e98dfa 100644 --- a/CPLD/MAXV/db/RAM2GS.asm.qmsg +++ b/CPLD/MAXV/db/RAM2GS.asm.qmsg @@ -1,7 +1,7 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691915194082 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691915194082 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:26:33 2023 " "Processing started: Sun Aug 13 04:26:33 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691915194082 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1691915194082 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1691915194082 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1691915194348 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1691915194363 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1691915194379 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4662 " "Peak virtual memory: 4662 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691915194488 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:26:34 2023 " "Processing ended: Sun Aug 13 04:26:34 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691915194488 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691915194488 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691915194488 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1691915194488 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691916603004 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691916603004 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:50:02 2023 " "Processing started: Sun Aug 13 04:50:02 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691916603004 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1691916603004 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1691916603004 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1691916603223 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1691916603254 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1691916603254 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4662 " "Peak virtual memory: 4662 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691916603363 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:50:03 2023 " "Processing ended: Sun Aug 13 04:50:03 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691916603363 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691916603363 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691916603363 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1691916603363 ""} diff --git a/CPLD/MAXV/db/RAM2GS.asm.rdb b/CPLD/MAXV/db/RAM2GS.asm.rdb index b611751a42b062562ddd8e80de95870330c5ab1d..2e6801ee76bf4121d326c742f34935a2675953b2 100644 GIT binary patch delta 532 zcmV+v0_**#2B`*+Pk&ef000000027y0000000000001ci00000004LatW@1^(?ASw zV0?^k(1d^|Kk>Ha(so1YThy#Yh%i{H3h^>!ncGF=k}h{wvA=%!;@j3%qe2Kvj^p2* ze`lYaY_(dgC#3gDt$1E*n@p$_%98@?2E66rdG`o?LAtY~Z-40h4k@v`By-IIiIFm` zF9^F;8ZysDg42I-nsXihCCPOzuLxYq1+~u0nc5=sTbRTyV#QDa#CDe+u%z_5BCgc8 z1m4h(u*5pmcnZV3KxH}pbcl=RLz*LDg`XX_>(~-qwFM$vLJO&!w8#v13`AFr8|nc;!8r#AF#AF*OD3()g7Sni&LL z5WW7M=!-6NM5oK!pOHDD`&4w5@FkVV@B<4sH^=^KGJoT0zFXh|3pglst}ixhx8cK7 z=7pPj`-Rcv!-U!FGB=U^r)2ixPEY(82SN;bH@mpU>^~%v@cc4hbB}PEnnJ@QEsV?k z9l>_|n*3l*IC5ws|HsrYovmkMrnZ-##^DHz!aA!?5jgNM8SzVq;6o7Xhm1WUGdRQS Wr!o>4vI##ax2<;&!fybRQv%gy3kDGY delta 531 zcmV+u0_^>%2B-#*Pk&be000000027y0000000000001Zh00000004LatW@1^(?ASw z*kI!uCWL?|Kk>HaqhUyWi<-3v5e7?DAzr3i=5`VJ=yG?}_Sb_iKDM?R6+&2Y9RKe8 zJNxWpyVL1BBE3gy#BnwLrN^q$y~EQs>@O| zSA^XvEtz8?!Ro&_?YWl!C&_g#dju}!f=cDpOl}kUHA)j3v0|tIV%wqzEGfONh%NOs zfj9IctgsOZ&tRArC=JJ-4zclkNP8r#@Uvrgofx9uZGjjUd4_N_S9x8@KE!?ZsKU6( z7wE=yrc11pbbm*=`k+tU<0uJ6sXO@cDRz_S+r+K4nrn43H3{0)?!2CE%GIp>WmDE` zum!AkAwem{3^#Y-DN%9qT_MZvMJZ=y>{!+&ObMkWue>Fb>MWxprn-QA8ovr6Jp;c7 zUf2%~`n?bWFX-|1CuEN4J|$fxd=@1#ykp?@=GcEpW`A7I_X}KL0f&{!)zyaWCVVK$ zys%SmzA(Ccm@u1J<|eZLn9RE*2)*xP-}46H%`WaS`@3XPo?iw`?lDe6JO z2sY!_<_BxSkwX*tKc6(*N@i zDgNj8zusvH_D@;(`HPD>{xYb$_P$K}@~9v+r|UiiZ+AkIB9N?P{cFUsJ?wk^&^tI+ zz;!>kN-%lvL)-GJx(nq=e2)$*xJR;~A${1--G{eYJ7CZc(`nV&qtj4H3ywrx^gbT;=}q@y zPXWQhIoh`D7C5DMW$Gox0o>jUnsPX>$UrWC$N^~MJ$Q6nq;Om0aMQw*?vd3F194Txypk`~4 zmA(B|&oaiiIrT)9?{Xa42BI5sdh*z#ahlnq;@x1jBL9P9<8A5gk+98o>o>c;$~q{9<*_^nfvniL>3w)2$4 zZ&bk`D1RGO?9VebQy>NQ7DrM-BKQ#xYDoI{oDn;5(x}SvC~HuK)Rr2k^CQqpMasVc z4aeJRCnCb8QZVNVX2zkPL$UNR#_J*Ww{(L7lrM3h!W7ma~}0i2;-6QIPww?LW`1g}n_@dJEc?M25-X>-yA*H*trk z2<`SikZ=MnAFtX8b`mF41T!N$$kl9R!q{WnHbySHTyMqhzyel*XFl&e>rPa?#&@|X z(e;|}Wv9f{YrK~w5?il5<_o_8pw4HXn5DGZFyXBp0NdcHiT%eR=Xs2w0i;tSG^aO5gi02+4925DeB7ugP+)5hP z$2QRBsnciEQh{`Kp|c72UQ)`1dPaE5glK$w7mrP(k}_PkcXpR@IC#VD0Tfd=az5YJ zSBabFSBr-kL#?MG!=7K$o$J5r+D+F@$4BR=%dJ{2sFWqEBrMrHx%GYI6VAeQ>8Fln z`NO)L$>_8c*8J%q1?UemOTg8?cM;Xh6T@Gl1c1Rcz1BQ4K&FOng`03>9;dRgieI6O@<_;d?<7dakaXVU&#z=3lIBo$`6`u zq54BraTYvNVLj7OCp$k%{#(jiR!&ZW1pW42z>}%(xdxyU&v=i0`i_t3e>OY_;u7PU zQ%WBdxz7#t)wPuSgp+9x?$vF%=OLaPB4~+<(=FCQk@w|*f=+%g5eTa3v%vKF(_|>J z+7;DphiYF@TnH04L%}(I>4GOb2j5Cvr-_VxZdko4e_Hmj>xUh*Kz=IR!)@7 z90l7S4XQ&EuncnKC8t4%WjHBhrb)0KSh8y`t17O>lpx{^Lhs1j6tf&E=q^4pI?t_fgi#xud6g?lj!f6m=gQDub-g_Lo1+j zeS(<^*p~A@fz4bjtl7idkA706m*gVXc`N$1?%-ZFSk+j$duI?vuV=I(kk`aLB)unF z4~Z&kZ=inRZD)|_AVLt2<50mchb8JJuU|MWN+$3)3RpZxGx+=ti_$sO7L@*+IiE?w z-<_uRFn{TEHbYnSC2H>~^NbWcG>c)6Yv$e8gPLFUk6D!_-3XmYH&d2=ytr4BU)NIw zctWKUwm;v3C0)}GIe$ONMpx!D|B2C{jLWv`1WmuA2<8ekTJ0Zp2op_QXJCFo1BGFF zJ%{&gngB(mmDO7s!okCz=W^|OPoMQIdt9&7{*#KMYWm#jVVCjSQ$$pcgn92)6}UB- zH~VhU)8{c+#s~9zOHq>r>UV{yn$X70>eXz^EnC^{DEw@pmsUYp=-J1*Y1ugrNS5^F z;6Ws<1f(Z;*Gc|}kxMkDM_l^T+?|JU!jo{1rFuZ>NHA-ZmygeEI3bVeV+YDg1M?}H z%MdGZiTcm=;`d|eaLOr*gm>v<^oNg%Q68S)En9%_l&YShzAwvP|3b%l6o5op`--S! z&p~!htb$@ZaFm#_{zqZz`73uD)Y}iD$}>F86(vl!nLPy+9GzZOe=RWCIu3d_WcNFj zmlja<5>acXw^|nRoL8uq`_)Y_QhOkBT|_qD=3;3K*X_dAo?pcW7tzv-f8^5DW2Y!G z>;@~Zhe8Z;NcD}f{4zJqg1{)1LyLVMis2)nNR<)j#9h3A;AqX@p3aC&`2r0Kt@WyE zEG<kk@xWr zDGz7N6=jwMix)!4Ayy-SRk7>=qubq{-b@T(2A( zkF>fyZ?hlydp_(qW_yh%^F_j^b%0Vh@b#EaZ!YhB>KmQ^*5~5l@7`{&c&3=b6L}Lk zG1M(E@W$`jMnqiQ_O^eo>B4=YZp!^l&7N`P@ds(e zW|i-(zvmsp8-pG0&HIzA=r!!Ve3{78!gHozML%b2MeB$}PjG-JPY*+=do+eMmcK^T z`b^D7*$Gvm6SiAsLedY%0GWB)zOMeYhQ+f*t(DMd5)OYCJRR2b!{$$VczhJpkDT4@&Tr_qX;7}+n!hZNO%qdnZJBaf1S z!!0j!brB57F^>o3V7hUj@SU>m(Ej~-?{sLZL!8yMyUA@R~D8IU+7A+qpxoAZGQ9@?&sso4Sh7J3t1-yCERs=o$6v_6#UlI;wszk2WVBCCCY?o`Qp!A=_eDS_K%c{ixN z;>(3R!xdtd1x5JAJM7}~0_)C<$2bhG+w4y7iN%zj$*~G_sA+uQa(4e(su#%jlUquH z3*xymBhPbx&Z{B`s(G|T;p3Ge4zVruh~77zcK06@+isKPu4y`{%%n98$T&qkqPJ0# z?D7o=w8)wP!n+LH?*!E?&@4z9zw8b2ySvYCZ!r(;fsM@rseGdv3=Jl#@Fr7H6b*elw zYjCu(W2GH-{g7&%xdd-nZ{*ov)M#o4p?jB-s@V^Q>~3ldm6$I~g$%%YO}^;`-@eC% zJ%8~#sl!l2bwsmI#uHspwdq!yd-Ltr!5Y#kV#z`X8S1Koz95b>yG;~nzc^$vU0k0F zGB^PeNs!N}^UzAd8wCdWfeY3k;HVLwQUvJ{dNyO32KU7qbGr~T!YIZq(ngX?*~lZ= zF|S8LLr2L)#|LI<2S?#Iy|_T9F7erFRJU1=Dip48Ui3Ps!<>SIsum5&f?kB40f?bkWc_)D91$ zA?{Vb>XMNCB5S>UREL50h%l$t(o}>OvR||=*R8K) z8dIM_Le|@t>ztqOYeE)}dG7^yZEh__Gt3=)PHU(qq0|fOJ%}SdxQqlYEYOJq8iFpH zE6JK8>&AeTLfu5AGcp@lybv*%`6^o$$}T=I{3C_j$(DBPp#k>_(6Xmw&Qlr?(UsBj z8Fbtn^hu+8q5pE;(bP{)=DzRsekZ2{H*`?x9j7IJ7<=*E#jipVftnjpfv_H&Z$QQt zq~4(Z3mJrC);^UmXw!iGZJGtb-s%%Qlww>Lu%=zAuUq~(VV_{d=8|lWf9KhKC?P=| z@faGKU$ir?gXf<>Gyf>NLqSXWLjUxccT2>4~g1b`w zk&_Z+{R(!teFcQrMfK@6uNAjDX7?nff-nku(Z$eHS419&5UHrq$5j{^%kb)eTfX4O zeK&<}kMY>z)H-0;u0(JI@#Ox<7#dwYKg;5)hmLAG;r6XO3OCZZFtgnso2!db*sO0E zQA!>9ZC-P+Y;z0-`ql^R9IN2Ft|&xi%W$x^QjcBr01C0RdORdzy)_eCtY}rp68n=p zPKW=6h_N8GY{XcAcW#${LoXxzAAC7HAGm$>fg)q*B`(*KCZro>uig|P3^?Z0AsCK>3 ztz@yB+9fytDz0cku>`IYBBb&BEyuTu`Q;dHQGhe!NYTM0{?u;)lU7quft(4UgcpCaizRC`uo zQN`*P5+S=(9($+L!IHu2QHDbe@kK1w>-?U_bBws%V&2d%LS*T=ts-;R)RAnO;Dd zJMA)EjMX>kDIL6znXm7j++k3{9q}lo2|^VdZa@j9-!JHU4!>IZZ1?AymI!a9qV%mk zjM2+0+|5Y#%^DFHW(OI&V*37;y<5B50}Q+ArHqhHqUN!8XKJ@6JdPgCcmd$!?Ia}4 zA|RhfPXH~hPDt!eP(gZYiW!u`2)CeCud4#b*_4Q2js4ylJd@O*FJ^4N*Ktj%^6J|! zWbBhuj-}@c3xBw3oR7mQf(D`rA1lS`Pc^^AYN=zvo>6TgeOA_rf2{`jfbV{REz~(~ zU#nQI%XhPU-tt}lF!V4aEX=X;t2@@B0hl2%oZ>qfPS2+ph@IgL{a%#Z^7U=Hjab9b zh%DQT;+ZD-ek;A5-!twFGmw@xB>}o1#jkZeiUD`fEgZz&-jf;8JLZTpb{+_1R16{a zcXa4s7%E^ZM9r6bhSbg*18KyM_)?UadJ{7gK+Q+nUUF-^*z?9SV?p~#8>!2H-Qsk( z<+rhs7xzmLvfga1rVWdnBJUdHhVe=dn^WvzCafKw3IpL^{aZMERe7Boq^1wvB7i#A z?ayM`2&d3CUr6SSpL=q+JZun=&G@2hI;^W0VHU{n) zWsm;STRaZkyA?LA0X2>*A3*@kN~(XW-0OfTV|I9rz^Ktl_)HC(xCfEkA?Z@_F~>E$ zDYFpHu%}pFW;t$M>m=6cM2yV=^ipYb2#y}@!GDmn##;Roa`O#JD__O#Ab}F4I=l9TB49QLh>Vdn>;J~- zIW#T8XW|$mBY~vpl!Pz`^D=QKC{{8sJOu(hibKlT0klO-gWS;AN%6q2H%ESV!^gZY zEVQ<7N5zbp7!HB>(KYZpXR!@4LX%UUx9}I2_kOvxfpI8tGi^t+-M7Na8%`Z4wbCKh z%I#6xWH-!@s!$(mpze3+#AeJ?8q0!349H(tX@1l;LJK!9Uu9_jVEhRfyKMXjag{-G z_*~l2KsjY(elorbK!t^evKzD6;J+%=;Z8AY+Z8NanRA^Cntu7PwF z#?6Hc(xdVyR2n4aO)G;e!(3lcMF0hiBF&#YP!4;yw? zU_ZZ%8VPb=B;GWO1+oS}N7PC4x-fax1N1YPa5$G(TmT7J!>$4wcJJsfG0QrACe7eV zT7tNptQenAHqncO^Tg%7Kd)d6Vg5%C4 zc^SE?2yPcf*AJdF=>9q4rMqM9>wFw6^x|JaoN6F$`k`6Pvaou*$`F)ikv3JnsaGq!?Tm)5 zJ{{V8_++QrRvCT1Q=c=)8=}a=p}rhZ*>r_!ITeBF0&+xd z<;4azqdd(0pFxA_Oc;I!m@p){(YU`xPmjOdD9w5*i9m7Af(re8t7L^*-6gL_+YI^0 zJ}!{IkRG?Zh~w5%6lQc+1~noRA|2+qmYEu1A46KqTeruq((H8q4PjKBJbZY}=gWNj zdKA2cE-p~dmFqHRNynyqRmvm#0?&b#O+@m?vB@kvtmTuE)FS4k;)!((+fTv!Gz;g> z(vtBl>84HP%>>W>82bE!-u9oFUZ0NQd}Nci%vFnB`==ieIXRmX!p=@ds^=S@*bE`R z{g(IK8+>5&27LEX{hE;%{66p42`A*?pVu|cEqr1M5d{f0rCB$<{4|(f+X4&18%xxD z(^a1#{SIp{sPvv+xnM9xww`AA=y8)0;FdMq2d~`6mVV|RYV4^}jQjmydxR$bb_Q{9Bj+^3?E8lrOZUap${z`K?b(T8 zZ@?kfUW`Ahd&C#kX%-My?Y{^hT3E_9MeOxd>_YNFYf&Az`>=Il|Szmr^`#z473d_44W^}>z-xB zl=mn!jvMj{aKdUnN$EKw+aiOp^9tH}6?W}YG-`G2Cm2ZrR92{s;nGB2kC5YJ`^Ka0SH)n&tn~Pqd(i}B|v0MI!NK+u} zq&5D8$ACL23A~gde)fd12P5-++V=_QLpOGqk;+hZ=e@2-N0vver-+$}CLj#`p5i&x zY`v~V24q<`cOS8OBnau zEL|2|ZjC3blAGos=Vq%%6xx=>9$3N8Rs7c))hTJ&17g5Z_=L|M0eSqPP=r$E@p)j{ z!a)u`{j@U@wk5%!g4D?RMlqJNFGYkC{qYTs7$9KQcsZ8-g76s*!qmJXVmGjWfVs}* zkq2|wdWiqSGUClUUd%-$PNzchXo@>04jK0ZcXY1AW2P)q4m5IAs-tObmlQ-I6O&V8 z-lz+wO@=SRz9K~TNO>p5%wp6i>lYL5JT}Y5l`S~aL2G`J^^R%*Jio6gBPR6)IA3QR zNMzDRvF!$q)%@GOBpmcEt zv&>*b7`-c7rTwXmCASnswd4cvJdEXEMLg1yKa_LZ^4$(qQ3rZ5 z>4a-cOw0I8*c^Vr`%4$A2Pfg7K*XUf-;vkz!N~yz17QU_b;n3z^?GTh4YQjK4~XsR z7ta=n{Va{mZ=BX5`j3T^DQ_*7;#m4p^XC5eesw~);!+x~o_a0z51p)-xjZE^z}P8? zH*@O7?U1o$fv?pL=)jaIv-x{3Zvj0)y%L$EppMnFTUZJayJe)6s~INq))p9P)uTn_ zFjk%;>`lI5+kevX(1e4i=b-=n3>m{U?vrv{=@_}FwF{XjG4ZnH7)hoZRyO#y(-5pc z?^QF!!I{XhN*e~%vG2ZE%zoK_(vj_ULQOZj|9&AuvM2Aaw}IWkq|y+!4hXxTYx6ak z32$j9D6RUq^j<+JI8X7X*s#>kdKuBP&AM}7DD4Zg-S4lay6HVkt=E`oEO;mA7g1Cr@7nLwF>NR?sHktS}W|; z9To;-pT1%p>lA)gTF(oqet^|MzpP0a6n++_aZekOV>4v&*V8hs(BEnPTCX@CDCJQa-Zp4Z*+)vbAm`PWKl zX-h15IoMk3KrgnJ0}!^VFee9|k32T3tj{D;tWSy`&wvq?6XhU(-ynD8+R!2+7OCd; zcR~KqH7Rm7!^^-wqZsM~e!FRp1k8pQTADievZ0k4cTe12UG~K;GwSSMVNujpaWw-I zIopw6DeaW@k*i*kt>HQZw1VpPh+=F$f45!2zvIm5Xqbh0^h>w|Q(2sqc7Ru#+wdIM z4{Bi(=|5h%(4{e`*4LO0T*ESiC3DXu(MZuG3FGDc3s~rxI8iOvV4JADg58E#SN*fn z>mNud&vV>>79%nb>_#dc*>`?0?(-_V2aY99vk+N|!Q_$KPBjlZsC>J+a=sTun$;e@ zbpL?5_;>GTzo>ymf+1>t8EvI3=X^9q@BE#ak0RPh__6p)lxM?j$0pF8Z=e5H;_SQc zXYrmQz-B+5@a3z3{FRT-&ll!Xj5nYi?(*;A!V`8lR)=ZlZGs9 zl4)&TAid}F(4Sz{ySF3 zWba=?Vo$~c&tCfyl6rhMP>2gd-V6=i2e!2NK4uqnqK@On1*?$L-gPVE!P_a4ygs4; z^`pX2#Y2M=uN1ZY6E{PdBoeAAIm2xC)w4Wuq-Bhs=!kIjQ{x9|{@Vz-bs8Rp_)qKQ z9&Jo8INiv#eOo?y6<(_kiDZ2@BiBpylN+t;$*yfz_!Uz$euNU@Wqok*>95+}knluLU(v$`AG|ZKf>v^^ z`n)hb(eo>s;(gaZiQs&comB*A;p+tts2sF%s7FJWWLD{LbdZ;^Z{DIam1P#ia&q>M zS`{mao0j)?FWxp}zq=4z`7LjGkfS2}=3U-}h?TMK=bEW14OzXe4;)@SnTe(tpa?wy8xMEY6@5T-Od^l<_r6f7q)KL(2y9SiSMh4Emy~?r^O7sWje-kdNDb7pC1f; zz2^&T<}D|eiC8e22YLn!{XXIZE^odF>r!|mC_2uG+aBysQF(E4Tt+#Q3Gl~=xAvP< zbR;bOB%9RVb~Z~O&1^H3mxIGSZt9wo9slJXxE^9Fb)Me(KEnA>R&aX3f;RD9FpHq_AGQX6EgL?7B$`Rv9v|T6 znFK0ace~#&5na;OAxOudXHR}`cA~bI$TvSZ%#R9E4JfxK*}apR-y8rPp1W3T$A86% zl zkcnnHBJ9D4n{0}E49j5l=wfN$=G=p23BtT~%)}1lVtzFyiwiL0Ocw2pfHa9lB})v` za%V_RDI>M2=W=D4R?rBP*3GtKi&?_sm)T->oF%-uk+Rq z` z%SM&heNBx1{aZC~=ha+t&*FqlG5DR9pW)D>%JiWZUojY~-U>Ho`okB&rR#1-(C-AC zWUb1+%e~C9bvv?GbV-dy`-u6QCpI{r-Hcn{+mY?%!2T6-voALR@%885M_xn7EjN^M zWxnsC%H`^%+#gd&q*2T5Ri^dR`a#Ah4s}1-7qw3xGkzlEW|fc zMr5k|0T_3_ReFkmw6Se3dvoLs^D{WpEz;o~vyK&x{G)C#_c#gvyT|tWWwOP3howTn zd;{rgWl~3D#)I!f_Ut+0o`D=7!@1IBm*D-nN;n~sz+?b#cX!t_QEbtJu@GT_OY6)lGoLcdG zJ8HP?huoN?rjz>}oF6e=AeCzU-E@mUZfp5ASU{Nl%D!yGczsXs8G=1yIp{?F#(U7Q zuSLFVMOO}4adY-Vh`}xR~1i?|y3cuyVoe6#Pjt^fj(|Vgn;&d7JGAgr?aYzmV zc4o>nCH@OabpjXitAk3#^V~TH;BFIm0gEQXZ{Ez zQ`(T%Q{x>bOwLl3*+tq_!2~Z&By{7)>KpZU-Pe=|*4!0@peHG&!QEsCSO_`tcFN2|q3OI~TVijkkQR3F@F`IT)SZ-? z#^@Wtu*%;Vg6mr0`3JvKE}dI9G7SgYnmEQc0vhisrhjxCU|U_r!Mp7EV_j$nt*;so z;oNBlh4Lu$k3=*!tng?DkfqgN_X;?Dm!VL%Ax0GwvR6u4YxDe(Rbm5OUny`u7~WP< zEREb%Ay{J z;2ow#hdJ+Ttdqmpi7dc=Xw@J}s6t6(0TbcyMe>FCh47i+)ScUbIfQObo&TAg_?2Szt^R+`~tkbyPQ+2Z=yq1`f*VA5B9_=<&4Otj;m?z!zt=EB>iQxBB^wV+(2VwvTj@ z*p}U@4h$bApG;8!!oVosH&8ILu!mbIU%nT9)C?*6N%mAHWCFf8smAck4|?`Tzw~Si z^Tzl8+~B}ldtZFD5DupgCJ>Z2$$|0;*iE#H$QHP{lY9|MXxj(Yoa*3rkgOU;J#gkP z$MarzDs#BMKDH07vRK+aqxZLn-rQ_Wo`f)Z1zSo_T?LV4ui(}IW12;CUNbE4x3cb= zbyFzZ5@rnyV`Bnj#KKF_XS`get65s3Bgee9F&K0hf!afsKU_*)G``6Zetr;}o=>!B zKRk$33~#RZO~|{*V<*Qbkdc-xvnb6UWaHN{s|*JQ-AW#qkY$H#*WQN3ZP+3*M!L@D zOu+pX=AzV-ToC%pn&A0M18AQh2SXqM&JiK9Kq9z@{QKx-PJaDDgL&S9hA{2#14LsO zV0y7QwN$1w8Xp2!bmW9%h9A!}ZuC$u+1U_E%EBM52ggiN(Glv(&|xhQ*~&1TgJMxw zWbMRW?!FQE`HLq=4*cv<^F$$d1llG-7L>rF>ze5=^qPrQ+v57x@eZVC&#@uzXIO!~ z`u;OIxyku;yHE>S&lo-XKA>}opNvv@v$}aC`t|}Ios9F(K;N5O*6da!AOn_nE3ezR zLW87Ej`QDHLF)<8@_m+gwJ?`c=n)?CzN~%U$Ldf%PX>7i4a+oIw zi$G(~qE49)5I^Opz|HkLZVhlCU>%OEId`8Rg98r1Xe>At0|}VKgg2W&4V*raT`<=C{qnCA$$P8=#`W(tTE&4d7a;0}VJFLJjxCbpLq4gD7p zi3gpQM?v9xLp#UOLNMiaCM#$L#<%16?4~XV$EISQqwt(16n_dZV2)v7p^4$=$kDwtRJ@-j?tP2(kY7f8EDu+fXT47PfCr(Z$F-l!QVMfQc;S4fF$9R%< zemG$qLf(R)BX`w9U8LK1Xl7{@z!`YrPyFx>=ONG-=HMy$^GSUycY{B4V4?1#*IQ*1 zAC&?hlhw=I5kEJKt_vUc|2UAa<8}IzZX~l(qdEwz=?EU)r?y+(H|j0d8KEzR(8JvF zPJ+EA8RF0;zT??pK2|sL16tY^vWvRF^v7tE^;ennm({QK92|wxq&_Xi$eZ91EYwBB zn{x2ATZ@5S8m-b1!TtW%@Xo#c=fc_amM7~_OOhk`&Sf^TrRP0`rcIkGMHrzThi)m! zy$~%0s40ZYFwW{g{FXi;{TxtMEsvHC_S9|l$r>~`4EbK^ZW#X>Q+s{->3cH2ubafT z6RJE4BIph;w@?j0E$-UYsW7$Zj8@=o_e#u^6ulgoI4Jp$!*@vBMy*{KY`x=-QSVZ^ zyKHm0{D5tZ-tR&(kzR`J@?7FWDXq)iiGEUwmm!JOQUaHy6PKjIXdYySzXB=%WwKzR zy)^ITs>CSi2U<)O9}Pn;kJo&{9ur?E4xA(O2=1rxXFo4#jur1wwShw5yMt+bg3`MuHxu#FAQoiWTx@G;_{qA(yLbJ- z8I2YXFA{-D6qOOWhq&-Dzo}a4$Jb75X+YOop2_ zE+hL+q{7%e>GrO~0vQP)QlX@3!xcvA0!BBDsShpC_4ZJ*CzltN&YJk+7*<4*vd(^o zA1Yd2ZMWE^VHC7@#gaCudmj3)i-l|1A{$Z>O=)h}TdiToydVS3hCYEk7vL;QU-^3k z;T@Itqm^h&bz?B3buj)wdd$; z;Tedc-WS<3;Y!^2S+wQd;trVU$+p=lVPXAKk4h`F@YS&?&-#UDVdZ|UlCotFMqa-8 zbv9lp?R5lD0Fg5G+lH(juuiL4ihBFzyJh#1rJ$1Y>u_s~r~bMf{{%ki1W4qpN zNKjGQ)l7+`>I^RI2I0;#PcV1JQ-BY2_ns@0*vK6$xL;&P80E*%CLnM+oy6K02IeCV z_Yqq9i6K5w06UqbeR7_lJ~I-x1tD|$HF*01xO!(!739#>E(Bh?-9ZH5*5+vC9t-~x z3pC5uYPFwx-O?c~WRks9RBw_(BuK`J>U8^Ite!30YHv{SB~U-VFI$aP2_?c1SkA6F-#2? z;Y^l%Ub(pidx(V1BM%IDu@GyA033K(at2Gjj3RG{fCvG`g*0t7%~sEZdx@Zv$e^$O zTm=`cXhfYW5nS>}8EuWSh4wIgZ^=7AE&`e0L(b2jf+l)=ioDTOS;DZ!Hx;FyW@=*5 z%NaG%!9WSbnkV>)5qm3u8I6BEX0axE!o00Ml~5Tdik}DCM*hYQ)ZGtGIGge-s-n63 z*10eb=NP9BA#WIp`+ob0T|d+Clc}wP4v4K+Jkiz4&tpfOa@-Cm`?VF-VBUUJe=0EC z)=#Ik+pWuR8F(FTFG9F^#%GkBJZ257x@9G{8*S&1jdiW_ zT(O_XZ{;S_2{QxzrTKrB*vW@i&fP3w<@*~kA2*JuhybTPZR#tRSNL@#iniUn>DF0g zP`hn88m#BP@;is>BD{(58|K_8!al21DIQ5ekl&KM_xPs8v5}z|=>F4zlZ+#?V-=;j zfbE7h6<$J|QG*mZ(z9|DOah*wYLK7O;gU54?7Gbam^$Y5~Ir5x<_0nIJdH zyvKPN=iRz)gj;VLU3#bR!)xA{F`sONy&*SQ63xyC^mtK}1Lpw^Nsdil-OnA8yJReW zHp=88wSW6X$lyiMYx8ySq?02Q%N)C~ox+8dpS7c9=L~rJ`s?+@ltI~8TzF;gWP0Gf z&dM_P$O*!4AloYzVj@GsQ0FM5O7>M`NhiJ%A-f;IW88@C~^xvyUbCV-$R%$D(PGdpE)U#X7>i#NNTok4eWkB zE3^4zeQNe{LsRt^2g`awxjaodA@j^a!#FogDr3~*j@}K$At#|g12Go70*8?qN`KN} z1Ic9-j}b%qm&XSl@gxoAKIu_s_A=_q=LXb(T|*Ypav!sh+mB*Z3SqvY{)X7|19b0b z4>>}_LPC>8mMm*}Tkk8G4l;gzf)PA6%Ns1PG`Qczq=Nyfza_Kp<)~|HfdcGX)?x4y zuYT!;+{chLwfzAtvpLn<>OZ7&1M@3RcqT?dZYSoW>?ZP>S=YnmYx@@F^<6kd6_p=LvXz^RzphRpj+4$#mJtoiAg?W*4xO8#2uN6O zC%NPt>5N{fP$$ai991b-GN^gx zMJhPFTHSXgC7^QsV>qecb0XmB7RbrMO$4nzE#D?DDUs^Z$TPn_H?&1N^pJ~>uPU&< zm(G5HdI`SJAlY{p9PT%$-GH^llVTWE!YCG zZJRtwPT)>@^>=6+%6K{X&+@CgIX%%?0rYbTu4y}PH5LofXS-hMU#0D}SJy&JYRQWm zc7$o6A3uVKII~KiaCSsZ1IenzW&$Vsb&)ZfVz;COjkbWX>tynmfss@T*eIDy^TN6& z{KcX@OosOj>8pg#p|lJ+(A;#Q-8hWkrtp9r#zXtQQ%NZt<7aj5aTIoN9mh+`II{L8 znKL_a_;-hY7`{|mV18ou<}F32+D*^F_zPJW7ei8$Jtr{7RQ_o+_~zsAhi%P0-7D%{ z4puik2_iF%S2@-@xw@Y@vz|4__i%Al8fi&fj=SK@dUUUfYw5;*q9j+`#Umf6;U{^R zSfl9euNZ$+->IYggMBA6Ka|FbezP9rg21wW7JWft*~ci59uNE**k#$D>B_Q}cs+FP z!Kre#tp->sHpha->hdElD#RM~^v?L^Eb{u(9Ib{mQ)X7Ac%ZjJ{b>nNE6G{2SIO~7 z9B9hJ$}_s_&<7JGj@UDr@O~5pTH-u!6T&NeIQ0?a_M!52YqTCIQAd&fr|a?!YD%6c zX`0CJ&33sHX{%2HgqhNUgUKbhhfCY}wta3G9yn8F`UqvrB(E@ft(g?gSYn=7OlhAb zjytH)5*k;SMM9o~(paL}hZ4NL3oSa)Q8yWvS{GubGA7x-+z8KdOAor*Znbu-I+tBL zo)hmTDR6$oqM_UP*-<#}O119odguLm$LgbXL_G`a;4b%P>$wo<@JrLQ%E@sDYq<<$ zE1;irA`mx}YUE**o*BF7*^xF^;y68_ye>Cgl-?oQ$Bz@@FxFo&U#&hX_KUUuopmWG zhoj$S>Y88a$GWjgBqC1Lrt%Qk+AF8MkoXbA^e29$J@|d~stWF#XxM4q{2M7*ktO=T z^2TohX>;}qK`mcwb8+>20)lHaJy!WhW}vW!A0w6)A5Ah#sO8-acugundp@}KqEQ3g zsCYD}$#Zy34hw0zZ+@*o{_HvW=gRSI{p5Y)+p-IiADs?O>gF?U`hj1Lyz2IfXPO=1 zkvgwq`eb*Ba!H67^aqRCl# zipa35TPq5G>Z9ykAto+zgiaOlwa^EYD#TIIQ5u2D=aCoRpJrHlWBince#aeY*sO_W z{}ks)6LI3}^O@nQ=)gqD^!PeGWoAmBGnU<~`@F3$Feof+G|#8;^QB~Bn!MG_wFcf` zB)16#wfVfs6ShoIZBakdExd@{qR=v(Yy0mpj0gO1cD{ivE$rcO)@r)L&p|I>rNnWr z`E^3g@BBN~NkM`ZrzKH}ymHKsY(<}}TmB8p7+}?0M-PStE%2XbbAGE}FLk_r_VMj* z>UeT6o8Kul>`S&rwJ}%n{g-zIqDJ^!qSPB7x>s91ux~y{m_>E@)X0bo9|qi9Z8Y^0 zh<|yvdQ86Xx61AKT5k(sLd{xZF3`b{(dgV>TozW_!$2djG}91;H1+7zT;DXbF*yp#Sv>CN@%!iPkK1YDt$gO7%P79>{(ah zW~4z#d2zfs2WM_pNwiS5DMd-h@xfLF>W6pU55egTXsir?%ShG&lI7vy4* z#SL2HnBVokknemJ7pxv2MlwUMsj^v#31+A03p=yJO&pZ*4>#%|ah9a@5BfXs4{-s&oDURZCs z?>~VQU4l3*(D6ykEjF8#?E7@%;!IZbsM|FUhr;Bt!#<8oExN0mx0hT}`sV2Nd?Hpn zK>k4TJ+P39`@a7Idd2e1wB!@3**B$1v=g{%sn3HFtKUoDO4uD*>-C<>=cGu7j~Lyx zW~PvzsY%A^4lNPz0N?`D*^%an4MReYHF~euR=QFmgaaw;Dx)7dR+Y>FDAT;_pC|B> zm*aT9|E{=zGpYSvBY7wr-T1vhWK_>vnI<*E@5}O%dyZr4ZP!!8pW)sjV$3>&KYO#J zNv{kn`N5g|t;t0!4Ah1->7BCTdU>xcpYHg6(Es_}i)FqYXdjf?jFy>pBV}tlBArgV z?`Ua{Eyus6k2^}TH{bE@l%5M5z~rqYyE|Q@c6;&&F7G2W9f!1`m`J|kkSl4dnmQra ze@ykTWvRpYZkeF-^H#bWrSJXCP0_7H2*ZRehGI_Ld)RUI%ew&z1dtIQ_v(-IP6-`Su$+~~0Og!0 z###D>@BLv_Rp<=-gG6Aw-An;nL$efX24P#tv%H=oG+y8|`-g-$Nt&{`n)F2DPuYOJ z>29XLjzbo-pY8izO<#%I>kmyeZAI1|Ix^hy6{}tOSLb+2qq4$%lDYqd1}@XzNJD%<|>bm`)=@7t;G$ z_0AXWC-%M9dACy{em3W9Y|v`5o5kR0A>Ei%#1^6Q(d*#Vn@I-!>uIv_5)?Hh&xF)J z9I~^3V@@tM|3$m`RRz0qLx#hc&Ec69Kh+*mVO}s=pwP{w5VuawI z3+`J02c)L6xt|Nha_J6^QBRg5_P{lZnmxu~TImytH~ssh>)^QDo!*2yv-VcP>>{-7 zdU-!t>}E=}p=GP)pM{u6i$9unHJeouuAdw8)no-dhnB|$JR;l$Yo`eIl}Pg7n=^ml zTMR!`7TrD$_bCF6m)N=d<8*4```))M@ZtzSH?i^l(0KCjX1K*U+I;0Aa?50fLUhut z`!S5OGuf4D;)X-B_mGF14&{VHq5bUZPZ@)YJoBShP&z8D5z!Ck%h^e*AJA#Zu$|`h z(x@hvI47n#NsV3wnL;1O%b_VUo~Ldt3{y2H>(mL3q%ODP+gisFvRcgj!?Nym-cJny z=fV|v)n_e#pQNFplrxN&L*_@GDvb4(rzt)K3|jK}12o&> z$${x2DeG=R){;!uFGMAL#w81WOL+AIa>kv~_m`u*ODL2c1*&DVW#kSRZC9%_@9G%8 z)lSj*A1u9PR2@yzHhL3+g#ZD9yAw3HyL)hVXXEaJyGw8h5Zqli!6mr6!^S;qoWt{e z=ijU~Q){Ygm0Vq2RUH-h*yTJM>a!2h!};sNM~aWuINYhos?@ZHJo&I@tKaIa951>1 z1Cu^*aLDYGbve9+d^t;ITo6=rAwqF6Z7*A`T&dW2=wloK{%dppQ=cXj?V{WsGw-?B zO@OZ~0}k*K^!14iTK~1m^eGGE*df;96G3Sigq}r4Ut|+bTYRtu8dQ=W1XXp9lzMCMNgtqjG5Ap??s`GE6ouFDZT{ zlM&Q)yLOE&lmV*|ddzK6k8t)VHU3FM22TY|$sfA(OX#aQ2RP7WIaIr)?{y$h(!^+v z93<-?xF`i%T0BrUOgRAnm~CVcN%1S=Vct{5Ugt#=)M%C|T0>214rw^ z;?~rc*U8yZ;*ln_vpJxdTSK~Ax^QUns~Wrp*hauiJn;=G^cNk`ubdOB-0wtOh-|Ml zA^PY7G(=o7Eo|)vwO^8sy4en8G{=X10AD+2-u={X%}S1?n&YlW(HfVs7MFIPTZ1bE zO<@Pc$6xuc0G0EtvUQ*2m$T6^_w^@upZL9%0(FJA&!Wn7jti$du*Cai>*d<}8Kb#t zO<-AzqRLEl;`87h51(UUoa(vI18K}jPFstr{f%a>g^X19&ut(>K(X)AOVKn9I8KC2iX=A%kYByGZ>p(x5zEYjLgFm?qbRV;K7&mE zRMiy9lP)MnXICzdQj*QKL`e@gwLI5rg(Ex`#qJjE1llw=7((pY3z{!eJPijnLIXhu zKUqEFIc}BV2G1J}>N89lj?ajJmcdY0yGhj6K5%nh)1fgdNea%69Y=9aY7kW>{o>*! z7xU<6v}{WS1Q*aGwk)(Z0IY;PM**N@t;`|=9$-4qYX za^0M|k^QTH2^jO>$)EH*gXr@Cp zyV^a$A?s{S5jkAqY4{nZGPTJs((u9UPQTE4M580@&Q4RpIF)qnu3qb0sEd=EVK4us z<$ipxGu5$x2$aKGf>>;qTeP;NzN+2SAJw12yY^7C*5DgVkqZFp`W%QV|1Fc|RnJ(1 z1$xZev*&KYt+n3%#^HHMvrZ;2K2%x#5F+>8>7|7&Io!UgdP!CJw0$cXE@$E=qMWly zM0)H_ARGT?M&J}iNkg{aV9{kBRf@@Yxi4lRcBnbsp+D`@8}U!EXsa%`>UwuZkjs56 zzT~$8N+pDVN753gE}gC}#yWyvWcw`BBvUVxaN!i?n7501mcw&_lY=PMlZ2HVKCV^# z$5o+-2v%m_eY?^kc0i|O{RGA+sq|E-2b-oeyW zxUi2pHhO>ELwRr5g@uvT-ECDQgpM@EG&CoUIn&q3M%n@DJ0Y$`)C3H*sboQUrKnAo zglWhgiDm|7NK~y({B+NKaZ2;ivYx`1(MkQa0ZP(I$)}~um~NaNB-qq#o#HbYYX^Mi z{DW^X>1oP>jQ50MEGB|V`l*>9iToW;>61^cb$k`11VhOMUl#3RLSnZw3W7hRyWZjw za2u3syZr(_Zd6TRId5-=?42m>Oi4esShF7ym$sk!!hi{|Ai7_7C5Lu=qYt$d;?c1o zH>uMPvq)rQ91j6MaA;gV22s4F&a-EIF^jjdKNtH0Q_n0@!?tI(Z7Aa;i13$2_R3sG z%MJ;5RW`bene#r8LANII)oIe?go9DT%G|jCo{J3liHu6K`{F<1tfHV*9?4rJckH<=`oR^pZIR7WUu3 z7e!xte`A-*wXqb3RDKj(gGTEr-9K>%X^c1OFhexH-<7MATsX7k zPH7dS?|HmgrdCy^P=M~fZP*wePR?ae^+W?2%DE-nkVw-))8%$u*YZ;1m;s*D@g35` zbjdZ3u#1BKO5V(yWphp<5{lBycXV=y#H$)yh#Rn!QX6uYH)^ria3eOtIORx7h_;Q7xvaMwBq*z|osN$Ff%vPqa=4Hn*l2g6#F83(!KKfxuO=ejW(}D}nuO$3nyP0(5cjjV1^t{zA0ec!nG|d>FlptuVTDanH>2!APl3?L+D=q9B5|lSmxX z=J|s4(>Ad>fxo>AQv!n)NP<$14e;jNv86ex?H8BpE z7*q!i9=(S0o)Y*x~((n zU1PJE=Uzk01)1NH%zOKQ>^FQjQrQIT--aT63pZB;4H zDT`C7%(2_#PHIxY`vcI1%?j{RSYwEy{+PjN&`^pMNqZac-WoWGbfHsVtJm7>RW!KH z(Ci)HV_p~CRBnQ;OZ%8+<5<`&?AT~3VEm78bgox+1*?~5I{dr+Z_@j;o3Po)gyqZ1 zQ(%H=k;>b+PvVF!Hh88u>8|$lk5^xN|C9~&8MtsHyc2&5V0%q&^2T7>wxqX zBx~+MD*ge2TalzzJfQ5THath+r^07vmk@W;=G}37w(s*9ov-h?6ikuta`1+CNHe zle5)eqiqXL=SaX&7gvx*_W#!{IL%@xABE~(k!f8g23($Tv|88cJDTK=MlbISJDf66 za+HRHLJGT24x9PWIWsgrao12cXun=3j0SToME@MIWK~_xH)S1D#_8VAqZ%7cY6xNL zKofB%Z9mLe5NM9#JeQ-y>MpCvoEG>_s%}04SHEY$77>=A)<17ET~|xKnE!n`%~&)Y zC#0bi4G8@2OLefLrYmctkqYCNY)g!|sAP`dNgUsBmyMK1d5BjK+g~>NeDg|0$S2~j zwz}iBIB;5-0n$F&`_ODjgZD0KHG$w>xT>L_QP?rz^zUp^eR%eZt0L|v zxoGs@P52L*UfOw`{%lKOuA!nLZZuhN@7zD+6t0VDXk2n2ZFk6Guv)5j53)L2KF1gZ z0}IY;4w3E}j^_yK<5uIcx#jr}^ZdC(rD3)yM2`bpC_h^8=XuX=yUSyCHC?da7K9wD z7?=;1wKPuqRf%l$a9e8JiH+4b4;hSPbKLu(ZGj*?cX${$|1^CLpClX6uXMOR2cW`q zsM=K@fkY)q1<4q9rcg}pdz!z;%g^rxxK_Ccc+bfsX^}-kLpAs-q;ppx(#(rjm#?DA z1}RhHPc#x zlT8X2xy!h!VG5#CEUnc_bJCenY_GR+=}^N6brJF8yxMqyQ~a7v&r+5mdk`Q@_{;tZ zsfib;kD({WLQN0m)}zv?K)ZiBFR@0XMrq zCZ8yLPeuvb&6_pFov85`_yc?C^gf)C7BjXOL=%6`5Q7k~9!hLd?3VWa+qY5Vp-V~j z3yod4!#6kMP1#S;9yimcmp{lKO)Bn5*%}jJKi}y;2E}P;cklqGTsQwLrnQdl5ir3$ z5M-d^#9r+4#OHaMMECM?u-s5F0+Fr+9`k7;PE3MJO2}GQl;yx__sWsyR;8J%`sM`& zlY*0ll6ix-<+z@Vv$%+}dbsYROQgV!7X@L)(>+``$8M`EVf+GjHI`JTssPkNBbw{( zlC#FN7l~I&&GdbUSAVAe=ZsP*^W?%*a?uu8|0<=Xnhjj5W_`ZB6$XRm7T=!vL))){ z4iXhwr)j{|N(#A4Tx-9;W?%Vs!_!@GP)06vUP=0zD!a1SA}L?_RbwuFdf{^n_*L-EHEoj&XKD+$MQcru>su+1-`Z2O7n#`mS}z#u z+uzOw8(4v|Ot>AqBP)-z!XbViol7weUp~HrHJ~28rNPt|>)qiV8H8(Ea-}NZ`qWFp ze2bXZa#%gJyW6DFZc1Rq;p?Z`w?%=MTx?1o* z%`ntJ%AI;NOkD_0(f)P*HB?|F%+MlooeF2m6&sM7EsUY6u;7UkILT$5+$no#(&L=G zE)L!2;62c`RhhP^nW;mq;nzKySh}Aq42%6*8Iv%x6o`rEz+EX%-AFJsVg`+;AlsDy40hf$OG0U1Ga}T5jFU=IoDJbqLdB(Ix~%)7o~VCECkfP<@~!%B{s5oNp)5 zC0{G?vdg2@+jg6Ir&;brr+I$twu*Lb4*~f-*l)}uF#gz%k}76{IAPx;sf+~H49|bN zT+&66szYY=HiOWAn(6s5T0%%G_ZO%-?^(OJ8d`TiK1Vv|`!W|b|M=ta@C zL$UPV9Frwgj!OM`1eS5MAm!V6TEo?=wWmTV3@UM-O;m4F=B5wj`(!CnP?Eu21pvS7 zwMSM#nn#CPB=+td#oWd*RcSq3_n)>eX3(GIH|@BTXQwv(3AQl2tFMRyIo%lvzy9jkzsG71l) zCLH#gHMPx_V$Zg0maJo;uk>a4@f67Mm`bC(GEmR)<4~rtXev*Q%>jD{B{&OCF zgrR7r!9||yZ4~p=Bt6xlz^lmMk|p0M_eBTRQyXE63(5NRq^mj7YQKB?t^nijODVM`)+m@@<^qB1`Jl{3AbU1*gZ+ zJ?vyXS4y|R({usxi|v2D$S43)t!}KfD?V;yZnihwLPD@x!}^DX_V3KWYuF3rS1r*^ z54+7)Ra_P8OjT!}ADgXSmcEWc`A$$wDloxW_Lm4zOn+0!yk0C#{th(gChDc6l2v{q z;SKE~s>~x@XzATD{i^cW#O>Z6jZJG6u5PtgCvDXsvPNo5&&p2OQ@0UVC|f<7(ebEq zzya|swlTufAUH^H5KxTS7cR80^tw%7y5nXWdb9Sde=>|uZ@avy8a+X;oL=&;cfCml zeFQC-x7e`o2XUw((6;m}wvU}@PS}Hcq*A^*f&Jf@!c?)gPXtWtG<(B9erb-I50%IA zY!jT?+m1h%-HNU0Ny&gYg35=OlM~%P_=WM97A*n)T1VWFwN_O#`}|@n>|sDjTby{l zYZaebgioB5V9x1JQl;}!XBwre{n%N5vmH+ge z@*I)-9K*2@0=DrJUk%)kzTN(HVDMKV5Lg(&6KIq=R2&}4#d@opH}a(rl~=)ktsnf; zDj(X5VGQ@!hrwfOqz8{nLAgnFOVb8B9fA-h%0}y^9rSVhid2L<_^o~MNDc+mxifV8 z+alqW>oDZ&B>6vn%97;#FYRy(!jxQ+GkahySY(C1@2O`)ivh9&81qNpr88AArqFAU>B|BXL|%tOJFTir}q5{8N61=__yV5z*fIdp>K za;`iHm;;?5f1bd){j$3kidekb#M!|e`q&&h`Il~u{ZRqvdK+dpvd|D zmIfrQd+lVVfFXD8ZBDAgixF}q#f9$_8)+YN4mzE*D>vtjQJ|VlZGh-C90m=V4Ew0q z8FpBa!bS@fCCTh|$m-XmZsx+x!Gt-N%vqh$FNJD@_m2Gb1ckDK-Bgq}ZSRv4vRvTF z6&hp(kMGn+Ch1OAsL$Vt-oys~iY5IUyyudU~bGcT-`+fWCORG9NSZjk=1lGJL^iA(I5+(UUfpFp{9N{av?r zp_DaRRxZ7z3H^JsZiBdF5AKQMV%Pq;t=$3=Ge$wwj<0TSY9kO%(QE(g){7B_p4}(C zUp`HO&_1fV?zX^4KADOd-7iT1ZT&mb8nPE9DP14amPc)0f&4T3O{g@fZMzSz zFNVbL{T*M(YGo43v%i+yN|LL$I>uG+r#5rZ)9SN#cC-IS7pcycd|%6UPKXAe7ds8U zbeVKSu*`Ng;H)Su;+ysl6skAgv__mD*-pErQ~jX4EPXLu;nq)DcB)1_fc?cw0 zosa)pb0Q&D^;frV6znImXc0*`7{NUuS+dSj87NIgl?j3Jh;>kFU)HJ-<4i?VdNY+c z*s?a^#I1CDK zVy9~dv+kR^GesUZ^KYJRpIH{1itDDpZD*Lo^=x4rU%s_S_DfpvFsS}P>RiJo;jv;h zj+OGrixpDV(%OY}I85=( zj{vZDa9;?f0|6>6xUt?Qr9NS&UT8dZnhQhlQPCb0z0Ai!wX;tzZ zp1{orl8%t1pf9iwx#(bplQWL|^SA1XV|iB(*m&Vyq)xo&@GDOKhNN$(6^O0NhyNPO zA<3`$&%Nvx@+gcro=j;e3Fvnp^@~^YJY5}Ox@-9pKAD;!zG*=~YhSG}un{rze-1PL z$3$7M;x3?nVEcgxgL%&=6EwvxZfG1?`{RcD(uD7SQYaF?;TI#FHAgA8-^fRIa=py| z_k{q|ZmALG3+g2ll5>u|@f&83$2>X(eFl-L*rSxwT#4-BjWU6Ne6P4P-M-C$Tvn>C zWjR-jo?~QJ|LFV7Ik%fi7=+51g~8hYiBOA@SKN0DU4J28Xy|X1)8#K}`6!g!QdLj{ z0&kv2Ni{PrC`3y-`amoA6we%Ghx~sZy=2Xm{VYG!t&^zWIP_N%eGaOVOyZ6-TOd^( z4!U<8fY<)Y4cNMX2*-~HN6*_~Kr5~lRt6*{Bq&QI0}FBG7c8v5tx?LYa$)oraDRS- z8nB_~3tYq%IgO?;=zq^OnD=~{LeZkQMV525Ch@F$x1@*=D|U7o&VocF{RL9uf`QJD zpPyMY4JZlJ_a#kW7xWhn>nCs*gp1bjv-9$aPQ$b*fMdz6{fwD^o>rIBxbFX=j!pn? z%&KW~BJrYFV-)dE->&EvglDbv>qLt8-WB4!Yf-X9-mB=#d;mM^e(VPU0pmDB3Cwn| zq&2bU12!mzTj(L-1F4YEh$*aHN2SP`_~%gdKQFy+JXpEvz=!G;$Q8r_otbP3$PepXPEtHm9Sa+s($5N0b8?^Gpnm1 zc|&4gl8_Dt5ujf}%63ar+-6YX-YeUUmb-SeYWoc|wD4=Kc+~NaI_%592Nyd>H8`x$ zaA@xOla_s~cz<^$_Hb1Sl*1IZBEBmfh&r)py)oQ^AMv zO|zn=i#I`*AJL|pK@&pO4^giC{G1rF7ASEpj5jZbe;JboPeAD$gb0aW7%S10s8MD+ z$3OF&7U+$b#?Kk^@i|BF#z@7d%5uc7ML6-?SrzzuSwLoQAHqw#kxSOhH=n?P<`j5T;Lv)Hc1Y=T`^)m{odf+I`6*Nqn(_4-8` zYX`?m^Yf^*=8RbS@jET)fyIT0Cz{!2$$mtWsdro2*;|O9?M_^ZV4>HfK0gBJ^YoLw zDv^IaD_V_pOu=%%(ka-*IzyqgncFub9wXrw8Uex~pCiI7l+j_aR|(^nq8>%wf;)fw zU=yrHV;p?ojHyX0sQ{UcAHIFc+BObc;*|CvMr{!1M4Yy=lGe+|3ykA%u5wGquPx0C zl#=T03K!6gg`W4IR{iuW`(pw8xne|L;c6Lly!T)e)2Yp)X4{*z{;}pnZ*qN9|Iv?! zc)^U}9(d$?RH0zX9Toq7xTd>kGL~N@jLOOwoe%#-KvM6SB-Hb@qc>eW(pOM_A)+0_ z6A{+_Pga8sHN#KOD(SbDj}5J@BqZNU$kL2~b9;q`}P*DMZcVWd61yW@IeCWJBk}*E zbL;+#pLntr5X}*w02HF0PEVz=x%bPLy_bo($W{BDqBRJUUl>xDz#@>Tyn->Rm5iup zL)=dxD6u^wSGAI3Gzbm!UZD1xy{ixNP9_v}_SuQB9U}_?l;ppVP#AAYl0OV`I&A&o z$>Znj#qWMu4I3xCI@>H}<_Ny+HXc#EbWqgatsx>!&^2Cb1&s8}K0OiH$?iP6{ruK1 z38oglu|Njxd2appww8rLAjkjR2Rxg9^!}i*&a5c4t6N|l$~__NaUM7PK7+_b(?@f_ zNKf8UAksTg9f3JRT+4La^#7qxq(_uTtwl!=JH3?_=_b6fkv`*&i8P!2Wyzx(;64x;L7^W;sbI|JogTIUzu$$Gf zkZBp~WgyL5NJUZ_zEe*m^|(k~ zk0Vg(RHC~0?qhYx;)2g>&muB=rVOVc_R;f2NKWW0v7usFfJs9}D3=zumyZ3vM-=)&Kjb8De#7j?y`ZfMBCGq5s=*xB*qNx)rq0$Q5h@djUa_V{Iwu?y*khhN{m3twvi2P%^ zfmmULMC_k$9Eq+8Map0{k~4y7lsg6vL0aa;X@Msik|`_!k0b}lmM|2$b`2~D)cRb zAx2tc&l~2kwc=Z3sIBk)p}=VlP^rJ{wn&7dZ6>|ePg(31Byh9BoeHP8TaN8lZg9fI zrZ|}68OFt?VH$<-xjuqE#!LtE0d1(R{Fk#%OU$1lRE{bcy<57^xHkQT>2stw@=iUa z@B;X=_-0Y6JVqGd?LhE2v;nFEscyJfhUP;1ECRG=+Mcrg77T>IpfeK4P*+-4O#i4R z#up@{#b2tY_H!Arm$7Z#8Cu?Yfjq1!`X4cV>>I$9Y>;(ure}Eeewa-1J?)Ab4o8er zHF%D47yrf#HVu%JMqMgtd?}w``idgX$MeCTzx*^1o*EB#1V|>V44l$2NKj2o5Q(E? z7qySMAN)-Is-48ro<{jIfq-!6e(0rN_u=sUdkOa8SLtYx!XX!Qwb#aG5dEx_O(e$xHkl%-&f7$sH&d=%2Y})dTKeE>rE7% zq7ePiK-zf+`VuEKUY^S~Atm#~XI!a;d4N7a@GH*Hzb6X|Ia^8v({HaiIO$RO@D`U| zkg{XL^2glH#c|i^j@U=qL6Q8I5jFD($-&hVV;@6GX@$?N8f%XCnA>2*rP-yp+SeUhSBh;@`(Cg{{ky$##zGIUMtORpz5 z(z+?bAQ8CR2wSi6hZRUYl=I}gIkY2GoJ z5xoqEO2f#_OK)yVv#Z7)~&N{5LY9mqM~vN{socno{Zmdo~*JF_F4+ zZXwkItzONn>n-NdriCq>Zo(qqV9bq*XwXBDRPjPMu zGk0O5D~%SJ`v^|6m-jmTCouJg5|(0MUUQSlgv{!GX=WGdcu16&YO?_~P-M4}-ckh6 z*uQ_<7;yU9S@~-l()CeUs_$g5l1HW{G!E}~3t^gDHJHICKTw8+i~18D2Yb7BRN*uQ z*N-x8hDRvx`lz`iY%)2%93B^T#9;T&V}A2kVhNJnbwc^antG(#5!k_4+nvjKZ2kmD zv|;@Bz93pENSsaZ;Ww!!k+$Lo$|f)%MgUUexTmpg`e)ghh3OM|;S*WZI_XrzA~2xv zXd#CRWsN%24M&_raS*at%a_SpliumISsPH@d7=GCeu2wwH1NL_{$q(Fc*DuR!W}}{ z=o!AkUsomGZ4H!Z>1~Cd_N3}LNHq)#_K$q*= zJk?;g`DS`B%N2Bi<<&`x4k#go&`c2f9Cz-jC`E~pcFGa_&-Kh*eLS4}ow-fguXvBR zGdYzkK&-L8WX1QF@C_=*Y$&QF{{{tbdISvyOZ4Z#5yCRC{H83XQkKdU7xXL@8jXv% z*Ti-Rn8fbZ)zj@Hvk7I?T#BXTH=*=g4`;9}GA+{)>y;9P^o{icJ}CkOpyOF|>D!F# zF+eHX@$-~*^$2Ko&Qn#i&=F`6X;GYQXfctr~VSsqN!GLd|5YU`XRZtz5)-k zU{M6LqHi%TFV*P*2^do3w&%g%GqJWf^F;4U^bqAG7gMalbl%{~>)jHtX^z2*%T>7< zcouaN?|~|q(%Fa^r%+raC$@D>diYAqAu1heIZaiOi6F%K4ljXN3F8`{%g|)HzulpM zPDZ2Eh=h6*#G49#-0*Y$^ONd}=aU6bf0-s__I(WO3JX&*1&cdv6iO{OSRbnf$^V}i z>lkm7M?Dx}u9ELPNO5Azs)aCe5zO(#xp**7mY~_VEE@8|)yd4B_<-E1iI226th(Ru zG;YsdsJI+^1V?V14%kzXn}kky=VY%Pk#eKDBl3SHuIwRtHd(BS{5M)M!ksWtOLG+g z$x7THd+x{s@2h8hN(rxE^KGZgo0v)m$<5$ejsgSGF5JKT=``=X0e?71bx?@()Md6K z*0+h-+tbtK6dZ>ik#7?tPbpl7H&}$?D%643BHqUVn1<(bs|>H z{+|a$&&Ba)ipBAtVluWg-LFeXhV!BX@M4vR89tzBFBRb9Gg1?Yvp?&_uPvo};w-9K zML`R{Nbj7PqFdcRwJ{Zk4YKZsshCa+z+sZG;QRmnhmF72qiZz<`85&4q;0ESfKa<`2h0@_^7=| zsjl}*{gCSUOWNMPYWi`Vv||Gl8AwCglL^y{`5vF*ToTdH!3&;NI92&q&5t$x>&nS! z)XOi^0qf|OtdWOT(-h42XBGE~7M<~R>7Cp*7J(t_Q%9r7f1c3mIwQRi!*q3uK0{VQ z<}q1_Q*kz;vz1PfkH|`&|FgpeJoR_lw#+f6cMGKyNq>v?HUKPW#NKgOrO&T>Jz2#b zDblqo*FL!5dPVGTw82jk5`v1V?}OISr}@B!|7UbRjGju~<9&i~1O(RHP)A%b;mmUc zeqK`Hf@lKLDHUIzmdO41&9RgmM$2Jmqvx~Cg^_7@SjY`G$wr?~3P7YBkDkoU2#=)W zWl*~rj-80v-%1E4r|$XrLn2ykNWb#k;6cAf#e<2zD&};ZX20LWJZ+(%bLhVy?^7G^ zs`m&HEIpSho)aJAkBW}D!mZh1!o|UE3`GbT6k1%MVE*}%mAv$)eReVTZd^vbm%TY6 ztWcDwurLa~teSTv8BooB>czP=X5Yz57AoaTd;G<6J1$eiY{^{bpm;rhSwpvv9#2!r zbp%7Gs-lVERu|4Ke|MNOQp^UByE;nvOX0E&$HcrNwW=Y?JvL7)GJ> z)E!^xBz-12eRwSxVecJJu>+CZ54+<3#BTFeyl+t!V}la~Z$eJ~zn@@S>52DADc-|M zH2hpq9o(11kEpkwBc73Jc06rmVGnZmBIrC`lhA~NdudWfA zDXOMCPUq7C0N%WtwZGlELbsIY(AcGym=%`cyW!{sM10fO*6Q7QRB$>Dp+`)9L-_%; zg9QyupxHoW(Zk|5%5xKX<8ZW+EM(l@s_|MBUuXBjkYA~k!D$jtH^H^Zw-Y6K@8dFC zT#@)i%6z;2bCxp7D(p<0hzO_nC;vF!&C*~KgA@T^KtnGNXO(U_%u8-OT4Jn{;QI~w zc%_W%eT3ByBA>{*z#xbGx)e9~U1YT^?PvpMd2gV?(4N2A;CjexB6v#f5bCcUXGyAt z;U?&wjfZTzzRFpF%_QC-QxEd$Mxoy*f)tK;q3X> z5LZJbTZc@nMT3k!G`p=(2t130sh^0x%p6br4bP;iP=#axyT#u6f1*3r|Lvs_4U$jC z;IZF%`W)E|rQRkvOP*PoeoIQYERneVBsr}teUIg9z+grhpZQEI%>dkbye6I^dRngx zVn+)H2?u^Kh#N(c>UpSzn>&@#pP}oeTRg zg7}Ua3W_H=$@ArF;icNc@}sKxpTBiFxERD9Qm{>ar3e@iJ@4S{GM5L2+=_$J_tjBQ z))OTn1@kJG1`_*YNYsvH6VtO_`u-7JNlPgpY^WpbBZML^6QHED-?U(c#x-4FCI1h@ zlU^yRzxAli3ek{%Vj4SnZq>NMrO!>)_o(WkKp&V-aJrfB^J53ME|0M`@p}kQgskQfjtf6zf2c4;y=Z_;LO{zbiq!?e?b}( zl@Jdy?fm_2ujD$HB6CnZRepM0>|k;6ZS=j579!|K(8jQkN#+U2S+_Gyq%6fj#~I|z zMd2p0nHl6GIM2}OF=b0e`)AW7`(DD21JzwB$gcz+W1}!T9%Ex=z4H$OhAm*0xEm6! zgZKkqAklH!bEo1=3-V;p+wxiZSvCn;JQ{hpT_{?RBB%1*REX{67?~OT{pPxY+5dKz zSpa(53^DX`T4lSa!>s9i-l6C1J4zFJ-Dy~pxc{465g-b5w=n*Y;+Uv`F1+qhj)vXJ>ctT8<26hTeLToq3Y^w$voa8wkpR~`#@6mY8{>a@Q zoij`m^vnV^owrxA2xMxu&kP}-3RTEY20d&*l;F#mS<{d0rFuU<9H}yH8fFqh_&8Hc z!a!PxP4E#%@tya_xNoca#KCd`;-|WeD9?HRN6Q)dtHpW1-Mrl-Kikcz9CH`%&8d`R zyx8w4{`&0a!w^{1!PO*f?dk z(!Zsg#+tlWxYkqox8atGP)xXo;sn45BK2nD5nn=NXz?PYd)_p1+_d?TN znTgexiEc{{D^iY zGEc*pyTLo<;D-A~QqF7sh7ZS!{(RtbjHZ(YoeBVD%`5D_`EO7+=KKQWv-%)RSCi?T z7Mmj%`Q+-rM`HEEr7Fcn3s2~3ljn-4=ryHP{bNwY-rlVP^k9v+$efYs2A+=`XcX&I zIKUopp8r!TGaI?c3qep9ilF}4F_ttNKVYJ^n{v$pra-QSWui;9r*YQLO4C}PZfyp+ zph~^c4BB{XBKUXgWlF8DXoDoqq^&1I<{0D;7?IX&;oEa6K`Yp#H@O>aI`ch|6EHnI zztpD~b+y~Zo{6Uem{;3cw3wX$V&=&C0Gy1Iz0&T|UDmgbRG==&<>#r{L$j>}@nRUxicFHx)THl-ip(BQSQO z>RS@`YK5!WL}W6C=pC0e=;y1FQ1{t2ECYUPK#RQ4s<1PVA)Wu)hSVIWNEXJdDI#-4)zlxZ32U zab^t=oaH6n-C4!Gz28t}NBnQ?vBSo$H3By1ruKSX^DG-{woi!kEalSd`q2IpD@$VD zKIreBl|C-jI@AG+k1ZQOQiHL?qc`)9i^uK>z36DT8-!jQiTj(TU+6!z$XE#Ny~&oi zS1WQ_rW2g4{`TW;@QD12?sP_yGIp1$J`T-0o)e_!XEys~Sak1Zd}SHpn}wHxI$GTK zOS6J57N##7;cLvi1iKJfTVJ+bu>*@@WAxFsrF!#_e`CXp$;Tj|zKvevS;7ghtgcrQ z+D)}`)qWFQGstV$%?{urtp3lMk%mMkl_frDSN)hV$AAo|2Y1pW!p!blO|QsI~)M`b(7j(y9=MW^Z4kWoRP&- zQ{AZi!R!YV_3ZYp{A7nW83(`k>o??#6gJWi!{wf+HPLNFF4(QCs7(25nGW-?MKxgj zb5u0X+RDa;jQ>GGNN+}(__xzJot2!mnXnorzo|qsBQG81S(WC9YPpU*pNmV6iKqLK z#e-^khi4K<#S+)2=3k-Zs7}R*o7J#j65QS!g=$3bab9QL*cEN*m9%!qUr4EzOErI@ z;2x>});G&z&BJgLmf(?L4^fJC(K`4>_LWE3l5UAZ^lACi^+d89H%()k{7^Fej^znPwA>D*1VrC2*9l&~;}xh=bEDDcNDB_1?M;UG z(JIgYNm6|Dkz5SPia35!lB)zZQ(&3Zj|k)~$wDAImp(7Kro?1yyYaa{JTwk^e5}7F zd+A1{e0xVUoF7^EGq%Vj{RhpP_j`~b=YM37TbrBLkqB25_P}``+!GfqGwuy+AQuR$ zCesE7G|nq}bALt(hg2-MEZg+@!Hi;+Ovn#Fg3m28pMXgwJwiB%YK1#>8mscL7Z3mR z*l;zs+l;qH7FEj~sc+uAv(pT}BhfQO`UTA(e2#C5lj|AAkN(2|?;&D>{)E1;Pq!$g zRmRz44Fk6#pq~>K?U53F=F2YSml1ER$K6(VcZV@6o8N`jDSjA6Nrju8G%la9K4{Vb zcL*Wy_J-n$6U{Hh@QT3 zH(Pno4#ku;;^uxevG3;eN!GZ9iG-7F(Z>i!yUEF3J?y=BJaOnS?rt@`7}px8T{9`y zqtNSPb@*lr6}=F4*CpnVDdr||t^99w&9Pe5|NQd_FQqGp25ViAxq~NOy2HhDc_9Y7 zF?nEO`{7}oks-$=ZwbQvr3dLHfP2+Bv5CcsMw6!T3`dthiM#GkW6A3A@X9*2m7cNP zjfBo~d&o=nf7AB)dx?Umx;Z{TfBbpV0Qqi^S^C{v3ALI>ZA;E`vsu>E1WJT{+herV zTT)!N=EJ;&|u!5;K- zM*G(Z^k9;o?ky3Il@CF4K8(Mm)J%eNmWGdmPVk1??#pV8H$5U}&At7B*U$~H&?Yvm zot5G5fu5?)6szkSoUq2ek(gR-sy+AXS@pI-p*p2hG$rf831wcoGtU3;=vkpw(cs@F$>wTmV_;rA!DpdNS%_Ze;m>@= zg@+)7j49yTXD4G+t>xQbX4`D+gqTLKfEF`oF%^X@gfLk>Y;FKHdm6)jE^PXwoWR+$ zXPqnsDaTx8Lyrst_tm!UOCl#M#hkVwYvmN4wN0-G7ul1n;iJ3agCkhJwhd=(gKIkl zM|&4y6@R|)v{$=9PRKWu#fk0xwD)VT!reI`m-VYqZjyN(k6{SUzt++ioU${x|sy(>yi8@Kh=+(O0oJ;C@p#s}obx9IU9eS}{y;tAR-o{l-8^~MAt zmXxdcjJAS)UUn4Y^v;}hs4=(Ly5eF_a<8FoeW+Dh*gXmp z53qO=e;$h}t**$@_*yj_qpof_t{&J$jxieL`kf%j67L}1qGA@VH1Itn@5E>USvs)X z$opJ24dJewEh`@7HnS(u!C>j9^f5v)U$2lV84Ew9lOxFg7t9(n<-e~xi`(KlRJI#tI&#h%yVyOGeX`Sso?O8 zaHM3E7?wBP%U$Gg9}DuU!}n=_Sl-jU<(&?L2Xf!vDesxy@{kdUA4My|@$9ylZhvul z31HAW@@&aN6U5!Nw^E2M2>c#>*is)<4jC%v?Orn|lN@gh2*~>5usdg=?`>9Ky!4$qBpM17R~)dx;Q^;s?46@_`>u<@CeC(<4Pw zlyVhcc>0=JZj|<0);proV4E7Y2!B92qOej;n71a3xe^7wh!v?~+mS-r;%x&nw{3@M zuGr>ty$7vg+Z{>aQLal{yluqvfVL@ta;CJ+j#ZE$o9%Fh15uN``H)Y}cnbQ6j0*+g zk&R|hbRpL?S!#!==mm2x&gza3w@_B}3+P>V{aB2ap|-gtvz+rV)@Z(-G4n za$zfmiDHK66jiveg`Ge#SXND!Ij<%$rZGc;(%sg?GX&ex0&5ULlz+}@i_%c)H&%mf zs%_DTE)%5@W5dRqqjcI2tc;|b{a?qMMTg(uvLmDyd(Pc<-Z1b-{N8AD+r^Irp0HmYK95w^) znT?e$b6jl8*JTb%8980XU5FVHvX_|71s9^HW-hqxH5a^y8De!$s63`7z&mMml`ey) z&=^3#ZTSE>F1r9^{pc}-n9diI?vNS*Uy})uD}Q%wIae7}cZ+Ra(tN-t3X309&j<6- zUjgL4*tnl{86HstB=jsg;1+FHGgk``Ra48TxKq|POm!8iXg0EW}Twu|_qSpS1iZiRVLEDWD zcz@HpKxJNJaK>#rXP1N#Uc)F#LkhHb7m&6|lCLVTYt1$;A8;eAr|b-s4QJVwflFYqr!K%b`om%czpw?MqJZ|J@Uxc(fX>afmakE<3 zJPOXZc--(5FZU>7=d>X)hTb%w^px$Nvwyo-6;D0%#+^D~ho{bV9t%VjPrW-o&}a_Z z(mcH+Pp>KpuOmuS@$_^)+k93$y)PfY&bxCZrgu%wTEng4=~YSM>lv9;wjlnl5a8NDxTi5(^ta4H6rv3IndHPWk9_z+^`9%csiBO`j@3^o`3F^ zG+s(}_KK%BOj;bGJ3RF%&A6B7=^EwR1$lbG#9>><^}=yg?~of8jSYC|zmQFBg!`~q z?rA$`=aA-|Qq^#C25Gy)6P=2*%VL`p|9WF33hJ`yj(3oDQv0WL%F~j*fD3PEGeYUw z0?Wl`2+};c&zXh|Dya_y%P!f*y?@>7R*ws+DsS53X(U{r+Y~N1l+KC^Uy(FFR$17P z&UDPqU2N;cjD4~&ed+_Y>(a^%W$x`#|D?9oQ>c6c?fDnBRc^B9j@zJ*OlB;fQFXWi z^!`iR5l4@o|M7RAE9hNAgve*G%7Amft)MoEWLbkxV!`eHK)B!u;4yhDv46NCZENMm z12g7Hl^yWf*`^r4+e8-g4`}0F@pfYzz}pJeoSpW$rULI;vBg)Q==+VFEW&YPh}dCL zD>IkJ(zuo|%Au6swqwmTZQ=&0?(#c34!EUN+@MD|ZdZb|3T`(W*?Fge+g(Xwp7yU$ zR&eu)ZNj8haJwr{;aJ`A+<(Mc0!T@fAp*O0NaAC~jYmJ&x5i$tt>89e$#q}Z1bYRy zHJlT9f34u=r@dU-?7*rwW=NB7gAUOZg(ly!M{YL#6lwdZ5LNQ+xZ!rmo*`Cn))1~2dS(51WhpkUExak$kaDVyf-$cD^>mD*B ztsmsO{h>I)T4hqsSmYM(DR^DgwqH*w&sos0jpRsAOY^vi9{5!4XN%(CVCE)iV&Xo5f18NxEtF#QlyEyPPaWna3IiRq zIw{Fpw5>G_ji+t4!GBTS!&6oxfsi&;7=ovde5G_*WA0fg@)i1%+F$(aj0b%E;-ZZi z^wazvVl#-qB95ky>acdrd&{zSr8SPBrM{pR8cPFcM26VcG!k1SL(Zp@gO5sv;OQl$P&blaHl7LU4W>+tyO>9ZwOQX!43fMv7nNytaC8x zu$7hG>)jDnh)z`425#On{Gmd}sMiH=obZq?!gR*>gMacg4vr`-LVwvzKW7G^lqFe@ z&=;>Rb=g)4{Z8>-G{w?Y3;nDOXRtsM+7=&rk0(C^2oWs)xH}!>4_tta5qY%%QVA9p zLVP=KN5IW?T_BQnYU4*vX04KZ^SeS;g;G!QjaK(qI^bO?+b%cUwx@L5(E0A0rKZ<+?qtk$+iUHXWzb&PLVeOu=565G77ftwD? zetJVy=haXBH+AVUMF&K{gtjNd_P~05r8{pD8?+}ET~~(K#@ZqM?4>do&PsQt7jgQ8 z8B_`Ve)DjD&aUMuE?6iLn5g2y8hOdj6Z${7biix+Tu>@2(+6*t^7D=7%{E*p3_;py zdAd?;v*szb6O`j z(NOf%0)$7rz<5O2K?cK1Oc7j6l&_0zlP*PX=gXRZ+8XJ;nw~Zmqyb3BO8t}>z}H$~ z!-eI$X>&;S|#*%q~MgK z`Fq<^7_;kLp*k-56EcL6ROm!$YS zsnWB54wFa{J8eow3=VU0VanKW!Mw4W)Mf68g=4?$=!gOtazkvFvCrC8#<$C2yD7HK zHmFwMJ!De+`%o1Zjz}6$lKsmx6&DT~#&f2$!-WMz;f6BzqI$gFvl@{|EP(EtD-})xeh?*S>jD2dZ%TgB6zb{d%3t$505!oSJbE7{xgGM3&BZVt%OJSF^p z*tgGY!v*p!5G>>wsp&&b#RYc;#WT1*f6{W1*_Q_lSBO#=JNkP+0 zhbXss?X&4KN~e4`>5oPjVE0>QL9t7QHNO zTGcEtj;n<5baA)SQF=*|SkN9rlnAA$GqkC~g_BC6tMZm(h+xtD4Q*qdlxwn1&8(mm zGxGs$G0CY9gwi$IZm8KNtFL4TL*PD>4re9Fuj|y5HeiOptpPUGB#*G;rljo+7oKvw z@m#0fHhxUnpVL-sn-Ok*Gh%yv^ejU^J)|Mi|C6g?+iEGlBDUS5ZQCujJyNP75rANG zAP!0DNEM}Xa-MwKX<#+IUdLg%a>Yb#HMVcnamQ} zAy#hD`zz6vteX_uv?ShC2{l=l5BWsToVNX%x%`*!D{~F(kw_%440UF8sEO}qG@M;* zPnooEj$KB%NE13?$WK6;6R;HnU;4l8h9S3yg>>AnZC%K>pBZ+6we($Oh)1E4Z@07H z6_a6BEtI-#Cs-I5dnH(Bq;#t`m)ZnNBEt}IO;RpNn*R?HL&PKR_4{PQD%p0+T&VQ= zY2X#x7L%!+FMk2H(VbVRxr#)UemXA&o<#6fY@-+P&yPF8111fgjdZodHq!EfRsy>? z5LJ|>VNka1HMWXU;5{UXYpgFc`Icm-4+Jo1@t>`JU0E3%F!zwsa(i&0qc1Rh`0u=- zqT<3OnLk+!(H+u|5UJy?2w|VswlQ2XlW*Y=33$q#a(^K9f10Y;2E4cAsk2q!<+781 zqZ)GsJt4N&^)ygLqKeY>vg@i4@P8((C?((42s^iJM3UozD;?1R0mRuVw!v~;n?HxD z*mg`}&P(a*Ca%dhxfRB@`*wPZl}KwI8s;1zSS7{Ko5`?Esl|+reeB(!x}rH5!m6CX zVZy{>n|}`T&kW@SF0K4V|5{@M5E7}!ii_)UT3LZK7I_4WYG{OQ*f?aH>H==pbht~> z;?j}uzo9LAP&&?u6^maDV$&8WWWZmaWaR}0I5Ox5+rSM^Zx~w>Q;ruDQXzdjFR#NOTcCL%g5j;YpqDu#Kmbht+IMT5=`GpE_Q#a4aoD7zU4MJ7gYLGQ|6UUqCHQ=|(AhO&hZf zRFl-75`WXks-`735d&9R{5;aMd_vlY>4W?2YZ<@w7rKl%TDFlyp8R%-!#f2V!Lsmb zFA)kiX*;Va#P6TQX*VdvzBysM$3#S>Nme%BXP3=ZhqNLx*han?M=D~lf(zvKyqf!+ z2b8)C!M5Ah#Jh9!)J`Gkro22ImMaxcZ>q^9Yk!7u(Ke1nxWILNggDxkm@?ah_T4V3 zqix29ZF>+YI%#XWXq(vr$6mScAiRGSZ3}=L66>sHZC}t_>^sDI zRr^r1E!QMKNXwPlR#}9iZ3P<>l22_F+t!K&rPr)!hi%|SBE@843`vg2Or0t*s z%DxAgE8*rYgzTkP@tqaps&yHzO&M-Z8-E|3s#ViWhX^Nw_o&*lH+rg55Nv=ksqI{< zsrFM6oOg8&8e1jbCaez_*WhuLtm{}xZ8G*sm*Lssb!}ZP1l(>)8(qeg#$Z`Bo|ZHs z-}t{-|MQ9sYF9K*9Z+^fiG;r6wn-c*VXt^9VM!W_p-QlPmcalhdYWs}O*P(v+kd3L z9KXypm?#D-;I>ZaPfM}?|1i>;tG3jzbUal?+{|q3Ay|A>$!)|_zhDnaS4-(;Ylb+K zR^TP zJd+uv+p25>X>ELb5680 zPd7`#yp--rvhvjC!%pbVtHd&=ZKttS#y3_otSz@2TV;G>o~O$kFg9E;Z-1;Njc@D4 zvR{$7ZRc8pL+<(XdS9}i7CX(c4Ht^$1*+J#rZA+#w%Smm1z00p3b28z z@MBt^Y!54k4tQCZF%cawwg|5YMd^NRM>Ha-NK|oQznX9fd&Z=7xPT4YwH*x7;;NJx_i`e9714zX-X27k1E#hX? zxIpG!S9we#Q4(!4Kmg(x=R}u7Oa)_V5~Q(g=Wpu$-$X06v3}bncauncMBA(m+W>^k z|3@_1W^CBT>pb^ElA|;Nq(h{^iZm68DoSBvbE+sMrcqiYSU05bynk4yZM|Lr1aq%R z+@!HpY-9a#F|bu^!>-r#;Vx8cJ6ZI4lmb*B4zX>wHm|NIofO|WNjz^Nnt{vPW#PMZ zcv#X_8XJHB_Z!;69au?n-aI{N)c^w0C^a&3 z{4kX$1F3nu;c$&k?@o@q!nKbU(LBd6WU+=SL%4r;kL9NvGqEh zc05q#F~mBJy{@+s`i+?HJSr=p$A#CVbi1)uLhn=BUSo@)w<-x4q8R!SY?UZr(~P#g z7RO2y=+2QQbg=j+Tu~I5dPxBYKt8AAa1n*4_be@a`gkmWV1Lu;U|R(c<^^#!v@}50 zs3Y_M#0Lex5O^&8bhCWCI3AFz%L>RU17wE*T*;8t)**ZpdMp`2sILWYD;Y8$Sny(L z8G?tb9t@9kcco+Cf`#h^X9E!HMP3DDRFmjVmHG6BB%KS=D&yNa1&c9cr-`eKZ|jO+ zJ(leC%$PAZI)8%2M9K0q!V5tBjM4*hNd?wVYnvTZ)fQ&Lqlp0A72NJ<7=+cww+e2X z3^!Ic5Vm1+kJ;Im1T+(wpnPDT8=CsYWY~E3)xWt5X9sSuaRY|aP7~T${JemNd*xaL zq+?##Vt#mR9}6HJ(<$?~0}z4&n=hjPrIJX@r#_0qR)6V$q~un)2@6z7B))QTMGH2D zYN(P((}o*%LP%S}OVm<&SN0Kd2&D`)#UzeWMkJ4ErPsSnUV zMR1dCXH6z9=&*eGe8x1N(kVDAE|`#}SlaTq5o0@pd~42NmiGa#oY2nV-?!vx#Wkhy zE`uIVJAY;wUSmCLV4%1XEFkuH!d6Lgmd9N2I#rUKyFNR^njG6?Zqqk(2q0@!g+!-{ zZQNanRIzQhlpYUCDz^so`i~Db|@p3Fr|DA1vc8cbBdQB|r>?sPY z;AXcUID}~BKc|u^^ic^Gzm>Atu5@9o1nV`~#@ygkN%EWW`eKNE#Lb#w+i`tt9k29~ z(tqKOr0uib6_ti4U>7% zEs7V#mLasF^_1+3x10Pym9+=Ag93#6NHe2vH=UOYE5&LpYcXY#l(6QOPJ}lo-EI5+ zCz041(B>?*G48Gpd05Fe#*mw#c_rT%Lx19ES+Q-e*tSTdibNIL0OQKrovGRv{7+7u zv0~dKxP^X-r>bg<&>?_GXKTQ(NV_PuJ0V!OKvNY?NSjsFEtLm25LMtkBfE}kJ7R26 zX}B%SECXAX)gF6IHv$-<{Rz()rDU;FMd@|B2YtJvI|rpv5)vyhofk+kLn?r8qPYZHhqV6FbV`MA`YNPsK z7Rcxi#}$q-te$L8*@1rmPD(ucNa6Em;)&qUwcm|PU&khC1(d#`ss|2Tjqm|cj%`Qi zDH~Q$=i2!*-q5Z(YY1D{nN(A2{lskSRV91?0C!G|GCjyb{e**PSyR#Er94VqSNm$U zz1d(hH-^}RKMn}WXd9qhme$>|(9RAQ*690-lc?b6pxO3t^puosjGw~ZS!?8O-LYHK z`qa8hjQk+cy)%gQtO7jf62p3KT1npg=JJ`%y#S_kG7uQ%TwB`UKS%Bim+l(C&3*>H zeif(r5Cx*}VoS?HRqy7+{l#7i$z26eFr~R11g;}H98;k)A8UK1WD)+PmV-t^_r1v5 znN$}0XZEK3zB%8&be@!}6)2wjCP|rWM@Z?*Z_XrM+W_j6sxFcaNv@X!5Ae=c5VW;* zplggY#2jEu3S!<6Bn0uGX9>#It3WD!P&GCF+P&iyZ?kI@P@)tZp_yrtp++w17!=Tb ztr_+TzHsfu@Oe`SvJX=4Pf9v;hVP>dNspl;7*S?mFqZi zFjO?ZXTN2u&)c7)lwaw&5+SsM|430R%vQcP;DC1Kw*8hSslJ~hch!EztY847-zC$z(|7i)e7;^#x*KQD-EtbR+7!MO*L)ePQ%xEVN=yz*<+#xOH zigG6f?S|%j0Ms!uaSk~Uu;(+Erz5elNl)#2KpC(ky}b>#gpXb0l;MGUjh}_V9!0fe z;jTkneU#imo04d#9HF{L?UEXQfCU(yW(B`X{(`pmB824XCyAHDU>k!;U*R_7aaVibc=9G*gIlzYGtp5<1S+$$LT4k= zKXY)E+V9cw$;9u)fni|;C=Oh&04&1iZphIs+-U9+8#Z3*^xjw#%iUkJg7Z>@0*R`(`mXWwW*){5 zo%I$#*TgeMe|8^wZDAt`bQFkp73950WHCMR5y{jn zW&N#Q$dIS^!$=f+lr!Ftj2U}d3}}`LRr`t{{`a&0=O=;x)ujLPp#KdM_6oOyK{n`>O;0OGNt5 z(g6I=F!-MYCCePF8QxRO!ZpvJm4VcWb0sJ?IWHsRi!ba*?BJfG&VXjtarMey6k=#} zVfC*s?us|*^eb@0xXq;d;$npk53;V zxbnGX)#ztJ+R@^Jg_<@Zpe}vdX)$@@@3cpIfo-OkSUqk&j2$i zD4%u{{brOp*!5_*YMwXOBcO?H>sWj~A1jM0EW|!R`QUG+!=i?Lwr{2*J;Mgo^026w zQfy#_2Qif2-X&gDhGPN(d9k+QRO}C$_}otrF^!gq9M% ztK;j(D2j?#pJoH)8vp&Lq>2ORS6z+ldU4dJ{kj@W|4i!Ykotq{4`@)nL)}h|%H3qa zQpuQ0`}3x7E#Ba>kVj!Ne<^-;e*PFe#_=Q8eD@Al83cH}kKbGxqty2l+V)F+1pD>$ z?b$`Cnx~s5Z6C0Ec$GHvrQL`dPd^8{at*DZ1`K`Mp)q_0? z-aAdVhAlWCNj?&j7U1c)#0_sliG+IQ{>i7d4%tdh+ciLS9AqWa>8=g*kV(G+s!g5}zKC6P1Egg~O7 z!bOT3^HG1mdjzi6*r88445s&LQ~n7#MZMw?RLx=DeReCkM+5QMcv)0F^}Du2$Mejg zDtnHg4mLyJfv+3i5%l25+GT9LV&azIdhHWAmuH`Q_^4<1V+MzG{vHTM3(9&L`nqbV zb4hV*ySRKfcdWkj|8e)Ewqro_kL_^pxhyCU;|k;doM0o%=1cr>V?^KZYlfARvu~&E zyk5D%*ul!)XeLGlV_j_U zg7GViCWZJRnr*e8{Yg1YRKc*g>@jUgZKajhjCC3Ppb z_%Ed>K-D~aZv&e8*^OV7RNx;^(i?F;)%nyPsd#P4LkJGy*Xiwr4JVu)T-|}*dMBYyiYvX-`f!3dxi6$ z-m1SX>u^8QNl4G|MlRRmMJ>~u2t)Vlp(dGTaw?EoA)L-_qUlk0R>$*}REr9xN3P>) zDXI;-`gBRgB7~WmdB*eRpOJy`Yh&yLrM-A&xq|muu}h)uBjM;sg332Hwh5xk-qgAS zKz|AIw3wg&mo(`AkRNiFY%GI`G#baJ?eo{dOp4d39~nMmcyM$9Oa6(eUgX)VZFnTA zFP6agU8zTF!ngYT`=fMl=LA3fNEsIA`;tXmB8|s%6jS#T;5#;X>)`q(ZQ)F$Jakc0 z@fc{b0}L5B;KPp~A7y zK&jIQzOM=|g4BRnIoEKUG#9n3aNiSPKThIT2=BFawHhZuDPDfXMaK>~XQzB}VBy=4 zexq9I+s@>ET3@Bl)z{KKx!^WI-%NJD5YJ7Lt=m;v6w7i+X#y%J+%Xqr;|OPpIcxd& z^x=dl$&$nNR16fFK;MEioRrJ@@Uw1A|F7eTb0Gn|uE)u^VkC;;u#w1UWC!n8WX&&h zw2ut_=@AvE_(~%wz*Zdyb#K2540#ZOY+Rj`mZP2KD~|R@mmn@ZGTmHC0_;s&befkp zEav_#D^u#oCwi#(okm%cs1~8fUVY256@fmgvZ$rF;=A97Qn0MU(-gJmVgmQhqc|oS z91lkxEz*mV)&Dq1DmH#0jGHcZDo*9?z;F|Bb@!&Wj=}ozu{R516oljf{U}4O9Iu3* z{^5y(8ojXx>r=fe>!DQ}%`0y?*%j7bM(Fzi49yQi?sPiMb5zy&!rZd&n*6vo^|dph zj*z5Jwq+(hcDRur&`kgQ`{lX@KK#+5=^dOWANFz0O#ViI)qoxaJA5G(Et+afnyG*7 zQELli9Np1-udLt|+BM+=?5dfp702l}mXc>s81YLms`{Ufki2 zUGMcTGwC=3PE3CKuA_s9q3Hms*FObS}eyQ3d1!GL@$zq(9 z)55>1$tnBZyA9{Wbk2+((SE!}A|e_r74Q|yJDE}(NnW|BcCP#U=4DBUn2(&3{Sceo z^r_0qNf|cie!{favP|#MLl*QQZN&{Y}*;V6a@Z<2De}w-~jP zMdBX>kONdxM?KRlh-f0nXG>z!jRZRsb|Vb*%dRD33m;bPIQZa^QE{@1Kin^@YgMdY zKijI>SqaA8>vFIjWJ}!qa*sUEF+sH;NLeg2%ioi+z9yr#$Ys*;s5p+YExlVTsNj7} z7|uQo>{T01{KRi%e?|(2{ILXcO{5<4r$qwuyi5~|>s7yMv@=z;RH_>rMKhXK>=x23 zOr-t2j(Re^kEyXei4jF*^FN)%nm?G;r-Wu8H-0SS67yemLnrt}m#cq@`gx~7tbnnU^16~K#$%~ZoB_v&`zx%VPED$N`<#Q#l|(r=yYI2`MhGDJ z{7E5*5ZQW8y1v2$s(;M;E>=0Cz*O`E{b4utIEYlv%U6#+ zjukcEGPUR$|85ywP^XGtzEF#(_I)UvV~~& zE6O!8#&ykk;-)DonLX`27v&v@fK9T$PrtxvlIiY6svF7>*3;#!<%z$TmG^I%UZc89 z5v}gp9uK5DhRi$zAN$4i0k!^d+iL0N46gI%jeG5PIDmNbrJrhnv{}lfN?!h|fgTfu z&Czlb4DXOqocS4v1MEIpfg})Iso;k|VI?|9--}jL_2Sk#D5kJG9JHFVN9WrC6DYMt!>AD}A}JbIo9a zZCq7+HEX_@R`lc(U=6#>DxF_2LcD;nRTSYn=_XdNbM1~w#+;do9>GJgYa||4b`MQz z?DV^5`^_RyTEb4Cex$T)UX3ob=ULQwiM^gF)psNPw61+n3ZOKNP-rP}LGDXw4!vm* z7;em>{Ru+*bShPV98=F%t7z(eIT~w~axBAzIac8HM?LR44|+)IuB1Qrl`8m!OnoFY ztI6513cdUy1hY^I%PQA0tYL>N8m%FyWSBJZ%D?q&$a{D5Xc`H6lghE0A_Z;GR$3$M z?prCPyPA9j{6devl7i&@eLJCqB|0A|$+iL*Xk{$&38N0n-vW|+54(>Z(DuzoNNI+B zT5E3I1>z{Yn=t7W6O}FGxOoSP72W?BmJ0w|;Dzbic z=sS(G^fPxs(MdA1f~mke&=`esW-A0@a7QvOI%69+odLIf0A$)YkTN;I)V>~mpw*(Q z26Zd3B?3|Y&O!V{&P#22Tb=zhW%ra|R*MSlc!=Pwn5@IRvVeN!f|%=x1Rsm-^AB9B zhjeo5#%lK)nDh28XrPEsgXo#XTFXN^eUeYv7fGrz0FF`CSGsgm^AF|#oe=FpqU8E{ zfR9nLGYBd%0&}>^i97{Oko0y!>feq%y)MH=3KiV<9j0wg?}K~$G8Il<7BQc$?@o3S zO!v-(^##*KzHOdIA-wkD;8%Z}@@D=}LV(bAnG+4PvM0vHL&|c;vU`LJu=24XHV7`tbWfK@6(5;QK$79FOEGveSNMsAM_!Oss72?H!67J)nck7Z*UZxaQ5c^thzUw!QMgMt^e&mI_9& zJ1XmY6cCN`winu~R=#il4e%Emk@ISF+wl9&=fc zUZ9Q4=om}HklXiF2yb+Yy30J|*x$z7e~)Es88kCJpLemSxo|-RZZvNV{dl?^wshc= z4w?P6#n5bKQ!{dO`K6;~c5*TGY}Vt^--Q*~;L_}R2z^^-;}1stNYyg!z@^FR-I@;j zu{(vC3TJHEpETRX8g2mcIv3>~;KH(D;>&HuV3Q|lyEA)}bsjS|xtw`Kcb3=k>f??0+3!N4scocKwej~sL;LJq5LS^-rBw_KZ`N%}|RgHTJY8xeMKU6&k8&zNgCITr8m^ zc7v_q0~$IIrlKZ5nrUHZlOHIhFTV&2>YQYoY2u&)M$vcke`x7tIlVy@mbg1iQ1Izn zdlbvQE7c=G>VLFfniZ%zy)cd3)^tQIh7X>V!aXT6#yibt(|APT#>qC!1>?9y1#@Pg z9h-1jzEU9bx=NNZx}hX#Sgxg^^f9*kgHCs6oY%5_S?eEh0o3sc88^$Njw?SgJXz;uqC*KCX;CpIJTAVVe(vde=AD^{%g_kg-lQRR1=?yYjvsz{ygacG=FGIM7fD z8&e0G8@QR!L~RZdquo&fxn1vcBCn?oQ|x)Kni+bA;;!!@mKOFHoqdnL1o~DSWL&3{ zROt2ZG3iiXIHo1|7Hy9FqB%?m;kmTRq1}W4-5buRi^jJiDGl#PwO+$r-{d)p3W)Y} z*W5!?%veFY`JFEM;{3I`iHjOOhqRmWmBNoPvswNYCa<^&jBkokDo@nG6roO*i_Mo+ zB~n7#23VO#J2A%c*l>m^+{=j4x`|oQo|o>5U0jqR69O|%>bG^WZ7rwlZ2q#Ez9o+b zraU!$yq<@92rTEiCd-WefycE5v`)w%F0JR_p}>j@s-)}uz4HbE^uWi$38uX6P1rV) zshulRr`Yh{${kElGC#p`$$^PgdcD|c zH3@;S1WgTbT>b9;+EGJ&v3$}r*MS|t!OF;s>#3&4hNn3AK)bSoF7J9nz8}HMRCU$k zL>^7-8I&6L3ag``W|^m{Na4UmSUn>T`JI3vH>`uz#iDpCw@f*Et;e=q{yq3T{dl3) zpfM=MyjYaB%zYw^vTJ`QYk+>ndq10_S&DWHzMZr`rbcxO+p@=2S=1Y)+6d^HIA|X} zviN>1w|?&TQ&_)i_@uUp!*o1A{M{xH*yTM-_)aV}&(+2-4>>0>|Fn{D_}#}P@iDjN zUpVp-0<0f6%_z8{O?C*r{ZBniIiIV&ff1cd1Eg)~OzNJr6WoiNB(wk6E68PTjf9^h ze?8>+U1;#W2-K(0>rF7IZU=fFXME2&UFYaBr&xMZ+)Q?w%Nm3Jmn83Qj`PYtT(K*a zI1t%JATm%DN-DiU-;cV^->zr8Xp`Yk8}*G@=PFNHFkH!cqQ*Yl!(CSuJvpFCrMZb% zdv`QiYJ`hy+92s*+R_x)asjAyAsk-v6G)@3 zDt`ro8Eux*yRRbn{ty+}?dWRxCu(1IrS+KaqP*uaPyr=rVa}gK*B#%~RA+WMmTY8V z6jEK-FArzatx2BdA0*Ihu!&a29!5Im;yJ{g!6C(E`kgoSqY!Sw2G(W2ie$+K z!<`+cD?zB;#7VLr13{$f7~(J1*|~s{73Es4?`Dk%Hhn^G#>oj^&u0VZ@WRHW4lXKq zfE;?iSi4AmsUsB?2fhI7pVLA3OfR(gosQAU%_>Me28{B?0Nx?E#Hk958lVl zhPrWpgx$_f-$TX%&JJv#Jm#Mi3h65!7>&>8lj@MKNRt8pk>%_SKK?YxP!G>PXmES!q1rnC zpir{(4jmR!xnr_9eT%3uw9xIu=@d6NAp_ZwiHv_1gC<%j=;dt;pB264gG3eIQ<6kYr#za~=!* z7B8idU|?Li!s&*aJi6OICx=&pN_;$2zXH`|rq^f4!C2X1UV&9|BuDZDtHjDDluLpG zoi~8)q_J!(M*hg^>r}Y{#|A#}#tF%Uq8}rLuOLrT8vr__XGPFM5#=ycd3iN?3tycYw^P1ZH8T4eA zHgkR6n^^)4y1&WyGM{PH2QZP_=aRw&G>!uNE0pE-T!|Aqv3}u~E=CO7+79UY(LaVS zDc@e|!7$5?HNHU>u3Y}v`&Hp3-iTRxje4aiw^F4)S7+Hj`&D%&y}$p;ZYO=FAh`vo zabC>!qS>BtMkOxtDhN>d3Y5WoH~7{fNzN_ZIn>)FumR z+$p5&;CIo9w`Vi^k9!8Gc&9?oS1*Sf?rB3)yPg%=7WA%Y`!xwp6P!Q>wcf7;PeUE~ zg+8v?7a}zOa;`iPlC9p{9$hv6)2m5t;g?f-CSO+LoC3n{r)59_eH|L>4bsc}!0xtH8m}E@C+k;%``}bg zd^SyyA8Fl1)VQPnolB2wuv6IgeavX(qA6Bzdpp3^_5KT4%($cI80Qw71>>iV3WHg7 z=%6hlw^9z7ZLQZ^hKS_Enw^LFrpGXlqEriUUNCP!;dDD-{is-pVV!_E_h>lDbrOPU zhb7QX^tQb~p@a~xTu$He_D=(|!TVLRcpcB^O+1YstcbtZfyQE+p` zbRv`JpJEqJA0vrNk&%!6YEXrX(r+k4I1nHD__98!W z61llo>NOzS_*X2OeEY_k<8hTd!Hv&FRE9KiJ`z}^=r~$L5h+Hb76RO7y&E!qN#3$Z z(fguwdB~q&O=5gFOd5UZzUz$I00t@^iyK>Wy9sRq zxFD!dy-)>c_SMnC$N?+y#WY(g&QW67WPl;zHuxJJoWnJ&`j0K~8?;V1pgn-tC*@vjrzPbCR&i(jc zM$g-u-;dud(7r0>zWx(Y*dv5m6*i?W>uo<{f1*y+`~p0Uh{!teE&Oc?eMU9KHTQ0y zSP8B~%=K!U-)k$mEA(6T+Y6Tc47-@jQSY*g3mc@JrMT^?ibJ-a~KKT25{?Em<}D)Xx9;2y8c?LEW0rke1l=wp73MCq|4`jh20px6+1>3NV*HlUDn=O&3c=}}r4~Msd^e#)C zsiGK5JwRd03!E)#+;&9LtNFa-SoU0rQ;L%i+6-&(cu(jWBgbuN?AS&xCTs%3=!l0G zM=W?@{F!y9lN%n8>YHL8^nd=9h57tIK(9k-n&bNvi{j#fIe2nzT%sYxP~f#)`%U|? z_`$K9$6+IWZaLj^nX-jm+{ILh?Ti_-rs5?EfZ7+?w;<7fDo><@T7X#9(b}E2mkPyy zBr%!YIkypF3HF~Yr8mGo1j$qMev&*0D)!qjh;h)^By!qED%bt_m5r|Ex1E`%i@^_Y z4$ay(<-7LDw(GTqLgw7%I3^qTd!2dk8A;SFJi;FzviJ{1oJgVCeuiaqMBEYiNA_C- zAe^L$2}GdT(^=QL`0y;@M^QO;&Ib|7?ex>60Vwp%xn;OibybfK$A~F^GASiJx(0vb zr_hpO-(X=Lpk;ePw~t8+NG0o^=4PG(V4q{d4IWTUtDWxl9@|I!1@|kYz`(yEC^tbC zUs&AE?iN&=&`NRfU(y~%43|7M*~|twosS{{p>bxTKy{7osj1NHK(DK9*@iM}K=;i{ z={jiYvCd1Sl_d+WEzQ!1yLH2EZaJ$VcXTiW2RNe>xx}=9V1h=QzNOK}ygX{b0RLdd ziVr2fpAv?z52AnX8IaOfeFQPz9Y+GE=~Mg|h7``*{k}m`ZC#8mT_E!Q6;*(8WbP4p z{Q(`0yu!89=Z{5IzR@TDXHtF=He~*ne*T5oUKv!WAnS_qUW<5x#rO`nv)h-ZI4yDg z=S0C*$Z4P*RqUb{c%jCQD_2mT=Sj>+>}W9HK7Zzyhv}tKn2g&GbB{5(O#~~fZc4Qbl;yD;ZlBatw zvsUWE2HiE;sCJL>d;GMq@mtGXRi4QP%5CYsdJjQm#k$=D44$(eO$@AE3vXefG;}X0a)&F!HL)`HXZt7mulw#Mmb&EASZ4g& zqj^tm1;nc&Eb;f}%=z7WipJRvtMzou)p=zjft}+Q@2DT>F?v$j%ihN7%Do$hiwjg4<=vEX|~NRLH~A!&M7JM0Pm*YtUtJnZLTnr1(O}GtIvL zu#Ql_V}f>N)Q@|%k7e+53-Rwk9J8O^y+We$YBSWi-Pcct6Yd$Dm=QZm&ydz8geocn zGn;PCW@Q_QJC5EvSn*&reEl69qeAfJ9w~nV8Ohg`hQnZts@m^NlKQN85M?z@sMS*C zBj8E+f(ydFxR2B*1jZPJDsl=HZJ8dqjWF>s$I%+Wzn=u^x0jQun#ex92U0Iv#x|w- zmu_H?! zDMzKQ_&ra2Sf=7&4k2-w?ML?Q zB10ZrWBePhm63fMtZb0G5CUY0Ku5B_;%)rEEN;1g``&{UUc`AfCBfOFeF%_EbPn3? zVm?#h0TCW~YCPGc=`YVFk=JH+Ppsgwx`u`w&~v`3vMw*WxyjH$cy? zL^L4I(#qe&>|e1WA1L=QVN%U(QfhDB^1FN#MJUhXZb6eAB~NBc!#FpMtPrtE_?=%gGXyRqPf>PTprB_2?%zRz(eq`Nc5h{Tw#7k=~a zODFazws^l|^+L4WphF@zPMv$12p#*3DaRQ3OT_7!cc@-nA|Q^pxWMt#avRw-LK{^Z z(#I>WoD)lDahU2M)A@m|YrA#0E(U=urv2Nwf^VGCh7}n*5xrZ9&&z5Jpi8ZgzJ>+q z^CavH>NtaN(X8uou(YM@X?;x@o9B+go(#2^_`TQBr{xAgto zUvL0sfEXc?f0}Ib4h*rwoY?ju>fm#Eknu!o5+4FY+r72TfgwjKM~Kq^Qy7vE(fRmr z;G%Bncyasm8L9ad0Q&?vBvKc-9pu^;wHKfPe-_DJm(TF)K~zFN9?h`;iJ6938@>eM+zKC~z;16{Sa?HGP^-|%2_7Eo&+ zA{`xS6P8I7UdL5R^86e??4iv-)iC1D>sgWc1P5Y27MIiq!oBSV_HH%QpKp&9cx)hz zdvg|f@o$v@%a|9`p_4Wyq;-OKCKd?Ggorwsc7j(hYE9PVEy~DGDEHkb#bdplE}{Mf#EJQ5p!XsiHg*y=$xTnrE;$wY{=b1L4h z1Ani-MjJ-;ML62ccxieoRdL7{u{1AOJ;*teJOnALltxJVI=yOgN$-DqApafVpd0i4 z@b}Hhr(YHm>Z_T%3D-sgiNJj>4uL9wM$E4LufoLKr)>p}7JGQQr0~^q_<-bNR@VVB zGu0MBFl5W&P_13*!GhV;f-T`I6bCM?9N}B6xAv|1~_bL~>LYiKBN$)|`h(h9BKd)x4jWnBeCg$Xs8D^ce zV%fg4Ma>I`(->UV6^)U?U5X}~U&WR-aTpGl?R|yGgp+S~$oJ9w5U(6_T34 z5~?u5Dc#ZvFOU%jz~1jI`X&M{!%0~Kh`USmla4(~qDZ#Mdz|{F z`7gA7is6x7UA}-=Vfq2yGA0x2^-r#)AynPF-`&){nY+l5=uy0K5QPK88rMBl&Wy)H zuVh0|jWK1udc-osa@LRr&rHA}r__G$PFIQ;!KN_q!6HE0pfw=5@&PxbDNZ;OnQ>Yh zzB>K+%?sd3E}$+>b4+TdaG&0vJ`yk8%p4}&azZwSz|V29VanhD(jf2$4fa<}9X{du zw>6w9A};+Ejw?{0uRm{)tS1WWL$JRnH8*hEK z&Mn_E04Yi{CL?*^Z%7>jCXeRUf%q~XPSULZ@?=x9`pgC%RFwgZh=Qs2i1XL^UU z_;P+z4vyQ~>5RZC+HJDS{w0J+E*EzH*1zyeVYC`bOub~ed9+4cHnjgQqb`Fl~hWDc0V=mK=?sxHa6v`dVaX=x z!-h#%`Llgj;v3KsQeX^xhP1v;TH`t+;b@3{X{1!Kb79A2kj}2hG)Y))9e{T5G>ii#goQ9(qUPBK8aR_BiIKb{VtG=cnL0v@$c{(K@qp1jMgS)+#``qhcr9) zSNfof%tOj(>k&itU_^Bs)&7YASJ@FU_lV1F8Br%(aa0mT1SlcfX~)$XOqWPc0;g^+ z=pu0}dvX=|LGObg`QG4JC{rtBo8agn47n$e2;LxJ!;D(fG*U--L14(id~Zk{Fo0#S zHzoNLT?=JUoWe!Me~Ib>^FP`)IdNgbk%$H7q4vTR3EAM)NRm5+QQ6`TRLVZKr$rOF z%T5q<#{);zXRo?KNH6BW!I%Q5;KCX*DKeFqENsS1!ta49Ek_-Fo=X`D%#M%(9RxKM z*uDHhh=(MqhBJ(P&m35ki1?T@SyH{aEY)#PY?A*(lliG|=D)6`geSN2@R>fAA4A|A zal;?DtPjrL){>Q@EBwb=zCXUK&9NEI*3<2e1w;b)KVAMsHs(q6XRm=EGCuXU@NaK>6ZT8e;vpe(yJC1J(-m*y2mWBPjf%FM?bC`egX${ka(P&`Vt(p#AAN4lhuvN171COW}#!Q!w%E5Ak_(A&z zu2C>%guO>QoHpB%BTrPR$h(SD=mdC7c!#L;wv8T}$$e?YCU@EFS6H0W1whokNfCwJ zms8$UcH@V7a=bMzyu5#OB4ChY6sMKc?sv|I8J{9Z@Q{-JPfUs$&q;M$v)m-bGT>dy zG)IWfJz-bKhtXc19GS`{<_><3QrhHzncO4+Mc&j-`fUY8-`5Q~)`q=MAz&svib(76 zK0BI)_R`BvQ}b9ARzM~j!z(9jb<8xD?WrR3B(?{6SkmtEXZC_=zs9+9NDc!csd5nY zJ4jhr81E!W2#p*bI*wZ|uVli5HV7Vg-UaB$@esFc(qTPtAnW*C;V&4Ne`BTB0AQs< zK(X44bxnTUOvhMh?li#N_%FKrV1smr!cLpCe|m@*xIofyH|^>Qh!cm>K}+>lnhsUu zLJ8A4N4)$}Azn!KyMlyzjpw;artZeBO;2xR@e{ER&@lX%$FcCCA?JeSpNKShhvN|` zc@p^`sp+HRVZ{hu`jQ@xYmXr|JCWooFTi^(fnbtLNVbj(aQ_H$YLW+h-4eLO&$rDf zp@KDm3!D3${gKS7RLNnm6Zc<=YBhZ)sl&gYD}o=&kDP((HB~+%J6*Muo{~&C_O(f& zMA&gw+Fhqtq#rkbT|dnrqm$IzY4YoidEfUQEL6F4#LK4Ka?A82kNwW#-<(S(ArQLO zy5e{L_K?0Wpx6;0q``tTXls=t7H|<^(y*`Ph853AkuT0j`X&LLtAr3DN&hJ!+rMiyY({v8XHSL+5HF8&||Vi${K zW`FUYQn}wSken|^KrmTZ2S(+NK>VVT26|AA(| zj6%rk)JV_Dx`4-WXYV2<+pg0YeZN6R z7}(kxvAPH=6BL~D`u7>%2H~D{PTfHXtgBu_(~a6&_~4~t<0ZB0h@EzhGq0a>2GU=E zX0NAb3dwqsxk&wkcS^zrnSXdC0XEyO2*wKoD*wDCUH_v@hb^Bgx>)O%A~&Bky^%Q< z$4wL>4_V$XBR@z&3-*+pG`Bl+cvyGG03>h9or@n!7Zi!!^j&hzdl3c8Mb-_OKZN*= zmb%ChpQptM-M^n6JI46KEti$tUUoMdUCKox7Jd$jSRQPfjPS$NbX^45B4uK;&QFU+LA%$p?#;iZ zDdx#CW+;iiaj-wcL+KX+!l+J~&1BrWNV?*A9XE092Q{cS)PJ*zcj87>kIQC2t9?hv z`<{ND*}+-Kd#nSsf^Q7z^hHjeup4W9MqRsc zHhPp_d55!se(q_`y7_u(BMS1&g0LcYWKQ>V8J$8Gw<8LODkbv>u4Zafk`u@o4;zS6w%$a6F(RvNZVx0yiqKj1%AVzqA{*3i{Wu)s9dp zJ|(yHV%_$JBpNRwA6*z;yQ?=@_Nm;4#7Eo16h<@Zrej)+agcPZP+O#2KKP)s_Z$1| zT6vtKr}mAi9mzLS@8Q#xA7PdYn;79v``QO)dAdEEjQqTo)SL?+4=OvwHQ%jxSCbal ziW$^Su0_xXx<$vi#6h~;lhF~tkxRU+zKT{GeH(Z#@%qd~;6ER-{pR>XhmsyRydFQ@ z?Jmo&9@Dt@nL0+TT{J`fT2az)e@m|@f?Esr*38MaVpK?-ETky8f9|k>r;dGu71#RY z<HM-E?%&;;HEDUx39;Gho0a;5Tm9lbU?8bn$MnOZ>qpu6 z->fQ~Gs$DE@6B7k9(^Ty^UPmJU0I<`)eOEX_izjfYggipN$qfP`G&N=*)@>!&Lx;E zE_y*Wggxi;&k(fTawbC;J3t*X)vk)ww%W~=jCuWfv!z9qZOD#Qk5d{!S(VbI-DOpj z{JyMt<jCe4*nx#v2rLOL+p^5-{uy?;eHCf% z?Uu6wltwpAkqIA#YNXt*V`Srtk{>my*zmc*N4Gv2Sux+$nbfb_(FOF@aK9M%9^aAm zYj;s+G5=n2H$?M!@npKSZUFpmijU6UBK~imo8F>jblAe#jt99yNkc6AyHoL#hWThC z7ZZUPijI*Br%?oZo6B>WLibf$eojoCOvaCCE>}-GMJcPYZEc=q-36)W7+vv;DpzfC3&asRI7W;;52Vv< z`aLGJnwq^f61_l_yGPj>kPF!-GcV2Qm)vdf^ONUh)h{fzrtl+2<_P>s=~0kwgquMb z*0{;ui*Y$yel=s!$NCLhd73wrSQt68)8D_>`PWha1P|RO)B)=b9?ue@PwwMYC(|@V zmR^v1E6jR6PVQNsoOtU2;~#WxCj&GNbOW9D#twJr{ma&^S=(^B@%!5-N2QuKC=_}2@2<|7!aFW-aq7-?0nDNpXHoqCTkUwtqCZ2y7XPM**uIg^O z3faq-&-istP^%7m`733DZ7|MHX zfS*q9@<_4T-P`$?d9Z62(?fT1P`-45T8=m(KKwyD{y4RNI~dt`L)s{n9Bh!=WcJ0? z3Pbf!Z-xc%fBwd|{DyR|Jvs8RH#as%Aj6RMP2<^uAjH|CV9}wUW*M>lcS@9;=4Okj z8pGN=^IG_Ox~L;=-D^)i=yFG~eO`fg3i||38WyQJzG~8UZP51ifj7v%gEs3YNMsyI9>5uLo|k}JID5XO($YI zl4|}iAmWu3UN`4+4(-=1jc3o90v1{HpTxYB;*2E;#!4^Q*j4_^<>}G~DmgH-lUnQ0 zwnd&~ts4%N@ATvAhh8*(U+FO4j}k#Q{K-@4c+7U!-!GFaHzw?PcfU&-Uy?4hxh!Sy zQ2CkRPokWpJd^d@EohN-icq4ip6=7#m-DT>}*Z;^yi&HH%w!2h8W}|;)l=A9?Nnm+Dq!t*Y2=^Nnz4jb5D-O9<1U#1~ zUwlY8!;s&jpmuLX!QME9SJ0P+@>c2;ol%a*Jdv}&x@oMe#hkrb{}l3g7W9fe{&M?N zg7P&_2EKarJZK@%+5TUX^loA`>2(3!*`1Y zhqt%K_v2HA=J+A#27`>*t0Uf7s_P!#eTujt-h&wH?Y4cJxWas zJqucPr-ocmS{5Ie=R4PEbC3T)(lv0`!F1imYHT}c8#T7=#ztdXH@V4e(D=r7W8B!b z8yk&n8(*IH`vJ4otUdeeea_%auH-t3_>F;PZ{rEySD=@cd-}r;clq&>>=+|x=z4R- z7Uu-*pNGn#e&7me%Rg}%UhMpt`LjtT!pVccVOJ}4*`ZH1=N7WsobgVLg+rPBB!TN1^q zf~}@Jcy8GSB)?p^4*iSYctI9o+0@KOX>l+>wI!fyc`wbnAO-KwHaV{BS-un>A_4YM z?$qB=&(GJHvK>lJxmKd@s}nT)zFKVv=^i?Dxh*cYZ|eW0$;KMxaW9}W7(kKY%lY|k zPvuHRUNJj=Tmrc)PV?RPV@E0qv$VTXi$0%A$hDkm?>PS5K_R+&6sOxx#mE_6>K~wj z)A$yoc>z{<4SM~Xm+^AL^ySB_W3w-j6FUqHyW8Ws!?{+F~HQ1=l=O9;3 zL}eE zvoTFNq|^=#iEmM@yUG200+aOiB=orG>b04#e2z1?^}mbyp1<8Of7WMjOz8H3{E7bd z-J|F>ZVV?~!|@)}#L1j*>*LWydRPAnkwlMw%xS}LEMq-|;4n_>hg!4r@m+(xFb4H}GE7f97SIpDRt&6TFek6}z%2-$Eju4K8e7 zUD3V}0~_>*Rp!1SErfPt-cI|-c%UFn(AAf-DU4|L1$KkJ@ktP^rDBAY5AS*SMveeA zu(A~QT{nm`3j(g6AV*bd7w`3_jN$<8gBI^gKutEnc#tk_KNFwgZ;FHRFwxhb|^dg7#>uxY^_(Hyk@ zt@6$l$`_RU1;1z6!*is9%Ei(q$xVMU8|81Q{-zOZgdaQUgzQ?sF9E+M@dPp%%}G{? z{0FGa{Bi5~5ETA84VSj5ezk9CX^e)MwoNu_b+hxZHp zN+>%GFH*H1`!SQ6M5dKL3ppFHSZDa!Y4{qp6vUGXE}GOXkv#dXx!TlEfXUv*Sa5xa zl@H`93HIPDml2NNSREBg<){{FZ>Bh9oWbo;<7~Rj#34CMg7x;M-Y|q~(s38N0Ou0E zM-N_kMNq`*a9x1I_!Gu&zE+z~yVAMm!*d^%!JW5wHfuubdbDfRp@$k|=BWhd&E-)z zI-M<&A^un<8g$=kQZ6*ls0W)R=>at4>_BB$^!e1BOUC}?pD|w~+=~UboAjF^Xs)T` zzjTAQRW`{zfTf1&Jum@A2{gfQK(V+CGwHFc;@alts_(!kWJXtuun;cAO>^@K6km7XZ=M64)U8;_iqJU^G z9Na5nP|)dVj6hf+Qq!G^d9wE+b06v1#lK>n^;`mOKD>QBi9s9h$SR)f%E<9-B}Al> zVT7O-o1ia%i*|Us>-=N_v#{dz^jODqt>-QQ_~oq+iwLbtzB4 zK=R?5+q47nd&UOC8I#|dbo4e(*dUYU4JWp>^LWPne94zemO$5NV+Tc){KW0Jowg7? zOHu3WHo6{EiO z8YM=Wbg=)n*rpav1u{Eihi1C4rg6M5PBQWesG=QD?afSrh0h}=;3(4ar7~H}b;r6k zQxaw0N<%O>G_uS?%57Y3qt~~y={Bg-=-PBnq3qVh;iHDpy}e}S-bMn`hRgchgEW^$ zaMvszF21DlR>`7pq5gur(UdOI&!@%w_J%O3}M!GP7YaR{nrY&wS_i!=@1(bcEh-J0md2N4D zd!qKU$qypc{iPBIZcS8`GfzPIqAY+IuU#a{R{pnO=44rEhwh-y6JHuWUB0Q&z9fRmuQT07e?`kSwm>R94SqPi9huWN&7 zoH}20)PpR{tp|W8VZNySq2{U9h@)|St52!XW8_)imu*CSn=}A+msFPfdcSV0Sr*W&T=ccL?x#Y*!P_ZNR_Y&;yv6`;OU9OR!If16|X4xC8+_yl+mAtK{ z21j>_z5Bp`_)87;PHIXZn7FE7Yv^57Fy%*crH?)*eO<$4XNDK6g&x!LSQbCdN-d)u z>OWJvqzzYCZd+p~wmPMy{D3Pe--ZK5Q$I0o24{oDtmc8>zk=%VeFqns@x7d7L_nLa zQYmuH5J_p!CIp_D5X?cx-cT_bF<=a{n{|=2gTCz|d%rin?BFQRx5B34btNrcqd5m$ zLosO!?RIYo7U|b3F5|_I_mhfRZ>g9(+x44GBULKX^b}m;sffgDb5_s+>6j*8gd2G#zKMG8cd;`i1Y+uA}P2>O&uOm+;Vk+vy$lLyji$N|vmwrOU zG|w0NZ`SCeXc^oP`OoDRS4O!-K85H;*ZiQ`=^!4%gmnfcJR7vF&O&uA1Th+?sOoRB z=DH-fo<0FT=G3VJm^&vFtzIifwlg}X@#t++@IoZ^#hB#Euapt}s^Phf7~MMPD0MH$ z7w3^{r?+yP=5Hw@VZ?SAF*z1YDmS#uv1dLl{pD*7wh~qPi>H!js(XT!xyxy-7=aB6 zs4VVQjMyG;oHmK7TU<^Ch{c`Yj5i1cIg2!TaM4;-^lKIk_-sLRUd{<8bHj@zr=f*+ zbnUQ6Pc%7awtj6K+d9pdbY7R+cDGbq^-FD@9E%em1YL(P1ym?N7<1>5gvyfo_aby) z04kERL3JBi@5k7r*Zuhi#*L+^c>EyPb;H1PycIZl_|mo%rl^;JGcnab?4qSm&DYBCK<#?nh3@)h1*uI2xK>$&nh$j#R;-^hM zL{CZjctpB6=xzYrW-*Tr`vb7slV@MCWWp_ro~Krz7Fu`U$gN^Sw7X&MvyaNJ0;JHo zWDUOw>m7618mT$p5`2!R#b=?M)~ zVlEF_Ah@($B$D>#rPFwVdTmr3vAx6Brx}9 z;J5R$%|51-dEhv7;jFbZFq^n(z}yn!$A;&UMlF2_oy4DjYRe9;aDXzdaGOfsQ}t7K zWZq-%7yY;~+>C{V1rQ6%EgGU<69^7uxHnw7)B~?A0~7qK{Qy zTpLZm(;2+%U(iSNRn`ph{=Tc3?Ie8BD`&UW$K1trnfcjWo(lSV9X!-lDFvWrTM)Pf zgkda%1{B&eETPSRf#Co47D%@fJG#_YoMR-qA1cR0*4SSJvK#1>vpq-DHYm3qNAcF2~=`-XDN^RK~fBT?-{#q03ifL>}|= z&$rJhcj|07kWs|!gHknr3%EWTR@WFBB*3Q*}(}`+tG58%35~T#&^Pp^~q!)#6EHx`jAy6Fg;P5^Q5F3JV>{p zn!h#VTQ=Db59E@BU#=)opN|DYWy@)EZV#PPA9?mE@q>1e#xMb`L#-09RfJ(=+e0h8 z+CKKS5L8WDd#NCGt@_CAgbiZYN;h7LQ?cZk*L~hPkLfwAFEdI7fEkFlB5T8Ns7}$$ zmLM)<l7jYFg5-c%r*yvUx--4oj!ZRDiQ9#z(NX$Ah+t?uKWb9J<+_cBFyyym0J$Z?h6 z1SN6HLkcuO@w#aPfkNA~} zU)lCU2D34gp55K{Q4^!!|Z_NJP7il3+snv6zR=QXkr3yK-`ixp~|H zXS{tL&L-!!o^RYiLo~dM_L_I6av_`KAmX91Z*gG^K1Zm)_^^HS{jf^XpQ)o;LzUN` zp*74x!_CU{uP@E#Y%J>AUp4UD>RT{h6bfo68hSQ`?8FX0;PKz)xoWX|w8#(6{yfY| z>XFc8>!c1~+r#`R8;bk1=fBfR=3vnggR*s0C4gZX9QdljS|w_ZWr{Rw>x`WN6kn=C zkrf-|;mPa$x3&mQSiQHg)^z-p-qwkqe?ap?a1+nmz1%(2mV&D3ZE<1(w86IxV`~=g z2GhS=Y3kx-8LuDCpcue+JjZu(nb>inzYm`906>*oN1TR;ZDdl%)4{Aq^TZ9L@^=ku zL77zCBPEr^Jo^Xpq(uW4C?!i!HHYAcc8yKa_G0bX*K5YCG^0`TYeq+1ZNog%tbRIN z`;Swy70>}7E8h?!U`RHlN*`;WM7jB#aL36^+gmi9)PE*)=90iy+?w)l`-t%)wc@IFQ%lkkqXKivZbf5Cw;u^|EKL!q zgXK7UHWpCcNLuPNDXOgBx#VW!gxW2YAxb^JjBmfn6GZgeR@d~pv%^cSJFUB#UnaJ+)J&t6<63UWB(gOH1#Y7!?zV~(4kPo3U7g5z+XzZ4W z;HT`s+=7b-T92-SK~DtBOayy`B9xq7VEib8`)9#;w|0uWd|jH=n7MhUz56i;ELMTG zb?R9dU?14pZLSSGe#v3zf_r%RV4{T1<*V9HQ=0E#H=TJk$6YqI|xxdnbG|EP)v(|C! z$5`2Q)L}`0h$7l*kzM{T8CBv7GbWCyYz1YitnV7ir;El%NIK{FL9DcJ;?kra2X)%6 zDtz;isX^TT_D7dN@d1^`3*>qAI+j~rMK*=huGDerBoj!`gGZTKTo%b+x(;O4)d!rL zd0bF|O4&CBP^qZcsmFKRgi&ED({ENDpZ#>5PV`mav6tv(;_>HVW?VFkFS9Lj;m>eL zD(XsLxko}^D@)PZ;foAS3Py;A;G~@(gmmhu*QFMIKP5##Nt$C9CH@bz`G>b}lG2qA zB(H6%F8{X4%_4mpdZVs8^s2K*o%!#lnBEn%lByK#ium6&w?p**P`_NgZje`Xp+9u~ zE?1a#oQ>cwrX0C~s3Y2h29@mpI z9Zf02cQsCeHlSPQQlyIfV-JQ)icC;>wYgWAjLT83*@or=STMywuIR`cRgmDY+(ss>W9t?32HEcngyW&Mvje)n=eNUPM zz(}!hQ3%@l`A>M;RtgV2?PHf`rpw#VRFrZiWXA~5JfC~3f$nj|?Wu|VK3`-1#?D50 zv4v^SlKt!xmsAro(HdIdwY)N2liubrCOf`ghXz0}fRkuNImyA#1#CRla-zu;EL~-B z^l6vtK{vVib!2Ib`yn)rYJA~*z2B*n3fQg(Ih9*sTZV;~u4YaQpX z|9Ha`SF?d@ZD72w(x$M9X3c-)bykbWLhk09|$#Ujv`#B9tmi@xt;X@Vjftb43>oKNRo~H`W z&=t@3Y?dSY*^)a05&7pv8~@`<)yRL>jvCL_x!ichVAk7=N~5PXe3r)vmVYrL353Bd z^+wsPHHRs(-@@ktU*ej6mL&+Z-uq>doP1M{;&#JU-r&S%vYsR@;d8kaJnK_sVma=; zCdk0dk=GEN$+>}xTu#~kvqtE9@H`gyq`Dn2%N>9Dlg*5W)p4>*Y|?939)e)ohU7c< zdHNmxRr2ZLhim0-VOQtTP9)hfwHy5o^+Y&m-sXD;CM8`7vW-cQ9PjG=p;#BGY^kWU z!0bB%|2*;-XpUKa121yhzE&?(b;~Sl4?oX<{M3oPez9oeD@2Gr~{k1ZJ z=);FJUxFO`IWdO8u^i1T^P#Y$Gx6^x-$9IwfzgwTubRGDg%jW)bBD01AFk;#mPV#D zU#Wg4Scz$~@r%*+M83B9OB+QYfV)5jToD~q>5{byaK6z(@8#57vl@;<5zv3@qh9%3 zo~H=0Sx^NT6!yN<$^+=O2VYc*wR)^0Ki&dTRd}#)5muB5T;hgamq8 zM5A9Vgnbp%*%=-tvgmt`%bCgGW{$Wech~NH)v!OyjsbS`4U1!euYR=trnHW~w?`Tt zbmQ6N`n_{LdeF#9bDObOm&DfhC6QH#Bzk3_IBvogX&A_SfIPgH;V&}-iHmOW)wr4& zX=w<1{urb}$bv4LL`A@(n~Ysd3gRV$Z}6byirN=G?A`K{S4yVBE{F!3jhrYK ztyU@u;f+K5YJ3GhZoz?qrWeVIk5oFcI_U)_whc`A==|8sBsx_$UlT^`rA^tWfZDlh z92xVnEG4rRjeQT}Xe6^-5Z&GKy;LsvvX_vFi~JK9GtO}#M}Wpztd7SZ479x%_pZ72 z8QRd0cE&LbcAKb=^k2l&XcXJOn9nFdk_w?y>!v|Fu6kluerZj~YaKB=xG45Y1h{c2 zz?Sh38od87yN+qgrayC3iseYjLCOIA6V|x0C87ifE3Mv}C2d^#R!p|*WL`LdxsL6- zV6!)#5gu-IsuL=b-fvLk4W!gG*)xc;Nv!uXefI9%ao6t%8f@G^9@CV|JjciwV3AY0 z23zntx~;5UoKmG6mEnKLg#N4lZ`{BC##P%`V3B(aWNuS}dN{_LI@Rp(SuN5$@5G)D zbxk9iyduLr$nc#7{h89xYGK1+$?Am}JzvLe9(V^LBqYGw9KuzmtxwL6?(J5^?f_fu zrAC&6WyaWE0?fgsgF60TPK>Yl64x(6mR1WiC}c?^+t5U@8WX!C0YsMij{7uH;O(3K zC>_&+25uM70(W%SqOycE5d0HWVx%0CJ7JQ;fsCtpXCAR_m52op3GO0t+*)|EK_$w$ ze!h8Oop&y*p6qD0k8uR#{=&%UMD4zf zrvnq~V*9-W`%>Yg*Cga5+v=bc zFCVK~Fq8h9fKk2{*G$#Gx*Fl^fBHgtA&zbKvQ$rq?Yv4aU}hPqAW9>V7mKUH-E(h{ z8c=5+^h>oDfvO0uhNQCq;c9AfnDHd4egq!G97#94jG? z|7s}#0v{aqNmTGYUmjw6X#0^oo0<(R$W&B1spZIOQ^>gKP&IyPiV3w@xfMvPMRJpA ziwU%@5S_vB{Y~2=Hn#ooQvkudNPY~~*!5?Bg=Eg+7C@j>-&A+tytp0YFiVH<3XJ6X z!Z^%1eor|gpp;)*$qMn8Y33}>>O%lqerbh({P%bb9Z*3q-XjS&7A#Y;uw^Whk4#&( zstaSa@FZ1odc^+&+BEh6<&r${*}usSE-zm>;Sly*dk;J!8D;{N;5^R zu}t6$jrb4h+e)<}-O^mge!TMeoacW?m#zauvRgE9I)Mt5C|fhQ#AYfRpsKNQXO1#r zhSpR!!6U6I>t9bB4Q~=o6)q}8Zz3jjs|9W|$iAJqE zt$}yOX2sEjx<{`u;sx5@SCaVs=pEDA*2`srNvqm();5gSU?%wlMvK|e1Vd1+i4;mGvt~Pa+0#P9#{Wk%*so@P3eZ^Ry82qUv9TX`9 zd-w-+`6Xii0Mje$zB@KrECx6@3DS1q41xUOB(Bm1)gyd_Znjq-*jOkvdyKwYy8Wa> z^547AZq=_>`+tvgcINqF14-$qpYSF9b;GNA(qOue1er!`XSJ_PH3aUhpWwzma^ zXBKwAj)BNWlu$oAkzl;E8q&s}V9KOle-mh4Li|hqJaI_3ci=>M zjZ~kE$)Ep1kEfZFYQ&L-%jEA!BIU0&oH)=}#jwCUoV*`B$DYA~e;QO`VS`ljvyb-J z#p90NZm;K85ehMQ3Gv$EP^bv|C}}&%*PM4z!!N~zdm#@tnvY$d*cvI`8IW9_6^WO z65?sPo+-~uYbqtvVmzDpTLyeTX(JU~HnaR>^7c=~fq?h@&Jq^Rt*sJ9r~gm1_HUYF zrAMk@nHWu^^EwAZBjS-SUp#-amSH^tTOgS3v= zHs+pIw-6kg(X!s3_$)JNMmiioS3chiS?24q7JjF95t$ZNDe|WtEHN7Z9oUZh{2>J0 zPX%I|_;Y{U-x9ic(ARq{`C^5oP`x@N#%)C)gvOG`;of2#j#eGo2_npGY)A?KfOk=W^luh-&<$->9aSb|_|OY(5pwzB1cNR0P6+nCCyi``v(x zVSG4lcE!leQ9mw=rR%wznu1c`umJBwn8ZAYu_yEsg-8qZRaiJ;{CC&E0~Urc5wlM(*}8@;>t(s6Y> zrr#9&XFH4lgVg}hcBlc{SG?q5U<@;{{)P4pUMBp1G$h6Gh_M596RSswK9DHJ=iJ_y zI2K{*AAqMU{a@Zo&cNGiN{woxKB;Gnx)`K=lE=H$jle3-PdZQJb+hlqX?wzXV+KAS zzyoE@8!r|wasF8)v+V03&%%J;So>F5l{<%g!O~eR)Dh# z_u{!#Qxr<18#R&V=P4Zd9P4CG6AM&<1s7BiOa{4AJi98lt0%jq5q_jWFXRoG6Uc1himd92hNq_2x&DOgP^5DpxyS7D1 z_9FR(qDc9ey4h}|7@^8SQ9jz6nfAe&xyZxn9KC;+hb6m~(uR}2xPU>Gk8EmD9s;82 zL8IgtsDh-8dQGSXVaQi2EUOOg@lt=va=!5eY+|BRqo(CGaARPbAyDO-Lr~J}CR2F+ zW0PN;s7+s=nUBYJNoTE-452)eEk6NzUw?rFOX&>H9Mm+Ae%Pe&Yc!`n-K#Kf-TnU+ zG`4F6ES!NioucbS(bZ&R_>a6_VX4SWQ0y{5TvW_Xljm~ft3=oVub`7m5JY3ieVw)B zhd_OpqJ5e%eo8Wd^p_r1l^;(y?Lpk^eTAkLPbs3Ta;XWL#gyL?t;Cq#x(Fm^5b0@1 zzxH(_yA&g6v3!#&37Abz;;ExzQk0b^R-a1YtIPcwBJk4O8keDPYfwc^1V58=HK$}nf4u725tl@RN3Kfq}vcC&y1 zUVC93tR>T`nvf3C`opR>Yju}=T-&17o&@4QNkp`xPGk1#hZyzFK&aziimhx~ z!aN^Y6C|yDlE479)%%u;8U&&goGFTDY9?4P*@Wbe$I)d!U{O#msBw^@7 z2obx3wJG_;u!qTs9xB+Y2;UHKt+KFvRemj#U-p3FkVdA8W32ip8=u1iwk^K`gnlam z&DvoyfZayLox-qaBjdz;RU#|41$yCE?M1@|kYQt`z-`tW z1v&}XXx?Tx3&}07qnh@YevfuqLv(*3z+7WY-xr8WJD_ePO_+@qD;m`9Cbl|f|6+6L zd28r#NePX!&AW%`sFqMwOVYdyqGrk3utAA``yPp#?*5l2CpQ&;F*;~4@@q^qVUslJ zK3Vxf2qMSY9r-Qg2Jo{gBfvHGWm=r3b@l?~uluj^iejl12Fu+~oE$&?7J5bvYZh z{3T6#va~X3A>Racw*4l2mi{q_G-mQ?~O`Sx~oJV5bRnOYs zvr6hUE?n52*YF%6b)FGGMGMQ_i|jFDf9Ow}v>|XS{t4remjTK_bxt@fO8P#gPZd&E z?26NN)8dt}ye$1ULYw>!BuvwK)wyd%(^FEXC!V|0D|khB6(}`qIau}H&_EqlAWXzD zxYDGu5F)kvyMDj@ECpZ4`w2qW?wUC3ye6%5M|tEUga8F@ivi70_#=EQ4U(Y5M!rgX zO|XQ%dH4S5zJDJOganT}X*z-=;YqpaDuIe5J^B~}bKVkm3psgoA621>xbcHV7~8p7A+pc*q|yx?f;G(Vk4k?*{ho zUkYYkyhGCjE7~^f=U(_Wb3!)0ej|jjvHBoVH~P79Ew!9$=#EHp;Ug^4dJ@=3uWs#7 zDs&&l+~|nah6;pSOCdnXvS{+Y@H1j#8@Lnb|CDuZ{)f%z^EeAV6>>Bo5;W+9du&*L-gqDNC}HGPbB2ik>R1 z+JtK%a3J(q=hH3y^4^SiZc)d10kImHk1smM_u(!X=UdQRV?+u%kx;|UgCL3bpk;pA zeS0z%mXpM0l*?UJ9W{*YO6GD+hHno$TVo4S;jI-W@W7U7aS%g zg$l07+OoPCLRJ5UhVs^~)aVj6)s-JQU@`y(hJ;vB<-aH+QB<47bCzjRM2Df_2wUPf8*&z*thoqPp=Qjy; zJ8!>3b7XBab@LPO8s_$il4hbt0p*rW$r`&z8WaK>|@8XKbv)%ZfS@uI-#sGQ_R zy%O%8&tgxV_pcq;9Au0XZ;TrR#$jJ+S((E4 zu4t~h#a~rCB>FmQykLM05C687w@_6^L3YhO4icNJ)ZNEIX1vs-@^eI3LRfs{7IbTQ zCrjFD*-;|76FV7Zui2sjyxr|2iP$>|JH0yqic9l9uT=JSqDJW{~(7;Aw%NWL(}b4b1Wu4HKx`A?bLWtCz8S^85)-sT`F?Rx|66a@?L$-g}~SlP5g!g(!E zda2g>C_X?T!8T2KwtDQ|fdqOre%SLX&+rcHH)<@B!LP-^u)v&6HQd3AJ(2wk<5)yz zW{jh?$|Ka03Sq1)1am?OZeHx;#rq5bR?Q3FuJ~_WL?FEtF&`NT7!BW{DT40N#%wu` zP|mC<-3~7$9`bJ@Tf{pph%{>ztzrMMTE$cBbfQr0dNe{R)Pp2eTWbXY$x6cTC0D6) zG^Z=RUtsRCx?n%^IXh%X2$_&Km=fwA;wRrI*YkPL`-y~5*#05vbq@Kg+H%m6@H(GA+vRA z3T5^1+{|1UJb<+utZLdV2!~D1PUKf`i$}E6t!Fj4|A#(ufcbFOdJMRS)70+iC2K%# zvPw{WB-ZEQzAB7ti>f*2*UtB`4OO|iDUN6LX;tji{PtgSeMSmQVm!`iX9)0m5 zl+g0@iOe}R$A5o7-V9q;*db8<&& zR9VD{#1_ljB6)X?x`lC6rI{>5bpe!uN{+xqt``=Kr7-BaFEEapEVR)V7#J{B7H#|0_}UhTLs(BFpL zc1k`<_MH4~6ih!S?=hMY@+W!n{I!&1LZq1H2zZkp>2~l#=$nu12GmP-!U$*vJ#6py za>{M!^%}-UIE}U)81ICPbRfl}`Qky%#MAn6fjDZD0PM)9Czz787eQqlR)5$T>?>p6 zgRNdzdf>Mpcl-Iz-X=aXkfyhqzndXk7d@_l1hv&2ITbWVALPy0KQvOIRv}`)a`;5) z4ES_4ie?&XHSn3pr>)(sep~J;H-*0?O*@>1=EWMbJwU|`2g?sG8y|&mIIl1V0qgn| zlnJ8Yhc--WsP2c3q4<&WD>=`lN5x6V4vO%X z$5So+e~EWkG%iWtP;tgDgew)?wI%iVbDy(P>Pz?f!^bjX#q&_W#xpI^ZnX}(oAltZ z_@luQ(R$T(Xiz1~0Ocm|=6hCo*ZVJ!1m={uCQz_9_QMDkoByTp&%X>H2hGM<;kccA zNG7Q0Q@c3)%<|L)&$c=$suxvu<2(35{jvE;&3v+}#r*n&lFU<@`ajiyLCQDA3tI4+ z$oPa=_u-r%9&*|?c!`lPuZj3;sbbSV4Ke3P0v0sD*HC&0y-4r2fq1|*C{|e!3(NDY zD%of7=ssNbKR=4`Dk^=~FFjo6r$T1_d;HR*x%WcMo9>Woa1Uvx#_XSqak|OCzaJ>? z$kd`eFQLn;N*ptG@4_9{1Y+g++i);UmyQy&#kov?R;X0vCX zPewDBFZ7GBX6x@8G*|&Cn(rvMm`G8VNiA{35mwy@D(kL_ml?*|h*+4v2V<~P;3R2Q zXFj0r+`?PJa-(1i0A_sty7A}P{crj3kO zw2P3Om#ybDv>v%kmG3(AAQC<&IfpmZX6|L5)=KsGeS452Qp`gp&ADPC_EhiSZ;7wT z`Nji&9#4t*hQObD1zLtLLxY}vH>u?lomX4)mg}bUhJJ_r$?m~3EWR)O`%MuSDDefq z#6at-c*S`{``q5P?{bbxtR7xpite^4Le=W##dg+tBB8^E+3y?3Dto zw*}?Y(}0keU8SJ+9C7%zpzO18taeO+SJ2gXv=$%YACqlMN_B!nEFJC+H9hLf-`CT^}uyr!EsN!Fa4hvsxWL&F=m8swirxb96bS_nQt+w9T&JRK;HYV?5zz- z!tbjLdfC1nd(D~rMyY_a5UFVKf=PjzjF;s+>B=|jggtVbt+IPC(J)CYYG)~H1W0(y z0sUOWM^{W4?oa77iwIhuIBztXJyFhrQ{Ey+YbfBDz%WNY2L_v^C(Y}mPH?-TxX zW;N{)uqI4E{MgS;t8^4%9(o+@aB8@! z@H>`mblx|E;%xi*1A4}m|MGa7cQQV{pF7B6u$HsiTq!L&0TecNDXmZZJ_0&4}((wJF!*Kn<|)a)2`DzLCJ9@u^=z{FpawD{4jtNnC! zW@Q|u=K4oSW&|PO_)UXrX=A3A`TpOJkn#NI0aJ&z{_l(n+M^HQ{r8HLxX#y+(s zKa#v=B79#naRV9sTNw{g1lRfg(G zQZ&gaDZQ&Wj@ygs&Tp|ff@-M6`1@AO9AX)@P(KrMW_p#o`u(^Kv@&TPW#oO;W|ZPo z`bxgdU$eotk*j*>LA6j12s5`2uubRMciI6tKs@e!HyY(D0JG(p^_sI$33m!IV=rcF zNnMH-IV;00h4xTv=f|04xTF~eBp4akx^Vv*5)()No@1gu)MWZZfNrt&FXOaPy<(fC zqMjo7n6En{j`devta)xjUF`T`Py$F5U}{>}>06hwAT5 z?Dbv@6TbZzT^Yh6BDKN4w2@g$IGe7s@F6G=dbD7cIbAJ5o(#8_dpX~O+ z+CTM69X05#X}L@MWS5?OU?pP9=y=voeAy1qu@0oWypqTJ#?F1-2$*#}CNHkvq(Va+ z?;X)Hs&~~?h<=0AsrAGU=e4p9_4TFyq#MZ?(#DpOz0b@R0+|Po>H~Xp29Q&;ck?8j zHlNQR?U%0ze6i~odp-+qnQ=?#k46Wx<8#A>pI!5O)9cVJ@0gu=M=D+eMGz8FTrj|FY<4@T%`u3l*qH`@^T*PkfUbscOo&X zfez&|*sn)y&Axsod5oT|AjkQEr6tR!2G*kSMyDeXQ$^_H)2&v28gh&G*MiqT=YQ? z5ivfe@T_?C0K|GnHUr{CLU}3B_X(ZPj{5=frdLAszgF`sY2A3Fit^1(wUcumvqS9{ z^RBO~BtZm(Rp$%+ifd@a|ClW+ZQI#*rt-}Uk-Y*Q@cZVZ$kvO3UfNAQZ!U1IqzcHj z2Iy}zOKC7bXIcF#EoXu|4dD#VV9(=WP>J4CZ$KKic3wlyaQbDPzM;=Bp5D&e{D|6Y zKXmizMl}{>7P{b#wC^-;uX!3)%@$j&gW;-$#RST_*4Gi8HSftoRo&M8y2)d2zPE*~ zQ~`7hX)>kmV%ult!=6!c?9 zBD2JJ0rAw5VTfOuc{5{jkBwBhA~b|I^%X!#?!pg}f3}b2>GgRi_}dx8Po--q#$S6} zfdTU55vqJ@P~f?@`pl~l`T0d0xB{xHFoxuXg;u{#SP?_|eMt>Nh=V@-Oki0>w(V!CTgw4I+w$6vz&r3V9S=rx z=8KMPI`Q~QDLA9f%C-=GoENaY9E;=&uc;#R0Z~KTO!Ve3EI#WMJ98})x*?Azf|71m zeZi^H#-sz){VPsYM4QlP-@Ph7NO%!1;*L!?c4jXZUWrUFiLI4)beHPRp3KA@q%#z< zng?1s#GKLR<#~zJt!Hme2veOH-3+sk^5`##pRZkJY_3Pxe1;@N#P9hZZ;*Ebg;}`{ zcJdSg-z`!ElW?i$IEvV#ZkuH>6{73bx^c}YG7>S5W~u#_ei_twmmIB< zww!${d^{xce=-r^qtPoGb4VTrb*feiQ*byvQ@OY_oN{wI)hzNGhi$uT*tzxsR9X3c z&W%5s0}h`}Fzch2S>t|Doe6y5BQu*$>wOzSA8q@VYKf9MWbpY4JurL7Ptl?r&f= zH_$9eScBOlL6+CL>}Z+>GRg0DsV#8ZUGmop+7)qmU?Wa zh8)LCmS?KFJ-40g2~eGmZ<_0|>rN`+@rUg1-#1pdjr*EpZ;Qav-%xMajY`f3=Va<< zPBs|X*$Zs;LC<74lu_Gy>g729t{=mV=SlX$szSw}LV zgFT>x&lp)+EApr#@K5ojZ>bu;pjTrJtR^#h^VYMhwP!@}L>h}s`+};s1TEKM7#PCb zl;xihk&R4z=bH@TF(};MUq3p1zP9(D4!*Bpw>_>Tfu_||ON2cC#w#0_++gu+ zdtWm{c#7&e!ZI8jZL)-_;*XhVfVTADR&!Py$;tc>|C>^olZ#%{mi>ee5@>8 z(O6o2qpwvmU0Z=!YS9rRUa`w-SFcu2&|2Py&v_vJlca5F&$^DKekSP)(8@|2DZ$Wp z0wjO9*Z2Ot?TWz3PgFyU_5w}gVoO!1J?wGzlXzU&9c>Mjn)wZ`qaqA$}oJ8>FWT!-KNhXjL2H#?`p&S!y%G0BXYcLRYJGy^GmE zmwGE$ZkhFt@=s?KFL`>BKV;PO%yHtKz4GK`s3F-K#ZA;OPJpSXG0K^bkhiLC)H>3d zmnk(bldSKLJlX#Rlp1U0_O+arym>k8cUj%(_m%=*oO$7gX10E;H;OU45K5;ZeVQ-@ zKR2^=XOppw6Msj_?6uVP+jqRd*|P7M=U;fHl7+vd-X0!K5s)+1nXZ`a-=?}&fYU0p zVjlC{8`_MJcULMnJR=+_86}40P4{vadECc>{Oa(1+8>tpOmBIo!{CA3_jk&BwzoWF zMB+!$if}x)b*5XKUIG~OjyzlP&;)UJ?5z}{3j%*iAAh#g2bDvH%6Yrj49X=cKv@oaL!F84s#%++2ZgroSO zE`xmF$5T1|sPObi(G;az#TTBws+Jq1{kHXvs5IE7hAje+jwmcw6XvZ6W3EJjFJeWi z*mk6lwtsNjz|3viZkj8$`CRWotJro|Qh1c>(iUzTF+HGdN}!x6ZL?z)WXL8voZ&#! zWN$v?lQW)zJ|g2nfp}!285CW}HBFYo4gYysUt_11HoYD;cJ3qeTnU84B ztM+OF66`b|scdMS_6SfTG>zFKm%*f?E?Qj#*ndozsXpY=ZS&~fpoDVI0B;J6g;5-W z@>gQ!(FOt1kHz5%_0wG@E_Pe1LfS^#cjcAzyCJqYNpxvZsj3lDn408v#LfY^ zre=O;HjZPdU6xiZsz(6A-P>EE0l6WTwE|?)G*kfb(_;X+A}J_!_Qe4*ElFlpDk^~7 z5`SC7ZH<_l6w2+10@c`N-|<)$`(wzED`MGK0K%lhz;1*zA91)6qPmhHb2@cXEK38( zc1gn9!xqyBNSNt}=@GfG1;a!!Lv)HNT-eM`pcpKxrpugHlNi&OAwlVGYvLJ#ZE1lu z2q8*mwMA(t^&6|fHr2LhM3;%uh_PYg&3{ok?FUxI&|4L3j3G$#Zzo4ULWazWV`#3Kx` zx~EhgQxo8ww7N={!Bc1qAmFxifE<@y0J3)U7(z^M6qDhQ8UbCC{E#bu_iQ;=8B}+R z?S`cJfKL<_KB%4#=A*v?$OEx)KkG6)q6kRnS#-e7+OB4<79gsomQitsrdL)rbVTYJ zKsd-m6i({&32jHLt^vf3Rls)14o?^g*hYOI4n5C~7`z?XTeM07Dq}A_pEm?wvo^TE zqJc%N{Sg&sR%(N`85{6_rg?$NyvX2;+jh<_2_w9QQIv)hXyGm(ZKEV#RbW?}ZCpO! zMp#eT87doEr@cEEHOPBB;(F?ks=YrTNr$?Jlik!I(ugIQd&h!RiRl`(+^s;ZvBr4Z z$kDzCX?N4!;3MK@wXk^3p{Nta$oBK7gHf=SocPnVi*zTgB6> zlEl|DT;^Y{peQy=|wjgn?^B=oxaL#d*qr`arm0 z6IAhZDxdW)OV>Pq-7RUnlQkC=FVfRh%C`&h^n!`QwvOwCs7G%VTL=ix}ll%5U4T=9)HfgH(6S12pE`NTG1QY*OKlc#X3?09Z}Vl4rrq{kiAM9IY zuh&*^o3Z42plpJ@g4-(2iM+p7aP!k%E^c;U)f+RU$+tm==!!ywx#0;+<1pLtE{f2!OJm&b@8~7=z_Cza`FL6w|%-yCk?!Bp8RMt!|&iHM$UPP>8cdad0qmlQc1LpTNIOMD`X+ zv3g3l9havLa}$Mu4qBa*+zlYciBCv>~>7zQVUGv_y>|Jh+W9VrnB3S&?BQ$I51S>7M zRUf)c;t3yQi0shcwYIv<0h7kk02+}Y_BD;fR>_d_>Ez&}k|B6{Nol#slvl>L=^{ga zNTiNs-W8iic^3g%+C*9}i6{<46!vL5EXCYRoYg%c$#8?6y6vI_B8Hqwn-18nyTEP`o^kZ)%hzPM8}ULlfFsFO?2{ zBJZO+zPtO)n;%mfUleN>Ljf|h~+|=*2Ja*a8`9DJQcXp6TmJ&)ZbRCzwI{! zDu7@I0Qgu?NmkZ57c^U^t zlop}CY^I+xgHXzntVigJSC_hMtAu`scrTh_>8gc()`l}!pb2dY5532ep8m@^W#r#cdO_!<2UbLQKJo zHUC*-!v)ql1k#Az+jjb#)jbgxZb%vT_|IUdsKW(p^L1loj#`i{)sCAJ&J25vem`{_+pomW5g-_)hc6de$M0TbGu65B)T z^_A|tQEbqjTy$L-VjF9R^s|@BU^pw?nO?-{6J}5)^!v@jIlGptxL~0~V4{i(tK=m= zPw4;T(gCmOb3v)BOdq^m%Fj2RH`{QbFa&9*<>_*<&6=m!R%qdHuQrGqneeFC(O>xf?n6bA_N?NGbxu%DbmuMHOLX;phZK`QwtCt@dD!!Wd|7yFEK@MF;TuI zwvD-m0AFi`4HuT~l5f4Fp*Rqp-jdQOWyv8!2TvKL z3QsqynqS@H>#qRek|s3W76s>!NLXR z)J?`V!i5`R7e*e$Q$|BC!B^V6Id=eM+a-$c;&ZzT)X#vCXP4n6TR5a8i<1 z%FB5Bwdbq^W9ph`^dOA> zp59URPTqg?=&nVf@l^JPd&+xlp!b2R@l^dRJchX-<5(VN%c_t4c9}GPI8pI*&c#@{ za8JozX22VY=~T!!TkN|YGDKKBA}x0qTg5gcUKHDZc4Ozv28}U&4}%_(X$Nw z^pJ*3|4*)pZ7Zexir99Kwr#iA_DHFUL;!-#fjA_oBUO~n$$9c^hk@1fdL4)5$`uo} z#n`?>#~rUl@@os-&lVXb(l_6-fWqK^B%P%r$;yEhU2N-h8lMBfM#e!m6%)#J+B#mc zaIdfUIaZ`QP*ecy{Laf}P_gA7TSvM)RX-T}L5^A!4E+6uV zo;hv%HFNnd-&f`u*dvihU>WMn>QEEk&uBQi*q%0N;T*e+a*-x_;-4S4hX+g=JR9k1iEX6i1+4^jaUiNFO~asU+iPqUrNDbg5?5JYX!0$| zP9F$h(BeN^{kpO;IAHD}rRBEZLPuX<`taX*Lq)}fOEQ157@|9*At6%7T@k{5L)(UM z$xOb5LnPoScglZ(*#Bv&VjJ+@mZ#2EftSlp{*7wP74(GIUenV+6^SZJ*UGM|Lcsr- zu%eWFTP5t=wh>8=3$AoT2LupjtJnt1b#49}s$$zQi8(K&ubH?e-{e*p-yYcM%~m3< zd1#n(fMAsrLvJR-Hl-FbI`*-5o$89_WC*Kr28Rg~i*0{8%s(@f7r3_O=`CzdUIHHb}{rH}!C zZIYE27~sgDA8Z3RJiTdbO-wnSS4>g5T#{JTY%ysB3n7J45{c>Kp0O=X=~I%#Jr-YI zR+OGJWnO>8(K6g`#EnRm4oDyHFYdukzWIVSTrkr4IPHX|zM!>JJ<{MB;lg^I?y!xgl!w)9Oj>d!$e%i1 zv2ZLdLl_2+Xgg#cS2D!=fL}l@PU!|Id{rB>4OB%@dM=-BJ}YS{*jkBHNy{n8x!cI9 zrX@EK16Nx7Jl3>)O4^9&!w2na8Nc-xx{Nqlwvj}h{C0}Ny9FD;vhZpz5eheIlMJ94 ze@#}0v?4OtM!p$GDq^sL3*`0-q?Bv@J1Zwh8UKT~tTgj1AlNAX0SF)^^c0vjvX5a^Yck|0>!R068Sq zS;ezRNL8+`vZS(;;q0>6;6wLwTgr>FEOd+g9!EQaq`?Q#)Ne+t1< zUhrm+aEdNizM<4#GUOFEC}v2Iww(?r`yOVlgqyn%vX@@PcUFw6)@8UhWw<$Qe0Zu> zO*0)LoDANhYR}&2sZK$#0mh`ZbE&4$aR;q*8e-y$~V_aDH zN|k#KOh`T)VjI$m-?!!43#Wpo#I(8aOlFjhr%G%qE4;xaFxpp=)Hb%`F2%GFH2g?kPbk0tL~84&dNS$aY|>U^yYY_d!*=y*iI&u zi&c77l7Q1^8}hBg(@m;lf0kLxInm-g-6RP&q;yx3m8Uizc0zYvA(lC9JB+O|zOkBN zZMn_ZD&rgTJYD91vEhPwV>M}fTPv3Rio_i|*BTsh&!^Y>lKr&UX^w5UP<%J_x=x?f z6(uZC#kN(2Asx2Wh8iuv8tGDi4P1pE)B0pvSUGgS%fgI_=zy_Be|SwOO809!q7g|& zqKXUq)r3pfGbXLW1#H-+?O>1=S2acGogJ;wHjYKu=AoZTHC1>C{T11Va;Re41F^(8 zHQJUNCZ(IjCPy1UDz-5LZV7A=H><`4GWWX5V-ksyXqy275XU$tx*TFE7*mrVjb%H3 zQ}6#KTCt7w+b+4Ae?;md+Gcgw1|V$yKcdk#W5YIH=Xns49HkK;9U=`@q^U?$Q3@NI zQ$;B;jnXQ?x+#U{#X4>4^$H-EdrjgdjjduE>yL|ptzsK?y{Zp)p<>&~qSvDopaOA- zZM(I3bw%l<_|8et*LlD6E~00g+-&=&5{f9j}3tQ-{LWP~lYX*H{= zBT~G@n49Ti&=@^8%+r%r4Im(mQX@0R4^xR!und)5Z0mGB*EgITPHF=?{*M(2PisS3 z@x}0!oC`Fe{e^#}j`tkyNc$06uj6UQ17#jVtkKx(dMlydfceg&vJ!e+cvVWb8EYl< zKBet7witS=f0B?PilHCDR*3>O&1ls7g2b6 z-_p{jk0$~MHk}T(RRCdL5NAV+17wvtLJvTEQ1A97uyDQLYye`t$g6;iY7*V4 zGN0a*q;o-9WqezsU@?a5FmaXfZA}rZCz8FM88hZaN3fVES$;-%0f?VbdT1`G!1`%z zvxBPI!c2HH5rDgb+g%NVu=@B`!EK}A#_9&bHf-)OJNuG=W+D@m5A1VYQ{R{j8}GjQ zH+SLef4~hkZoqKbX+k>-pBM0OuUw0Obj%Bz%@2?569L3yI%OVr076h;^JNsER1%5# z)JJjHDjkrN+#)w&fhvi_S5B^I!NyPxRT631aKlarX^VJ?T1xN9K0*$ml%b}W#8JwK z1>TB%Cputp z{|z=?j^*jUv#rxk(Hu{&iDivFMS&IEf9&=HhY+p&=TuUKJ}SZDw^BCQl`gE6V7)5a zm>ZlbNq$RSUktI2xLH$dJFbr{s}tf7^(!nhp&WY$_G|OpUv4g)Tp$DOc@K}X~egBSAu=#F; zNjTM2+ibp4x}W(e^>68MM8rtBM?$i2B1;rUa9ZjJ%4kwoGD)cNsCC_4zdaVfU-a zN46Posb_=yG=6GD4dWHxmw&C9o|k==QZ-UYs>6{{yb906dvXP;n4=#f^s*u*zebM! zyjemC=|KsgLpQpkbCobaX=Yir8{e*&W{%xH zffmhZJM%pie^?dfa_%kq)T-*4qcJTZyi4@)uV>ytl4tn#U#jS8&yO{pv}fPAx+W4e z1J*rQ9u!M-8YkMW_nn4Iiu&ZzCI&5?Sjy()(wV~qQ&79MY4KanN4Q2ydcnxWLgz!b zeo>kLQCF;*b$@3l&0pbvWS%EmLJmF6#23oWvjdQ;dn_s?FRh*Pk!%*XE5QC43k&Y+ zW;Bz%Dm?+lRYI_mwZ3At7SI!HJ(-oc__^Vy(``WXC%UGTyQymZw){)GhsdyYGpysq zWUAO@bQ+_2ZB-LUwBA%1)-Wr%fI+ydwL}*1sl%gpz`0s1Jzutdp?u)$SIZ5aC4QLg7|;M>diP|xBh8$Kkja07FqhA(EOxug&snf7b#Zx~5C z2`qseH|bcx@$Y=!BiOG$)H^0^7LNW@6SjkenjUS$Vec{+36SP%S)dLhQ#YE{o*#w1 zQ|}9u8!&%6$g7(84PF}sJ7VAVx5{A`m6YjN!bup3lVdo+@+w4vDx=nP0D^d<9V+_1xeIT zG9I$?1(vc^z^66Bi^e4;th%i1uzRr~G2=rf>vBES2|}RBn$Q!le<&R5AFcCva_*pK z+hZ<9SD6=zkG>gS+HbVlF;wyM}pRU6T2&d<}Gz^9zq9ffZgmmV{2bxeI9nnF+h zfx+|Ct{1c~u4~|V5^zA#UVHSD8uhth#TG|#NAy*RY`tI#reG^;KTHlh< zIG!e`=lWt2`Yi8F!I}Y3D87IT(C(-+GT!@j!yj9|e9$aBWg%yTJp?YwoP!eXtRJ%z z8e}u>v^9_olRRilJD6ijEF!n$EuVnChKsz+s~L{5H@yc<0_ZK8ZX)VtuVRAE2l5$9Cw zPx@iC&ozbgg5%2tWvi?`wJ-`jdkws2Uq~^lvS+Q#qW&{brWG~5jpyx>wk?HOlM&{* znDonofrfs3cjRmG_@EZzwS+1o-bz4GhxSgprgM@#!7~)IJ&mMuDj{Q#Vx*mt^$0oSebnlHk!UBrc~?(O8lnAewfctM|2YAOQ} zh+GoMLw*5*@Hy}m+D5d-FV};;$b|@>!3jY+5lQIYOh@B!#x&)9UppLy!SXyYdLVFN zsQNBm=OIICa%trfgTgaAXhAY*>W}(3dC1WY!Fx`i2-~v4XOFd5@I`o%CdYe*?*1eA zoP}@9ImY4}6-2}H?{)0jTkq(4K~liTafI;2XHvWK%Bh+VJl>(va9#4`zQ-!eQ*_Fr z_)v9$m@-m<{W?f;kCbqa7Qklmg?h*IRj(s=-|4V~Oo36deDv$CYa$Ve3*QwlyvzRG zO%0#{g+NvRjk)azGb)IT5^04N4>!QHpX8rPM%O%B)(PLF^fet9*towedGg%|B056K zy8gnh$Dgt;b)i`OyJMVXUFwQtFGophOiDF5P0RP8upJJqP*^u`3Tv2IPaN<~w8I%PF8-0v#Ldeps90-CziMRGuMY*m0N_a4D%VtN>^4pblql#O39 zY(H$-K>~TdAsfu-e8jh^Y8 zyDvUf{T^xx)wzc%yCveSU2^!!QqW$Uq%<8rp}OR3B(2q*VJBS(l@!7VV1P1k3qcpW zBjDk^5Ps6Ve8F3AFB;l=9;@xj$9T9a9KjmoQR9W49d#|Y#hE8B3D<)hUpNj@y8h7s z98XB=Z81tcqxVma5uh9&rIs=hHO=gkIs4u4s0-`E!6*1bOX_dG-RxmRD3uhG&KW9U zYKKy!@J|ji+d40sJCkqtv^xRkUgu9g&E6S|e%+K17)n*oR_T%zTI>;_yEzl|DY<`< zV1y;#DphnPl{^@ZtUNV2*m|jcd%huQQkKAogob520yY@Ug$CLmzH(-hgjy){H zmM0jijiKSoHt{;~3#6+Vd49(`ud@nE`uim*NxbBrs$X6$9Pe7R<RV9C z=R%*Ma`g|;$;p=QK1e~WrCC(ZoU^Kq{q!}sd~I?c6)xo~xUGm~Yx(2FF++;N;KODG zvJ{^-Ca1AF13TS0q}Oc2GD%F)DM^Ba(R0#nBYf|sS2Z6t`i~rbQQ>b_I?=MmA#kP) zXATIO*Zdvpd;8^6BmyqLi(^G?|AyF?i>_}!-^jTr+&iJ`C5ay{JZ=gFQ>~o+?|x16 zj?_bk0K) zljuj|(8_Xr>hVVU>L(5PEE?-xbhq>>EiQYhk^UL{19w!O^%JLI>%2_3w|SNQEW8PM zpH~>ANsZj>$B^JskZhZ@Qoo49XQ0+IBvK;SVw-P-O+^Eu?-r7+<_&}DaY5V)Xl4)j zwW8aw=JtOykfH&p#5RQTrJQoxxJTt1qtf(f4+;4Ox2pq8oIVF!jN`q;;tHqxv|Fo< zQBqj3Z?q0&`W=(|-g}nQDJJqii5g*_#q_%E0-!RvflNw4m>Pi!R2v#HgdDpV2+D3L z_0GTOsd<3!rj;C1Su7jmSP1N9p|13x9|ch^mUF4EDSL5xWwVw$I%D1p)a>XyLi z69wdgwqOt80(G_#Ynl&N|4;^exC5qbP1@OwT<+vwl}!R4R%nKL_Q{hm2M~wJ!`<9I zvtF~;i9j|^vn!hveEK(&X z^%Xgtf6;_zje(++A05~U8UW`~bxC0+0+C0)?qnYHIvkNUoPw9|oqag~dQPm`QiM_^dq zxFO0m72IZaEil9W=xa#?tp-Pdlys!KXjlq*W9{zlW#W?e$wjM6=zVipwskg4O|^M)J_p?#=%;h+Sz z;lG1HK~u3->gs(%;@?mkUGMw$UmVoephIkYj}8GaCO5*SAVRyStdp{Z@~Fq0;5|5@ zugqxG8@paQ21+pGDAEP#1TdI4j5{k)&{SnweY(@Jlt!@HI+Ie1#@R66-q?L_SN6zL zNugUW{KKMhw~Bb;`)8_YTrkH<6`qNy>g9#YZipe+(daccyNHmR zG^7MR7FT~4Yk}@nS1VO%wSb~y(?GppBtk%P7b-9RQ`;b`p66*R8mm_QB7ORCga7hz z<%VSehN7_Wuql_SQqw$zO?jAeeC9Tr);(fzup^@^?}6fNgZHAyN9`Qk<7kr9iBzsIqKr&D8gAX5 zVK`m#BP&RSdKH4_jBB)_41i?|$jO|6IagWcR(hJ>Ty^3Jxve zEkW^HxBNsETuHdr#8g}hII9G_U}Ussx*(YTdSVnFIh=oD1)dC?Z{ii6u%z?K&DI_} zZP@Sv%PnumU`+$|Puc~`FTr0a+0xD6w!NS~JqZKm54b^6IYUJ;bFH7(^aXq~ED#HX zehOgBu{O3_#QaNieXkF|{BrMp^&ToDKI=`Ea~&m4Hh__~S;`w^ccjUtAPp`Be8&@W z#WI-YS`+1@e9(JSrMqpLy+3(RMg6~UOutOz)!aC+29U2->V#dj#AujMWuCG0@mcax zwtL%&?eY?8VbmsnX&NBdI*w^&CotLl66xs1S!FJAWKrL(73U0SwTb@BtEJrAT|#6> zi$UZo@zJOKGFgd5uziB^mR+t6kWG)pV0o_U|uepE56(a&S3 zJp;uI2>Nvl2xN5t1A?>kca+_zd~BP<2=ULOrlQw&!4ylIk>3B*r)#{Oex1iFrXczD zQ4!bae!r6yGj+!&q;3;wtsJp)6kJniMUH8Ci+(;Y#&JBEk zz)7CcQm`@V24{QmZ}zlNiJM~IV~{QDe^Fj5=pTHh!;Db_P{$_8PSa*wA3fYPH33tJ zT+fAAUQ`Z+tEzJjeS{amaomyXp6CUNnZ_fWt;h1*BB_T}O3n0;VYzuX?1GxKdCuL) zzUInG>ni$4rI8^v7&ftLUcc&i!2>vxSGxM@9HmBai+?9wFlm^9^Q%55BaPyvr%F7c z7Ix#NzFrJqi*(y7Gx{N?@#>=CRH5n4#XD8oq-f0{s{`eTWwPd4k55&fgAL~&rCu~& zg8K$~U*wqE%;@psq)=8R-YnGy(|$6WCzX;O#Ss{l-6MeB!;q;i&CcH^JB?jgS`XAV zuetC@hVswS?VvI4d9r6xP<5a@dXO5Q{HxfzKlr5p))NZ6Yoql`Z#2Mevuf-+@ThK^ z)Owi?nw?KT=0nL?M_#4*th{?#J*Zwz5xFAW0(B=k-uQ|pp(D~s%)T0R$&^17*ZP$o z&n(I*YoKJ5md$C0KfA7dg@L_)qEgLcMx~avYFR4wN!o)!Zb`gV&zgL9n7M2_n4V>P z(Ma_|2pxT2zH|2#DS_7XHWn9qaW2b|YzWXv3VB$HjvMICcaef~n; zNrPav4Dr##(-Johk_-D3hJbrL+`?F>?cNHQ9yTRm&~H4tZu{}}ZqJH1bxP`IdHVx5?5=G}@qP^c6cHc_6PSQCl}ql_1Edyo2&f1@Vy_eMbG zs9vA5Uc|kZdf``;7fxQHw~Qb8y-}I~n|RTQ*9>Dqn4uo#4yq$4Gda_{m3Jp9Ru)eM zpU<7pQD~sBFNRjV7&Jg(A;QU;bgnxs%L0D_;9ijc>2kYI`s|E6xGK@^PSWYAPDA}2 z#(jPWYP@OE;!JyKvq9Jni5%iaUzr3&%yUKA``@E7$)dWmPEBAEbbpla==251_FA;- zW9*>>Q;xk2Ge7nF1l-!C_>b}#mtnu97@{3N5Kc;OKc5rzNu4WU#K(%n%6zP0&PICt z66QiL!{fOCrBHj;%+Kg}KR+)vt<7NCioxg!7(LwIZen%2zYi0Uel*e3WE>np7+$zU zojNGN(OZkURx5l7x@Iw2ckc#3=ymjzPc*VhGLKY+Y*LSKh2~6;$d0~9)%K#TBPk+p z%$EBkOs#m4ca(yn!C7w0SRG26T-c$Mv?nj3ZSV(gEJVZ~NehAKB+#)0%v^Tz4VJwL z;~vYsyPz?do1X0z{7@{-%iX#+Cd8dO!R-U&j_Q_11n=M`%y)<)@ETYNNWGZjnv4| z9#zCxsHn&r!Y~jH?fj z$QGFz_O_c)FG&npkj(bMwp0Pl2wmyL|4YfV==u3}jNN|+{R+EjODkZ0`^mXj29|as zvV5}^mcp2t+7DJ3=?S^7K6|3=R;PCvosKlDpzXPgXVn*bPh{d-w`o-C{kAgL zHX%3S@kes3{oDq|i%-MBkN#Tn?l9tEr9z`Rt=rJMQI3iFxSp7m{-W7@S2_=hdO%~#vA@twWA=05!ra{qBn6K_naRMPyQ(*d#5 zGOyABJcldgCiDU0ojcx$+fbtG&Z_j?RXjp!Kbo2bvfa#Q8ok=O)*I^<=(GUJ$-S_wPO&rHh+9?D{N&m_gpR8B!4UP%)W?ZonV z4qIplJN^l|a9dCJgnZCO9+pcF?e$r6NB=6c)Wm6!D(Lbs?nKM}2i&$a@ ze(PXjeev`)3W0tSSyHVwW1noP2}y#kONBiJ+*|AUy$>>^YN8mCUAK9bmR$XZO#!%d zt46Zr9qY?_T6GIw%*%Qb!uYoh!{3Law(IT|x-)L-NToUzw==KfSkc0c?Rw7N_-y~v)aF?n$+`atj0g}mawSkcBk-+OJ-XMZ?8J-TAfD1`(Qj-1efS&I3>+Lk`9(=w(v9Ck@SHYDPM{eA1X27G z-dw6oa>h5yT)%)4FvmiZ=s!`2d7(mhw3b(u|KKrXSNmdv&1CJx`NNZZ?+oLBPT~XF zupuOW_aks@2|nBx+FsZnPge|6!QRd0%E>;iL6n`(u&I$v)Ky^NSuEuRIKH{+8SH!{ z>vP=h3QiLE?TsxpVc*k+GH)oBHU+X9H8i!XBpltG7j#@iz9?hR^Sho2n*_IuNO&<+ zH~q8Tj2*ZMmNg7-X1iQA>mUIb_t>iu1^c}OAXjl9yaRI_9_km2!W=QZ@MjEJO&aJI zm5H(;4QcF$uw%8tGwCERQln9^h@er&8)Dr?ieJMoa`!)w*xTYRO=V5x17c(4Veb$o zNCa?b{uHwn3w=KEm&rs1p++{lIj;f`8jrDtoD%(UYL~)=SXApGFRG3QbE2Q#~&cR^I%ZZ)a^wGCu zJXZ+L(FI2n-W;1jzr5Gl;XA@2dR0q4rCOPgA}@kPK|i>4aH9S7P_TDEAcXI zvK=C47lbLc*2V)F(hJ~tx9+;%xs0D?cf1*txZ0gO%x?Pa{o}5^1+A@>;L~v$&GCiW zycvD=v)qGB+^~II?k~{$+Csu?RO<*)@3I>FY%B(Qq(>`>hIrVc-_%xFbq%Wk0piY~ zx1Qy8B}7(u*@f?&De9OLfl-kQZyp#z_~vW)4GwIxIi>8%(hDGASf6m2?wg9+oqs?f zXyatuY9q)zxajK`A#34~>@J`4Svb5*#>Mq#dQ4+5iq@siET>F}&iy-f$KGX}{uPm@ zSJcGGp5dX`=X}P|n!H=WW#{Z$ck%@Vd?ta}WPn<=p6%?~i6%Ox`C9uV7Jlr?+4%>G z1a2?niZ;iTBuoq_skRlA71;e;^>Ma<=Ey_GtH@6}%ydD|i~A|p-G z+mL7VvVRWg$y>ZP@|p<;lcUS-x_Z!|WN(NM>GL9{(L4OL$DBm&QteHCET>Qu!BJ#( zS(mVcKq?eWKhxfZEEK#kA;J>Q@;633c2>KWtkKE04+VPQbPjljBa44XszzY?QhF>h zsu%`mfv57m+eu|nVLY;n@R31|p%O}u%vGNrxxCRg%Uh{$ zP3o5ARyaDmoZ|9Ru@TO)LdnwaELKI#>&U}{kxlhF@bT*C>yQ|-Yg9#}tA!%VAcfM0 zRBXv1>%5aU)8Bf^yqrRV+wnvU)pnhx%vs@;NdHR+7rR^IqF&-b zzK?FX5b1w2X~6;+4bbm|IEMetZov2`Y?}dCVhUEdpIouQ698s0UktU$AIk%jx$te7 zP}D)IZy5|nEoQAH0!@BJscV1Cn47I(t9ioM$+Q22)ln{Zt??*Dz|Sg<;Z z1+Q&)@^nWNl|NG8vmoY;tiGHtGBUJs(w>N`Dr+qZ882-r*wzIBc z-zzUKP!mr+lA_?j^%<6Rx~L@L&=QMdVr7SA>NY#ZG!6X=j@K`WXG5qn?Uhds?Q9ji zj<}q{N)82~DL<>XdjA|3VmdaO)>|xe(-c^@hu5p70U^tRs1t2z$$@uhRcNpr5HCr@ zdGqcIQW7}{aG^qOVp-rKT$KfER=E*hmJkp@S!x9N0S)2@XUa%$jaNGiP4uDG__~tz zX3@jd#$h|@@UrKER(;tC6GPoP5W}`@LPjw688AHXlFy~UFAJ^vnHKDZ4@_`qD_h%_ zx*_KJ(5A3)%VWj>*F1;4H}MyXQ>HP;0C>WF#Yj*|WAFq}`*y(IRJ zBB&&c%;Z?NSG79rrBXMaUIe*Fh)=M{9RT8aY!z*OUUohnkIC?C+7hTb1<0+4o144Y zBPcpBlCm#@QuSHSe_Fx+{*;5C2Bdg?p9Am5K?txFS4aSmUPH`44)}wtI$yVSV(!F+ z)fvX6J&96O8_Rg%HdP3r&W3cIY|aeh!Sn4~yoDcqV|=gyqt+4y@H#!j+;$P3fE2hCm! zsgukgMZgFutkYgIxko@Tmh`+YZ`4sUvxmQi=kn;=;9*$vTE)!>3VN-vw1+QZ&i&ff z{GY$G4-|y_>+1Rm(ck$VM{r``K6&k!b~_@|7o_+(naqf#NrX3*CA;2=d~^?~9;0g@ zET&dOah~d;$Hh69;EY7Yvnq!|3y6DV0hN}1Hii$pN(7avHIA~E7e|`USWtd6NVY?JQejaNgjg2e#)4bg^I>(kiK-DYgG znO`6B;g8YJ4Z7}E`%ODPFKGSl)!pbE&Ri?|Rl+=h;g)Zs{f6CEj7ZcjUfDtpO^Q}IQktj??uQS{2%LjV2>ZkQDWvv z66LI+C9?$cVm0m9E6Ma|TP3VIBi5Q>6uuM)#lJ)=e?klJs_?D|{d16K?$u3FIU$?4 zo+ZS|yp3Q3ieGxmr5GPLln??iwbe*SYGR{RLzw$^0CL3Q&P6TXgc#DS4<+!2i3K!? zCJh^p)INIk!v9p{e(dEH2`MEF(Lpy*wsk2Svob%VeF$ubo}qiX=-Wsd-YJMywZgbJ z@p}V;cY6 zTJR|N38Ytj&k1Us4wnXeqi%(f6SRk)W8E3NjZGa65(t%I{wigaZUz309`;uqEd4pX zzBzoJ*u6y67Phh9c}&8>hc|a`289L|Bud5_4R84-){<&q}j;H!Os_dQ6 zbB0Mi=nIR-`*dIdQU(=UEU*L}lU%^%Ao9l{WO~QqvrFWoRjrDqwzL+)B8ldg3b?Y{_`XZ z)yp9tl9Bv~Sfe|2oW@s4g7b|5bYgKLOwqBLfTnNgI>cv8qhGf){KVvDBF(=nHQ#es zSbC+g(M8K5u~fC&>a7uh!Pe7Li}p~wXe4q4rF_77PKF?sEseB{js7Pax|(o)k2}S$ zSYsVwf-ke!EyJ6M^~XO&u=U847m&YX3J`Z1XsBgDOD!uw9oW6O`h;iQ2J7K-OiF!yIZ;fTM8ZEZ$EhW?!cS;`JF!hUEI?|hzUy)}&5 zzUl6!PId^SaCGJ*uox)u8(cuSOi?e^PS8 zKC$C&`g1bfce(Cxst&gC-T7#Qz1L3-Xp8YY zFhcBid}lJX=>o7YOmI0h$FfVi1GBB?fC9xxW8+xv}a{{Z7FlKsi(Xw5oP`5o7=aqfK}!+z2J)Ap6762@S+#BmC`@H(m31B-i9K~K zx4V+0VO@|RwiM}A1LB98qIg55=w~O(ZA?m&44wmngksmOnk0?KzmTDtWa-uKo%t^s zItTM|G-scppQSCgdHl!z5jM{rogYBHHKj^}ia9UqADD@AoJBOry{rK{@175+Tw3w< zKQ?deUYB_ox$iZ7ezfMdL+0O(^dbssRL%{Q^G@Y_DCa5-GUDW ze~F;?>%DL7>{l1WRewwUkJGXykh62P+`gY$)H^<84MGRI>Aq^jq7c**RI9w3E2QT!)p&0lx@?NN$JpKueviR1CWiSvehHbd7Auvq0ckmDcSJPdBSivEkNR!aaR9c=Q!iAkhUdES2D#tAO_QuV<&S{r3_}uS;VmUr$vpiJXp-_V+iI z8+UH`;?Kipw*up9E|Mcp{M0*8vUn$&!X&+fd*-8i=30RbdmvTfl5lmLv3qEpS9nNR zn17DKt;vGbwiNW9`rF*SJ({?;MC3i*4Q&I=*K>c|j~t!mcdT_s&UZ2kbk;3Q8^`kq zEen%hk@t!i{Q$sVKQai{Zy3IMiQ3IyN4E-L6nj~C#Vn&(&T&$%E%r57BbJD?2Tkbj z#lX7bf^#h4OG|>1tKw{lfO^MC7VdT0g!*ZHR^5R!_G}ADwJsF~K#O#|2gl4e&owI1 zrM$ShG|CKB@!U_9TWTxdyky=Phgw+{88Sz=V|45T40oopkEc01H;asai|$o2w&+p< zCW)x6(>CvEf_m$4p-(-KArZK65h#CP-H_?bm~W|IQkR{c$`Ly0hoqoWZSq$8*T~?% zu<2#(oka_#qk>pb-o(h#o1NN}<&g4xS?(%b8^r9;tytGbq?D6`V7r*#M>jB$jYp0lrOGA-qZz$nW4z#x1bob z9Ilw1f4g?I-K;^*R^SrJ+4*+my*T@9upY_jYG3+1uwO1F_K3DU=e)DSFjOp(pmzwgRF zCMW~~r9$_iOMyV4(7nu3;ES#IdB$Ski@x`HEZl_Zmn$?Z_&^hA!RR5z)kTJE+fpQW z_r+WGM_T)@#O`7^dsejP(7Nte_^>V&_?r~&-!BVDw>gX5pgM*|9-DSssamV)Cv(6E zjV=A#UCGFLGE6D8Cse)0hAmL@7C^eEmdjCrYKxBARtakw98~S(<*;Gd5(Jea!QSQ; z?Pe~$DfEt9`wYSvGVzlqFP@Vw$D_HQb$D6N?|FRFnc?<@oS}>^+LR{ohrO1Ix0rd* z&`8%J|IRWCBM(g1v*^Wu+>1Wf2LQ~lDG;ioqcoittE`@*jkz?2>{Fyc6+XddOjuAi zOYbgKg9CTCagkOpi95UQJ)Un9-g~c+R=%K%iC8JIz#hDgQND&jYi=%Nsw)|7RDz8O ztD~7x&GP_Pbky?z67VfK)c}>D%bMH6BX5NI-;il#B}g5#BIrAv*QOPW4}eQJo!l%< zyQBzSi0?Nb{4(EYG<8kgH*}-92(+J9J%r+p>4eIQsI?CyE@IB<-gv6|!XE!7vUNF_ zAr!D8Oo-+8X29(cc=ZPp+6)E8R-6SIK@527epp4fYuDia@=3qt*YLaCm$J+MC?qxsCU z_iv0P2W&TtKqMo)q`<@K?PlJza61W~1_i~Su7pX8BxCW`^f`y4klV<*3W!VIw{YT& zfmmBG{HRANQ4T4DE>s42%(gy2st$)kec15>(lHq=U8Xicxv+XQLWWKH+~{Yb_xt1D zj+yU{p>G@$0`nqim{J?)qJa)WH60n!oUBFk>DgiJU0e@=G*QfS3hqJ4z z|4hDCA7$>Mi{mpG{OV79!vCiEAz zor;d3-1tciXdEzpCrnBayet;q6+C%T6J14^wwiXdBcrkWlD1HLB zsv3@vNo})!=l@=V&8W~kS$U0Q(-4z)T<*+Hd!WV|&DRZeMq-qC?~UT*ct5<7RE%kT zl%f6KyTX@kV}j@9O;=5Qpqy7n-9|!p|H_YbdB;oQh6GrGfIyDm%OylnLNeQtYqUsa ztRwxF(jX_Zr+(V?Z%?!*J#4mEpx3;R=39g2$+D5IclVc9vlUAmu*=)^jHNm#mu+5+ z1sZhmmI+i8yd@x`t}0!p&2fD6W^VvLho9`%{-E{o4N@#oCY9Vo%I%nkulG3*7#T3} zb^xVe0ZNpP$XdPghnT!s$i^uztFv%K_NRVPO)jDXf6dIUL$bZCwTY})nvvLl2Uq<% zE5nqMan_E$Y^;-Jz}Kbfl^==rQi!Md_lab6l@3N`cHWWadGo0nfr|3TRiT=(4DKmF}a z#;Kqtj>I|`X3Ba^P-(|{-PkzwvP|%(D!TXYSlULjV0*^wy!>w?VUvO7%cm(myISf2 zW?(0Wxmu6wv5Gc{c}c|ZZMDsdwBu6prG1KWbvbP1c74qr3l@00eg{Tgv)mx+dgwvr zhU_IBfiQVT>**IbuU{I>pX@JtYf>z$c{pKM59_HvvfDJ)%V(g7hgl|IyV-MPPcyde zD|vOxdwQLl?2yQA^_`cI^1G}Jsq^w%z&o{v8LCvbYc44DR)#j;!1HX_Y?k?1wepdA zRrS0CPVuU)&9~2ErwzXHGQkz#Uk~on}8Ri5ao{eC2 zeHAQO?|4`@|KrGdpP;9%B$1;h?rCrFtU$X?)q3gvu+bE`r&jU8PtlH*y+q|;*1om0 zkZenbhM0`UrT2w2gf+2XUUGfpKCN5D=hl=^>@H+6mMKqAQZvR53nY))T>j_`H&_9m zyb}n2-;**%awZE^N6gmz^A-^Rgx5Xkp28kimAh-r&PU(};S7IYNbDqQ+vdf(7u4D& z#{E6|FIzY_k7(M{wiNjKB63#9eH6XVTTXiR;IeBbpJ%`P>)1RsH)1NGM`K;iuO3D)CYaqB z1f8iAaPGR^v$H<0h+uRSuv}{{iUSQS*Ykc8(})nJ|JQf4->#xXVTefTfKRQipEXgN zOQj!?`!0omQ~%qf$szZsgt=qyB){M>d5G1r$Y07#}BEq3L_q zxPLu4^Kt6iZQ`Q12$97tPrFLF>FZ!0!K!nZM3vOZAX_GXp1NJ1w7>#=Sie@dJ&`Xw z?8r00Qu>Zr7ZkMhSG1+N;dP?Sp&Q7*OjqG3i=YM{xs^CGhgHw!Y z4zxfCn;ix}{d(&ZyPfO3qV8lQ$49f6@%|fL`#%GD>?(siE%P!+?>}W{_3)sLh3dx% z4+#u@mK+hU8+QF|gVeCO#a?evdn#CEHkr7{Pc2?La&@k}ucZD^vLGn@t0D4CI6hRQ zbkseIR%!kl$S`>iSvY9I_ai)aXvmyjTpITz#lFD1hqjky>-mNSK5AW0j6`7pPQt`3 zacJ>mgv9+x4^A(x6;vFrn4VImFELzz0&XC&OyDOlNY=Na+-D-Uh0t|?O0;_;ClrV0 z9V%%eB2YcI9A-fj-kL<2^ota4rROXbH-z@NmgzJ$NEzh732~xD7ocXP$?M0y4Owee z|GD3r!jnITVOhU(Ux9RD^%cAfXOI_;O8dPc zn#cyQEk`8v$CkQm-F~g85Oz&T>OaTHd>S+k zAE4&^5xO>vDjN`Yt`)E~t+-H4{FJ7cg!S2&zYDEqN$&~Z)U zp2)`^tATB9w#G;s@E)M=|6)REAgsQHF_gqmS$nA;30sQF!CMmByhN4My_uVnszJH6 zJDs>@$s>);I$g@0tdu(Ptt$U6;MBl?Q{m>1*F<0A((1lL!HIEh+EaE zYCjBt_a_Kb3m|tUo!3v(3it`%mSXhIFaN~W5{q%sAf*xcF{R2bm@VP^paBpukYi76 z`~P1L3mkp^*FWM`Zq&UrA36)cR0UJ$F2+Z=)fACcS%~}w?=dc}q;ShkpVooNrP^j7 zyq$f>?plke5smQRs?x#m2)DMKBUMh=F`;jR?6h42I1%5rttgsdkz3B1VUZ=JQGVL4 z5j=x`LI=nRs7?#pSTf_ENKd8obT&k|!CR1Sn=>!E5(pGo%s-h z*Eh4wcyAk7q@QP@skKNTwPb3k$+&2iyApldtTWA8=c9{nOJgC>?Ov6y;O~ZW=$)0H zg|WyjMdGF?5ze>6JqB-M7jpDDxU#|6?svX(L<={*@y~|B4`1j-#~PYffAkx1QtSS6{r{gl`xf-f&owVcz)=+3ge`gZTL z^69^G%&wJ4PskiZ*E7P1TBw5=@YC(N>O4!mr)xpCJK*%`p-s}ZWlA=*P)@W_izZd9J+tL1yf{3FT|^c zR4fR!ZOu9#*{+*b_DqQf&vi~i!A11(<*2bqAy$JW?0w{nuAcHs{tZP}LKaOZ zz8>it(=(2E?$21U2VK$bzk(gb>4}-Xr%I-NBNew_By)4gXPseBY!T(7@OPB`16iNW z`{3rmB+1*@@7|+i#4`q_$#c8I?Stqi;)s0&Fw_c|@02s+ew#1=OA+%;FH~-+N&CU! z8;=wEO}7Kso6dHmRgj^UDt+&##y9> z%A9QJ$r*=U^4cQ_^M}j#v=sp^e}-XOkiI{uzY^utSRD=1wB~Td@!UR|ex%HDP!_iU z0*vNRU>t{WbiBAhxUBNCv{W=3lwCi<9W%5H@OW@h1q?!n&F^l!GPjy}sa`b)|4j(L zIeRX&sL{QB(@Red9A)!w@ZuyXN{RO8<}dLi*>2 zoy8>Y{$#{BkVj8f!GX%h#zw2le#T5kakN z3Lgq?ad_n3uduKgkD3(xVk{^iOim^vf`ziM(LX$Qx4X9k8lJkI?l)bL;wApt+H<87 zo)cx-lhwyQCq(SY5OjRd<$%=?q=I#!h!3l$Nb;_MlWRh;y+pHFZiY2i^P;TOMWe7_czohB?e61=8ej*$d3MILdN2RMyhBa-E9cO_h|Z+>dznK zDsNNrUAZAWU2&vmf+2inRfk=Z4D`K1^Ki{^C>fXj;lnV7 z{}@VY-v2cgvCRs+y_LxGTP^F>6AM^h*MY)Pgv+o@GQU7UwQbL8Z5FG-xA@yqJ{~fSlgQDGg_xWtU>SMsWbE*L8A9fx@v`ud z8mfo_V2b5UX0ZPFZ@K>>IJG}7HjzqqzSGZk`|Tunn1G>w<{1D;Klx$!+mF&e~W zG^H>7J7>smr5}=5K|-fEP+?`~8OsJXTA^pz`YR_T3|C?&KB|Jx)|jIY49ymGr-$L6 zFb9xThOAx<3mYV=AnO4GoxByvRe}a(ch5}8a17s7$&RD(%Zl*rXHPlIA`rd9(@w5` z@xInXlDLiridg^bd2bY;@9))mKgl8fWCxvKeuNq;+?Ss^5m>=erT%CWw0x|15a86j zZ|TC>zSQwBq!-tETh{2~3RmX_@u(pUX~oOB@aeow-S*zQg-|=JJbV$VyWl8Nlf&dt z^io+*f(wZ4VlT}#-%wZ_J=xOT74E8Ad7nhJ3( z@EPudqyCiAd9a{o46$(b|0{jE~$FTw@r2%RRIeAdx1vN-T9YB zv%r%UtJBh?h-^@WAtX(bV$55L&fSY2%H0f{C_i`1M{_@Ot!NMWwK~~Yj{Opd;&$UF z*y7zg|iTad=e$`uGL4uXgJK<~!JLjBCm`68)DMO*n{IK=YWKG8=w71Re6B zzZD@{lABix$+^#13tTi1`}17fSmqqquP%v&`(f?Xr4_a1T~(Uu_UAfT|B^0H>No%@ z1)$SEeBwFPu%y22dv*bSo6 zhGi7^JzJ>*lcq5q4nDm+ZiWB(+Bs#Ms93u_ZQEnXv$JhO1DzQZj6hGhSnDRO`~umk)Q}0+n7Ot)P3f2sNW6{0LTnD-EMPT7SXgkIm5Q%j#%NC2|*SI%4hL&}=)SLh{CO)Z{kcO(=E4aPaxhXOs%3Cj+>$?F}LYyUid~uLIkuS zMCl8G;%2hiq`x{B8IHJ~fR7wQU(bI^w5y)ehb^cLh}$cxiYLVDcYhpb*z6G*0j*EU^VEK?fOaVG%c;M90J{X2$su|jv zxKv`D*lm-CH+3HWVIYjoOBJ0db^>0(Cc10BVi}7tLI=|fyI|g<^ z^k`zhwZc1*KsTHN=8U<34c#@a(7&I3>@a7}&D6TlDMifRzEatl3x6q+sVnB6?sib# zw_04+iSsX&>n3tF8&g6|Kexkp(LNf5+n(i}g(Q0UaIXtg`0qcJ*?sA7$xSNB-NC7p zo!!=duJkK;oZUHTl{=06Pi52KTC=(1`KUGIdrpw>&R^I!;C}Z}{jTaM%*^#`#$Om) zY0R@b#4)*aVbZ_>A^UtzUMUN#gFT<2U;dRmns8d0G|4RcUC-WxwI7ZBDE0G9t>?ak z#j8J7-IoH(u<~3D@L}qF4{N3?_Rag3*{td*TLU~9F}vNe-MEHp6X8@OliKbcyvs%^ z*`2$=#%yd+lM7~T#-h**UQJHBaoY{CF|qa4z8U=`Tkp?_kYwrT`{{U> zE?E?taO*KSdD>*?mojpN|njOZoeCq8nHjOfqBATOh+QfTLs&-k#b3XC2@t9%&??v^ zQVd|MF~hpRSUV4=u{Y;Q4eh2+BD1sLW^X8HF#RH|X2lO6ZLX;STyUMDfqj#e;KOr? z53qUkLKg3wXeIiM*Q#ABl-F|LOaW1U_IDGA&7qIku=LIDCag5?RLR?*zU- zMTBF_#b|}x#4+LfMDf}3=nOQiO7)_+;4e7N|HXf|njr@xMDF`Mr*0eu_Bu%7U4x^L zEP|)PHu#o}>rN!04{YF0FI+snqM)o#T>YpC0Ykj$vuW^uO`++Z=|U~zrCtHdGHTnn zQiU}`A!J6xcGdjOp655YADQV02r2pkl|PkGJ~o}LV3hwy56&wt(EL0YfoRH8L#CV* z6Y^x*iwJdQ=bc_ZPxwMR!kI1LfRiQOS^3V4MJVXgv13&A2rk`L@BRJ?;^R9*_W#}; zt(X+CH{t*A8A=F}Ft=MW)yV$_2;GONE2APtV)|+Oz&PR$edy<<^BTEC`#?J_=1BvG zDY~SlR!l`+;u5jJaO6M7(Mxo_GkKYu^OjLl zyD`EcxK|#<&@1>>Wk{~ttc+f_g*bj2H<#mOxLcX?^fp)E!FBOB z$|A{+5@h9H8H`D_t5$u>Wl?ytBwo>ch^k9@XGE!3ZP88Md&yjI}nEVjO&B}ZWHD999 z{FzEd&*ZUhWSRPUW~R2u=20LZJI0M$4%0_OKiyb=I$Y=Ko9RNJ`nZjz#TvS;N8W1> zadKX+@swRAY;P}Wl#IbV(ue?eY5)1VJ3aW0Rd!}>6EoP>(|^92(Y6wMS}!ZYWYbh2 z2N%vKNSPsk&inxEc;QJpc%jXbEj?ST=qY%PQ%5;Ciju8z4qT8|eSdFE*_Sq{@NTz( zAj*MqD;cS!ll;B!NVnnEGF9_Q!!cUFC3gM5^qm$x90?GzS~=PN16Om|y?85f;hmUu z*ZF?Jca5=hIrB4iuy-&K`@(LL&4&(F(<>i-)2ogbJiI_m;6;8xhk&X3!`wc{vnrvI z`yZx7gHtup^qr;Bx;j?@9lO5m4tvgt@yz+|PHbK2cbNnj^ScqIinApc$CBfmi{%87 zfSyQ$lNe*6(lUPS?jgUzw{CUw&lm0#{TVg0Wb?k+L$#u!xcY&?ni-)F=uyziWcs1B z<5@EEc1823{ii6N5+A-7#n_92**pplToC&WT+e*=#2Lnntkc8&0-+axU!eE`jTh)5 z>x7wXD8_uiM6$gvN&!sWRlluo6qV?C(13!I16E^Dbpv)V|zD6 zmX~5PyrPIbk5~Xj@8|JZ;euN87i2sQ6G01}*Xo`caQ2?xqd-G^{(S&HiMB|;sqtb| zw3h|1TtNuOkmc`zzwe+&Kc+kt(clLa+ZkmlbfpFR^8HuER|K@|wno5?H@gs{r}S&K zIZWZZU2A;9gSM{UTfu$%S8%*_0;%4}sK{*p16rET)2BT3_b)Nm?IHL~3FBX2j>BDo z!RILd`ufYz0lP?OXYqCTlWYcm=K<}VMag*X;VFseCiVqJS|Q<(^L-1MUrgaHI?LiW zmpn6&{UNNHImBXz(CHgJ|m$b5TFH2qqFgu_iNm>TxGwv@TpD5?=)Aog; zTBlug9x?+88+v>You90#z&9&Rw!Q_U#*`!D6BIeT(Dm)DL{%NWD>t9)FIGuIP~CZ< zDskpivGtA>3Bpd1QkAXFhWXxwHk>b>VNSKN_TNQ%x_B|F-&(N;`2bLh~y zN`LS=ZxEjbr-s;vuT?xx5m$$uiRuKLn3(VJXELyN9S#yh2)ImUeYxM#7TTh=DDAua z6^|~t#$?QOH8g`x3F%YG?lxLCxN5JP4yI?j;2n76dCdAb?GaQyhL?EIi!xnL_%vMheQP75A;aH+mI)t?Zj{* z8l?EdQX)m9uEY}}Nu-NJE@CQXg6;2*U%g3#7xo4H<#R`hW9Hjyr;Nn>GObYyC3Zz| z=ee3Ytz+5}or#Tr?tr|TwElx}ZG_?EK@(-dWK$#BHfMR0(vHH>!i+%kD3ynm?JPqW zABWYam@I$a`cj{7)vg;;k27X}FzU(RQw!gPNu@!KI-CP{hKdz+_!Bp;H?#Pu@Yf_- z=q|FbD?=9{X$Izz&K`OS0V%Q*&+N zATB-PAUr*QI7p1?=47(sNO0us{~7K;^Qt= z{qiZItM7=wj$frU(y?r5&p8?>|M%MMPOyXTfPrQ@5EC}-@6?I8eD-G>BNL3W{~!HL zLTqYMm5hc2^;xeG{u^ElL@3^IHQBF|=}mKgB6P)a0A&{ry7_Y`>R!-MQ30-hGbR2{ zF)Jj!A+0*oOUY%7{ot_$QhhIHFHQM2X zwkiL$V}H=&5uT$yk9iR1BytH!?Tlltj&+CPkc;;bb#jv|skX>SPO6f#FmUy(9;(?#TL<_ZfN}l!u&!4MVFhNH-^CEf{sm+=8xrq%0}L5 z-~-#yV5*SuQ{)o@G8R|eg)Nu4*g=QFm8TA}>(iniZ>nfbFv%UIy-74JlvWwDqj?qD z)VF4L5=h%zs5SJ~9Ej7+taR8qZORrCbdC##{TRfPitXPRRSSSFwv#`e|4vN#dRC_j zC~`93l9pO+u(M^w`VT!#^mg+HEKX$b1m~W?RG-ty6>Tnh1uOLotw4&E^apKKO2*d; zyv%%88(W=8(v)tW!|vih#$?v!`VurXm$p;>zS6e~r{iUA33!YSe^GYZRG!E{FoGan z2b=B+OpZ4^znSD-%e}(9-(De}sT4B;c&`i~p@~k9qApTdmM#ahA|?gZYAJHe%oXHc z-ZImgD!lrMK*~VTyf^wFrT%DyQxY)H9WeM+sdJpkwJh?2jx?UOG8_exR0z!r7?5L8 zK!8)T?IBr#?4TmSuqPMwehqai-H$W+OCQraf-X{Hb7=_E6$eIt`3s9-@brxWVU;-2 z*cet)rn_I5){4eQ|N7TNUYv&S=nXypMx}M@V{jl!yo?M-lj9zB{zUgf&O3$ljq(0W zjuSuT36_(f?4~8xEg>n~$}r1$=<@A+?luq0H~0ySyjG%L|r$N+I}d4nH=#VSYLw+Nq*#Pm7LnBv z*-B%sWj36^rVZW#HPTX%D&KNi7o`MVgMZ#dg9n)|9=Xz!C^5^1#6%dzRYbNojd{$Z zUa@7VGQ3H?aQh~M(K<^0rk0T;CztO7UAkEW3Q19j7^$@tI<{%T8*x}S5OcATB{JOX zkG+?+0cCZafK!XD(Pz6p@jC#E^hra)QISjGS+tVDttd}rZ_dx)b>Z9YgW6JHtoY;Y zy|~4vNZaw4WuryKa$BsgX8gO6K#{OsOXW_+LMm@zavGZ+~CWa_?cM0k!PHJoAnKQjvd25 zV3>aX%W$$FH~@ii4uHDhbbieAHeqyrv}WLQyBb@9hd40Seg{BcJK`vPufJ{_d5NO3 z9O6b?N4f)FL|~3wJnX&))7)Leb)&Xo$KmNg$(1zZeF-4BF_&B6+;UG8i+#~)sfKJLrpI)ITMA*hds+11%j2N9_*pIkJl&R`~oMi%# z;s(CfhBr5_8DN{^CRXcx+a2A`8F6-w5h!FNfltTVZFPqioc+)@fy?qtMgK4ikEaR0 z%v6eHP0vAJdF+ks#?CvjcIR}{h0t#M@p^%ze33U#NREH9JV;2H1dkh*UJqP7=Vkd_ zzMpai&%g?!X#GxJWrw}Ws*>^;(hcecW1XOSgzapQ0rWjZzF%@rm>#jjm*dXwy~F7* z-b~%}4|2y{zhQd(Zx2k>-G$!#N8#SD=<;e#>7;3qWc`kQv*oC1kKxHO55PTx#lb;t z=Q)|Kb7e(u1ml@$H_R)&b5yr;V zKJPJpsQ0eLXQwkcQnxTC(&shDo}Nfesnteo%q=3bMxf$fsQbjhC(?;F z;ItBVSl2(c&>BdSKUprfIbL;s^Gf(!pJY7?!C+W-85Et_T7@0tM zWQ6fSlruWn@@JwmBqx{*bKBQDgs@{>hEZWS!f8}XM@UZwONGdj0f}AZ2CI6LJmmlc zQ5e&M+=t}DIQB1bVIQsNKovr^B6jNl>Xu5{8VcTFL~A&MC(V7D7A!5FB#H#%+h&dFw^>98-G}D@f;l>s!ME)e3*b z`j(x?MdOA>M3szZ#2anatyPM&D-KT#By4tM;ECL$eAF>UhxM77>dP~m*Ep3xN`J7b z@k#8j{WAo4&bcrc-b3;3A3C?p3MEd@5UP^&Es4uRUKGm2h@;H*Dz4>VF74^|rV5J> zsa6hEtp-9sYwc$b&7JNWd9zn1X(DGvA+74u#aXN;I9^du+cD7KRd%?>pn&BCCMj|~ z=kTKVNoP*1_ygOag25dhOw}8>`Q5BQ7?MjjES6$I=a<3ufLonwS5u6ei<>dB!-{Rc z{`-&f72$v@m9R?+CxqF4kWg=xStU;n?P*5|~KCV(}T>Z%t_RIo6*M(28M0XmK zeSJ1SPgi2GXd+xw{UgfiGga#KQtK{RKGhJdzMlBt11J;Rd;T2TOOBINq$7e`BR*wr`%X6frhR;eAE!} zt&*Vq3j7;|9I+}-h8@6gs42kn!cpGwz9yB=8=uNh!InveE+mJ!m>3M07GJq+>pg2$i%i7r7PI#mY3&P2U9eZ3 zvy6p$(n%240j0KIJT(?pj@u%g9J44?na6h)mF zIF$@*->`XZPv9d}=wAd@jOi*Xp_K2H76u47WV@6HDG3JY@WI9q5jJm0RxpsGN4xU3 zDbM>f2CKaP15GFQup{XX0XR1DaM^C@GuVIEgpUTaT zaU|&H72y7+qj}0VDpTVI*{p5m@xQ6t3b|4nExWwEF4I0G3D6mE9LvZ5$G3#MSJo|<f&FnSJA7!mPoex6M5R*TssMUTg z$`7gf#aAS4g2rNX2Hi$!X_~G%+#itN zCAAOTr0?XzksudXcnt>$(vls{q5Kt-=rrRd`{n8v;#1@^wWR+Y=2{yRrJ{ED7H`Q^ zNZ3*;`9cMs3#h#GPoQuU9F8Xlm3eo$_~jgG{x>$LA$~ccljK8p%Ji>=P_$tl@``#T z!KyOY2jd&cFGCbp^Cc4AKw>Z>y&^Kg>3c-!j}jA>ar9TpV4MYXH0H2)=TN1E4-p*!LncoQ z#7ut1l7+zvT2ptZ`CV^xJpLOlIv!wf#|=#WVgJ`%Q?v5?%sKHNBNfIL(tt@>x0_5N zJ`;ru8Ym|`%cRvgrfH<@N4!ySJU2?cMZaolSa*r|<;?jMC^^g@`vc-ryU*?)F#SK% zX+vm{hpyPVBeG#NgFm{GKU<<56AeIxU4{LW>n}_haoW23jFv=`>2*dHTi2*5nma!M zKGPAyz0;12ddktI$p*7WBShllp}G>r&!~9_Yo71(_22CaL?wk?wA=^R2kO}zM8ZPL zoBBeVjo=J4AD((@+$7#k$42~>)oWOh>4*Ts)7gGC34QD!{LoD}MoL+NrU=|qUTxfu z$k|)74F@lVKgh%6MSF(S zU_+r^DR+T&AAyEUwD?8t9)b5hmvklZEbBuN_g>Pa=^+F(7=HPKX5W|f(|l0n2C;u_ z%2rqw@+}gNW7sfNoC*SwH+xEC7wBaYJIU#fRFu&>Z22OjPO|$0#-@+P`kghC@Yl3| zk`Q~Urd>6&a1t1i+kID)mr2b_69>~r$(I((a1yX1*Gpfkr9fBT$0v_>@co;}SMXB? zudL`Zm7XP)kz$btM5ig+@}#~4;KpSjZZ#*KG70)h>7PtMZ4TsB%Wekme+4+#go@7f zd*0PP{l~cm2oQ|!zIkZz zKf|0{Hu=raV-@yWOd$G4&#r_R@mksYN@nY62APfQjNiXuufe=8DohRp=1t-MRNcR6 z69ILWPVGe9TWYRJ+#jCb4i4}f{s{lF2j8d}A~1mY+f)LI5r0XG%ncYk*YF&#;21ES zkcP{d3Gys&-@Lv zakqE0f2`gT29M|T;KuX)-KH4=vcv{U)SQ}{ZE#gGt#shUbH)ynq9=+xn*VtCu57#! z85B(_M6Tmi`u1Wl(CONP{l(F{O(3tuDNp~!`#+@U9X8FCBx_}(zRvF&oQOq~bI7uVdIXKDSD{Xq`9O#7j>unV>i5>ckO_!y; z$?sn(VIT=c3%-Jl#RxZI)7dkwk)UBpiNaMyRXX_OFI@dlM zla7{NayzPCaaTk~{T<(W?;l^UXt6>PCj0M{jtT-o2Hl!Fq|cM9K{<;nDuCS z;}G}B2`yDNMLD;l$d(WO2v^osg7XR`ak~YvK=WG#xx&ix$Dq(xzYY9F-OWyu`uh6H zzPNEK(GHasp*^4hVQ9?8s%_8MZKzq;C~BX~!rn4}^V8nCRbA`diy(;DKJ>d~Ki8*r zJWHLUKz=MV=MF71FJzxG-FtndZ3+$@_%KfPz|`85w{0csVtVw^uhPm_TG!7Pix!XE zXxe3TyEXfJx1ph^P(%J@%2eQcaan3gdsWqRLt7LIIC_Qy5_clN{u<~^Opw^Fh&wob zWB7PxM7=wf9y35LK$@|(HlKRtY_^Rf6#Bke(K*v0#{Wn%FMm*nCtVTZb6MRGx171Xg#Rm70iD$hh(vOCgpC&^(1B|>ZH^nav?EB zxAU?ILKt3h=i8db3E;K5WYUhnPc5dhzh~={To|;sGO^JA9P@V0^pc&-vu9&uwf0@> z;;%02uC&+xc}F(~RGS-%ewKF5`c2j-ylR3S;TlbK zyrrT)Odcm~;Mqn&h*~99#Kg%~?W=t$GSIZqVVv4}p6ou3X^>xFq3!hZ%aoe4vp4K+ zH#>;)Ff$S>!gXB3{&u28{B`3*i^6MSxIW7rQ$Lefgkunwb++RS7t4fDJSj1AqK~az zV{>jQuodKLtnC-O4VTUX_y5qvPcX})O!6|?8=o2BPEuIYr?}%Tj(@J0`hIC| z?3`IZg7l+`>r~DURR~YzQk4so2Nx2A{fcZ{+ULdCjBX?0pC=n6>iyzt1e;-_`N@V9 zZjao)JI&8onO*Io>Ln9>HmET4RmuV@bK#(j3@Tj{9#J+UtPa%n*EX zludXUqmKFAsuaZtS6bYiYAcK>b)mw`;7jc-b14j!H7grU@wCxpz?Yc^Mp_HnDuxZu zHr8D b4=TexS2WL_S}e2fA&uQsy+3n@ayLYGTE5Eaeb*Zz*oYy-KE4n4C7HN~RN z8V0R37y;{otnw(+&z{DZ9>NZyrzcMlMqp<(>s1tv`g-s`qQb4mFP@RRGoc5HZwf4; zpPT6#g&M=b+9q0uu+*?MjJ?2juO3?GAIRqdht^$=dsEPA!hZ;cwuPVk{eTDq&P#l$ zJ|L3!ICKB2WU$>-d%3sR7ihd-R2>tFC#_+7mDWelIi;O#jYAVGaU*w@%YOF}F6Y;- zJ=f8u9V9v12Jvgw7WBgl67}qN$1d8a83=e3H)VpifA!uYRbKWn@GdrC13kLf_&9P$ zBb2>ed-V19UvkA!o?8_7EkWMY{a_{E<)P({WAe@Q&ohi))=siFbDf|4=qPD zf++5GwuX--QdMw#B@7~acR0kzW4%24VbB?be-5o>%!pi1u2?fdtC2IAefy-pzVs3M zLa&;?r&MkH1hrd9`zEx#ra^%eeD{H7*i(hRI@$Z4YLl2;zE~>#c*6 zQ2nW-5Xlt3gqi{$hXre&|Ds(d%GY&$*|O)3_!PMYMg|PLra!iWKcUrJ0_`}GmEU)E zdA%&3tpd2~FIneuQ5#q04_tSW*R*Kv@6M;bO8@${&i$YMw~00qsrHsz+%3{TajcQ; zFW>BoL`8x$aBo0dq8(jeHOnMzUA}3I~T0R70(J}vl_-eD=0@1y#KA&<-ZN3rPN%kk5LKIdAxt%EZ z`WJ3@dU|;8v5(0Lk%W}jx#H!azWugS?265X`)D9iBYufEpTfqHNlxWLY0OIHV*B;c zd;e3wdB@k_Fw%04glN?v$bl|=VSJX9x;^sKXKBDknE{@naBX05Ruf3eRpna5?-DKV zLE1g{**1RgBwFadQHPmAeYS*TN#YX>N3=MhIk??tzN?4}9rjWV%XnPNSZK0+m@KBe9p2u!Ep8uMg8HvyDKufVe`2i&H5${Pb*iHr6jtH zne+CFz3%w(oTAm}KxD-nbbDs>?B_Sn$?3VA>YO$L)&qLA^|9W5X#|^Zi?sWlEpdSW z`)3&nUtEZdhP}0!%joG}jBcMoQDMJhC@wI^WUgX6*SDw5u3Yy)3WTD9B zvp`iA(ojNX10S}GPqf-D?8mK%DRSQZw!BnIm;aMWu@a^2Yt9a3#LTihC;%f9%RZdE>38=+mjjXw8~#fLm0_PUx^GqahjX|sF$-DqLn z)W%0)v%9vnw(lBT9WjgpUd8S<9^mBz0gsr&zk_89RZ73Nw_}0XsRD8Ee(l&D9S=rc zjQ2sFPLf)lfiYQHl%yPf*wxM|B7IlOl~fGV#&x^DW<(KrfbtG)i8AE~S0;X5OPN%^ zcyLntHPTOMO>&bNFnI>ycrkfrYhIu7f%9E{iFQSMHw6nNq#=yl?;$3@dm+(; zr-!q5(~YxtJ+^*_pNfTu=TLK}?Dc5N==>=K&j7`oQyu7LRN z&XD^x{Aa9Q8{_YuW4!);277$UX*BxAB1BH#9VBVI*jyMhEfHuH-OP{7qz&skH4*LtFT)RdTCc`FB+xM)sOLBD$y+5Bo?wFF;m$_MxbfMgK zAb(At)5zuE9<0;fe(Il|=6X|eOO0o9&QcrEVKXU5HTKwkFR8uIqv;C= zi{m#SZg%lTyj0LF45yLEbyJe3DZd|ASz7*TT4x*Secf!bnWu?ZWng>Y84ZXRNOdPUjy$1YT47XLhmiFL6v7*-pD^ zJ1RF!o!DSMu5G5=gaYmz69uHZFjw~6XEkTHU+w3Td1t9lWXxkWCoSduumr6HH9>5@ zu1^x&cnOCnt-8vmxW_u~ZT|Otn|mMJcVq{rA?F}cMfO$N(dh1v4wWSLiEUE`b?t3a z%gZv)t_FJ=NZD2mlyyeY2^y(=6*?VltyjtqR)G`Fkt96EtiZFO^G^A+BWu3l($gKG zljVa@#By~K&>*n`<9r$a9+OwqRL{ZJ>o+f>{bhr^=dKS^a0Z@q21d`?St7EdxPOK= zJPO@y=tB4xC@G%9d`}~JCXG0q=KcYJ-{E9cnf&4(jhB#5#kD_CJpI(^D&3%W`gGS* zf8b~3MbKFeJmy%QDnBPy-jQp>_iFz9rMu?iLVktyOkB|&enX6~37GBt=RnJ2eCGhJ z=(JeP#q-+8nWfYRKKLAfxC)Kup2&N*xWi7W<26a4#@?^!FD zUWU@+joCblMuRqz8C;iWr7NJcMpRX9w8(BTBFqJVVPD62za#!6tCyqX?Y>hMb~lIa z-0T!{%7-;d3P2XqE4&(Eqo^jslTIC- zwxxHnMA(_KO8V4C=K07TDJFfODPUJ@MhBOp7=C(e3)q?qghccqAxu?vqdkkB%3n>g ze+dMFz_h30iGFf^jx6hYyk0wj<^kM`xB2IgtmdiV_UXmu_ag^aMp9echlj7~*H@4F ze4xRT_8`d`iCe_=I`)5)3s(LIY5$B*B`+sjbNc7=z8}OBFBwFYXS%yflwqt}A)BaT5tAM#T7@ z`faou0Ol|`%~S3-let3cu`1`b24T!$Z_(~-9o+|sN9%pK`#j4uDK12P$w{A%b3?nk zI4^afGC&jOkjBSJRp|QNH11ta&N5CZUnfFkz~t7~LY-RW77F!x5KyhtB&M8xCiNl>V*Pr3 z(i-HCv3{v`eWY4+(3NivQq#n%4++_tld37{MUCV~(zOh0v!B$eo(ePA$&sxwRGK3} zECpz5Hx66wALC9pU|DZ&dy~uTKgWLIT*lej2KFH;M-IQ_7v@#(H~ zQCu>uDe*)yJ`DV8%rl!wV?o*9F&U0788YF=h%6WuIv)_XBsfXCC-&;D@n>NBw z>es39Q)U=Gza3ZD_%6SUQEDEBHZHj!J_UO;G3q;jYo-Q55`GyLM6%$|-|B7*A{+>7I_jbZ(%+)wSt2Fh-NB~v2lKbbUO1T|EO8y&B&*8_7%It<_ ziPJ9rV4iRVF;{oK|QnK3+uwug5p4kM^Czn;E9R zAl!Lm|9!ViTUxfsAF2&0&S0oZR8M=VzioF6Su6QVv$H*=j~F)6)PhwtI>1}a`cgBX~9*%5KOJZWcs?^7sLY1Nx6fy)r4C=|*mR+yzT`{4j83HoixDtk{fCn%;e~n<1j# zX^pbtnu`6VT5xBLH1R>4{pMJ3XT~^ve?Kg^Y9+Us)suRA4F&%0!!h|OUe9Wz_ssmX zflnR*y&sBPH>!K~*LF13p{D;X37{WXxYWrjI1cV*e$8?o^r!y{Hg8u)JrMrv6|8WC zok%T3LB7nQM}!wk#6tgjqsO3!9q;|f$opF)6nmk>4^k8=MsN#?;ZxD$u*PEMxN^+c zq|k4}rMv?)tnS{b%YjYB7ZTQ~7=B(E8`HDSM4kvx?0!$QXWF2%3^N6rW~yAOGL?+u z8i|Kn0T*9llawg!le5zAnf2*>G7oDuIpOAE&pr)Pp+Aib`5p^|12fr)c+!l3`^Q4| zn-5uWwdO3qa>Rn;lk7h()@f{_{;l)YM53BT=Cv|qVHO^7sP~H-ZX5c_C8(N?#=b!s_oEH77<4Cd0H}Dl!VcrTzN}w*>1t-#Rc7 zdUWClOdKCx2@mr)%e+`-iQ-V+e6b1Is*@wTzUS9m*4~PfJ7lK&DVef(`PR7LF3Xz7 zJ_7p!Pp0lHp|)Qm?e3k7+sAuFSu@^KG4E6-#(OdV)N%g&^0)HyvlmjsI~geI{{eS- B6g>a{ diff --git a/CPLD/MAXV/db/RAM2GS.cmp.idb b/CPLD/MAXV/db/RAM2GS.cmp.idb index ea02ef1cb8d045f1fd1e5f7c6cf2efee3c9f8fcf..26da0c3929a4d72898c620ba6ad8d8d01615db8d 100644 GIT binary patch delta 2315 zcmV+m3H0{36}lCWPk$~600000006530000000000006oQ00000004LaKR02^c{s=;l9}ClWCo^>T9wf;hX@Aqxch2M9&%NLG-krB^ zhGB8!IBp>8DyjEpR&L}wNPQ5qj;uSePI1yxBcI~Vl24Xcj+2%B0Sceil;bW6zpuh~ zRrviR-M5}%ept~JnO_?v5svE1l|Hp2YwTHGw>$hH-I++Hv(IMPXpfuz5`qb z{7>L|;Jd*0fPe1;KLEx(ZGikk;J)&~km7xS`vDIHb_M<#=5GT26u20;1o#5*Mc`84 zOTcBo$-o1lel_p{;Qhe)Fy9&A%fLCnLtwrSfUki5IB*8&uR{Lsz?q;ghx`fP1EBv5 z@+W~m1bqeMKL$Ps`fHH?1o#l>uR}f;_%P@zA%6-u3xD)CAfE?(1oXpzyB@sKxNyk3 z1BU|70uBSt20jX`0}cib0S*Gz0tWy;2h0Oc1bzzm6JQ_Up8@|B_Wwg*JMcl^0+{b_ zklzFR9`L6yz8H8aa0&1t;GYA34(n9`&j!5;cpK;s0bd5a3-CPP?J#}^@Y}#uFn%ZG zqaptY@_%0fj|crt;A-G6fNOwjf#(3<0`3ny7dR5Q4)`wcJzx#+eBcJ)-LOARke>|v zHE=WVBj5?ZEx@h7ZNQU&y@3m${zBlhz*~XO0~Z0?fX@LhgnBxF{{i}&z@4DK1^F6a z0rZ@@yFdk8-962H0bC2LhVf%zJx9np0XqYafq(HXkpBy;?+W?Dkk0}>0-Oze6!;kM zN5K7HeGjOA0OSV(y8#aZ9t=DLcqnibtbYLNeFF6FLw-N-2f!J?9xz`f_u6h6`vueT(bjC`ocXtt#2lZ+NK{pB2}H=C0Zj4v9D7Ct`F z5WgbHn80iJS)qKgDV{g1S*bUg*|4BNOuN94kYu*7$)fe5ZcT5Kd-EZyEhc_;vgrkV zGQW_m!Ax|D=|vNj;mzxf%lI(EvLsfPVSkw<)6fbg5}H!@aJ|{WcLhROIV<=G&;=n2T_ji#8mWBKn9ahpF&%;+ZZKK;=Aunv*|thdwv%-^-jlUU z8a53_S~?iY`Ds1nI40@#;nH~d!;-ChxMWLnKd#ZiP_9SwQyrxLE|&C*7WI8X(`;GL<@uWuMpLpz%e@?P z$H%GAAo}8GlUjS^0>1Ky>L1Y$s-JB_w}+E_u*9wUFV`y9qAcS-Sr?rl`F|iPYxsZm z=}e2aRS$QLxG!|5N=_dTzdjLf!YMNs*_3wz34VnvB?C0;Ck zRbp@EUy=Mt%)c!8lbK&8`5NY5l6)WLmrA}b^Dj!iAM-Cr{uJhyNIrAL_EYv#u0^)z zK_f|j5HkbTFZiBo&t&7zuzxW@%%1+9V}Fnff=RWE^I2WN%+mhmF<&S7xr%zjq!?-F zOw-e$r{5vmNlGvQS9(YMV)mQ&AD<^rQLrH;=ilGfx^0Z;IY_^M{ukO{`$gRY2cO(X zk73$lH|wp>ZhBo;vC@)z=_fW_OjxeHd8waJ8yKFy!o#KuIX=R^Xn(@!d`I48ux|8{>3GdmHf0u6c^|a}t*5=sL^r_bVuinre-L4fvs%xyY4>eWmw4*)OS__%R zZT)7u*Dv;2e?7*gdu30eou64EY684$+N7!oA-1_*WE!Vz2Ja2p3_gH+m_6NUTfzm0 z54|rGlw1%3v*Wn(H-D1Uqf*!8R(F&UH(?{l<`YRfXrq<3b)VUT+gd6bo#-uZY904{D^h_$l*oNoBu zxQ_j0qqt4}=TtRk>N8 z?+gzWANZ_~V!cF?7(sbhjRG<-2#SwF1#FwLlnIVN)fr{R)@H@Ray2n+G#a8+V~n=Z z7$GVm!D>1(D4a)NtSjqotW%uy)X1l}yX2E4mg8h)f1tvrHRX6;h2Kx% zdno+=lJCVV&$KSEx<=WUk~|Hzwe9(^oRsqij9t%7R zI2t$#_!w{ja3pX9uohSY91a``JRR5@*caFf*a92~91Hw6*#ASoJ-~;7OJTmZApck3 zeZUnk-VQtsxDq%Kcp-2DtoIS{9MG$QcYyu~@I}z~1D+4O6UM&|ybJgv82<+3e-8N$ z$bSO-B!B1|fjL>zzI-KFYqKz(kIcL(kRJRZjPgMa*XSie8y^B{i|I3M^JZ~^dpz=gmA zV0|9y9|U<%;K9H{fQJGP10D_>2kXBJ^^OGn0m#1td=NMXn1}gtAwM4S`yu}}@C4BB zhWwkrdw?gycz@sk;HQE8VE=+49|AlRcrJ|BKt3Ee0$2+?3z&oXqaYtZU%(YD5I6{! zzJI@|=u7x$))P(o41T^bMQ2Vo8u&<~!DPMaPZtbHc_v0hhn2r4G6~`lF*pJN9#;x{y`v;m9w0WF|1l+=Ht>0 zCi;aS#y>XL5VmJ{Is5O9FvG^lb#xlmtQQ|$}hfbzfwB?O9w-_9?eg6kowzL(mS8|>!@7Ew|}+r zVU?|XU9y$qWNA)0PL}FX=BWy09vR0IE5{R4r{>Jk9x3Plrt!||Q?5s^g>oG_irp+m z>yxFkpwv@b+2}kf&mZL;#k3ZkA=3Rjt6bli?wj(;d7ag-yk43gukTTLR{BHx(T9zH z)b-N*kIK{jE3b#v#`{m1r}dTBM}K-{p4L+`ofqZ(MtbFV(j$u-;>r>vrp*x#9L-7r z`fNg0yjvHrAU&)JRBL=A3VZL4RnJc!RvcGaIvONbH zN&3B*8L)oIcU*fW8-Iq434deu^miQly<8AXs$pEr>I!F;_P2=nTFJL4>YXLUNJD3u zo(|65L%5TaU;?iCruakcSD$|ZMxLVJ-HgJof4%kG6yrQd??3+wZHS{vd&ecfGJo7~ zO~JKR>x9iOYwK2-E$4q?(uT7KQ5F;eDw~9>TluhrqQFD+F;qK*6x7rqS;nBlx z3nlhSAv8akt9>P1J%1*1t)=0;7c|pN7XI^(mscx~^Q) zxIaG5`uU^|&ET3oR(XDH{Nb=CEZM5GDTqWhNaE#=LZ*C}(VzX0B?hu(k0ZH$HF)S2Saj(AJy9<=n^$D?Vg;TeSe%f&VD7QzI>*tpb@$!qQ!3{Xn${U~=(JGsqf73!C4b901L{M#WS;7s+!xI-(9Op&fVj(?f&MT(shf~ zz0Gkhe}8=9rOsbQk5RoC8zk&lKf)!sJ5HEU*)3RBFXC=Dc=jZ)9|CTbCyDS00000000000071}00000004La?0wyH9LbR% zVV~?1Pd@KzS0Cr|*(I%ZmwQ@#%;0;&a%eaJNl5q-1|&r(9EirC0kk=ofqHt##f9R+ z5q|Ki{}Ma=bkF{ad~f!Hee2(^Dyuttrh8@pkOMAnItWg6b$@+iWo2e%WmRSU$-R5` zzK6dL@W*Fn=HB=5Q}0|{My();I&E_hUUuT-PZ0Z?&EIY^QU5bD^DhwfWBmPV{F%PL zpW*#u`Fnt0{;|6I`1^-%zWD}K{!0W8ql>70W@_!Ab@ex4Z0lt-%j|ZNs2Sq5@R^yZA!EIBc@;-z=ZSex|H4!kD(l8< zwUe-A>YW%3w>X$HwN}g6WL*=7-7tO?p3L2U(dose*9}eQbTH57U2_t4qqDY2I-OSc zOVjF{MNMI5dosq{W1+qyE{-tm77 zn~Axfbbl-~Nf=*rE#S>g`y_IR5J(-?_Z>)P8}PM(+WU>(akm*qmzEZ5XK@&^?k{a| zF3WFvKvA!2_T%UxOfzOl?-LI$>7)I}HM6x}kjS7Nt}}wm%U0A3j$5I@FM+rpWYwlP z{L;LRl5^l5sV*Xi3_=wo=E~DWg#PHnw*GDh<$qoFKvIrgH0oW~x<2Wei=f>*4Vp z7k@!~RmgRSocS~U$4pD|clR$=asV1WkV)VCxeY;@FmM=l6LQVtpm!E_?U#c8W@i2q zV*g#=UqQlY)O(Bp;ROzeju*m&v{Ozs9O!OB|NS_WijA*$x6_{82v37vD>08-onwrL zjZv_0NS*l({_~8ffA91IV9Gtx+7A(F(SN+z3NE`4fzTq#2mEhc^Qafu=Iu2`3RQO7 zyVym4>f5m0IYY4UnVIRv-BVw9(nw*Kw4D17A*0 zjZ+3^cWS?VW@el6df%6N8YN|=BJYih4Z0U^qgbf3CG7zMh4`sdTXne$J>xUexPNM6 z1V`AZ&M$V0P(5P@U#jWM{bj))p zstSrt<>U?&#$ed!I*)=ZFZh&(s%E>B7^sraS=!+KsBHrCo1MmEs|?SPB?Np<0(bI7k_9&oAOs| z3bC^!f76%AAp|JTJwm06h%BVitbdcJ75$Af5W#-2*-oP5O84^yI2YEs@GJ8OwurEVMdrKE)C}#okaTxhwC_@%#K*$rpxYUHP%36tB8XD{gmE`^&Q8X6BzGdKfZCCg?9#K!5zA48%Q{KQBB7 zfvqs9AED;6#E<1qO8*G2^-jADgKYGQWL-ZGn=iYxo^L#Si2nzk8LOJA5tZV%5Qkou z9*R3oXWb-i4s*P@KU{Rrl#0%oLSfmBL6!Zw)lh}7vwvsMa}ycg-}qMeyqk8)Jg8IM zI**U(+n5*-nP4qUc7Ix*S_ds}mCf(}8pY30VrcP~*TDw9P9yTzn&RVKz(+ry2t#np zyw;rYom|YyH%^-NJvJ?B&+cK(k~2rrVHuxq;@ieo^Ly=ZBZiJVzaeYB-TB8+@}ze> z@5b@@`u_IDJT0#CZWY=YYUS(PWz?psB=vLAAG(X1@-?W1bbs2zV3;AmR6fT2W8X<# zKR{4M`3<+$O%)2u?!zi1ta(|+(!8X*^%s8v}Fxugp)MKHB*Te@9WfoBWitU1kH|6y4gA{C_~`f8R!=YLE^78&*DZkFs9I zpHzd$8I6Yx>vqoaMo02ns{+#k(5>%Qm-JJf=uuQqw%XiZ3DtS1_Gl#lwH?nNH~F2J z7I1Hh12D&w4yHJOESb{56bBqfZcPU$BlpPgM(Y*qLF0^X{}xGSKE{7H8P|s8nHD<5 z=^G}iDSt^#Noq<`!-Vd2zfC!6%288}x-QoYOaG6Yqd-i#N7-8*Mki4`(%xeCx6@8K z49U0oHZv`}2z^tu6jI!`7cDt9#EWnux0Y?q@0o`|`=znQ_F+bLe!Jy@KnWb&Ho)We z`$6+1w~6=JVPD|0+d7O7I07BJjRjqUlmD2tnBD3go{M}MATsSGnZeu^cHjk53* zOJ$hR@lz~mY?OuH2P|nT8^dCN*-HqR#BL)CG_eclv>^hWs&}TI9?HE; zOg%k-pfrb!3g?ItFG80*gyGK7)z9G=%%AgDRM?+!=9h^1_VD8r(mb6^@iD~*7}$%8 zJ0gdEp(4_VJNa=0D~UVszzS6)Xbn9^VpWB)9L)SuHGkPR-Tzt-G}8q4M&bcD%stX+ ze~C!(n){jAgQggpM?p6krvvSIIsWJSLjPJfY`-k{_y#|q^xPv&`U^zD2P?c<(UdT^k(rh)**I37$d!X{w(MD>jHk5a_;1@LJv>r@SsWe z@=cS(L4UgocjoX<4zHi|ycL_c*KV^V^n@kaXmG}1-#HFLtawj?qbwe#rza&O?>LY0 z5BzCyxC3qXzA$jRPEVqp)6=>8hv#stYUd2_tZsdhF;nV?2_CsLY?ncD?j{59e@`#( z0O%s;TRq_226Wci>w19Q$$n$~9^ux5{H%cr%YO}InP4{kk^UdQi#D7Ocwa!a!@?rO zd1vdi>E8dm^SWyhpL8zGB3!T~=MTC-BE8_B2d|v}wN?``_DticsdK2)KOtC zFMs^6NKU$7-fF{aLeT3&0`yB<}GJ5j)1G zehAEi{FnVOhLf3#@PwWR3m@l2ax8t+H?Zh;q({V&3HqU1K?Gzv9sPJ+W^9iD?*L8F znay_Xp>Z17CKMc6D2JIS${kHTAu2qHO@HzQ_2wQ@sRaZb!)=>r;OuLfTF038l=I0= zTa*KhkSXYBA|m<)Fw?MJsZNIB-zpIv%cSu;LHSK81+2M8BjQK+Qh*2p1NSgwd=J@D zP~Jggs@l=moQ-sR?|u-%kbZc;!Yjz321p>=rdZhy53 zMY7q%e~oVrv5pG@julTd~&$(}}BHZf*l_5>@Kk)s3G7a;?d5t-4 zb>P9)=C?h}&`=1F`J2CEssacY{a;u*WpfDxOmjO3{ufI4Z!D$&f+N)aMsUFL-NkVjEVpRZQu?Ol3}U*WDb_~G7km%X`6l%xmP zch6$XZs2e1p_1X;3c6&h`ml33+X`R7fB&X)#a*79*&hWLQA;i! zD?ssY{dJS?=28|?5~L75d4 ziS}h>5)U+p_#XUIyo(J4A%8riet52ei}q%IbSN`&-+a2S<&q$Gf$|rw5xyTQqjzG5D)4tX8TEinv>xV5)?bhx>*Z?oBaYs*=9tn#*Y zOUt9SBE3@vl8&ddt^K{{z{CE*W@GaZ}@|Ks17nthju&WNeClPBf{aJ z=k(Cuz`}3K)S}oW(tqWag=vx8KiK=#X8rJJ@9E+G)5D{UtpmL69nj{4hfE4XCC>3U z$D%f}6ur70_CGkdhg%mjk-_2yZwjMN$r@&N_Ha?$p=87bia7EV3W<>H_ru_&c_stW zP6$J#h?!6D(Q$Nr|EYoO2+aeCHM;DFbx;Zu?xz}IO?`bgHh&=+4-YghK>Xt0x{bI; zdl*Ekdm*DPtu9o}&O;ZGrLR_9j1_^_n{$7Pg^G(AC~ zfXt4;nG?!>-_{D-#MdjPZr_`;>QZ?6_WwNGT)pRZ%pIM&eE`85mH%k zS%`RZ_A6YFlQtHScqoZJbwf)wD6s_wPny<9>BI~)zPW`@5y0~k{L$UF6-{nY45h@g z(2hc0F@L;|MH`#Y>Wr*__sZ;s33t4?4`g;*#363VaZ%1AXRg%RF)k?XL1oNJq)^&mds;R2eo|BwTM62k)V92c*|C$o>O0h@HCAb%lOj17tPldh`E;2?$LBJS#0ckUuO z#S5MsFrOo$hKrqNA9Z?Iy>$u*3>UaUJ4mlqQgBg$xE@^n)n~wQX!KrJ5yWHB#yh** z>ovs3XUIXSot=eS+KXdMsW=nC9N#Y1wmY~c$vMw;B~!AjvA6NC7Rj30;JFHEKU$q| zaeu*uN%)eoppu}VjujCLS);Simnh9yX~mX-CQBRZZm+%IxALX_$15Fy=phl<4(JSo9COjX+55Aabt3p%|as;{VdBDjLfL& z%aD|XC_>!`>|qv~V}N~wN31A!GPAcOjG?=)!7V&aDTS$%(h2VW2B+rP#S9yX#ct&O+!Eib#UHO5$5 zq7>(%NfVf0xEB`W2jpBT)>oZdk%mz{P?iYu#9x_ac2fvB%sNJ|6I*!0!@+#Jp04^y ztjEN|%>H}dm*zmgTOt;B3 zEse0zR|W>EH11=>J?ddfs@k2-T-fdbQ;BW28@bh-hU57kwT+INL1k^pJivcnAdE+o zp`s2(dqPqa&Jx#F%!9QR$a#bQY93h9!kbeV`8j%K? zJ@vX1zr+t7Vjn`_kD%<-A^IQf7dy_Wf)&`RVSTX+g<1PRyw)rEO4^eH(p5xm0O;-| z-?Fa|N%7_ycNDae-s#0r8+REVEw073v3+qz&CbOozPFQi3ImVPkRl8a9oK7?LlfDd zAglYB$02FwS%|rI?7osOBWRrIHb~lkDfyMmM{^Oe2+ILC5 zgC82|-5ZH!cfMBeGMv~*x2VPI%M{T5TA1;t(OhDvypPjpcFS~$;eXye;$i3#1L>x~ zW~nudQ(dhRET3`Nyju^Mwf`jqv|BQn1}yg8~;Q6kLjf8UT|rJayDw&$&dYNXM206 zrv)$M(#^Kreu^t*VSlUuYo{hzyO+1mmTFGiwx5AI*qpt#t3ro4)0G;SC%}@pyN}78 zWpf$Wm|_5bf-l^HgZa8Z!p={($!>G`&XvIq-nA-z@jC(8Zv{=e8OCg$VsBDnWM2~$ zHkXNjq(<@F3nw@N$hGsb>RRh{aMd+}3U}+n!`>r;r@n;b>)wnj`NnZHjAHGP%`${Ne8&n%6p7T@#7wd3FAa)sPNNd(=|^5 zY}x%?7-Mlqnh+W+^4Oj4t^!xGCLSFoYwg397MmOeY&d%4NP+EWu85ED<49qU7lwV~ zn3y)*k=yMGB!AKL6=*kYZ`Sul_WC^XLNgb`kg*yzC4)PeYNXvLiN+4_dpNShpKEBg z_OgkG$@qfT!s(We;wn!Mgt~dMi5|i*3 zGGEy_1P;t9vMZg-ws_Y(6_9I|_t!<`9l)q%52#$Tu+LRtHEm;ZTO5JL%aef^ApvWX zqZp!pBx(81QKWQJ7DbN;Xy728?e;Dqc3|Rzy&z6zdzY|5gDA}dSXiGn4#Y5)ah}CWrC+R|)@*c+m&wZ1|86Xf7+-l6D5oa;i(_GObJK=_t z_8BJuE0Z1?C4b}I*%^s}O94(<5DT9alq1jF3kQ~cauCVxG~~>OD;~CsB(okBbeP&{ z&Q51G>21bQ{7$+kK{{}}hX9`bcZwswN##GZ7)(;;scW??`)Wbg(-_B44}#aOEPTVG`9g<3!nb{I^Gelun`LO8 z-MvHem?XL1>z>a(#A4X+JRh?j+ATO|+UT@D$ECS}HzI0)bePlX18fB4v^6}zD8g>b zbk>n1z$Hn^@0D4WZETL4lf#$fe7wE) zu(myJM%(?4T@Lo1o9ks(?cA^(Zn!>T(`hbdK@ouo#YK;e9b!Gx3l z#j1H2`Mn)EM$Wwuz1kRH4#VboJNkp)tNvYle;Bkl*E~TG&tME`9>fQugRJX@?K8hY zi+|9rE-;_t86Qq3C@SL^6l7;}dzWE*b|Vh3=PO&M)@ae;&rq?S0Y87y*n0lrF%)4+ zFKfLCG4F)e1K1oah-!uwm>ts2ONPY?3@{G0^l@-m0NMP&4~qCfFs4A60CQ~ z`a)W$Bk8nQjSd~=JV}_+`tiW>M|cEB8+HvNr5qb0*Lb}09hPfV?uFt-%&i;(k_Ba>f@KBkx zMj-*M|D6opz)Tk!b~lp|ISGqJc7Ko&cSPFKM+%;G6e;_J!C7wn%?|am7WOI%ggi_U zAhF1bC$D(r-xl#bE4}eLyi8xrVzTQV}prz;a7dhFNW49G2@B%vCy8;25J5x_`B9iL69+ zJh}lo{0Y9*ymy|@RL2WhnLg9yPIwaaE^LvOi1j;HHgL4qF4(3V=|`m64MrrQWgkT8 zs^eR>cZB*w0}HI!4teFibGabrz=uWx>|c&#;g_#=Y11WVanR1iY|80jBk4ijW7+TV z?naj8xYv~m$fOWf*z1~o&3{TbL?p(Fw%dUZ)d_0x8SKtyrp3*mbAAdz4?)(4&?y#T zuqc|Pvr^T1T{;f24owByy9pxMcDhYh?;XNH9LLG!JAo5YfFFq+V%Wph_UT^9-sOio zDAmgjt8$E?1@ju4wO3`oB`cMzDG%f~Ygc8>?b9q}eu>8J2rt^K?SJdYti4%?%;)A{ z)6Lpeh|7S;L0=G_Au9`U;*vvK_r{yGmpQ`XVUa=sx7@5PB*po*6d3#ILi0^-*7ir< zf0k7g=;5Srmp2hBgKCD(Ec5|B7jD+3Rp(SBLU*>?)rMs=jk5d=z~RWp2NNiHq52>>IsfoB5>gK1Wa* zA^EVI-#SPfnN`)Ug6w!%`=fRQr=JEfc$j9%hV+RRNop5yq<_met{a1&z z$C8e#5(`Mjll}_DY*7u00ab1^K8#@o+{{@E8uh=+5<>IzPck;t%7 z)c#iI)+nFx5V7_Q^cyIPE%Q^K+@5`^WPbBMr&v$08GxPxX~jHib&g?T9Z0_`9P+949d9`2Bow55~$dPthBZ$t}MAI%5>;tbDeg(7&P6~b!$41o7p?^u?T!Ew-CD5^bD!7uhdeY!) z>@O-f6o2@NrWDMosVdu~!LKUfSyXsd;4cm!w-5U&+oZu?)ZmLMM(7my#n@K~?hyPX z4Zf(Rec%s5#{{ZR?vQwvHTcCcrWpH*4ek*96$QQ@sK>&-A|BQ1Nz?wS247)RL03#X zcPn`~Ztc|i1%EN|D~?tTRPYaymmVU^!nYoGEr0x4@-Hi-t6(wwswsmB%3#*O7xC|zqlzAhhIzo z6~({B@DE~|Z5@o&bY2#IGxV>;|EdC71&irNB~V>4ar|rf@0}2o(~rWm;+4CEe@%^F ztA7eSh2CQPEBIB~iQ|7=!>uM4%^UrjByn3i}fL%u@ra@Xpf+h5}Rt6>&+>HhWMzdi&thUem7EYYqJ3v2ZBx zMxtNAUr{Zb82TOj2O56w#bPYkqsY!XLw}h#`LrKB-^|eO-PQDw&)~d7!O5WNwMnw> zBu#%GpqXwl6?+V{=c6*48Z#6c_-H)mUM^$za^VG!wTT{VWm~jd^zCecAEJ zQEw#pNw=r{2w&`3QE8E^1E*UFet_@gRz!*&-tCDWJWMOa$QCTON5_7&j%f2=AAe(m z>ZSWg^>w4uOLBIvMMX@Ct5vL!7zt0YP?1rwOzM`!kD*L4$=XHDH9(g`tYp?n%7)5< zxqRdj64u#)VA}rN^hr%LibbG`RU+`)-2UA3OGz8m(&75e@SqgHtW21)qXwwTT*)9$ba^~C;YFckJVyISFG^<^}n8eE*AHHvHX8e=wDA? zmsCqf(*L(x{!`p+Z*I78k#cBF(Y_*hH91kix7l{C{`v*T!^3R{AxHSi${QN{sURHG za+*&?wO;jnaqx~uK6YI?xxInv!xc|7zoHkM^(3gc(TXO%-ICuo-z$F94}XiteT9fY z!Jxe68_Tm2N*sORI-h<{MQ_oDB$u3IVYd)4H@;BER_=e?W0*9$$~mvedFD*^ak z^u1mtswh={u$PGw)p|*w`|md43HQC#_8w-+J{vc(^=cY?H+{eKhGsgx^;+GlsMd|_ z{JRnQY4dNZ?@&oNsQ-EsKX};pBjIf=V!a`&-{WNSAlnOx3fhzg18rxEnS9 zjS)V{1+8!32M-&sm|C@11y$oER@2{Y!q??`UDplizI~7`jI;5@QykTJg`K-0`#0S4 zep#KUPfv6AvAU9kiht|h1Hzx=!u$_`;5T?CM;5E!!W#d3zL@Vu`kX;~U2(mlb;as~ zWKR}RVqt_V$mwQUYL$h@l!VvU0I20Q;kov21!A^CR{RAsTK`>FsT5|^2%s>d(4m6w z5oWX{bWNEAMq)-`@%_Y%Hj=IvV@4tA9}~@J1M0fMfeIGOnSb}5X0&m2U9pA2OtG9% z2~@WCNX}@(>$+kV)$n4PQRq;?_XsoED7&r}V@6^|;p;ua%;52Hj^oN*3-@e3tJiT| z$g@r>N$=R?3fi-S%}4oIrPh2O2^Q&40{=ZQIfnt9Yhl~LGyLG84&yJDS2KqX5nWxT zzX3WDSW*5c%73%7F@8fQf_S(KbsyItIwx2*s^G*tH@EUgJr(+S)ppjj5yf*ZADcXQ z6rH;pbC~fM&72~AfF#^Asr;$A635k*6J+L+ z7#ti{`b=L`5V6-a^~O}Z(wfyv^c}!sVtShdYk7e`Jbzld&1tkuQ_PaWo$R>GEHN>d z)PSQzioirfDMQi3fKnsCAkGNIfwI|83@SBeQdrBODz&Uk3@9}uD69!k7FF2G<0m*1 zgG$-a71k7`XJ+?_!6Zt0#>Zqyg(y2>sNTG!H)ABhljSKFtW&egZu?YzaMCu!_g{PY!n)ne>SRAWUFzkH|S_q2Alur&fw%#TLLM0Z0LE%kZ;giGquiqvFLJ$^$K{@Rp z*nik_5cJbVhUP59g09&ON+x?28kBwBDA*6fsJRv=!}U(9chSDybc^lqdsl6^R4RKz z?nEI|f?1zW7a*z8*wdHZU^r3OlyvqiHrStc0h!;5ZTquSK>H<&N#bl#IxgcQg)ss$ zk-5d9h~x~4EcCYZdw(|A_RZiZl~VLgm4A)f$N_(D&B8V~RHd-pbPT-}Y)XK8dDTZd zbU)~c`kGUtTS2Df`rzP3swQM!ADSd&O53gu4tgFkFW4sunUd>XS{3xB7oL-ZOi6Vw ztqLIX!}J6pTatMkxzkIq0?7PyV3LrDRJV`~&Qm;{d9g7`$dvN!rCR~cys(`lWPgGu zi?bo)fbUKs@jABm+ekEje%-=0WE}8JFj3e9SJ{Z&*Pj#7AeICx85CLQZEFzTyMpbV z!RcH&N_(+B(caN=eQ+$aOE;;zo=I|>mg<8eA#s*-VmU$3yst)frx)>xq*KuDK(p~~ zFfC|<^THIgJ5X)BlQRpNve-$GgnyQKMZ0EivR&6+wj?0SN~UWc2m%zrP|m_829+|t zc*#xz6R6nQoa6L~!KF0%B{c%}!*xUIx_K`uecsFYK73i5gJ;O=%Ga9%rqp>q2owS4 z5jHu%l+@S$*Az2i3j=TV#*+<{MWF%YWQoXO-a{0}*wod&WjztB97?%ilxe5rY8Kfe4tbW-^8 z?#V4&Y6jL1m!E-63@!n{!lhRC=+$vaN`|{JQ_d@TKImAG(X+^4m8i z2A9%|{jjd!y3Y7fWCqGcg5lh!#JC^X?*IxV$ybDq?f?p<;rh}24u7B+P;#@beA|Qi z+JI`S+V3^1J&`nIl((W_uPIBjS8)^^6XJ|DC1<^|a|ci;saoK)ds4X2GIVgvnG_US zV%~1@a|?;NrX;4N928fn-^_kPMWO4;Ow<+i5F0p-O6kvL za^x$xrGkR%U?aDRe19CBL@}cAyv;p0{y41#Y;bNMfO*pnUnd2a61l5`W;y{(PYoso zn3BS)gR=txOwSD_1=tW9#M>UG*M)R4Zc(g1v0>VuOti#2I6;!G(bJGgb&ZzLgQJxI zrk8^!1(+7vg9DfVrVRs=0!+zquLCNQa)71&f%+x+Ta}oCCVvu{*9!+A`@NonPXJ}) z@6=a{g-oQBf{Z#*`@nlwnH0*{6@w=n2}^bn#*grJ7{(X8S{OHJ;F^$b)Wzkz%@7~( z6b{TJ0d-FV&y?tB>M6KYsC0UCpVZ6LdRh?Tl&+v<9{7g7+a+6HgtckZrbJf5! zn&%f$l9~wm`IXu2oG7B(wwKQHGV3m(a;n&NJ-x?9VyPMOQg?mv zIw>6Ie^tI`avOa|VQdWwz^*_WQ``o#^y^`hO6gkYV0`&N6*~FZCdfuT1?s zz_H`BG{UwYG+*K_>oo3T#69ZahHlkv98*Rt9x~fzJBbn?BaI{*ZAZsIl8&Wi?vDqx zo$BKTLU`CAmDOeQ0RMeqW2~iByKYlzowlpUG@m7|u9^p{tCF1&Rh-tYR#e15iVCt+ zd=}CUi+@lVazIk@X`tCtuRHNe{NN$>jWK^je}09(BXnW%NBhMR<$`&zAO%yjs?{cG zSYNCpAWSOjZC-zXqNF`JARUYx2mrQw$+zq)M51MQnC~cPCB4&&BiuV59xblLwy}M2 zM{vt?iSO-q3j>eQkRl9RzgZ4VWQPKbxQ}@p@_#Mkitpl$XZ*8c`5cAl8zAYJdM6Hz zBJ13BO{78RFAD)Y9J2lDE=k@d_Oq_B66Ns62rH<3Vl60Kzm89czt|T3&YbEJ8 z9e*oH{YuRkc=d}X!;)GmP*-V;lXjf`qLj$HiYTKkrpzC;AC@w&y_cmVu9OeSVU2Q0 zQ%9qtk{)F4Xvaw^&Ra((OH0 zb-#>Gt$Sv4YTY-ZQ+ug49tOQ&8=Bh5745L06bzQrw>`8pElFfx(`J9_if7m~^)M1i zQxoL;-r4?wQ%OLH!m~}oIweyqXV)kBMG0r>9A}u@$+Ort65W>TbP;Rk^pvSGwanRyNcQ>kgr!}b4 zi<_Z=zpE4XmGfZvx*fGcHBqf`{*^wQ%Wl_?e!Ft?qI$HeCTn7@=Cron>NH=Pu$|eA zsEqr~L0U1_;SYw?qwJ`Q4wReM^MCi5MIA-?_ADR0s2uG|;en39GxfGF{fi*DXuLca%vRry^8wkkp4&8rf)uPv}> zce#l~8lSV?F!u~EPB>)z&K+LdwL5Tlanea20O#&bY-8oggRa=#*clsPH}NY5`LDF$ zZG^4h%G6FyVEBZI#v5WOu76U$fFvTN9rIgtPFj*nm{+IxsWK;0UV-SXviOXrAH@9E zAs{-a(n%R>t3N!jW!h1*I#=-=F{m?9gNn1X3v+9JFGgQuZIfz^T-s%Ss}$|HjRdxF zV7Qr;_AF@iLifsMA9j+UWn#J0z3V=ZNxi-ION5=5Ow&2d5}IB&YJZtYgM?ySW zmi`3q<+$6A!)_>=6k9A6ejmZD_Ny>XK=pZo69vq4yaL8Prjg=r(SeekCCSj?zCPb9 z{>nuKYhoDo85CUnnSW?;wA1cg9Am)>#1VO@Q*tuDFw&17A^lFTl|+}V@N2)mCFDjp zAA*3MM<>ZS!gx5;Jq)^Gi<)6A4g(u&`H^oXI;BdP#Jy(Hi@iq4CCEnbiga1{oh|kV z^?A68wE1;#0kDjQPa9^)y^CK7QaN^3&2f|n@MOs)v%OjVx_^*;Wl1kWNlNMIP2i2x zBy!!#s#)m-MZM-K`%qO@zc|7Esm)zP;bF3+H{ZICQLJxWz0&9%b9vKa{fGE?04ioz zrBj2<0?&r1c?BV?FA3H@!b@do2@Zf)*Our(c+GrjWJDoG;g>gTCI*D_QgX2A%N1l; zTcgY2l`K7}On=mYCT9?m;R8go0$cpTLYAHcv|W1$%`!{O(y?>}f5uf5zP#9%`stx* zaxqWA0*L2LwX%Zb3U=a))2$ZRC<)_cr`<+7B-=;$SY2C5+km>#MiV;+Iqa+uoYe(_ zUtP))<|VOP7Ts)x7g!qV8uOqAkpnl*VA<8V6~9vVSAQrxlVE5&Q#!u?g{dy8RWxA_ ziXZndRCU2`@MMvTE|~q#lp-cF-I0;YF3msWvMc@DeP&A80+UZEYID9!8S*obtiTrG zNrG_CC-^~gXJ1Drp=m^C?Vy!MQe|#&U79~0k|?|@P6cvRWFXMbw0z2G_WwI=I0383 zRL0J0Tz?VS|0I2%Ae-xC`1mfqS6%HwQxs(G$q$jfu})_@>7$G_za%?equDot+pIB+ zd@sm_1DAXBsQ-V`UbC^n13sn0N5)hA=*Ga4L;3RD@{LiRb^j=oXV5XFaA=-!ghTTw zug#$u(X%4q(0rM~p;@Hn&ZGU}zthz9_T)h^r5;h^*|Cjcr!eIs* zBc+EKbPq#9W2IqzwMoka0r;_L2byMM6@C1F00030|9AmZl07d3Q51#`>tlTH Jva={Qv;ls1oVWl0 delta 13431 zcmV--G>FT_Z`^N?Pk$IT00000000>S0000000000007)K00000004La?0wyH9LbR% zVV~?1C7*Y-tB7M-;*?zJg>|6hSRaxEHGu<--fE;jn(?M{mtAFbwD=RZAE2}E&&+gp0 z^L_k%fImJnGk1P~pL*x~B5DOm)M=aj@S+nZe}>rKZv1Y8iTa2zA% zFHNg+8a2&HuiYeCyXJ1tJ~o}?ER0RJbCSFc;?OWkl7BN8v@gv?5GQSI3?GNDfEjZUcTVHr+#!{jLATp!MnMuDo8wNicOJGAM;^!*wNcBtdu1!auP%V3ZtK!?dq@91 zY$oPz(tokgBw>8swSYG}?c>NHLLhZm-*X_DEx^|XYVS6BN8M%|U07PIoyK9vy1%r= zxh%i!0Y$y8*^8s|FwK}Hy-Pf}q>uI<*UaW#K_Y{8xXuVJE?QADIBJCkzXalLkX4)F z@JsVLO3r|Lq&klrG6+?Wm`hI=5&EMO+xoj5lz(^814%i0(WrM_>-wZ?&VzREBxokR z7`Q&Vyz3w*IiL*2NLz^b1jy_Gia7ccJ0|KTadgy6I&pW-n7vjQ0QfjO0r^M=sK%v@ zB8;HR*plLLm;@2YHAwEBCCNqi!TkKm#cAM4bS@%u%r!gb^C5_3j$Eu5?@_Ds+BACS z=YK(bS;%#WocSLAW2PnfyZsj{IRFhG$fR%n!iFGC7&r*K3AyHR&^ryg_DjKkGc*4I zvHzy;uOQ(x>OIDQ@B#-!#|vRX+9{_R4s_R{|9%`w#l}~>(`nDHhbKX=m6*q^&Jo7L z#wb`gq|ST?|9QsLzqk4UFy$U;?T3i8Xn)>l1s7e2Kxh%=1OB(JdDM$+^L85}g(|!4 zUF@Pi_ifnfoFZ8G%*=cSmF|yj96vk{F3jv~90tdSU7^@RtB?E=+UV@;>o`infiEW~ z#wmld+qK_4GqX*3z3WRoiITEXk@wog2Ho?wQ7qKilJ)?BLi|*!t-9QWp7EJ!Tz|GP zf+Ory=NCIgsGhNdFV%GB{<7eY@%;0ux>QEqc@!m3;B4`aHV+Un@XXA77jZ1!7tLaP zRRzVSa&j9AV=!!Voku~I7ko-XRkPhm3{*+zENyUq)HZ?n?RMj_Rfgxt5&}LaCr%#s zF068j)V?5mDtu1F|Bv@QKJQ>gACFf%RW! zV7YOg{x(i^seX)1-^aqhXGjGOAWwPKWw>mkU4Vi|3CO)54q7ccoli{~NKyD$;dNv3 zzfJ-D;79|AfMVXq3={MBM|R4;kCAdHUifhmszFIBeo@wn{jlrW@sy(93xBksP5Em! zh1gk=zv;{55CW9v9--1jL>5wM*1t*AivHvbM6h3Mw38^g)cw2;&V{uud|)2I7Li!v z3#>eG1dA5UEGBu~G40;@Q5bh&Z|TAea@c-bAV62LI(tGZ{6tF zS^!JyPdW)EU)Qdic0gDk0Wr-zu&B*isE8W0n&6&AVI0KGv&%W%Ju{Z=Irw^Bx$5uC zw==Uw0>eV4pCVXDrmzRX)(dos-AWT?e_2-C%=}YC4@2h21pUPdh<{&{fw&9v=eg$~ zuoWitBh-AB__6#+=^x>>-f6dCkd0oEtm|iC^JSOT^Yw=h@&CXxV^vc%qEh@8;?V2T zLvhFHted3GVU9QV$Mf!~Qqeh6C@i}%sIp(R8mbU>_U{aOZX)CR8{Z0_chgRp2X(4j z=kYOp8xsQ}6Rd^FPJioD>!9VWviberp!gX|3@!fhI@rM1X+$1dQ+&J&_~_>oVF-?y zSDG`vlZ#pT#!1t@&!$D~*$2wCoqrr9PkKl5 zZXBPl?`^Hm)8absR-v7tR=&<%L~W`{Qa=~{p}V*#UxQjmr++;Rh8Y4(@DF&&_c+#|yqtyi!IjWfReYb2fd82?>oTpN~WTIdv~ zZ2Es$4TH{XcMy0x{(tWp8;H9Y^so7jx2z2Z=7IY1cyJK4Wr8YkvkG1q8%9StdxuGMRjK=AEWN^C! zIv3bNYY+LN5NrjG&3WfIoYNr9gm|-i0*kn!EN+^ilfDTS1E*LjlXMA3e|{gZq^)cW zivf}wn6tepI2q%dnQe7~W9ufsIVOb}@1VY`Oq2f+*_=V}DeZW0TnQ(f^chK40z63n zDvV>E*~ig&_+mVE#lm4CkM~>CpB(>3$*+P|4+q2PX-E$I%aQvGF6YiNTe5K83%YsJ zp*>Ki?Pn*ScZ*Rl&zM_yMKo9%<5FA`(7W;nj-1#P@MtpFXX}Ifu^!g*>0QUhv2B zQ8=JCi=VL$vHQUo>Fx8qoae7|_+iSqlgA1@Jf*{fCf&<7O%ey~F5H>JKRLX9((_hq z;$FMWme3QHXrsX?e}{eNI1I7kJqeDoc$l7^l#smRJj&nmr^Vq8wB7r{!0kFciFQs- z=I$Px!Lh2HGr+UD^-0D|sUIeIfOi|vS!=KB0d^<* zjrDtkTMzQH1}ZGqkY$3|^hf%C{4QE|KHz-;*$xYf5a*q(f77OW|Fh2Pu0?#(xiE`x z!Iqre>jH`Nf`1mga{kv^K@7*P31(V!Z4jic4cHs`2GfziH5xpS#Y66Y|AOUCZ-$uO z3}LU7nBELAnVTUX*=2OFqiKj+OyCFcs;A@WEM!tgg}uD+zaly5f_bwIvk@J%TorzM z8lMGuHQ-u}f1zhv7SR95S^s~Fcv<8_&_Jp#M~G(~4NTeXMAX=EEvaA=_%W}+x} zH1&k2@FX_L7u1`3NTn7KbPTs`qJguoX=)u~-c!yef7fkM4m3ihpreV1=oi3D!+NDU z9)^FbM0hNd#%~4X*Qpe+<{piRALB~_A`A@N!;tZPWJ^JL2a%C$FJblYf--&IuhTaR zZsRi6zr#@8qm+4A|6duRUf@!}MO>FL zcMfsuf6{-(pS^$1eR~z*UN5K&X`26r@Bf`?m>y_07vv7aDTAjCd={0_hyVl@`d&6D!<}y)|?qlCQi!nQazp;l(hI1?ElCkQ8 z&c$pid^TqpBhoHIEeQV{vBRo zw6cigtM`$5Z6QnjQJQ*y73^Ba0>0y6p?%z7hu;dyte{A=FDsLHph3j<;Gg4NY#<2X zA@##^6&yz$(}VhFG??({10J&0!rFXge-WKq_2g2m*l(7ew`q4tg6>Nf#Zf|CCFvr^ z?0tLeBVD^yWWv69#&y}OIKMQkqFMC-$q-Ru@G_gnE*w}o$Q#*LN zzj3%pV;3HSzv{wjrMj?KSzKGG-d|artE}9w+^;NG)+&{SrPV5v-MPcja+Wq0e>`Pj z4z?i4!}>02`1RAB`oZSz&f&qv_MXjV^Q|ps;jzlw+$k-O+KSLf8Av*w&bIb;p92qj z`x}jo1C+bFwYl^7u(7-Kl%P7uI33#Qm?t5OJdFs4gPzkve*+7@EmMnPmq?de7N$jV zZ-4jK8})<3-KPh8PY({)H}~N9*^q3G3> zB6tN93J2R8{RLKJJkvCy!wQ+gfQ1x9S-l-J&v4xoT(fqQb~<{odvHx#Mr;%|h{z3> zTnpOsRAKL(Km?xQgu!n8e|^vn_v$vWmG{qa#RZM86~QSN94ZT*R+!_fwUxsa8P@s< zQh4)c6BKei;GnT#Agpx?r{R#~EXhrzzZKy1)Gv%5t zUht42cI<^P_Vo@;jP4!l3<=JFauKJE%XBTTx-=XP*Iba4wjF$he+!RsTXGAR)_Pg* zC;0fz8HIbY5JwO{R$#B#vHNbBZ_bN1rtxKGX;`obsjRpxM7%ls6)wn08|#XvB>L11 zE!m*N78pEfS|gke~KTv`?jLVEsCL(coy1G$Sa2TuxR5_vPf3Idu4XQ zggf5c2Qs@Y;s7`0f4C^;kuz6n?FbhX_n%p=W{^)0OJp*ELz1wUhZC(o{h^mS!e=Wqed*?-6ZL5X33c#ex# z;^W!J)__gAQjm}<#)icDNmtcnaFD|N%_z|cUhw3A`5X~7e_ZT5`>4~y>a9~iV7R~) z+Ch4?l7fp0#MR*HuRa5gL!~2G3PU`_bxziwiDH!k3f`NKtcY018l8o{L}|`S zE4B`8Zt`12p zfAiMG}z}LF9*7+CQEgy;P~#NFfvoF)7f~f1POt-DUujbylp(@JW`Td)aQD z#hrEsH_tb5(|SDL;>P4Gn}tRy`gxWw7@1Mkmmw(&QG~h?*uyL|#|rNYW&A8n8c-V-(v^L(>x4i7a))-@LiBg=4CQV?1;a*sfACPmYSYLH+MH)u+ zKv^Qp6Mtoz*-0VfFzXn-PHf=~4+rzDdb;W-u^tl-GyCHmRtOgqDxaS&=3^l$W_P)J zVyiA`e}J4`r1gCw86(5I|D0uF!|S#)-6q$xe>B2IUl|yv(zuTi_o#;{scLsRb78v& zOeMD6Zsb;T8jj~*Ya1OkgUZ^HxrhJ0Kp2lELq#2o_JpJ;oF%TUn0sq0lARHYFqUAf6i61<~K7_#6pzPEk`q%c09p_ZR ze+q2Xu)f%Z!mNEDUg?#5CGE)p=_(>O0Ce|~Z`lJxQoOmw9R{tWcXEE%#$ASoi)*oM zY+u}AvvYod@9pHB!oXuRqzD5<$JLtU&_s49$m%}kaY)*E7Gka)yRYO6xiGMgFLD!o zCfmor2RAcj9cI)l^H$WfyHGM!nw%{Bf42!|{6V`Z$@u|wZMK`O9!4apcdi@)Rck?g z58OLlkJq*i*rc7^oektjU@?ER_qb*@_gs?i;D?5K_eP@GnXeVR3@0|yEo$-lG6l51 z7UpWx=*9PO8qIE*E-|>d`OqZ>(oKQQeiZ&lwRi6P41e2E+fLniZ>RZn4~w{5e@Z$C z&#fD$UMzf~M02J;+59r~ACaG9mbb@e1&~ER^`@32s1V1>l8$M-j4lw3N7YGqF%SR0 zHvUKWAJa+GJ?GL2X1rfF*Hve;<=O%jPn$F~tD>6koUn2lI7-gq@#mlilL-ohySK zykk}T;&%eF*9w|;GmP0d!QQ0A$i5~hY%UW4NsZ#U7tUD(kSph9)wR~^;IeB374FuD zhrJW-H_oaq#sO(UT1~@n-<@4_oxQnh?ILc6u&3XHy>%Ds${#l!=PhY%e-=Nppk(Z? zlMZmDmG>Bx;>SG@6UK?qP~oS^rfZ%A*s}ZkFvjAJG$Axt= zVi+=3!=_|#CsTd9Q4)_fR~kj3P7{^gsSh!A$x_{ldOASJ zo`Vyjz4|8Eltm9KoMX&$E>!Met~dMi0+aU_G9c+01P;t9vMv z(IY$Inv?w*Cjm>7B^o7vqu%K$iGfQ2PFWBOpA?iM&)f?KmOXM1$?hcN%!ex;wu>aQ z9u;($+G);CXEx|<#!>usx+p<9aJ+{Ap8j`=Bfm;MV1bkmht-7z(QqkDOJcdko-2Xm z^bE0wmHYP>5yn#x#eU#Lr|1FM7bTK0)ij3n!$-^=oPd{g5v;0zPb%cl&Uy02S2zp| zONF#1U%&|5TZ4zs&vxf(ACZ}p+|5(0RD#K5djixduEX0i$@bip6p;fu_riJJCJne& zz_sk`j0zu5HNyg&HLKO>Tu5oeVELrmBnh^l2MUi>LYyH z^ER(kowr%+GE-Teo!tZTm?XK^>z>U%#A4X+JRh?j+ATO|TJN+!$ECS}HzI1L)nQJn z_puR_)7J19qX@e#(^*H70GA{szgK2ilH2|;0;e1!g$C`;NWvHR#C53BA(9i~)q zc9I%p0)_J_1`|&H7pvxB(IS89)?dXqwuljfK{bA7JT=N(~JcBW$ zc@Q6r4zjL)8@5mV1}#FnD$J_G=>$b(9D{=FY;N}=Y|pO80rq@l>(m-8I{X;`@H61& zFB+TAUp$5)OzCB1LaoA*p#DcfOe2lSvBce*fZ%3^=y?Qg?IB#z5}7BV}_Gotqnz@@RG!yFw1CbdE2 zO0&MtQG}cIalHUuCLsCJttNGDHSxz5kq}P~vAGvAt!)c5V|&06h{Dd9(Ix;+Q&_nb zXIq?DpanQm3@X8ThpaE8g*uW>i`D4RVa}6;DXkw5EPsTDaI|69FjC5~F>;N^E8k(c zR^?uQC|<$Ff}n$WpC0&zN5i1QDyuvG$z_#Dd<72A>SXst$?fXH^L~QQf(AdW zg}rNb7Y46^`g2Xqy^s?8zL0}!vrk1G-a687RF(@e#u;a zrDFw-F*>1J>z2q$WXGc$pu?ZwTg`jt`Al`Zkd^5(U2ccRQSaOqX^B|Bb7ccZi|vAK z%8`CVs$FA5B3kx9l&(6yWqU`cKQyqwitUh>?mL$Yat?fGB*6aVNEUwiYL_-$aux^e zT+F7N9yXF53Sou4uG!bDgabrktZ2I(_)wjo7N5fId}>;)T)Y!FAqDu6 z*dc~JY;B+JmF!)9xPwx??64}w7+Nr|uvvRm_FJ-2$(r&&ezUeeHu`CnGQUKBV|RoX zZPxa6WY*p+MCNmIu<2%PE5v0$NgFief$cAHgq4w%fevVz`Q$f{t4uy}Rub|BBbue); z>y165cWg7C^xfwON+Tp6cJo^Yi6gVB+EtJpFKd6)j^OmuAO;W9EZLBMKG7mc?IMnJ zIZId)+~rR2Q@;QDp!QhOaaCdg>3Gs#p_nbIK{24pjmC#D%zzw~<@CzEgd6MUpUgk| z0txYOu2@~csWB26Hj3Kc>f9RTGae$=o`HS?WwB*`>XX~EPnFDX{^u0y2{r@Jb0Dpl zr>)KrOsoUxmqe@)4X*2da!IH%tgJ{HR_t+-OGPcHI_C!#zGdfliEda%40S|2o;7$a zri&pr{SKDf4wWoSMzYu!1F_%7N1iT_qeCt!QJ)|C9=Qpj`}`4p{2&Ti&V8d2pG zWea7qh?p*p-3Nk=tsQn_my03T>1Gl0^dgHT=fffni)JjjIh@0P4B)1jTzxyGlguO0 z2+8F|ds?U_)nWAe!@|FFh1>oW;wK--w2whKx5A)wR$l@YyO5RE7R8k%7lqmV>5e_N zcyVrV_L4WZPvTgQ+Bx(uDV!^i zRHFnswoe6DvQ|%j8hnlYMFocfU(u9;Sv6H6@UMLdfN&kFp-0p#{!UuBy#_=_5R zQN;+I0>2phD#0y+zofwz)wB=%LFkx3^~o&~&$0%;SjH4%U$Mb0g1@4`_XG7<*jL1( zT0LpnU)A6%j4J4giRX4D567+TTEE~gCVs`ys(}jrLGsdnLu6U_#^bJqUrYXFg>)4x zhF>*hFhLp2I{wYTuSLIKWbY&YLGbz#C^A#}*w;29GOtYh;_84f-M`boOW+*i9(Rj|iT*mI@!V4a2 z6W!m;wrIKNo7nL5Z}wKh@>0d?TH^e zOe@8I$QCTON5_7&j%f2=A7g{+h5JbLb-mL|a(1spMNEnYRjiPh;xV#Nkx}ug@#MJ? zMo1=EJFnq?Ji2^hC9_UaHdGeO#UmzjYYDRh!L64mh6pKI=t3=?px&686my$NB zrNu*lkb>@qn}lzx|Mm23N%g;?e}xrA|B~Q;CT-SvZ>#-!`nRO?z>)1&L+H1e{`K^+ zT1@GR72d!8*VE6%;{Gp||L+O?>*?!~YUxP&|8~oNikq#CbvG_j4y`HLR|KynCo1?h z+s@TrzW{k~u;n1+2wz!wLt{S`g#B7h^QoxTtDY|o-tx%Du1hDk*HC@9;)&*0^n$a0 z_XycH`%vvyH1X}0{J!~K@ne&V$9>iMLBXKM?wf=sJnjqa`^FRU>foR@k_>LrVx9M@ zt-mSlOY^nT>mB0uwORGJ!q3|je8oF2*y423^M;zQb>3<*?JIUy&Hr|DzI49Uc&kdc zSL|Ng`djXN%{SK&`_lTHzN?l?Mz;QcmPfre(|WD#`pwmSjIR)(g6~D&YhAZe-1n-< zgF)Q~Hwj-=>g&3$Px*SG$NO?F?|UTz-iyB1%S08W$`AH3aiUr;33MOaBs}51x7yyr zOxb7SMz&r}gKwwrm)_7!$G2Xqdll8Xk)3}#LO*T(P4yiLi~agUoeLr%4o+lly znBXSVuJevb`ERW8cEDf%?e-Jfc-#6xwq`pO6)4)covu$+U5&Zx%6v3l><28(yZu*P z+ZR-V;oIN(_I|p&%Vw&cRsF4kDuIxR?t+_yCr$Vy7qq^CA3SWlVrtc56;zFv*qbz1 z=e=s3^zeS1G$7-!>)r#PyA@d`V)L-ub>r%j!gZdYZeB)s-YvT>l;r{v;RX ze*^@-!819sSp62(_}}xzd^ghP4BGb<*DG3AtUgHgWDzA6M#utkS89gVQmZUHrX;+& z20$&h3D32ED-g3Cvf?k8(faRwl}ceojQ|QW3LPr=9$`jXLf4c@U?gUL6c*o4%xEL& z{bI~0B>h988ErtluW+D(#d7Aorx|Try|36pVWwEls01q8dn9MH;q|^^7S-@#no;Ob z!S@I=+9-QpEyj$*jKbG@hMB?R;~dA8yB6-*d{(dHx{zm`R+8Sa$rZF``x}q)u}ZD^ z0TL|Ip#=WBXL1e$ICa2(wu5K*!9yL!Uo5X?4j&@Ax=eoqbR@8%{85x=XJh<^P6Y9A z7wR6aL3B>AY*fLCd2Vjyk$Nih^Q!HvX+4VPTs}5=@F+TWH|8+oF`79=`T$9|XHxl7 zb0vYnh$v+!nix=O1Q^5_ zq1ab8`-wrN=1dA}IaH;Vm5BkRh6IH*0m`BZdwKi>XJSw(JG#P}qV&w{J~5a?NzeG0 zEU6G>M-0`Q7xZR-j3junJmrEsOQU5`f4CyjC`+A%-lj|>9R4UyR$~@=>vb#PqDVGJ z5&mLZN*#Ln8%QI#?i-C{bLa=#sK-q6}=oQo=;dZWViAkDy;Po(KznTc|{s*zn-EPB`?|#mT`X zByHgm94YBy^^Mve{0@W3fh7cG!BPyE??u1Sb8=t_L0PZ{$9KV&pZH7+EWgpwf~B}J zkFAMwqTh#P!BSkA2g^^OCxPuR#kz z@tE?-!TRQZ+k`-<#6mDAys0aEa!~)x+k`*}!a^`8ryT_AyAFbW+Q`tHg;>xPyFtlh z&q9N;&l?5%VHh>n;$*nqY4y(ASDS9J9e(es4VOw~Z^)e}WJ)mW^XUR4H5z;R(i;pX z3Y(J7p2Y_H^EM#!Td{3_mI`RUWHCvcElS5_e55dcMnEPqw^$UBoI#O=-nM@4&j#DR z862fjioUM0aT7V<&#hV528XH?w(E|eH-b$Ga4)a=Xov0xJyBnAYIGyWv|Jw?+(^}g z%?xj@$WPX^QAY@B2k0W<_3044^ zpAJla5;BqM7P7&4il;L#HYN#~Qog-(E5Ml-wv&WR@MLi|WE}9_NhDsy_I?wI=FhKN z*oKS)o(U!jo8T%NvHSXSA{xY!U?qbh3%zX(!h2V+y)!tSOGjxh)+gFKTCNX{g?8yC zb=NaVZqrhIa3mzoa!xEK2%7iR$nNwaUXgTv3fe7bHr@@U1#NI%n1Xf-s*QJYW`k`o%FC7nWLe2{?E^u8A{fe9*ui=&E9x_ zvVpQFG=Q8e5jo6z$l(~9dbzT!Cqg|-t}sJHShYYgV(A)H@#dART-N3dfBoT=TU@mC z_f8O|B{jrNuoG6KF54k$)oGF-hzQR5D+_rtcC)Knz0|&6O@3}5G1rvD^t#I}(1nti zUQ@XRD3ru3xQ>J3D)pP$Z>T7LbX}Q=x}qLp1IJM*{n<>8drZT$_9qi9F%M3Vq-*pvWKvzDCG_BEC4lMW;7I|dh4$co049KG!@#5f zQ*zwvfQqCXV5xtgeo6jTC8nT>MCSFv0m%NK=in1S8TmW)m0}?iDWxEzPSoD_-c=@r zGIqt_2}i<`U4-#tyd8w`Ij2RwxXGf6<*6Tvg3JDtSTUIkGr zIBH4OVoDel5Bl*)vLAsE~?*pw%$10I9%LE7|(ccGvj{a8X4JOc)qy+rC5S{65$f@ zxOsMIb~?w3=(g;o^SsQuOQ@VGwpCB>v5{D6hP>2WU%XBV$N67>mG7C{MxT$}dU`Os z+iqQ&t-7TB0djgLI(?r$#3y8!_n)&&AK*(ph~rCBKMQc|I4zB^?FG%3xXU_?`xtSL zdbpumwHwEj5sQb+w$VyL6(ZoLfT;wDnkxPN{2ihTldtU;OOy-d-hvcN(W+LPq+xxrl7KL&tT%c6A&Qdre7`S?~ z9Gb`u1sHK3^El*N#ueYi8_)P>$MQJ}(KkTSG4)Oy8b#K*>zYV|&R-S+csOMH)m@Uj zP3&h~V!mbr-LQwINd8r$LU;2I!@P0(s4RglKPdJG4SdaPlhG6RG_ZX z7$@yG{Y5E}d9WN-DpTf<+LuwGD^qLlWhsd(*%DZ z>pQ~pFf)9y%@}SCe(+ctSkE137?sv@%sAzGmKi61t>>9>(t4&DC#~n2angFW8JV_S z&+Rx+vx7W-IqnSXMjf5nGX&unom%(E=+wGTMyJ-jGCH;Hm(i(p&x}s3`(|`%FV)7w zpciaIQ#-k$9X6DL!E*YxhnA)#i41Jo>`z_s44bANMj~lyf}Gzw+h1@h2`Eu`wrNMVT3VI@-TWHNIZ#9`Cpku9Yy)}EFZq89PUWrghZ@;*RSWdxq8?edz(9lej6QI?$1H9<1Ehbi-#(A z>PMFKOTVZj%<;Is^&6QXKP{}v-@nbO{ARH0S0!EjSGlS@_7)FcEFSJC1G7K>@DtR3 z-MDNw=S;H|2Jx51y|mIBdkNeq%2+R?4D=W%P%RhTm@>XsR?pJmj~-NdgLX-O_&UY+8n%A81f1){gg;xnFp5c6M$fast~CuOXy{&3%xX-CcK zT*Y(5pw2`MD$dd_%&qyo7=4YkO{z6=X_x)2QnceX64=Ip;bvCav!K-r-7A}aeb7mQ zmWky~_pbXuCiV8-FA;WLGEL_sOK5uCsC{aM>sj1scY0m)F66B%fMQLU{1;>A&(@Nd zT}JoWq1kI)Ncxicg*k@9pDQ2_faL#tC;*mbjl20B3GrxI`cu4@<8ChwyP;@OY_U}M z0|YnQufjM1)#nLL6fo2A3K;u;m_~}fMF&cDmLx-m`}%yN_$wC`tchXRXHanQXQIW? zPP=!0gas=QN93VS$;tfENI!mr^xM5w5?!>yul)L!kQ?EA2m*Q@9Vcf9ZuzI7j?Sl_yOrO`X$@}|f7kMMCHRLrhQrv{k?o()m+3PM<460CiM zm&(!-900GbEzyJUn)%d!$cRFW!Y^;wObiI;rQ~4Kmn+Dywnmr3D_MF{nWzIz&LAYi z2Z&|`w)lmGEIkQmtM(9@WtNzwW9bV1jH@Vod9g3`(*x7wVxEEp5YL-xWd+FVn_k$s!kBF#De= zMNDM6BO{kxn!nFwSNga6%#^YPCZAH&=6snlgzK)JV(}+&n zK`W1>%G~08Y5sVBNTTqrI2FiMk%2%z)AA{&+5hjf;RLKAQyDw2aYbbRlk|OpY_5~x zIv&Jy;y&xA3T<+1M{{KmP&Bh83 z_>>MG8Bg`28v{=c<;!!+*G75P{i9HxLC2KBp?Ss;4$Y^3yfTMoM9+$ZL-S<{hh~wQ zLo=B2n&CRt+%^Qiwe|I}>cZS|^-8=-*o18VU)q}rhZ$^)lpbc#Jq!sB^X(NJ<|74% zY^8gcU8G#Tc9C)!4w!Nn4zrXRhZ$MeSYgG!v;$;o(@Lu{SZ(Y;vuv!iD{F#{m4@}z ZCM^>L;K!y39cY@3RrK-y0ki%!$N>!hdL#e< diff --git a/CPLD/MAXV/db/RAM2GS.db_info b/CPLD/MAXV/db/RAM2GS.db_info index 302dc98..872ee70 100644 --- a/CPLD/MAXV/db/RAM2GS.db_info +++ b/CPLD/MAXV/db/RAM2GS.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition Version_Index = 503488000 -Creation_Time = Sun Aug 13 04:15:04 2023 +Creation_Time = Sun Aug 13 04:37:09 2023 diff --git a/CPLD/MAXV/db/RAM2GS.fit.qmsg b/CPLD/MAXV/db/RAM2GS.fit.qmsg index f75997c..cd3d8fc 100644 --- a/CPLD/MAXV/db/RAM2GS.fit.qmsg +++ b/CPLD/MAXV/db/RAM2GS.fit.qmsg @@ -1,45 +1,45 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1691915191832 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1691915191832 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2GS 5M240ZT100C4 " "Selected device 5M240ZT100C4 for design \"RAM2GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1691915191832 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691915191879 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691915191879 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1691915191894 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1691915191894 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C4 " "Device 5M80ZT100C4 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691915192004 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C4 " "Device 5M160ZT100C4 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691915192004 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C4 " "Device 5M570ZT100C4 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691915192004 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1691915192004 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1691915192066 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1691915192066 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1691915192066 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1691915192066 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691915192066 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691915192066 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691915192066 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691915192066 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691915192066 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691915192066 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691915192066 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691915192066 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1691915192066 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691915192066 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691915192066 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691915192066 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 40 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691915192082 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691915192082 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691915192082 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI2 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/" { { 0 { 0 ""} 0 337 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691915192082 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "LED~0 " "Destination \"LED~0\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691915192082 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 14 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691915192082 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691915192082 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCRAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/" { { 0 { 0 ""} 0 339 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691915192082 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691915192082 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691915192082 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 15 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691915192082 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691915192082 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCCAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/" { { 0 { 0 ""} 0 338 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691915192082 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691915192082 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1691915192082 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1691915192098 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1691915192129 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1691915192129 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1691915192129 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1691915192129 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691915192144 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1691915192160 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1691915192238 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691915192332 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1691915192348 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1691915192676 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691915192676 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1691915192691 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "20 " "Router estimated average interconnect usage is 20% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1691915192816 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1691915192816 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1691915192957 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1691915192957 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691915192957 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.22 " "Total time spent on timing analysis during the Fitter is 0.22 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1691915192957 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691915192973 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1691915192988 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.fit.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1691915193020 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5345 " "Peak virtual memory: 5345 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691915193057 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:26:33 2023 " "Processing ended: Sun Aug 13 04:26:33 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691915193057 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691915193057 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691915193057 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1691915193057 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1691916600692 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1691916600692 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2GS 5M240ZT100C4 " "Selected device 5M240ZT100C4 for design \"RAM2GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1691916600707 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691916600739 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691916600739 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1691916600770 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1691916600770 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C4 " "Device 5M80ZT100C4 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691916600894 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C4 " "Device 5M160ZT100C4 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691916600894 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C4 " "Device 5M570ZT100C4 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691916600894 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1691916600894 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1691916600941 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1691916600941 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1691916600941 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1691916600941 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691916600941 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691916600941 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691916600941 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691916600941 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691916600941 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691916600941 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691916600941 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691916600941 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1691916600941 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691916600941 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691916600941 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691916600941 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 40 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691916600957 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691916600957 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691916600957 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI2 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/" { { 0 { 0 ""} 0 336 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691916600957 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "LED~0 " "Destination \"LED~0\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691916600957 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 14 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691916600957 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691916600957 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCRAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/" { { 0 { 0 ""} 0 338 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691916600957 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691916600957 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691916600957 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 15 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691916600957 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691916600957 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCCAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/" { { 0 { 0 ""} 0 337 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691916600957 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691916600957 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1691916600957 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1691916600973 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1691916600988 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1691916600988 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1691916601004 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1691916601004 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691916601019 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1691916601035 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1691916601113 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691916601223 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1691916601223 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1691916601567 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691916601567 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1691916601582 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "20 " "Router estimated average interconnect usage is 20% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1691916601707 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1691916601707 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1691916601832 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1691916601832 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691916601832 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.22 " "Total time spent on timing analysis during the Fitter is 0.22 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1691916601848 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691916601848 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1691916601879 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.fit.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1691916601910 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5345 " "Peak virtual memory: 5345 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691916601941 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:50:01 2023 " "Processing ended: Sun Aug 13 04:50:01 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691916601941 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691916601941 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691916601941 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1691916601941 ""} diff --git a/CPLD/MAXV/db/RAM2GS.map.cdb b/CPLD/MAXV/db/RAM2GS.map.cdb index 722b53512fea55fa28f0d53cf44ce50ddb87a30b..6db110cd3066033fda2d1173d191beb5a1fba7d9 100644 GIT binary patch delta 15994 zcmYkj1yCH(7Oopy0t6>Oa19>Z-Q8V-%iwN}OK=Yk!QC00AR%aQcXt`wd7N|Zt9z$v zrgwK&@7lBa-|PR@uI`>_sOo5_cqO1)?8ARQ*0BHE{^yrT@_%hlsFQ4<7I#r+|C|L$ zQl6zJ#Oxaxl08d369KzA9Amk$g>+Ct`ge;7WA|c@FJ;JjQ5vTGGtVONLIc=sX12rT zI9ko3Ri-~$wCm~HC==bv`^U|+j2{uI#&JG?pB@* znZSnsT#n!O9(l&Sa?ZW}ftBu_w!7?XY>sf~YShy+yqkt?HP-SjB~#8-y`n(t)gZmI z_L|Cv)M9_?_+oc=pBe)7HxZ)OO)%=*tQ7P7n*K-0)SpFk=zI}&h*d5}U8?ZqJ~xFT zFRY;TIu3}zx#v6|XV;a%xy{`$B(CDQ9QmoyF}nkYG%;FifNZ~KXB1|?jlVdFtvJ1a zO=|yPC40OfAC3a5;SGuy7JxHtQ{Qz^Ujs{zP+T5=qrX3RvI{2)-#_d3KluB7fo7m~ zbe87tFxaK3LHTq=DK6W;&+o49SbzQaJf+IG%sle;YA^vQP z-REU>`(oMS{MSQ$JKhcbnc@6;i%$RmIdiMZIc0L}NkaxQ7H;&CvEDS={7ZDSV;uu` znb_P*7As#WMVbPK0E<40*5lrbkpM#U-GM9G5*@b8;Yc^T!H(DBvc0X|e&``{ItkGP z*@yn6eP5rE2M0~B5lePTAxJBi!l_>{TIrZ`4LQpd#_mFo569ydF2vu=eBkGcFb|=& zS0jJNxGHyUD2Ht0lH{|;{av*#PCA^mU-Qhq6^1{gRc@rcfL3c^L!#Civ%kBS$1d&~ zUg@sYWfzLsct=a3s|t{^<65c?Il8Q;m?ZCn=T28*AARP;@?w997h5+sycpfOMSS6c zGGbpb(n^Q(PZ9;H162BA5oTVk^Bw&YRz|6HP;>`Eub#8S?1EmZ|GP39OhSM73(}Mh zlxBA50$vcDbmKq*WF~$PRpsC2aO}Bd!IDvtQ@3{iUG?dNHou1C;lMnvo~XjwUjH8~ z=q{_?iAC~>*$zQAM#=uk(Y z(z6rl!kzPq$z{+70nA@6kz_rLex>1&ICKX9a9yBbJ8^$8ejuSbJ5y82rPFCO@tX>! zAUXtzK$3@$-HPiYJ3B2d$&-nBheVM6qu_qXn2q~pJJAW_}dCjE?>Kx6c9 z)Q~$04tF8t_Em`j!P%2*#VOJKuhJ@AoO*nfTu4?Mi9RxvCc54kv$u8#0tds>X^fou zz*|9&#&uth2K&#lQWA|aN+Q(jkMv#RoD!IWKDsL|Ur8OTXrdDbm#4zvd1nAl;jJea zN@zk-$f}sdP$!oNAQkb9H6kOtgM1X6w~DFVoS(<_X+DOqX-pz1@m#|`MSt%GW+et~y`SZ2jn|n{bGB6khI9%G z3pB056UmWmhsFmL`KVT}JHe?d&K|0le`i5(-j7&IqNude7}&8=GNOhZnp_BeULuMp zBT=_}T`Q&+u4yG;w(c@Kq;r?Gy9h(dFUzJ*>o18KN;e&EF^Y1yTc}j}&diZ!f@{j& z@ypyuR=ycH1tF#*ugnUK7s2~?|(?>aLi+(v#`wi8I0a+p+LzI!2u1{qxuiG^h z&(JYShRi*P0kq?jyHqgN)vYRnVR)yp$*}B>U&Z@e`Y_0h-lqx+dl&XfOgE=L*K2mu zszefD3;Vhi!$Sg{qVx7G&9By;t?ERHV0fl6E&>N{1sqDN=Lc78R7D1WmEF<0Yw~_= zV_P5i^2R;ig^b);ybrH{Y$%VsF0;@}sPt+6sgd~ai_=9jzm^Q1rG(HeW5=ZbkH0)e zSh*VyA4YMJ+C-4)PfDbrF@HLNBl^v;gWHHB@}iQZFGLiO*meX3vuMg}jPrh3_-?E%^=ChfbUK&IbS@3IXRH4#?I#p^XbG~avGEk_lZmwiQyRVtPH+=m3^a2)tWa3VuUBtSt>D~o zv|`BPsb1C$459*r-5Gq(o(r5N#T$uA#<^eZF%=^qk}h7A-0+0exh zP`|WZ58dVe-S%^Xx}C|U%2Y(ur#!op?fkVkw};tp&IR$P$g0UTsI2~Wvp3XGsPD=f zf|(=C+hAqj676K+DlVy*+m-i<;f+_G&iG1lCujlGt>wv>{JC2!MzrD=(1$RmTyDNZ z8ktygWUdI4>k!sBuC@X{b|(+)up zyTt+~K18CUer8YRix^Ovdnq(hvQlkCcKe$68^7caKAt*@)Q%aS{w7se*m^-rPDb+S zr+NyL)Gw9PGS9!Ms8p~ zI1W@Uv8rjpAETU0SuVaX%C)wCQ=>!Rt7!?b#r}1TzqM>)WjuOgb$;+54;4eQT!jZ9 zwNu~#!+XY>c>tlgQ#}~rsp{8z0`C31&x)-MSWLHts2)oics5fNJyd9NwX>_ zYTRoW1mOk9n0351tv^S`ak({^Ppr1j4pQ!*)J&(I!G@U+4|e43in7o$Z7%ud2d)EB zQ_>40K?5&Y%;uAMfpS+d1I-}ZB2Hj)w&@T4>+U%$d{qri%;v)`N_);TTg+xIY}V## z%ofT#1vtyH)Tb2#E(@Z8)9(g4-p~q{@lr9=nPk=tZNru^RvO(PfEMD0?kcKg{6|r} zEuGwkKo5%lSr2DbA+YLK3r|ZFDSG-o8>NP8Il?&nOP*~2f0}3(9Hic>a{$rzmWysX z5Avd4O37%X@>bNwM1-1wJr`Sbt~WcOAZqyRQRMmbRJ`CS{@rTyww&M|2~$W5W=3KQ z4S@6JbXXj{I!}A7+&={BbcB+gg&$MiKsxYNnv5 zO;_f#*LeXA*OA)Tzuzf|N4>~T?*ffr``+Jv5hVy1k0gi8Vg;h@NSNd|-1t@eu*baI zS}(6-KKiV7_R|-2V|%4Jj(9#yId0__C>^h-;miPHx!Uj$X`*um4&4C5JQE8yytM}s+kw0xiv=oNvx@(J5Ky97`|vJwFMUtFcnR3Q{`YSGr0TB1 zzYO)tqN-u(9*pY#+VG2uS+ME8nrh{-qscNAJ^r1owH$sbh}BSa>zAcAm?^UGEwPZ@E_0!^PJ*;i~E@?WKwk-JgtvK z@jd%)&2zkJoUc|(tuo=+d`=zycQGFl81Z70r#5GIfLtyn1OaF;crkncKf0dH zN}IuYQQ$ps>rOOW#I`<{FDYiOK@L%nq+j`B+4)QvT~M#n56a4Frl|T?t=hI;yTR1D zM)C1^oI;kMvzJ}Nn{?zHOmfX#!rymLKgYa-ZsC{{|ErMzSc?@#a!9G9g0ga6)p4ED z6TWeBZEDN;@ditcwE6%ZEP!-AOz|ZZ>wqr%$P(}1GVn7j<=FiBXB;@ z5@$1=od0wEC(Oa=+2ZpX0fKz+XBG5FIMoW(dEBGN9G@Chfql%}Yv9!e#Py#ES0!rj zgL3E3Io<4=hvN%k4bhGyN{qH)mcAB`=33xOq-3{Zz|y9VS=fr;B5Bv2D#~&zMQypzlHJL?o%$0$M&eV&v^pB-b(F;9GmoNY10VFf!t6RZ~RaRdbXf% z#)>eR8>9;C%d^SF-Upc*0MCT~*?ed8LHWDYH6(PrRJ_Ydo`K*$fD;TsHD|J-?6Pe^ zT)YyB;;H#Z;p4xU7b0M!rjTeHluQHl_L}62{RJ!hO`8WE^X^JAs<6DwNTgTIi8HAJ z`wLJKd_4GLgSXcUt8M$PzP#@`i*_aeQx=2Rs@w0R1ncLO&(1uU5L>*ri30n*<}eWR znbncMFNwxXzq+$+KeU=Cw8A-3r6gm%Upae2ZTVh3&gh7cY;lrL&9>9!z#_yCWfKnd zuCTR}gx|_0p)c4n!q9AIxCt!u@hbWl?SR@{2Q<<>Y){UeNqY&(Gc0A-FKUl#m-btM zc%a6Ajg$+5P05ehU9Ku6zBH37eOOV@S=0DDecFhBDKq=m)>U%v&u{7n-&w@?NqpsYKu+xX;Z-AnFSEp6kj=OQiLHIRkBFH^M+wpsbPvQ$!a%ze z=1-T-XI*O;@sJ!md&V$v5*KenO4fZ6ZxWY{C{a-7jD_S0%E(7sZxtSly+19Z>G$@^ z0wTDdFh6YBC%~A@HIR%%EzsFQCH%foml;Ho{}aEVkAh@m9?BnhcDlj!F%`C3Cx8*F zZJ7mL3)|+Mpx)WEdc%e5nV3S95^$9GiT90ii6=19JH^ca;e9ZJmJY9_Q&YCQE>nfI zTTgas@4?O%6{IK|UmFslrRf7e!Qv_vEh%m|lOY-#KOBtlE{HQc-v zv2BEriV#0Ft_!}?i#|kYcOKa#S9(B4YewIx-Z^jQ$NkG!VT~VA@TmHib+D-9$W)wS zkD59;Qjrx5Ml<6Uso0k>|5rB7FllF)1VV1%&V2T25&jZxEv3e^6Ms_h)icS8pVj6Q3#Yi@zkgZ zk+Q#*TfQ7t)GWwHR&k)|dgvSUt#c!FdYu|5=wi7u!Pn6eP7g?}jgV!8&e)W@KXVhhW zTR#>V^(Wpb^^n2O5QMQh43Al$0UyvAb=}!sjS9(?UyM!1a_y;aVBEx)Kd1= z8No9=^8cc8n$2;fNtLuRyXFhZvuZki?p_^!nw?3Hg(t(-T*!@i&)|kJ>oKIv5rgUR z)q{`CfN}}-jfcbw|4j|j>!;1M?YHoYd0ZpI=i-jHIj^lKojr+c+$hV`jl}jpEqiy2 ztOT;bs?PS@n09Og_%Y~#j&DqR15EoBI{Ipko&U{*CRGqIT{hn`%c{*g+PWsmlePZb zlsb5GfuKfxxRCj|*~5xz(bEnq598czlSH5v-ml@=I~x^UCA^~A#KYRZM)vN&q<5Zx z?beXcY6b7jZPI!vH8B?cNTNbHjr_f^I7h$sbN8wCa+-LCjwH*?{`OqdVHN+|$s?-6 z5!Tly+#idkQmxC~N!Ps3W8mo&-Xt|ne` z=3b?OnFOuhB)Yy{5Rx6>UbQu{#DkX^y8oaW%F@hm;xhKNH?DQhehh)2pt`b3$GJ4j zl#)Q@wvjfG6RBb6Z}J#;-zoLvlm8}77FA$(z{T`oLrCgJ$YC&0;(rE)LbgPDq4?Hy z&@Sh48~qadJsV*b#Trd&Pr{PJF!`Sb^QIujsp%2=CA8O)td}y-oxFCj6*?G6YbJig z9tM-keo)Z|f65KEj5CytFpYNBP<<~@FtUjX{p>oc(dL?_3h4i^4@bQ?=oQ;7d=r*V9J=vU|{>>e>+?3mrUa(x9 zF}{^<*$+LZw8Khp1b;yS%ipTar?P&RIJ`L^n`tPQ8bq3Fl-5w|hJK#^+wlvxep8~o z3HxSkL(vlzpI?mx(k7?|Q)_JJXBY&R|< zfLsC3Bo${D7>IMTdW_~Y^XVnxgM-Q(e*$-g)?E-P7N(V%GR}h-}%?oPWmr4?dgjgm_?%MBcv8Js$Y8w~g!2`6E`f-eHk+wg= zvoiM_-&9HonU@q2|9cuh8jtym`EB6&(sdU0$DO`7{*J3U z8WOMZClbGN7`?T-9SS{S->PiS=Q=6kd3;5o+gB;#t*^bzG4O2XqoRBRSwf|bK@Sl^b8M_brb`so_&gWdlHsV*Txsy|x3RNX z`r?NlBEh^rtowih@)00LMWzbNElNw+*5}*Yqcx#LqZH zB!gqhJ%NV<{i#4t>QC$^5Zfw2EfHx%)w%!95C-IvqE{ARe+R?pA1;gaHYY{0)M`62 zcPDVYlZrsH@-R^lC)j$G@9}9rzj^Y9PU>-Xr1`pNiJIDaWv|xxvAvm#G^ej>)*mSh z_(LKqt)YYR-=*cnUBxU22EteM1wy8l?J9E6@~b zTQ!AZH#;Z!nqT#GUob$;P)_KDuH)el8ZMn~taNF#qtvajvO;8YA{qowW zh+Iep3puLv$R50T1o$)Yq)yLPhMl?107$rncJ(DXMK)w|25fL7mxZ}OLEWH zK9oaGdxhI8QP^>(XZgP_2KBk~J0(EnkR`{J!EE3?e$7^88|}j&c$R06?~fkJei6=) z|C%O{hF8vHvwY8kma`0PNO-o-n2;gWuZc?WyckPV=&!%D*9Ll2-kM2E@7I~yb_+!^E?qaZH_9k<*1mmFnIqJX@8=C4gTSRiXJ(YEDR7NMh?hT1G9 zVC>GOv!4@)6&~$i#|ox=i;Et#I%y3OE%?ZPB|EeHTF|c&&TVLld3w;W?(96&^|7i~ zcF(k{yKw@&RhnMaQr|X~JzDuo>#i-}j|5CSF$RKH5c^*G4d?eT#$o}# zl}hQA@!T1~*VL5VkDT*#P8T>%LT{pO!N*>9`fBI6%B`$#oU^lBNT2K$Td!Wk?6Ew- zVY#6%?XfT&DeY5CE8M@iF9^~0I%Cm!4GHDF8f%9fj9fv6lj%i_nL>GOBK1_yDfM2# zM3G{TdQ;cJ6>PNnTD(;p>fH22>GME^M{^2p4OJn*L_?rL*!~Z4g2tiAz?_KJx*4x- zEZY?0qjDQjp~yF9W?7nGiKv3>Tf=0uLCK$rR&Pp+CulD=Q2T4`W9P|ZX9~k03D+6* zMNip45ejivZQ4^r)#L^%Hx488FP6HV{>q-N9BJ0xikZ}%a@KokjT*{~{As|jQa8`d zpueK-d9pi&|A6hmBkdvRxdWFSpM5@6JF&Ez@;rYZP8!JF*8Z$9 zPv90|ClCtn-b-y~FHJG-#Vea8SAJ{>q6UVq~ zFmh=kU()-U>J?IjP;}H#$SdLd*Uf@=!|dcGXC|T=gT01cEQdoO4tN1;D6Mg_E>!>M z_vx(eB2cjFqU8s}4YF}|GgX~^&i5*x@Qej z?by#QaNAH$g3azBcjcQG3I7ufIb*rVi%G_%f<0}qrQKoS`em4tQ;ANjV-9TPesx8a zEhvSBR9A4*h<>R#18A{rG{yte2L`fi-MZOmhTodFrrP6xqF8Eh*i*1m$z4n}->VCrkR&+$+?2b{H!2)K1|Usx|@)g<(14lHd1zDXe;P z?WYsgdC^fHtwbX#c;Z`fyK7$T#cO+i7)M)uE0sXytg-5B1O&B93*2O3%zo!n=Ywg2 z=%I70NG*ty+7jzRpNj*StqxVJxzN2!8co;PjpN|0AToaQX79oknsHZN+2gIa#TDoH zER<0>#|igEl%f&dR4R$%l)7)aVACjsqOlxS!D40YgBSa&^v!A(z?n#9kZC)+MgciB zX1`WCw^g42Bv+JP1t58x8U1L*h#7;%<1=|)>d8l)ZPcbhr7?S~8ciITz{3v#SOrF;Ix=@bJgptjU|Px)16<%S@^jB zyg?J5I9!xwB(1fls#M{FWwlNTdl-s2UZ;#G8XCL|XuUo*W1mGK{EZ}L8qV$0JY~E; z*E~Fqw-;}pc{MY}YR?l?6rZkdRdGj;i+GdT71Xjk*o^kx$_Tk%>}lZ%rfx7bU^$y9 z;3+i#eib@76kNl3?c7=~UTYF*xEHF&f>9a=vbm%@mti0g-11UgwpZS93U+b9&(2C zN@t~}mFZx$M#@2bUa&mHz>au|U3(xlWDRl-FgKmv{&P@i1?-amzJQ4~OnDW~#k%Dk znui#M6BJ#%nPyN@Zsojrw>g`cZZ`qiV}y>KV$Pnu`O0E|wMZ71GZ7DY&G&WbF!k^o z-kqjWxymK>Tvh6BE48`5R=WG}-{8h~>%B(GJEhRtIl**kOt+(GUlCMe6WDVdXpzd7DARM>S$WzH$u*M$_{(ZplgWUC`egR&NFlkOMN&KF% z_`=p^!!o6o&h%-DE*JUPKmDXCpS)G!gO^lE=kVE9Tp{H7)0f0Hr_8k{w$9FNywM0_ ztkbxgrH(n%tCC-wF9iFXoAK4T*K4-`7MhA1ebVH0I~(|+#v61QdD=Xdd)9>SJm|RN zbvv2k^!!tu>(|Sa-QDYZR}LHHM578MlOmbXPdJPEC5&6TV@kLhHyWcElG$sYZg0_Y zOvH^L4V@SQv!+*;J|HHIJ3<%>N27KO0g5Wry%t-@6|2FR`w6?KoFW`P^cLV(_f}yj z^z{8rx#VuDc%W1uL&_WE{Ks9PnK;EA#)LgC3SY5~0)i_pZVelH@ztr6=QCf1mWF;G zIC$QKp}Xo>KB2L~Eh1*IseIQ-g>N{mTe8Seq5^y{Cp(;;Iw>qRtr{JZ#UiG@tXu8AE;1i5%Kzj+L0yv>lX)D*7YW&)x_$OjNfaf& zjWR9LVVGGRnfP1nr%F-i&l*dOtf}~CA0a$Xr=@IkQ@)XQ?K3nvEZAho2yCpwocr7d zO5?uPTeQy@1OTy0ix1!Q4!n#5Ml`JY1=`i_ZWr3E4NfMJ(;m4>T~9#@$ap^dU`cl! zqc`a%1D{Wn%gdU&SkV@ExW`LAEQ>km6Go;AV4nB_+v1I)5^BcBG@+e#TGH+4_-n;PT9hZ!wt3k^(5`GcF9KSadaD_8i! zpjQXk$_ud~-w(i9SCvWlF0UWCoppWF=4ao@X!C1vK%@VN%1+Uxa6BWYx@a=DcSg7f z2|>=)z6F3gy={xRCKGH<&Ub;G>Z!$LSRP5DQDUs~Gs;lU4074_kWKM29DjbCgB1sL z>*$y~+)B>&EONXEJ-!ls>X4f6IH(*LBfWck+*4aIRwHEO@i}1qNjAStZASsysj6}D z$IGW2E_&9-%x!Pl%g2uodY~^O#AX(OBK02oFJPTwa3GCXV7XLHucR4wzgQLC5}WrW zd4%`oyD{TdCVmB1f_1xM>czNFkyJ?z(VRPOKyB$-{sgDabWVq}uqWI2EP25FA;uMi z%FUgsmXnw?(+o-Y*(YurDyYcx3VzT%*bSD{avvxD6g~pzapo6h8YVD;x~VguGSZv& z190){`B3ANu8;@qVfwp=c!){m&AV)Qg#u0#V0N@WqDz`w$hi0@%eD(Vf6_YP5PqgF z*oJ~uyQCU@fZAD{f+Q4rYujA?B^xIoeQipIeBdFo<=RI@Czp_PL~I!&Aq_`Ge-j+? z4B%(B9chBi&PCzT?EQv`ZZY=^vnal_e*&4PG|hot3xUb(_lC zf|);I)fV8AZP!30C7evCR88j#&q}5``)J4j(#ZZt6mMx}oiq&B5av)-fil2lI|nO?SsPLTY;CGbUSr=DooIGY4uqM1tagKWdxuC~Dfg4U7%u zeyns7bIcB`7>I>$8Go7a*Jzl?LlbVScp<>8B-+v^#Soq=-}Nthph!U^O)GLfN^)Yx z1{6M_{?k!P$OpQPfFo7Mk?AY+;qbLasa2jX<4=0z39RO|{eQAfJri=$Qmh5OTq%<$ zOnuG!k|!l&CSRjrSyBwDB{!9&$rmRVC_sxtaFC0``|3%gS)+e-$d7sG?=spgfEno; zTzS#_V4)T#E_+MUL{8P+Ui#T;*-FsXIbmNe2- zPy3W;l{?8cD?qjZlUA6bPZE`UsUdI`G_V>?P&|0-rd+t9a;Tma<1sTdx`N3!A&ync)~f^VM{;@( z(}daX~~OE6^7@0Mur3V#hP-sR*;-($T%b}CF^NU z45J|cZ^cmcuijWIyy0E5fY->QH_rSh;xjaOLKhY+cZAM13Qz_SI`EPLT8ue}_Fa`# z$@Df=;brChA1;V7JCqjF=m-AB)KDeWO5bak;$ewN{azU_MMsuu=gYmxG zjj4}CO%TUm=GH6^M_b8J$xK1pva71gz((7s1KW=-zoj$cNe0Yi$g%Q8s z+NP1%J*b{K`!$UHFS6RK_WWEMLMlkM<|-(YTRt94!;%7j+~?nLY=xkh8V-)CzAc0**p~P zY}9Pp!m+hPL9YjJBh94U>b?GOMO2o3m2nG?ttovBW3+`#K6)tdb}p0?)=bL{$1k%U z8-4ptP>Ud1!WmVf=|~zGT?W1eh5X_ndd<@wFG9unz(f8<>VKLVJm&&-`={t2CdKL@ z%+N9%-N}is8i!|d44+(FyjE#i%|G^Zx?7X@NAOt-6%YUt#Ya{;ik1JtPu}u-@{(j} zMukSs<#J4si8cz5RZb`Cl%1@aXj!qg(1d)%g@%o48VvBN&JPlIwr}EHEtnQWqTtzQ z_Zx+8rP+TB>)w~`GluBoC;hmFbBlGTYduO>l9nR>BT6d(JGrk%$)PucNyy+O=%Q#2 z+Q?LLaR~zy%eMMBU$Z?oKsEBhBvPPUMbE&eED0K}t z7W^yKdL{Nui9333uf?LC!`I|8{qzG{DoB7N@l&YrJj%aDssVL(=q`8P8 z*~>N8Z3(?@Q$EiVsb>lZFegSh?7l?v3gl&pF|wNHOj{S%zj=g8cb#%cG1<(SFk<#{ zx~u{+w4&wW1hPtq7PK=*K06^rY`rL*8b4AQ$r`k|8z?@I*Ll_r%nFUSBED=Sm|b;VJPv9a(@pSvGC6C z-aj$+Xjjw9&?x!Kn4hM1jM%}j`e4%i(S0}e_mlp}I+=pSq96F~$DE?%h2QmznC~X=Co!yHRIPhE2Ia*G3Or3sLQT=-gykmu!Cp%0 zolj%O%S^SR%n--LKEOW5ONVhkn%v%2GR6%~fkJx(P%)99=+V0>Bt#eNG;awvfx8fv zDDk#3v^yJG&eR+UyqLePkIpQ}3F532H`JmW9UR_rijRFmgA;7pG$EPm2$GHzK53?t zZ7~~RP0CdWTGxAiH~ryWIkj`N2mkj^y~6XP?CC&u(qbgl;Zc1SzW^IeQl5V4vo`?$Lz)=uSY zlA#}}lnI#H2$1DDOVVk(tbY#0-DE4KL?bCpDhx6fh(}%#n@vBR*1C9F5?$1!mVYuvIiyYoBOb$Svr~%cd7PW zP^g6`YJB-Ya#@FAI-&a#LBBJ0p}So68JB95Yn!4?$xTh=v(OLppmN^q^2bK_QJ{?N z`)Qc&<%j3C8H}(lnh;jqGQ~96&T0G<5S@QqDD|ATuh?ujQIj+|P^C4U-FqtGN}15p zR;k8}2+mI3Ns!J>YNAn(O>O=q7qnWHP_`e$F(yd5@|EN*lD6&hHIft>{V!8IYRKnME zAy=!>hcP6=K^~t8kmOT9{c+a%Szwaj$DlFK?9V#wcSgsjaX-oKG44jiNK3F}pZ*^JGdo;#eFkY&OeK&{$;y!_;wi#AN4@Ii88 z2l@J!c8dPukESF(veP_8c}C z>#-lBXTOhB%~_j4pT#qe!^#jtV&?M2eNE}kQ;D@iLM*DvK7Kyd&@5H!wwP_`lEKpL zEDXx3=2Xy~1Gc9)4e4$RspfoJx3-r$?o9S7LKce?yvpDrAn#9F#k@c6i6OjXlhE`1 z;M2$n= z0!jy$HEWCAl#6nkm5`gZX%bc9*$W~kd@F+4*qube47}6HUSf#ClPxlCRh3fi^-(Et zfQ`x}SR;tLMNhjWzpzh%P>GbFcI-*O4G6Y&8`$twr7BXmK>06Q*~nK{%X^md#!ISoPM z5ew7DnAN9-yq9C#?V>uJla)6cVX`u4NBtzy)#?VvmJ}?)~(5dmnD-1119YAMdXH~9HUhZ^nVlGtccRQqxxo!@IO@m*G z^9b_9foUG!H;uqy4vdy8{$inef6&1>-&X15d^P^Q@n{!LSJXE%1b`8^csrTa=iu04 zr8P9ROU3l57*)Eh0>pW#)>9GVXD#YV)GG6v+275y_TU5`r}!EJgmGD=-}VV}9;muB zyLwJUA3hev690HwFA~zCIdor~H;w4DIx)DhOz_TEt_!bQRQN%{JcFKHRq-6eshZ8b zwyE>U!66PCT)kXtkrJE>?)>Y6Ynhj)#U?~OAl)tl&BP>S=@>n?L3(&Y)lEiBKws-A zOq6OajwE$C=Nla2fJ-;BSJ*@u0B1ma3}>s1+F+|jh^|#WlkrYnQ-|<6yew^MtRdez zEiC>0Ab8$XggwhV4_-ig9~DHDP|85ahLyu8^N|!8~pW4~hff&sSv3UiGd3(7vHB!i~U6DJUc1DoYj*+0Y zOHUIrETzp3hR`vG5a^-LHFTEAIF8xi&*6m}mLnVlp2O`|08dZcREVf1?ars%WE2$iBXuRV*lzyBu=LlfrR1KEIQIVzS^x zKTT2@^IKu5NZfHd$+hIV`qx|c<9lIZ3EA1ljg{StB@A#R9MLaggS8d>XD$JUDAwiW zNj~|5&|P(^s&2L+^mdGB!r2z%)%ai~EJtyOtBVRis6@;z557=@EA<@oWk59HU-?%) zO=IRJUcxtfcy%>*XzkW!=~}|yZd9S@rx=a*)#0x}auY_vnPv$>p}XoU*|wWEYn7U0 zKuRB^e#D8-Pb9Uv%&s;aN2c)R$8|>aN5$Z63FOTNrgg%Q2{x#Cncc==G_@#}DT!=< z6`N&1fw5Qm*e&C!(x4dEgz_x9Sq61jEkyiHvr{(y5j>fT+aWLy>)W24+6O#N5p87A&q(9opXAUN{v6av!4 zcV2UAB-&b@5(Y&$i>#p?Up-(CUM?=3pv_TRP$JwR!0mOF1WEL(*0kJHfO1rhfH z5?N|YV!rJdzX>6z^Q(RgxAy~w74@!$dT-JA*~4*5T12Ulg{O3kTGfj`Xr>Nz%OJow zOw-q*_xxm_g<#p(X*Ne5u<>{Hw=Qfv#a8@k;0VULD2rqDUoLgdviW^;2xY7+DU*sU zQ6d^ogWj%=#?!vd1<2kZdg@R{hqoY>Oe;h&27kn~bK;PyV%Z_Z<$NZ{WqY^T%Ud5I zYH0wf=)i;DYmlF4<#K}%uT;3!T?YvLSfnKde_a3DQ_p46&cI`i(v6Bl!ftb6C*0GS8H_Q8&QOIrAjcIfA7jwp?lwhW>-IZkF%{vk(0%fjUN=L zmT#P<>!2*;kTwoZfJ@}f4r+kq2_I33F#oE}z1LB{1E<_?p;B|&rLMY0Rpq>odY0L- zgIpWCugQFrl+gdFQ+M4fX@&?xZE7rAx5!wu;xYxv4wQqn5Q6Uf3;Y}Tn}pRYa33TQ zaYdqsxAMbDNze}{?x^es>fHG-pBpQ*pq2-j|}bOvZkWAEKth_Mdk zy!W8zJg*<+%al9CeYbCgLb$gG141q&ePPx(L`vTAtyOHS`-uc{`n4?NF5tb;rwyUn zia(wEHmB==w>6KSvW-03_+3dWA~{a5O;-WUO@T+7j~#hhgjpQ&_#9Q!-c9g5PVpoqSQ}kCs1W}{onZv-zY~uK)Dk%E|#kkUNik?5E zG(Lw>PnGmNl>OtxXH*Hd@DG|aiAM^$7%5E&N{3&PsNn5*J%OL-(L@S$?$Z8M%D0AG zO*!bw+wR|eHuTJ(FDoVIFVdrML1yyiRu+E7jL^(;|6p6*7m_K~|5a##WFzkfP-lvb zYstHuVBBN{+M6OAXm*y>Za)T=O8Q>W=jlb=H_OeQltm%oMr}O8J3xZ`*7*B z87qXlN%QWEDgvD>AkIu($|(cYPJDisgUDn>r7{>Gr@ZgddzibyK~#uAjj3F%Cdzeq zKlbI+NS$K(p;6u*ty02qTgLD}u28Lu3s+XDFeHEqAjG;E{8^o5B&9bGr!kvQQ53gN rF!~c+R8HAc)9LBF#Czsd=>0A7w>M8H-y{VqED`L#G!3g_X3+m1P5`2{ delta 15998 zcmZYmWmFu^_XP?Ehu{+=xCaZt-QC?aB*7hmTjOp+kO0Bm2{yR9yTjlFcej`4|GV$H zAMSkUsnxT(x=z)uz4xhgrXmWaGYTe78JH4(_kSNt`2T(XcNLZTU)vq#C>@y4UNnS~ zEx>AQc%@Qoef^CZnm&6yLFW`6D#DYks3Ke5`EEOFUqT7 z&z4IpcCI!H>y1dPmk2+JS~!ug`Yp#~n}U<56(0}(A;%)?6qs+#9BF|9aX~(Baz?=n&Yj@O7K50 z60}rl*I9Ne=X&&ad+umnd#)h6TXU@>ek~eeF0E2VogdVPp&aBfA$B5F7!z=)82-V> z`y-W?@27Ld`0D+inrsA0n3@+DGI)TcAX4K+Zs+;3R^Ur}Mr}ZB+qmn3qx*^7QK;`o zsLyw_VFe$jGH-r${-3jB&sPik+$(OvEo0ZMFTRWKll1Vc?EPN~PGk=BTuZu@6TFVd z?rby}GVZWvg;^vIVqR9t>WFIUH$P4V?o6cWa!RTz3)*8%9uh)E?XMJ-A?^lK=NKXZsn$lB+^RrS- z74Zh_u%X$JQjPATZlD$1FGV19)M-9=BN>L9d?{K%EXKCy7Bv)q{~VzkAF3U@nnzP5T#?^8jPY*r*=w*z1*R{aJSZ1ymnZAU+Zn3 zvg=MgzK;q&PJGcnc7R>u8&jfRy&h*Q^tRPt5XhTnZc$>E%q#NDYncK#$SY$$(=DF6 zTpYF-Y8p|bmol`d?*%v2tGKCqAMn|roaVgW^F0nSq6Qe#X)~uH^Gb@ps=n$Ou1e-= z*|;K3Sd<_^v5*g!AH^q&5*$(Hu_$%bPB@uaIhK-5ZT#LpC<|)VAw?@^PW#fg`2o8&*i-Y-lA5!`N|Y4J~fE_FEw5)4rX^S zj@E)QHX^Kc=m58i^aE5=1xBI(cavV)P`{|zbiIj`@0%B*|BR&GvFLY?x3T5qk8Oy^ z;rWX-kZHKNeo(uh;q)v-&yp5%{=!P#kdJxI@>c`lIo1a#vqC2%yQgoJDM@|6z5Z0X zhfdgFVw?bG5$&cc3`9IG@DKTvA&Vc~EFk(?OPXmn{})AXK$m&fP4L?@PrahdaC?G$ z4JQ`bW|jY$Z(iRCk~O5+Vx}-PeN9&Hp8x{=(7c&-==CQUbOR^maB2m4<(j38<18dY zkB92skV63Y+z{bQ-4KDuj(bhTkeHY2@B6LycCkPP*5g&!alF^BB|Du(j?!M9jPRez zYva4{Q_MJI8^0bm%oLhiDEu>~*!HQe5-g>*3K{%yQb#p9LaT0r-Aa+|SXzR2r4yCH zAQpP2L*0D+FLe<4d+{GLpZsZFb8WU0oXMfT)YVjgs;n)NUa#6H-rS0xa_@uGxb_lo zFm8EhgsJeIxFKV19YVr!V z`~JiO(=xKstiGFGC~`U zZo@2Ycu~jH^7Zd4tV}e97fIOrcah`IF}*@((jDn4EeA{3fRnS)xDgh|Y@2QOk?`yL zlRoIjcB0p@rIVIHbc0k|4!jo!T-rv*NA<}SV@K`57E!olGoolnTlRYp$IF!`4!Y6^ zz|P@dSwDHVw!UQm;Jot8XS{#kTCj(x_})n2Jydp~i-xIr;Kch4+vL=V@ z5pT^xxOa>AI$Zh8`&~gK{3>}c?u|M%49ZA@zQiV5gs35=#Dt_A^<7p$5J9yc=AP^u zxD!u=jI;~)B(hzNYW3y5=|gK{TZJ|Ny^E@+2cy6MnE{koG2+shd?u0h&ex_S5O1 zWu=9gM8PAWlA&}%=$&d9LL;f{4NnR}S|fE{SbLkj4=(XZ)EMK?NLHtAps#WlLzuK)@i%?L_J;-Yh_s*&-&-aPNEu@~Lk?*xTFCe1 z%(&Do^mV>L^Uu=fxZa|9KY8Y*{n^8rsgy>nTZoS6(Y@&QhoP@a)EhJc18{b%1Ea|F zU0P*D;F4G5FZ`|%=^EYurNTTCeK=n_x1-<@$QP$Fol4)n>7xMrXchg{6>(alh&{2u zYj8fEaIx_m{`H$BXZnf=*|yLJ@8ONfI|#9m%{xU!xbOfWJ+&yFn`sn}^DpRh2;n;- zF7+PA)7?RpGfz3XhNVNb$Z9#Yfe-rDk<)uoO~tMeXT}4*Z>#l2X%-GH==sb}2Pfwx z0?vPtF++}MvtR%a1tE(Cof~#ES>>bbIC?5hxtL)DwL_nM82ebMam>J=YeSbj8hX35 zqaY{!GMTY-*JoZ8#rUaustWZZG0h^y=-sGFnSI6$eBNQR8?_*$rM=%%Ni58+^-4+Y zl{!9~0iOzwfj>7VmKX2CJhQfl#=u|a~mCK%C- z%)Tv$_gan&dshEbmY7@g+<&oK^5}&zo%+_ijmPo(8_|PEmfNB#nmNDAP9SNi&Q-KxsB0w;`-?w z${pf{0f|SQm&Xh1zxJeL0o2}U77&^JLBVhqziSa#c7VXBkZBbKZ8!n!Av)Y>tYXkr zwIUXT{BGJDtMpOcNPmb zc6K7=7;*^KA7h_soeF~;yDNFW*;MaxR@$A{LRVS#5C8v`s*2Xk0o|XB7zU~~kGF?C z??^4T3YW+wqp%2DJDYJLqyd)@^S0^Vb|aPP0%12{<*%>D8f__LlV zF-O}gN~?@sTh0rriy?7XP9|`7$!lH!hO6dtn8$6>2|^OT`7qHzGmc4l?vkgUjzM^a z_z-^j30QmlHyHh(QN!+#pVw5?)zhn)tu}<^;CU!n*iuE!f|#E|0Tn7 zI1~%YhfPt@G}Q-LG>~#F?5z|EY1SF9o>2*x;mc~Ox97ZIoVp>_0ZQc z?`P@^fx`Dfs6gU@2(^bil>c6iE44HHdl=ueC~t?6yrsE<)Q8}gr@avlpQtSOZ940o z9=;G|ImUHJ2+CJz0%QJtH%Og1_|c(pho>I>4|?dj(KGBvq$2+q|uXR3T~@MClVdws5A9@&NH!Phs>>SZ!+0lbYp*- zLi5{&%f_wKhR~C#vncNmO)~?}e?mYiNMi13%JhFSX7Q$D+4>TLpHXzdZ=P@7O!l4Oonyz za`q12t9=x`^>?b&Q$9<;`pW=)*M`~QJolmY|M7}ocxsj{JM3_2POE?bSX;wbpCu>& zBZXoej_?&JR97;GO^8;;U7K{H>W_%_zJ_qKNHS&*Ik_V~^t_l)7D)f5QbL$@ z^@nbzqO!;{D`TskdL(Xss1lNr7S+B7U$8)y_@N>c{hQn3%?TlH5e+F!$av2?kXG;6NAOmTP=3K^Js7l5__}p))tj~ktnYTs+2!pjBL3{{{LFE zjQ~x0Xot}rxoSi>@tY#?DjG!7Jwx9Or4>15=^%JnN)rNoWGJi3q(qT1?pvhIMaE=I z_)N&G13!4lvv@RWfglNgF2Pt(#i<a5f}_~KpKx)jP;Ui^2X0l}U2c*es)Cv!2KxWP+r-ieCFpHXYLlA91m0#+Lc z^1v3)N&oP>NLzQFVcd9(BD3|LIWfs-I_1}=U|gtq8s!^L?ls&eYyC!@Tz6kUJ8y9i ztgn6j$RB?}NXZdbQs9fg)BV+pT|B3#JpY)Q+@HcNQl{(Ay1{2=;_w?Z*{44lZoC*E zgcq8j-!f>!Z?`(BR4%awQIeA&MQ1=lX^GN!xEMNJHqM6s&2qmlpFiW=KxO`}5ICx) zqNs6GVn<{U_xw@auLS46v}^kZ3ZVp!oK478d9^?+NL#n9D0A#H{Wj76i zzMEfmLZqQQ_N#&94@|969e&=)u;IN4MHEI%#(C$%ToghNBkT{%K= z$F^@4e@aj+u##0PK7;6g0_xW{whtBj>mY_(FGmYqCSJg5;8X& zySb+RXJ*Vz!Tj=z@XQ94;=pl^u(nrfbGA+?(z2`%HKj1<&G`IJx!z`IH%_#5*&_iU zB8X;5JE0)7KHUDzjrL&2x;m?CGEoeNJIC@b&VK)Lv_|f4WRKxXT=}X<@$Fk?iMhCG z^}p<|%M^`0gK#48Yg^H+KFN)^=r|dvN-U|E&*l#tfVmYjyjcL5)b{JV>Br9D&j7Et zoAw)j_qp3}ci-1bV9soE{mv^Q851Qhut9JcHlSU2i5uC?YO^UIq^1{}}Ps7(~j_FSVknZ2n}*It`9>V+H}fY^MBXN)rgf zWA#Du8ecPV#Gn1)jxRd5@-&3D zChnuyei4$tc1tX*gcz6t3jH1!gGfVF;5*`r@x!?3$t>Cb6EHEOC*{>_A5QlswiEN> zwsWS$AKSg9$9p__6#>uR4%Ijw7fU!^?HcpPzBw6P)iRZez%J_AJ{Y|QGng|n7uf^S)ke zLWdsbM?qf|*qIM%e+$>ZC$BK|akkroCsha&;(vC7jngIvEP{}O9&cH=>-oPbZlwks zN|vf5Q=(W$GyQ@gBm}tUX7@`g#Ex4m+>HOz`6@&o8DBvQIEk5!66*!SRA}jxpy%(i zx;_%GN^}mq9>DO5&sJVm8tytrvq=8Ue5}jbL(L)PsrX1E%yAzt774n)4qYOm5W7JJ zP^CK{Zk8eL$pqn#@4}VACLpu^*dlUG#pn0$Yy2f_S-#N%{GRq!UiTYNqsLEND4Z zNfNx0{lDEJ`oCEvo1fA7J{?%3iys7fBRAEG$nZplfBhM551$j>H&UfsLnIWEHyC%hflG&PyOU|urqGo(f_WV;A1{)V;_67%3JPaqQ^!qa{>g9IVqU$HV>f^7XeD&JvOwpE@%@V?X7$OC3BZ@ z?hIJFgX|CBaW2c-CS$j`zd9EAj_$r5^>qa$8f0x zL8gd2#jekb**3d?{I}Q@hq<}}jN?+rLEk&VyU z0Ck82)G)Qi{KyUGP@u?6<|hxp#>`iGp5t8MiE>+PNv0kehd-dixFh1tq{Sm`^DytHMsCH|m!qAgdcQD#UyJh%bM2179x%7{}Fvu;E34C`7@_R34cpzB% zvoClYRS4y{`*nADGb%O>RXz7~Cg+dpgmlhZ7Ag#$e|)*p?lZG z1G>@W=KL)LI`P=xM_HDjUSX2%V*2$wQiF{2v)OuhDbK-(kdV$^n_>3@G z{pa`e;TG0qdo;_g9p+qG5)g)xSq&n85sL;7F=H-28 z*sQzGlaxR?4U}I646a-+7m*MChdOZkL@5g(3=N%W_bAL`?(?B zm)e)cw#aO^rrS_q@e}37n-?E{UoV3e&L!RO#v4bPH9rQX)1VohdLL^3=SIS18s4J) zjTEga(?F{4{9zs^mXCXM{Uuk0`#+8Ozn=k62GJY8MBVQkCYl>?tr@?<=Dwv_-hV67 zUXF^=lzz~@z2={MfQ}1w7wLDIyC|!}PZhKW3BN^^f(#2zXel>#*+4)2gV?KXCDlHw zdzehK#|pkI)YE2qJhhU!Z~9=`d%v>B+Iw$DyKWZKk^yq&JkQ%mq(DL;a;6GF0w6SO z;earn8Y6<1Z&;yX|05On9c7-({GAJ`dV9dnIvOHTZRUdDM!dks*Je95sw@DV;j!>y z71qtgVCd&))~@`$TZ)K&Kv!@dy}j1{yNtd!BP`~5C!Jr&e!*$n=;u~<>~oyOMKLW^ zOPElm%SU1#NWq7E2Rx2;A|z_9asYi&y#+ykh^*8k4K^D`x<8gZHTHa zj%XrZGTGwI2o+K03J-NUq)_llwdxDTkyIEiSk2Gb`D2-RzV=&>Y}s` zcbisz{oTR`%vctg8mXl(Y=wy5f$!5r3+LIk1^RIHaPWWk1!INTDPKD2V}a>o->lwK z70XTNRZs46z5UA7i*)AV-2l}xsn2G(dA;C6#)iNQmmcddsFnTio&LVF)xj)-_jTKe z6j!K8cVVw?JN31wC(_amTay4wNl9@f{fH?3L`&WQ?vV@+DqgHL|DB3Um0=>Q@BIc+ znS~)rLDGxM^amz-<>EN=Xdp}0aTz|g?*hiFOLT&tU>YiGVFk$P;?ai zU^fCi46?2koGA4aH6Mg+DWbsP6W3-h8V`0gOX5GdZ0u@$U8? z6K~chjlZB*W1XkVNxisNXL@b#CF$N=?wAN;(mdX`JQ?s0`x?pKTsE2r#}1C~)Ew=V zn+Us6KYH*5?D34m#q1TB2#-sj5%-Tf3ux0mdT9DS^rw1ju|qXU!ZObp#!4dNW4-m$ zf3&H$^g0;nr5^3s0xlkH2RZf8nOp0Pyz6xvzH6Xj4+)!Dmafd>1YaB^RBcJB?#mRlE&t&AVo-)1$<=K z{7e%|TLnYqkKu-peSHC<1U5>|M#R?K>vM2xbEe{o9WS0L0Gt{ub+7$pbEnBO^W>@= zTDR0kXv{3LMilJWC0!jPJDJQImzofu9Np7P1tue>BrWTyDCOvps3Jyj-(Pv?FE?a< zO`PLTtEdb8glY;I#M5ujInH#pA)V@JvnvrwB}>xczS%htoJnXbhFq|bU-`+e0^U$dQeqeGlf^IMS>tfqYP45FnHRXuCC0E9U)mPU&Nr|*)n-MfBM%oo!t`)nw zi6FL*9dXO>Yvmi}-(Cy0-r4VbsX^t&V8M6_3G}F>3X0K2P$y-wxmd>^Pj7+3qCBpigPuKw~KW&-r8OA zo7Q;!a?-~pVb$cyP&1xD#wG#D=?CKMeAY7@#YgDh$IEzHGSL3{w_wj@I?s)M^&Y_% zR|9n%ki3|-R}!qw1kW|+ufCy#(9>D}wbA;6Qx%bso*K?JNhupux6P2q@Hj40SN8R| ztToHcH?I7Z>cLzT`_80*R!18%h6nG&w#Mu93D?Ed3x>-oNv>eTbFCub z>GSH;N%bhk=%_7TZU0M^0Lwb8uzkeJ)71Xo_N>e8U&Xr3brC+=rMKg8c_gFiltah< zpIketOFfiQqv$q$Z>%d+B$^p}J)=m$CFAi2IOinl-1BfseQT#O(fwRP_iv-HbXedJykRdP!{Km~xirWMNBoWPK2<-@gG=`3FAn_WhGzSPtLGsuE@e9L zmN|%p>*b|sx{&+_l)t%k>c}392c-mngWP~H{?(U!e(zyR6_z^wspeQ<7FN*?{T6Xc z>9o`&*_O(pEo*I+)|#bG^xB=ZDEvmPdz#a7v93|ap+T{JfikB;aT9|xf5uZs23;^S zyl3oQY9dH@wScPKlAQ2rG6+lCf!t9TP<~tuGY-!0{5bhtC6ds_XwK78u}TGyKFmWL z@Do=QvsXsah8LI=S@BRikn6*{ID~7<%9qYx75SGw731^lCrFspOM7kjt32W4$-h2u zlS^B_4nkhic{gcNS1+`VMVQU`kw3M}a-JxI6#bQwI9rp(f^EwcX&|?=ef(tisZA!t z*|tK>E4Bv`I5{EQTB#vQ^fs*f+n&HB3lRTrN(;(BLG{30Y}Jz6gVd}D^7-W_V6{o=kDZzXoc}z&g^Zb1+@ldQes-q z266yHw+^!2uAg5i-h^$d{rJIO@hISd2iq`t)TKJmUE)cY;kJ=a9J6rt!#s7*I7Z(n z0Y?f+X`o{v>8R*22%w4fBFbkTpn@n57eEe*s;TmwT1>MzPg;1pmPx~;d%p2q7^cm8 z+I;sS-cBC)89V)aG)^g)Y}xy zk+p|9QdTMz=KvuYkJQ0zMqT z_P~AQW6R?!o2RgsF#JASa9_rDPm@+HBsK41hoydIK$!+9Tk_hjvi|wYX-i}1xjTJLK8-N<#)9;uZV-2wbJ0`dEuu+*Pi8!WF+KWN*!kEvU4=c_ zyT(TrMyihJPzEr+&_UVt$Ehq5j#ni=$%S*LM~7fQbtN!2k7%R1(P_ttnq%ovv(@HvjTd542Qk zsgh1-TaoO4^DzC)$!UA0Ud-bsV1A!Le0`)Hup8O=e!}ba6x?WJdRZO6#_9doE7f~b zCHpq4n|{)uuY)`ht zI*E3t=Y)e5{7~-kv5vE{$ii|33*J5hOb|)K8}co>FX3}wV8An_bqCt@Wsj4h2w~M8DKh>eDknhKgF_^P z-Yj_iSD9mi(|r_Q4iXJ1Al;RQ*m_fTX`;K<9+B)IX$r4rww1xZ(%efA3D_6=bZbp3 z9KgJi`o8|al&(I*=UmP|fd!nshsI(T#m3>_3@XH^P8QRfApL8Khu@R68fMyc^R4aG z)fyJI8ab<1A0GIZPM5rR7T0#CQjIy9t90hqs0MFF3|jA9kLyCVCZm@hM)#x7u)L&h zgE}E|6~CkG_AP(uWmOMjJi}E+>7l6nz^toZH(+dUd>~OR^qz3d&j)bk^p1i!D=)?f zBD9z*Ty<`_e0_|U-75o!KqUvaF<%oFlE~sLomF*IJaH1Dpb%igix8o zsWqI5RZiGf0m`dliCs0_>ICIMZ+ zO>+q8CSQP)%9s5adH=dFd$Y1NiGpJ*-7ewR1k!X*SDj_ccU?K2rDFQ_ie48(FdL%+ z+L7-z3#CW;lV=xqI+oo1%93f`ou3NEAR#Bkj1iLSqs?La{YlXjssBj+f-rpku94i@ z8)2s3wb9#F@fNT&8HnK!Q5s<&=SRbO{j}%q$C7wov48Zgnjbwbmr3Mfa3}5Pu_*oV z&6%*l-{p7bl~Hd!D-0&Hm?Opc=w93;i!L^7`WGqgH#XyS*hqh;;`3wbjbi}<|UjHx=o$$F1T+;g21ZqBxD9|eXJ@gQ}| zEv0Vb#y=*I=b_9|+#%Y(v@Zs+Vf=ZaOKoA_YMZ{bD3>jS>}@p%rNlyEi=74)N+P+dQDRzy-Q2DLvlm zQJqz=aY7~5TeC5kk&^R}Gq=bXd2h=@DGOkj8(Pa^xKn9uF{Fx54~Vb%rd+%xN#wWDH*zDoa5Uy1o> zCwHut?Pf+R{7it@jrW(Qzdu_-tU5gY~q` zY+_%^3${};N3FBDY@YE1aO9SEP|J0CSwX{SaePyvb+hH9lwhvtlGXvqmU6B&x&3&l z4apP(bF=z{*_p%-CJENgSg#r8PNOAx`Pxsed%+C-jF{vTfy2C1Uq8a`7ZFg zU^17+yX~r{z`Htq488HyIbHr%0+PWIn7```>^Dm$GJ-}$<)kX9sh(*ujTaoJkmPnb zyvMVzoKM#>Af}(dWr-N?2Z`m&^?d^eJ*M4Hdcd4aDDvb@P?es#SxU8AsbTiudIr{* zJ~GzlIZC+Q#*p`*!A9Hz=VYZv>Zt8M(^slQ+ZlfjiC!`o(F1$UgO%u}@Mn43dUs2t zt*A)CaZt0bup~0=!810h@-|i5_vtkZrLa)%)HHTyIb1TJ{`|BD&VHBx0|sHUshnvF zj+wz)QzwE{Od8fsQ2il(qrOBV!YnMkoO^4-b(GKLCzu+Vcgc<0Ra1a3d%}xwE1rK@X9$QeFWv&Ptn1)&JOMFsbJFAfy{o>=F-2+nfw@MaC zT|LS=qJlo)jXVA2d^~@*IIs;d`lP45s+tiT};RDR65xWzrFSe za?3d6>DWJ6hPiZFy!xLk>EIf=nqlEayD`iB8HUt*-j+PCMq%cP4a(nBQXk^HU>L~-O007Hy&u-YE_cl${{L48nQu_K{iXvXdEN@Ms zCp`$rs_;s#*F)Zmx61`lT7#AWN1zYChPfDTOSco~EH0s=yuHYCHEl zh<;ow{=Tg#QkzGfcmbN#qg3cS#$!TKSTHGg>1V;*2Uv!}`~c3|XjKbrp&xRv=c*y5 zuGvuvDYn(M$~y@&-~qZg`=VojJ~1cgR6anybHoZAt*tKK-0ZInGz*QdGV)GzI(A2Y z*o0bbt=30(?kUur3VfoHV130teDcG@>|PvR`;ee4Nk89=daC#0xXP~y>$9l*3gKDR zoYDo~)Y9@nz1SQVo!TuEXdjQ=cPXhKEJJ|O6K=f=j&I%Jj@(Xb4-N$E=Y-SAB_bH? zHyW0(pcS&CKvzjW_vdmIUONc?5|ce6N4K?~hGe0_4(HHH)$|;BQZ9L&{(*BPSTApS zR_(3g*mUPrH3&;%c3)$*ki+;zJ{{YddnO)feLgRSXGPy#EWUAq#A~P&9POI*xXQUD;zjf)m z!x?b)*Dvzcnnv}OBky>IozQ4P zU*m;Ltwm<*HeWa+k_d5|xKe6p)`575P#zP=AHuiTBDLGatJ_qvd5FbV-dw83{zu@h ztqknowdFE2YY$`&ePIvf#6k{Ik{uqt7=;O^=8d|ljex{Z-}9zJbJ!>c&$r^sY^yZU z+pZm$aeP$n>*p#^v7zowPA^)yc<0BzJ9H@OS4`5SKCNrrUl_z~V?!-S~T zaV0F~Qk(q#N|_e(U0Z7j9;R_*&ki#T6kAQ_nF@Zf-2(EzE9-q9O=c9T81*7EYm@su zN|=(Y@Apx;fpiWza1#WRSB^*UIpig7gcQ}mx{B_x1ElLEBOc2DBKVxqbgKDLS|M7= z7Gh%-8r5Z2Oj7d`jFwF}GN!xiS#_jCg!V^^x5E4KYez36qnT?KL&l^E(b;(dO-t~` z`2f?w@ENdO!WNn;o`mBD&RTmAK~OxeG^LxWWZE)lm?^>I*+cAPkc-A^f2v;61KCHp8U7j zX6t;pVBx5zNM~akD)-(uOtd-W;ITrhT;qt0vFNfS|>kC^KmaQ-iP0kp;9?dDxH7cS^p8ADt_B-8gcwT(HgjSKT zE1rmvV;Sn-R_ldAHLtd%?R-_Y+NuaV);Ntl=L(t1)`X zH`j7|xJ|i4y`LJ#l6;1Y@{iJPav>}Q+M`GA;4caJwhX1CdemwKBiO~P76b0-q`&fq zl&&K`Nti-e9^BXTC#31E05d^ICG>v|7IfQ!Pe9s!ag4k7OFZ8Ivo7 za2R65oH&Q`HRm06VZ%AJ$fN-0p&CZjSd{m!i%P=Gny&qa3Hb>GpSdq-pbce?>GqFv zKe3StRVe4x`z$KIB)r51$`s+9vSuXI5Wd7Uc=GkON2>j>jWHgr*xUJQRMqp_iMYTt zw$3uBBk*JUkVFA{+c_(~cBI1ImQ&E{pAZ099J;lvrum4ASclwVTNeh{zg_HUgNd|L z7&{@=Uf#u3-h`yRW+f!>SZW0Dp+T&bn17-`+_Ohfc7}>6Y|;dF>dz9%4VRX!XHs=2T0e6qB1=upDOl zSAGRvCwiC5UQ1)w`+V?} zeeE`iOm-V!kRg9ZH2K7W8lm(HW`~yA?*~ODZCCZF=I0^WE$Uezhp=+O&2Vomn&0w(6r9M zW0Z#_ba=5UiY)lSp-w}o*oLzXnaXftKRK_ycZM~kmzwT|uuJo&wnaa8&9|eV7=bvGzOUHbkIV`i`j{;SFa+wa zlnOoEpU7f)hDonM{%*k_glJ~HCi^obJwCH^*W#e5o&hRN0*)vmp^^*(Mj^( z%WM2UX z1IC7+kK^*Tig6T7nVr#*JOZ0S0s0<^j+eN0+Gr4TEkW7_5A&0u<=R{mt?lapP?zRL z->gU7KDL-LrD2U!2E|WMKwLS}`&&wivN%%4P;82oDl9FXyi58Z)At(zVmkJ1l2RK< z!Rs9(g;*8CKJGn~bj;0yAL1Bteue`I9rb#c`fOy&R@k4ue(vb7sktUhDU7s<7^iQU z{o~A&BkL=Ba!QI`Ij#)B_fJj%CR}Qd{qb?z9N&FBRKQ*F{30cf&OIT$OU=}+d_QR! zMC@??oiT{iSKpU8WbG2|7A%8oGVs&0IDx_4%M1^$o)MXe?dq8R1Hpqe*Hs3f^5&9E z8ybb#$vjug6*j<$cns-t+NC8iFIxJAR2S&(jlw$^DBvlMUBPmESV1+gQ%U zVfmJ0oTyx$zDRp}X)$aF8uvg9-+#;IF^P1Es+(I5zH`yr`7Op-zA%kTxsw}Pq4i7L zP#t4VE4u4C3!f6ZWpxw9U5V}y4NX5H~PZQH;M^SiZ#af&1PHDD}Qo`H!lN12|HXkQhF`Xgf8 ze`4Osr3r8@d^ELH_tVdt?mpOXa9}+cG=;Sn6c*mh(N?-FGKG(5Gi; zAiW4^hVEP^IGRC&e02&8R0@so_Y=lR9+%sTqzW$SQ&zBa-i*|*mWgDULT~%e2^lgd zF!C>4pANvRhE(k_wH2r(B~;q-WaEjySt){&viiz4Z=lN8MbE(7-^gEI-C?|cDO!9G R#p$Pgp0KDH75@hN{{safr^WyP diff --git a/CPLD/MAXV/db/RAM2GS.map.hdb b/CPLD/MAXV/db/RAM2GS.map.hdb index e3927473e4b9b556a90da13b62e9f104abcc704d..c07bd52232a600daa88413c4ea8a6a879333bc8f 100644 GIT binary patch delta 15648 zcmX|I18^rnvyX8xE_N=y*f#EB+qR9p*t+OqCwH-J+qP}V{~0{>fqts?%n{!cj|_Fviq{LB|2Ls!)m1VvLwjTsLU zhRQ(t3k8lf!Q{7ae>1Cd0^(RCFDrZwlgZ7L&>tZpK1^kCF>!G*G4aBPh-BLOI&tBC zx{pqktLX@=_z_VnOYul7I$E;h$4sDgvH3Kkc5?mj%sG&x7N|A9tbKS{(DFV%^xRlq zU0D%`Y3d{=!l7di#}#T`DiMYd`9x4h6z(r+fdkSU!`LQ8_#}~Oy1D^zo(44CLP&X78d}Y5I*Bz zwkFkl38`9(=tM{0fo~6MKgz(K7wnol>}>r#ERic=;>oaxcq}R~)iXx`rK~Gsh^sV# zm7<4w$0IKNIpqNSO^u;H9__Lu6;rwmF8(Y-)hi3J?sA9zv^^!jQEx%bbV)Ow2X?P`4sT7y>}9=-B>Q@ z?Bq3j*D@R|%~_ie4#5gp_Z*eb384+NSZq=E|413Pdeyn=6y|W_fQu%89t#x3M4%`2 zv(wg>f*PKnGK%UaTYG)%G~D{6p~n`%P+hA@+oq{h zO@~l!%OEXH7Vk%Q#UNBs3FihU&sFlI6ucI>=iw1TVN;J*7kKFjz#LDf|&iq2W81h zB&u-cg1xMOfk-6shR@SxHP{?R6nXA^2`7OFN*pG+OX`MzHo+3=8;SrpT!5i=74jNQ zf8Z5?Z9)bV3E<7DgpU$w{bt@nOcy&R@6+q2$=bJB`Vi-Y^@H1e5#470SE%o_TE%Ng zxsD0zI_^Hht6KvI_ZbQ0Eb75lYLojt^soTk=Hc{yM2$hCXtK|Vd(^LJ~T%d7Fg9lpdJQ#0&nPsdUrigv$7fvoS?J=!P*}p`I1?8T1LICrIsbGyPUFCA4wKa zXFa4+PB~w6koQOwVhpTli80dvMSHp|x2$ncsrWcEmkuk#;RU z)B>aeL}}039>K+fb?#ca?vO$+)pI{gn2;{_ z0_n%-S!DO<&vT{-j@~s_VU=w5Ix$3nJ(5HNS%mi;q`Sf+sUc1V9!P`Zdtuq(;jq)< zUP#yQT=AZSL*yKDF8(8t{QSwDN=srXOXMz@5d|?@$$f=JznB;k5F3Ww ziOMpE*>%rsnC%ZhX>5VV*DyCfsk6Pz(0>F3?)e5uDo%q5(qE@6*|27uG| zP?IW+Ly-x8L}yg%u|Ed4fb5s}pUnlAvhkBn1BYY_s1$H9#wn-!{ZiISVJai3H!qKx z$j@u(3td#gyQ#%lX_#b5PBEqW;NG}1czIB|azs@c`?XQmp)~tCj27Cp^${K+T34MQ z`McW=8dv=cGW{y-UzKX1Fdxv20L`fd9ri2yPr72im3Xz7ysm7^{W@WNNKC&X%{ysA zYp6dY_eQNw*?qfdG{$+Oo?|?7f0%_wbYEz)4QY&`aWUAkYSQmJ`TbLE^0GUgaXhRf zI1-wCRy2+M;af7EKP<^QQ$KX>&AH!4y{p*8;I#xj)9x9&+RwOrx0YrQ&BZ8SG z-|r>Y*`Epz>&jCdy`+tlJ@Ho03?Hp`wSI{yl-bS*k3TH;8Q$B}GAQ*=9Mv&Cgj}^H zBmBB=pQAn>Id_&L#WzC~*boyuw_gxcY%?~GMp+2leXXH_L@Fa>?iSmUTqy|b|;-o!+f<6d=yNOvJOM98KENH;*F8e z!eCPBmVQx)@Jo5u9QpU4(1*uL8KzfMaXoqqdGZhUI|k7Gf$6yryXgF>Rgl32^RTTI zSNai|(ur!K+kqc*y2(a*NQMzF*0ar&$toR~-aj|Y-JJE2!9zx|PXUe954nkv`$UO) z^4t6n&mQBA`)`{wY5YdKRN7u@vdkP?oRKS8Fu~mbwUHp1HUDQ6-$t26xscdQkDh)+ z7rU^x07UuL0=Ij8Q6OJ0SlcUa_Op4b;*n{CfDB^>k%(^v)iMWs2i$s#EEouVLj*Wj z@u;1D$DseNXq%xgV#xL6f|Yn5C3Czn2a_qhjN}x_=UXdN` zg&fnzgNlO@E`>ul9~w^g(qzrEf;shz8&8Dgz*&gHezgnpI^TQZbI9D-F8_;8U&xpy z1=0yI#Sfsm9Y!55LdH)ice{q`(-)1VP^r4j*yK^qp8nZtPhfvj#!oAza=R;{x7IOu z8AZT9ZEnh!yE7a#hTL*8zjbTZ;}X;8Sfsb3xytx7ja zU-MHUjIFzZ>q3{`H>3=ycFB@R^05<|1`d0&E-a74#W0}?0UNL}3q+L;JfJBXn)-KP z(Wpo%3`dqwzxSO0%?riqUvS^;%DuPYXqP*0okB7rK7#l~VDEAq8uqU9cV73B)`=a1 z5+aMgX7_Hje~wfY}Z!Zp?A#Bt1!tl{p2z-XxlJ zsMlBpSXDg)Qel97Oib$Rr}R4H?TaWi?`URB+9?r7I+<~%|3#S{Pop8q`O*a{t*hB$ zJdDF$DIZ1T<`{iI5V#u_fGeZ7)I+lbt!ZlusTKo6XXoE2`a-7;_IEmKi z>ycm68?5wE4;yxdb+IG}`MxSzI4P9g-&PiTmd;H~fP&t0e)UL9Irmk#;#S9?*>#?t zV>_a4mqsAgp#@)x>zw`$VSe^Bf8l~0Sp*j?amcf40{4-ZyVf%yW4Z0egw4h`a}N98 ztm&M?mXDMRRHpOmfor8DFsO(VFb)T0?aZw)WM{d8*-coy1dY#b#7u|% zeGO}vdWIvYY?()vgk8HBUZd7E?brVk^D~xHUkIWG%)3G0`5eB5Va!FZYy*ETrg4m0 z1dXGPH=;fnET4>Foc#XSqx_ZFvmboG=+F$d=}H6nEk|j-29IEQ#`qf z$WWTVKMD={1UwI}f0k-`Xv0fd;HB;LBy5QzxJcM?tZ-lDT4i*BZ5Ma2Pak$Vw502E zt(P-qTnu2#Nw2ORP@axJN?KE0fBS2t`{OLi&Kxh?P9IOAkq#+;H6djBT&maNI=_A4 z0?h>YwlWy2$GIHh;_*^L8d>eI;>|;xiXo?mk6U)P3*hYG!NB)mErn@Q29jd4jN_<( z!x?`NIcg;fJUvl%8k?hxQ=Yz?$sM^FsftXhugGY(y&u?P7!oSvA*IT0`w}S2->BZ? z%f!@fXf0?59@I9pv~*nDkqc5)#U?M+r*{Xq?qMNDjnlDPplV28y)wOe(-`I8`uMs? z1ipOlYaijM2MPXlvdJC_%{k6GX_>c9XvF_6;_1#pI)^I8T}rt2=)ycRa(qHtoYWmA zWV_ZLR>DlS7S-0o9j#o_A&Wn_U@L=zSL)d=(Uh8O5cSM@<=sr~O?)9I#RhdQ<9!PB z;VdV%@vpn|GOlnjsoV3p-#=?7e+mC*tD<1HnQBcpTUyLf;mka1kK;(Lz0j2YE5EkV z*k*Mu6~Dnww{d|Y?Q9=3c2>3>POd5^z%XorRFs8W%sLjOr0ecxdV4~jx(2>!dJ(@h z&ZP@8!Rt)#lR1p)<_-t@dUUXuaqI-ZX``*Zx2dP6HUhuwje@!tl02}Xoic5yMey3^ zxMg}c&Rix&2=X1oIxP&XY8USI$3RFKt;g)?>b&o(Om5+$a1(s{-_M0o_fM9rIm_HP zT#CkIY^77*Jx$DxAT#8+4mmT6Om0diXFSeGVL8o?W!79pUuu|ZC%2}<#-)HBgHu)) z`myyjTqjav;{qX;mM3adb|-t%Am#+KpDvaMd85U=YbBy`#ddhOLoPJM-a|(_`CXO* z*a^|o4FUKCF5FgG63VSmzbAPZm~D?R=wouXtft*gi@JIutlbv4$9h&gN8Cyyzn3A% zFWaq$XlY<))?~&)hYG(`2D<{+G^+{TieU6*y2Un^U&P5~#ZG=JH)vBy*fjLe!Pjc7aTY& zD>cF+eK5GX*E!s+P~xql4$W#k9u~Tz^o-StoNkN` zjNOWq3fhcmi1Cy|Dtu@Oas9zif)mCui*g|xuH(Pj}7tcG$5ToyWh*)sjn3cUkSL|Uxc znKZkyTVF^Zc`sWsuh9OZuyIV)sY?oI4?K{Z+vq?nq?^D*v9 zE;6i{sVD3^GCp9Be&%ClC|#~zn2nMj8Da#X;wk3>{mSF{&vhAG5;3(`aFueqJo|t6 z5{IeTe%P|nkjvd@D#b%<;Mn!Ao)fSlo`p^(R^lxSze|-Fw_X{^0XqJ(wi2H zCsBXtrT>GiZxG{iM`XU>cJadm2`-r2nRV}$!W-tM@0IhMFa+^pyuST*p7|C%9;r7v zj$R!J2#Xzk;IByIxyF7kkh@k{rG3u!&-N^eqQHn1qviV@fEj|Xfbx>%&*OUz65Y;% zO;_(Rf0DjeUjqz~dhh=VP6D{p`SbT&%XL(*#P9kdbK5*eDW_DHzIQ6*qE{4faSbL} z_p^h)VYv|(MPf4|r_9SM%yl*rt38Qal2H4BqPBl^>pnwqN54sZWQL`2DZ~gX`~^L( zWBfTD$X~{UfwHD?3qd_fZ>l#Xkpg0hc@Va~by~E1iu6YR;7y*QOL*qE(zdL0e{!7@ ze&&mf%g9VaoMcRJHs9E(%1uu~GRLlQYK)cy9)p~Hh`sW*RTS$4C*qm(dl>7k%>W9( z`fgxGa2DmcC4+n-;g`IMm@SIVJ(>M69&r(YFyln(riv zom6<3aZC6$e-OhPmrWQN9f=IcZ*nIAsT>(@Uql2cnINA&{gt!t)Xp#!d~quTO@nb~ zt_u}^3+8M8vADw=l5_C7hvW&ijScaU$w}PfpMUFvqS|~khod9SAoWizPV@-mDE{{V zYnyRPmdwct>a@KA$LHJOVE!Ta4ei$vMe`cwPty3uJu?43Y1&qu&BRSmmA-1aV>|Nu{|G0PE|GZ; zv;6BTr$fEnm=k{jllJk=j1wf54vfVvn<&|RE5Nhgk78rokBju~4+Dq)>%bBnq%xuW z51w?7(mbf^w~B|`go3}x4Gp@=A9Oq2oN~hNK|eySY+iXLoDr}HbU!i!@YOI8}<#1@qOQ1BXjSy;PF zSr_{&CEfYkziq_COJp$Sxm%n)fs{cZf?tVs`T-BN1@AfUILDE3eqc23vypG|@mUZ= zdN6qz?%SJc1o{y!2s4fa*?{;9S%IJs5B6~ zfp(l;MB-+pX?r87FV&25yk5YaOTne&3$_#xa!0%5@cnFOqXS~)ht%S)7#jm({pxp` zk>!Isn&UIp$6s!OPa%l1PqQ=STae!n2T2|orY?jsLf>*|&9y%>s=%~8)Ph9pYzK;W z%7BNbWe^o_rU4d+7_Xzh%9wnlBWkGO^~=nAydTQ3V%9Oo77?VLOD2M@WQlR^suHMc z{s}^ct@KeZ{~Z?72&jhb`m7o)-NRH4V2mS#49Nm{346zX_qjhz zm$=QFesoC>h{0K{C0OOKZqy9`uWW3kV5-nvt1qxV-eH$cX2{aooNoW?d!6S>7>QM` zw<*A0?;@*CrGf4r;-CoUCOk;SN=J()ktC$sUSK>v*CKA6QYWf)c=E4;;xxHJud%?| zYJ6p)|1=*3$lI(2g`v!wXT8unL%@=!O<|EbID~0)6d0Sg})EQ6CbQ)c@cP@@jQH=;HK@J#o zZX-5u*k!3a_T8T5c6<%zoG-Xq<#fNZ#V^xKjstW7M-qsofctaG!yV$?u);&Tm9cYG zoS^hmFevmrqkSxxvV`i*!kpQeobS>)o<$Xpq!JL^f^gpCV!mB5C9hcwrHs<8! zHah{L1uC7S=vYv=MwZq5Rf$EMBKlQ6v*kWUpQ%_geh385t|!_e=PuG9lKKw_cn$NO z*#$_zhO2?ioY)Q82BNnM?a!ja$WAcRg~&70LPyvw+H)6z`9UCRv-JMO@BBX)BVvE2 zvz|GIKEMS!3;~L~jdx$-!7@l&M)YF;V)}UG@|a6@ubGkHiPoRL%|~?q3M@QN=C!4c zb8>WBabGg~)F!pJ=-gD)>TD+@I_u-IEThB#qCUu9{9+;Fdag*EoE0S?ikA>j8T*(` z`zpt85wB0Fca!9@GHd01z-T7>CPhKEQt?p!<}?}TlagzBM@kck=Amt3+$JL^1J{eF zD4%+_7if?*ww+>YvwJ|mo6_F0x+dpJL?o{8NG)aq`){T<)aK_L{$F(kBOr`H*gt@= z<)ElAMzf$~7Kel4L6g_l9riFpdzkX9)#^ZmQsh-diF6##YzI`d<OGn25S0NzY2S*C~=`%Jx*V3Z60%xjz<{B`}%X5?LD7*Htz!jO^| ze_<`iP>#37muLg`)RWyyqC7F;>q{iZhfjBO`A4(*HEQpj(C493DjW9YFfJl0n#&LM z8h$Fkvv(PWUy?oS#p@AO$-vKA^s1o!ARLr|bjnP}@4pH4PDc3(^PL$aEcfQr#TKBjGDsapJR)J546 zcXvJhOe83Ep)V)fg}UpMf|lJ|d)oaYYho zgXCH;y7`4(;NC$-pb$dzg@uHsnq^FXMFl$EMy!&hIRfHhBv}>_Spw{YB%nV@48ARP zQWM$TS$eHnOB9M=u4TfF<%>;+k_efCxZqdlGROCmEZQ&=atqbn*|i8u%C9;okh@5( zx*Si#T=YoWBNd(3zW};jK}aroD**(hW+&Gi5K*I%1KUec&9fq>3g5$@e&aTZr8TqU z3&?cQIwjDlh{Z<9SkbFvwT|bjW6t&B!oRbB%834Xj{)1HO~u{Myd;m^+gDPqfB7^{ z@Nw}7alXf*6mVuro%a1Q(F=tXc0j}yx#;=&i^0D%jUWTb?=!5@-BWO8_>pC(9WfC@ z&CHa_7TEseLu5*JwQ%H-uZDWiqV*|Lrk`%?-a;)G>zoNMG89veKyD}DTA@+W56)zp z>W){9z#A?SmWNEN_3$+E`39i?Yq>PvH4}O7R{|DqgXcBU*P{5`|q|dBIi}IKf0uuroLK*UNH~-zW#7Wb{@8|8N zyAmQ?kgg2#3AI_9?)A>XY=b(ql|R? z#QB%xZDV~(QKN=GNs-|s^a6jQ6eEKB^UrZl3GZ54l55M=w_DaN%XZuB4<3W-6yTYq zh~@PjG==b%yZWAs4LKr-UXpaP)rCW8tePstQT}i9r1I4kG}ZVj%6J{x(M$Xy2OPD& z<)*xdZl0sGj}gBR0Z03wsmGRF7WLp1$+@j22#3d!u1LDQneEH9h}nU4B+wM#yh2y+ zJLh1PPVA6pd1E7O3nao@YQq2k!Z(nQnMs9V))fD`f@9*j)25#t7k__g@U>sHV9s5y zLLp#a1cz%ab&7W`v^#lK(K z0~qm<&YTm|FwIPyHS74#P9*&JW-G)mFu+kTXiEM?^y90-TfM6bgN6@|lC@O&+yyNJ zNTAD)@Y;^#nGeJ?iOsqJsNTPTZ)NfCO3I~ck~8q|%^T{4!mdZaH$x$mBY`gMxbP#c znhrjo5{d8yEfZ6!>i|Wb?1c$IM>Srg=PF?dpqDIiBHN1`fHBE>P>qdypMT9VCvDv$CbJ*VbkY}6mP4{J+3Hy3#4Tz6yB2wEr;N`%km=~ zX@9z{8QWas!hiqO!G%WPb)wWPklc40=_1_$k<>OHvNs{J_b}r~)9FD~Qmwt@9kZiR zkq94OLtt#6TNr0Yc1q>V$bVWk_bCP|Tpx1-bO^RJHs5L3VmiQhhQ7N!rr=d-qmR=P zGXAZ>yb) zO7M=Zu0ZLNc<#LqBQ@sb$!%(XC@Y0NK74;GkFVM_kZD-FsU7Kl|5CPt9kL*(AL;J; z`bp9U&5>N`xg8OxcOFL4X}-MAOmX|XN7XX>tyesM>3^K93Dd)rGkwJy4IQD^5yc9v zMG}k`9faD^6^%%6!#c%3T&k`2Sd1H?_4{G*?x)peEoF*DESLOheO*DCr0S!-R0nJE zNdif#Z@(RGyNUVX(ihkZY9cPbyz))5iTtnBXG@X_Ty$+=Xuw-5|Ks^bq|Oy~-4wsKTFuq)G7CRZQOM zc^CN7cqrW#>CYzXie{>OeV*QF9u#0ET3@H9_910}qEi&VN1HvG-LKopPt>E7vU;LQ z9K{;Wejan-iGRHJKaKwEehqhFAy;a$`q9J+zKZ`5s~l*Q)>}i}DEU`gW9z`Nl01zui-?4LhGa*>k4T;Xqk_|$>&#s1a^ z8(qlkbAZCb*7dllyy=|>^@~LqvR3U!Q0~`p(_3vi&9e(+-=1d0AQTR4KP$EE?YO8r~M`ygb!HAD*xp*rJIf7 zZI`Ewwf3e4r-K3i$E`L9+V~R?T?4D<%`6c_}lrEN?Sd6cKlB|uc?(L6) zN4;tfc5v^9De44`qt`O+U?R2tKS<{)`WXE`Ny{7=Bl^e#T{No2%1`CtW^!oDxxM<} z%l^yr0->GHc7|Bf+Zp0Q>o&!$x@OL)d-YatT#SH|um=Tb5y}1eRmCAhUDxFKlQIZGXVYMX{d!u zl!BKs#5(`CuX_4tA>ia$zefDW^E;}`P|eMfhp7!8gM7@c6N;#?y=NKA56Kvrs9Er& z;do$sxcWxH6jyJtOlDzTsY}!0&u$F*a$k8Ui9XQp>SW|$gt(w4pK&HSDB$NbFLnRq z^c8eOwYB@achl!mr-fUpqOK-(6Yfh_n&3+}(%YM~^{_{^Mz&k=2ew)i(t%q4Nz@oA zHd=jDxK@=eL4lJ+@w_x@dIP%~zRYA`l^575I1AtQpZ-cE8>1@s=kHek$%)Aays=8H zjlr|YuIyGi>FV}w)Akv@D>uf#Q zYWp+NK8;`|kATBYS69~_Y72G&$~OH!{|*0c|LX+mM}UMg+gTH!aC0zfTjS*paR+W* zB-N0VzY$;d>Sqm}ctmvO>6~BUq;wzb%dbctK41KhDlHW|z zSA(gUyPecY4h9gSR+`?y?GN(b!qT^m(WktD$!-*QJXiR3A+rq~;Z|Q8i>QEUZo1yl zL3J5qbv3kFd>C5$>8(}R?$SRYvEqoPVs?Zchh?6%lQ1cE)bhdYsJ+ekCnu(!^Qr2x z#`mZGI56ie9CeHJSX}>5uwdnC))uDOJu!Xg6$XzJNXfL$vk>kWm-DTr zbuyhaZ6AT;>&xXjO-e}lX-&WkMt-`%a>r<%G#jNeHu;rmP_nAUz#Y5duylH{$s@>o z@11L_tgBc*ZSsqay5;EEO|$#p=Qa=Jw8+JT80EIR`UX=}*;`NN>gG4-tq~hP>g?VG zVfT}JE7dVOOLtubG4zz%H;(I|EaBBeX{DtnK5z4>-yze{X0wH~1VMma?~kADy5sXf z!lJGi3)0t`z2E8<6D^Mfa>A+7guHDO<_TnTD!6q-Er0B;4KBdmxs=5-Gd35kQYst= ztVnzD*h09{v`o3Q2#>!>@rnb&K{R-R5m^wx{F3N2ao9-2!ROX{C2YDGgHn+;)o6X6C84Jw| zL!Y+@6oOT!%ZfS}E^?dblW`s^G93!$0q(WyZxT+#t?8L;kSC%{`p^eJcU2HkF@ zzi`Mgt*%iBkGOS?3L#+H5)ZT!@YDz~iGk6E*XUEFgonfO$Wv!GT)ce6F?4k%o&q)k z?d9^<-KdO>Lqm_Im@$t{X11;qlFVb6a&nyw?PUT2SaSc`rKbhn>wm{s+`o^Q^7xQ9&!!>z)bqBuG>!%VFiY=%<~7a>`x#hmrRq{G!;w%eqmfW;vVHRMzf<${%=?vEWc&H_@i*1U zQq3?*0AEUhJVb2-W{#}jJOZe8w+W!P2Owf?K9;4aF0 znc$t5(Bokpn_7vWu);|ta@iiTjpq|;!jp8dWyh;Fpez7O4dU|}-2`9w&3+xC2LJN< ziO^3Bs=>FQ1?VYUGDG%y>=m%-5psR;1}?7O1pi(KFNC-JQ=M;ZRt@M_Y8mnUr^?}r z8=&RhpbT*=hk-t?NQdfWGj*2|W_wtCbF|-L8F6i6KZ|9uW{P|D)46N2> zX_;>a_*1#414kR6De3pzOPIMzQJsUzJN{ocHOUzv1FeAs#jcT~{)hb|F%L6S5edP( zSw*><2yCN0RFX9im0(ko>X(o+wUWBW<#+EwJC(M$B5e^)83*|&U_)4B$-+;&pYKW_ z^tJleJ^;>xWB&JAGSHNB5DRumy*#ImpMR_W459-HiR(I`nV($yw*X~C5N?+z4f_*P0LZ_(BR(l%iUAAo z(%;&Afe?fx0ky%LAVF6F`e3gp&{ZEAVBW^yXNUguH5PukB%q>zr*wp!PPn$inMVqt z_Xain2X(JV!8&>nj|DS># zSuF&diRiUCqy@4ejnBNh*)o|om&rPyW&PvMC(%o&L=&MTp z`9y#FbN;sZ*zjlv6bgdPS-aTw;KO9yZfJt0YTt9y-*dIW?$iG^zR;~7pzse8dsPVD z@d>@RxpZ{SEJ7x9GtvRbY>1O8jW#;G&nvdd@hq-!4MQCm4j0nu_Ny&42m%!ykRF`_ z=XVkecM=b{CZNhG6wgzF2Z;*yp_sM9_)|odkKov7p_)pUk`9dFpORmAAU2-kMC>l5 zPLK4qt}#kQg>D$E33c(-s$6yd)wuO8Af*=x*TXOewadc_NO=NaMuRiPJlBi5v`JLS z@=?(#JUp@AT^rmP=EiukDX-X=9D~}4F4I%gI)p_b)Nw`deCS6kE)kj?qGwUsH7l!MVhqOhtFy1Me_{Tfo6i)ok(|-7LZk5?; zmq!Rk9VM%43IVWW*`ww8REzdYO?C*#<)mc03j+pzC{5f1&jmL(-SK*SNL*^T#DmT( z#%kOo5@Iw(`O{aoj(l!!UDSe$intY5t<1fnRE~UAHco)}$JXAI6yT8e*C5^|?JS=O z@yIL|-rV26gwvOt)-kT=-t`Zn)14W`!@Uqs3pBJdUORd=<>y=!Va;J>jL^p?^+Fun zl970WtzFI)i(a68;49ZCaeEo6mnZ36gvgP<$kFbX+}O$33(L9#1HZa7*HEY2UElL^ zrrzn%*$`l1bjsE9x&8XSBHr*(-WC?-?})o_TQPXxFQ=P6)eryf`2B>;^YdjpXu3-z zQ5f^9q>L4wmeyKZuEclu*VPX}&=eI|Ayr^(Gk@P-K1rFatlZ96pF1J(%}vnX(YrUKxlST)p0kf9*29Cp*+K zGy=SF-}UkQAaG#gEO-b{|Nh1u=1}g)_UBwK1o|}63lg6Q&%oawl&(2$7|2FD|Qh(mI=uM&PAI-`x z%So0#ln+i<0}W;VMM^;zya`Q-s5^F|6K}kyMTiMJc48;X0ek8o zf%V?IkbPToFu6(k0Z8izf?-NLxgb*-OZ|6j_s{%Z%YoZBu^QOueRU*&l_%{k)-oOudbwr+lcN-Mi@Ys z`RNq=^VEjQJ|ox$kmV)Hy!%mu^A;U!11OW;1qV;rGpJohA`ZdSlhIBB?=pVdyK&v z@-Rm*X)A?hVYpA4p1oRY^)>xlzr`}tm9*KgmBNIVm)~p_eM6*Ao)|GoO8zIYG^vJ6 zvS!@I!(RC9tH4uMi0372*2Df%TM6ZpfuD=0>#8cu`cpfBDvn9I=Y=RtJHT{b;>>y% zijXV#d-EnsV!eXnh+_G1ZR8M0B3@Tme^AW1P5~82uonA{{k=F+LMLA~JF<>C5l0K+ zk^1G07fj4q^8Rv6b(4=@vMP{DT>ib2Ls07&q+)ir>ts|u_3;P39b0bH_6Yy82YtAA z=$u*`H}Zy3MW+N5z511L;JI9Vr4z~}M7b>N`sZ`XEuDI_Uu3A0>8m>5*2u%nl>OC=L;HEaBpFZ=`d;b;+PSfs-dBG&j;ya>)T-P|&65AbPy48@AA^X2W^KIbnR2}mGR0`tJiA(eZ!JIDS+eRV_- z=koTT7T;r}%@yxfvmV0QjW=V7X25sMy7i)Mex#V@BNkjQS*VJZGamz*j%Pe#VNRDu z!h>9$ZWKls!91L|{$IPoOAV@!?@vFPil{a$?|~o82zp-tkK|zi+4p$pYf13S%_oG< zp|T;r+BPBX=w9hp9ihb=`|FxAtA`}BhdJ|8kI|KQ|5jvVcPrTBf_c&Rg!OdR+{%6( zb5VU2xcB6-qkF8;OIV&!jdqxZY`g9czJ!NT<*80EJfH&fc??CB`HA7L2l)BqByx6x z$HnUBvI8p+x%eK4T^c9Q?b(h-W{Tt#XKlFr-KxJ-6JcV*A$g(Z)c#3CM$0m@*3*)j z-sqgTDA`S0YJbg_*l#yfFl&NOP>e}(zqua!CA;)3i*nLwBlQ~!ppb%cC7o4~^tM4e znGzW^L6t@^DUZwg!2~tV=?RkBG#uKzZ6)NjdsPMADGE8ao37cK4aa`utdEl(bt}d| z`72wA!O&rmR&k(-T!~=2!fF)USq71VUz1G5j|blrpOX{71K(&5D?pBpxpuSIeWY&! z&V5xuT8&dd$M8d>j`SHc^!5e4OQg`+F`f8|D$;rT53XNiWvIhPDDY^zx2F*=Tqo$~ z_#ZHmFEB;6NaR}mhl{?F%clO7zVealMy^#p*}+Qt8;O5YxBp~ZGCI334Bv~s`=ALd zA!3(M4WomkJie3I4BlXSd|{O_qNo%7GQm`Cf&KU;Q9~cS^pE5|IzHPgPooUG=)lTG zSsE#mjmB`5wpV^YQ{y4-IrUOQkmLe&>#>&ffLkj5<6v*ei$B)5Vdc4~V^{`ksYX@ftO#T;da_kapB#_ji=`TnO;kEZWVk=2wKk6GFa;74RPt`sDb^W67_wbW zBJ9@OrHIHEiz#xes^Sh^y$c##i!;ZOEOxr_SBpK4&Z`tW5UHv28!p+mns2zEp>nu! z9l6^&yH}yu^{{GIbcBj4*^$b)qSRtww!>ItfWXy~o;t2eo}7)5b5*w1FZltutd$C* z!TS2gaW}n_1`)?QoM7;}*_gw8W{QAmx7wW}3RfKFsn|O#OiJzxC{-?jA2GXKv|0hB zPd;J#aKb;`xGTl51fok_5%$%4$V7@035h@W&;As1y$q)@eJ9;DqSzHIcRf}WfxKVr zlkG&yo`@3vjR=h-8C8moI&$YyP=cg)^>k^R8y~MRG zEjX#QzSbca_F}H++BCzALg4SYh_`-W1F@_ZM!3anx9UuD;IAY_aM)U?)2tkaxYg&C zbJkg7+KxvycMPo za92bl1|*w@9;@=knd>AmZ5wj=ODtY-R*WMWQgZn#EWCd;pz~y@)U694U|Gb7W4JLd ztz(}5KqOyj49(-Wv+xFJR*XX$V)OW|ENZ`=qlu>HTcF^t=!km{O&!pz1m>&$K)kD?nLM>=C>d2ACsR(GY5B$lj zE8!x++$b~_AS9)W2=G4rB#_5t)@Zz1qA<$Av>ecMLYd>!kOK#DJ=MVh+M8nQW|cLa zi>e(sF5)QF*PrC>EjeqF#!k=q=XLJR!p(K+W?nDbBIJ)f0Zxme7f4mH{!W*DCli8TGI;QE#F#Hc5Z;HhfG6Bx)T=i{Cn0h<=t5^ zMxRgHoa??x6t3R&u|GlW9q+hi*$U8es>8=pz#TIrmhaJpEnD(RPT!QzC6H~yF|-`i z22Qp}%DC$jW)p^fcv6G9K#a?x6Z#{Qn4Oi2Aoi=W-mZA+g*NmdhisteLlOz-MmIk*t zz3s34A|dFE%r2+!GBo<52Z4r`8y>oWA+Jk;$IHwYu~wbfqLaoGr+vJa3VW1So_$0d zUU6OxMrBeL5cg;Bi7qvM`l{o}=Q9Y5VYp5&*aPCN9z#-fk)ctzQX54wh$;kDems>?(XjHaQW|l&&|n`ea`M= zGc#v0o6T;27({0nM2s}x-wg5Pe~xXT|66=nLH^(Jf3BSp|I6JVPQ8)RwN;(pp=tAJ zzQ)3aqBEg)!Ueih#6e>pjE~B(u`r*HQuubq42eVO`@3KM{0|!g8yf>1JAsZ)qj+|j z3z1Ie=_B7iTCFxzg;+_JUZeJxBF6WQi&da)T>Bv1`&`HrObDIwmL}*4u3KoBU$zvU zxH(^+pTD>7efxIR`OBSlFWE_ID`}Pz$n5HSl3CywPL$!>W491Wv_!tiWBr?Ct})xH zQE(VOGB*(al#^_wJucwBUE4Z97ErV+DV0v;6Lph6MH^y_DOoPxcaYb&XOWtiy#gH4 zY;u4T#{_z8Lg%i39#mHykT#H*LVK=2gieSWU7Snj^`!@P#OLRyN?-FCXNq3(F>@5u%1LV{ zQY$>Mcb!3;ov7_;Ye=F!C7Z^m90V08?^FI&58-Iuk8RygXl0yx@ZYK0)y%M1 z;q)MEQN8|6QaS9VA7B6@uoEX=bn~Uah;Hwz_QsUP2cyVF^di} zv1_Z|W(FJ|xT?U$4pRYio_=?_W}`m$FlJIr>Y_x_*Hn|8nZ3WRS5>WMU$qTPYgF87 z@(k2*bhff>hE|jkm6=A8Ei}K!8Fu}ZcH61t(H^cTi2oKnRypSO+oY#pYG{N_6KI;$ z+9L~~?FmS}H<_!+*APT`2ekKiAvdmHweL-y;m{iduV28H&GGD%(NkDm z^~?n7UoRqZEJpLe*1-HzF^+#S)?3p|hvmjDEp; zPK~KL%Bl|=b%PVN z4@K2aMYjqV+5)&mieDg^^(G#v?Ie%aw~w~L8wUT)GM0e@uD#^I%GISy%{?v?5!wI2 z#(JKE>jCS3F!8&>TcS@1yIb}G@Cpi=j=cV5qP{vWlEfqU_G^3L1WNUa0+s0p_ky9E zy&S~Td(TlqNl?7-@#KrC!*D_Sy6MuN?~#C20I{-b?2yAB@%kt&g_w9_vX^fiLvpypMBY+a_8TGNDo;N5}C8NmhZb~%m5Be02li#dJuR3vp17C$#9dwIvD99$l)9JBi=iN3n*cNq) z)e-lClsD3WyADO+)Xus#P>43p{K_>&c@M8$NET8{V35c~Nk#0nD+8LWhFroMkR~8| z`>Bkaqgh$o@SAsHE;T{eqzle5fVXgL8$dJGNsf9UXZV>S%tbseeJ@{HFdO}VWx+Gw zzWWP%-F=W9UigOdU0L7srf^9f%VHQcgL41X*xGhGwddWfB%e7JY>a71kt`w*5YJi` ztJlq5l>TxquIDNjDXq}}sQncFTLF!B(IOMN5Ph5CaP{Z0!iNz?+-!g&+#n1dkME0yneD|06zbe@Cy!EUqCQpEWWBg;U~{$okHbpMC;kZCS5|G4T`Wec>a_ zXPrC$JVJRF=^pZO?s#&uW0Mjv{${;rAS`OWH>(J7-dx9gvr^qns z3s#eaAYK5A2n8!c1=Mpc6F9CqQxLl+-K|{3U zX9^HanrZh$Ty}-totNNIV%*pRl6>Z)ucvn%ZMKAH%U1Kj3*j@nvV!Lp+n|3oCo)sa zZbD#1@puxa<^Aet$HR%V!@{?*XXiOTN9y<~7%I6AMz>7*AC&nL@5#cWbUgJY*@~=Sl>70!9UVKkmA1AF_B6kh=`blg0 zC3YU2GSoXA%zLkn9RmPZPqPz)|Ie%HybnyfzcUO#X9w@5nC2*oVroyjP+kM9GM121 zbZ*~4Kc+6Kg=Kl?h=YF__CrsN%yRm;`-li~1{jk8k6^Ni=PM5z6h;4dtbtA;EhL9K z;OZLJzjVa4$NsH_YFA5-?5_+DWPziJxm`^%A20R74Om$^FRwMPGskVylkDpTw3znw zsn(7)q>%d%KQ89cx3IkLndUj6x46xi%3g4bf(TK>ME&|9<0mNz1|~t5-;X*u^_OA86buyz2^SGs)LtE)4qL`+=m9b z>_+U*pXDs6cRGhS)8_Ri(W$#kI26!NAB)P;CU7Z~2~!KHU2glwO0@N!M^H08#+Jrv zBR1b+!qh!ie%XEu_BK|s%mpL8Ne)tEk+&Hp9EUqx=;g0&=$1uBsnU-yRR53)<>)Hs zIoIa&E+|E*Su`h>e&~Rq#hs`P%^?At3~`y<8ROYSXyWUV1C$9csC+{%%?fv6M$e;` zNP4HU#IeipHT*!% zWFN|mmpv0wl?NGirUd6|TLBL+!wJx#5t~0hEk&xSVHzA?PROWZ=eLx0nZKv7EJd37 zogS`htdAnFl030!WHyhSewt*6|Jb;NFJox*@xn?LpkKSN+HLkw5Hz(e*4m%1$~7Gz6Er zbZBJkWqubc0uq~`J&}aMa$Y#NT-_)3;)ufx232aOM(@K{F|A#x z7jMR!!0^8Zvq;u8Fl)b)ZU1Kl{%3^|5IMCieXDpTeNU0uVSH&cczkdC36oEle!}b% z=AW<_w78;w9mJp`HIf6*VwNU;M-fP$<)E(!HL@!6om7?L%R?IoHf`XIX%AbO60iTmThkEYW zjAy>*{ZhlgP!rL&sePpi7ok78)aO@aJ1YOYm>eDyzBmi|}#$F|kj zHZMd!$xiuArRwuK+ zPa3R#xAaq{p6KNlZ-QzcStajEvu{RD zcbzAAgDv~TL1(W4X z$!7FWSoO#sl#a3@O5aA{6mVI}>5iXnbT$#{)|e;Ebf6gGHbriZDvwX2#{Vw=)8_KV zcOYjvJUUw+zXX;x2^Q)+3Uu_DG5=m^j|6&7H?g$o_&%9JLrofm|;n zzRc`1Gn&WvSPg2hb$Ing z47KGv>hcmuKpZOWnl0_8=+&VZq}dRMgGh&?NQc8nzhZDJS(vl-$IgFR{GUcB1V5FES-lKbytG?ZQp^hGcY`SmH~Y( z4!BujLJjiyKl#U8Iw+Q0oFpxd)!BcxbTxrX9%jv@_pz*?_n>353%bQ@tt|jcJ6%YF z=SU5EaLCafsc_qXYqyr5IOVA3i0(mQ2*{`7qA`=3w5zvCG%2p36jLsH(@G<7(7-LG zpuSHV;xp&kJ()W&VTZGvE@D+sv;eRP4PQhSxMqLbj~jFQBBemH4_5wj{pC$LQUAAt zl*_zykexOpdFgV+dh*pnfG;(!He z5~EAn}vpieKxtvzk0%Y>CG>adxR4BC5M%8EwRwr_LFQw%|#*I{VEm zwmP&wz5eAwV5PY#+7n9M=a{})nPWiNZfr)o z2i6j`Xr8IIp*l%^KQUs3-BR{O5un~WkexI$ZyM2S_-%VA;lZD$>8j)LKr$*oUwhtW zH7dYd``ptXDVaCJ_vNkvCNRJvyUoXoS=m21a?PM{&eMxgD>*^0r0*-0U#nSSU(CsE z+Lkt?PRYuxq$eyo0z$X2^88VB6AY*@#ARDA?6^Y#rxSVS2ZWGW4RM0d)V?%fhe6h32eLAW*3bBNkun|JhF5Sq7lOX?PV1M5vquP3 z&w&z`P<}=t1!Au-A3X@O|2_7nF4M$INy_IcWHK3%G1G#0tZ=WF6>vxol6G?@SfCx) z&Hhg+`@2Q%cYOQ56Mw@QI=k)Y*KH1x_4S0k3L-P``N7&JbN_ix)VjvL;V&%Z|FWee zw=S1Z#IxyYeS~z}&3$mIsdrQ$vlO8rN=YLHGcU2nP_K8GU$7Y2*_NMW6#hv`3bn$k z7q8diBoSg-d1$ma?geyj`1OT5dX1t;jhBnGZ)&a`(|?%x;BE(xUJ+LOya+ZPR%0Fscm41eeh(~ut9F}`+1 zxFXk9fu>ARpAGx0#a^!1mRdHGFv;F%italeHD;{5nzgQ(gc5P1a%4}f5)o}R=<4$l zRt{%PbCnb8g9IEHUU&6%3jg9}*4E;KMx18ZrtR!K*uBya7xdEY+x7KykEPS`P1${t zkKovM^h`&g08_oSoK;cPNEvq2PT^8JF)_)t#Ee8KVzMqS zpo3bSJPYLteEjJ)DYS&?urW1woS$&AG zXThl~-`@3@J|mgdF)GKDsGBc@v1r~>kjBGDhQCA%0J2^3_h}PT(G+&OJ-^xgPQhTK ziW(P5%CbzkgeG<#P}Ncs``5QEW2t=%d$!^YGip*Qo~L$U@m~mA$OxkX`Mrd7ymEEI zW;+5L2JY-TA1kn+$}VynthifoOo&jOzaw(lUoqVkm@s8pXA3f5Vnw=N$iEWBxW%Hh z&-On_0)(dNtZiX?CMKa-EGW2;?AGCrtulGv;X4r0 zkw1GY6AVluAoEMdaA%;z=qQ+vq3)HmS93VG*on7PlYk{vjdga#Sink-U#!X-o{dfp zUOwmz!t_BRD6+|VQZR7YQK%kzO_rnDlM*WiuGGe5Zj?})8r385Vtf&)XJlmd1fo*W z#cUj;;2FLLnI0fx%TR&IM1yFz=3$nXyTp=>;3w)Ub_BSAchNd*lvbOlIgUD5jkd`IRYSj4Rt4 zx^*?3{5y(ij+g6~(Co|sl7}V%*zGTQd2g7tw&Z7UX9F_2fwxdHM_`>@ApBP4@{$dG zua)qle)CZ&FD>>D`5VJDF6kS@bdwD5@s0M)hgqqGhMUwIZF)?G zS#tPdp?Y02wjJrHNrqX}19tj>{0%8jSloltiU-xj-c}dl%qXu!RGOzec)R=Mn-l*f7?U+su`OIQ%t>&QvpRsA{SK$})Grd?N&Ci!f|$ z<>-#fkY_>qKttIOTdaaG)|E0^@rF5im+1((HJfmvw~K~gZXORR&z-HBw5A0%kKH%d z(5|dQI}{t&6z>Ur+k^c2>h#fwK5&PZqBD`cMU%dv3_sFo@gE9c93VqfbGD=2F41_Q zFTx?Cy>LHK?m>BZ=^P(yHW2}|(YpRLY+z__U7}a+X`Q^h3%EC>clTWo$18p82f_Bk zSk)oP#ZeZ3bOnw*h+e&1O?2#`%_IGcI?w@4!Rs>Pjn>m5z?<#I2+u+Z&tQ5e)rw#E zAwabOViUYYEBz=c|DdW3jPBSfkkKR5IiM|>bIvsHu`;BY_TBgO21to{GIb*x-@;oK zDkIPC4nnI0N9?U@TvjOYE^CGVj52%XxYXy*HrDUIPZqu{)bod~ zY90OT7JXU1hsjve{#Jrhs!>gFr!~E_w$@}JYI?BTr;U^(q5C-ix!r}NWpOH-i1Pq< zv+g$&{FXiT)*Vw5lNQ>suM8d=Dss4|;ZPWzIHl^oj#tt4K<~68x6SrF9dh@W^A|`2 zhbjjosQIDA3#CbO^Ph>77c+ij2t07={awOq`9R0ULPSN(!?}6PrV`^ zaf;zSB@HVyhWw+bNnC~vCwefRDSGDb=uRHrgb5zB%B#iYbic)K zl^DoS()#O&fFcfaus!uKT=l(VddOgi4i7&la4>_;HJNBAU4=?4>VC9A^d0T;it(ic z{SNi=g~#NZnP)5yihy;PlZCTa#Z;UclhgX|zXHnV5_eVO0M6c+)%rSg$zJQi<(S(2 zvBOZyxKogV(l}r|Xe7)-e8o%iD2OV8*b^`~CW(&#q?<5{w4x8hV{`~j)bv*5Mym6> z2agL~LF5S#3Zp$WkV|4hXBOFM2!QNsa%6dxGfA)6_F`y-@dk9o1wQW^W5)iqu-qh~UMrkzk(oR{go6yM$VyZV-0nM(`*LSc z%FZ#M8oEHn)(TVWVKacrRL*B5j&E(S+tw{AVPxvx1J!y>ai%BxLF{An5^9^4pI$;^ zn9}obKTWB#V8ZX01$xWIw> zdH1=BgA0T;?Vk=m6{8K?VP1upeh=0t5S)5sXAKe}y^O7xz~tq6$gK>~M;$L!JJNtD zNj?}&?A<93B*_vL0e zcuCUDxMLTGI@48ohWZ2BfBxLVMDPt+AT=|gU3Ug5kdE2JWF`9$OwAy{b^8iT5+9d@ zBtZ^G+1a{R3aqLh;d%(n-OU+GXLnY#OM8+Z9EcZRxgp1JN&7MQ{T_!bRRJ{7KIVF= zp5hUak4Aj+dWTs6Yh`SSYK*qwT{^@@dQCo79z2MD0q{8LSd^DKLZ-Z{Q;hZl7AP2Y zKTr5pXlW{+ejtR2V83yEt?a*}_bMj&8fBeu|ZQR3l>o?WO~j_#4f3`-8JWQYzr(b?Ccif(y=!_C1Tl2Jx8S%px; zzgq=Zg)l$e!*NQdchu)0tm-Sng(A48yD-1!pk1@I9?aX~zS(cqiD-%JV zsXTx@$<)kC3TpAn-xtVjTjGj&thIiB!oRFtub%(}D<4MyFMQ>cH(1~h=6%xoeKG=K zj~rp{hr68~a$HsMe-vr+v$i)2Z!W+g|7&*Aqxi-Vns<`$?b`dK>|6H5Rfx_$nsTlS8^DC}3Rf2Tt-7aZG;b^_N1$Xpv3`Q-W-0#3 z$D8KBYLc|6dMS-$tmT=1@uy$@$ghPJ&N(akH8YJzsH&4j!z7j^0^E<)UNU?6Nl-^i z3Azw8+Q~0UQ}$oBCrX&x*qGIxl9Jd2PnHkR-u?i>w>lzke7#eRR}#D3t5J@TqAq(< z^`7LqsClM6|GkKB9HO;Nf}XzvhaHgN9rb_4pODa`El|wkmbE22H{cd4$k$OQz(b(@ zCxiZ%ieeq%FRL}CuY>07mc5c8g?ytYmsy&uq)B?&bzL88D5l~V#0#%r-)X}g>ga2> zL@waR!OZd#^|(bLDTr(E@Zy)z)RVI9?u75?O#3m$-w)XMirySk#)|j>SwpBE>UcHO zNM`1(ct^WR72QP?%b6cS6Grl7f+%$*U-ovgyejn_ z^cpKP@Qab7li;Y?S3{#3D@d!Y=?Zx*%yobatm0Z7Xqpw4o${hW2yaWFJhq4J{{{ss zy+D3UeWn}kb5?eF%&lGCSbs?Ktey1S9JU~h{6!}I)>QCSU#p%KUbjVEFD`ag>vPF> z>c97;WfeUJ`RApHU43K3>>z1Fx$0dp&URBznxOL$ zH51%GLhNgK_A+~PV zI%gh_xoK6q{U1Ti2v?M%(rw(J`HRB7mSC072OBkY;9L+W{qA!Ok@NKZq`)WVCdN2G z0|m;R{BMJIrYS+T+d|ARosS}qK@&DwAG1rTN=jeI4T`P?QXjK@C;&g2^6cGswS)C* zRV0cDbZ8|+e#uzJP|YtkaKi|p)~MmaRK*OTNwu{_@C(xa1q%Lxrg;sO$al@F5t2A3 zuw_5hlkGX5ov17X5Np1Qkae4XpKjcB{Uz>JQc%@j@oU@?-gb^+9>4x@3uUV;wVR-$ z$X(*Ik*cy^A&GbieZBao9DMx>Qu(r3p91`QJn zWH+4_MG~V)f}o~EE$_iRwXIE7KDLw*YqDZsJDaat0b@bnL{&GQDmz>?+;gpvYL^7c zEhG64ysh%gzp9Vhb~}A?!5HhsLtfu$(%aTPRWxd+IAtXIC-rH-4ds?iDx+m!g9V!| z<~YMD0FC!);Am1HA(D$5`6_41&5Pe}*r{$i>fyxv%cJ)8>t8pby~?i)-7VrH(I`Rc znv`CmJg>U2Tj`jEN##!eg0{SINYy& zn8F7Bk9u)j?p{0A@CaS}lY^7G&Tl+n`y-lYYf_&hPhplm=s=nE2fMGgi34D>Jl}q% z07UqiMD%E?iQCR&!$#VSFzF~EyyrBra;qNj*LUh#)YQS${d(4Sc|$@@r&v_#e^eA` z)VHF2AcZm&m=yk#E=j2R;Vdah0iJEh;ah5G6%f!uuu<^oW@I}L7bkTw`+~ZM1eld> zRb5%|n$=qvV;)OU6?-SJF29iR_$7?G0iJN_@q(-ZEX}XGLsi3JSlF$mHL1H8=b~Pc9)?!J2vikdbL(K*) zTDUv11@;P+2jJuh@bHp}#2)-_Nn0s7C;3@;SbW&_-qCjV9hpuldQs&glRT*hfJ=Fu zkHKO6@ZBBn$B~;~-xRKrl1kpZ$#bcDW~X8k%vhWvhQC*W#0@Hm@Lrr7ISY4tNO)^q zs@ax#=ht-b(|Sip$>~p+Qt;T7C(fSo9obi6ba0o2{XGBWlFwZYk*I_G{BFeMTz40G z6&ELsY)5Q&aYrIFys3Now-8$f0e`H&g1c!k78j&iZ%uqs>D-EYv+}P>rxgeq zAdxjvbp&pf`uo75vNI!ILgo@|X6Enp_THwG)8%5~a6Ua_cFXhJie=mLz%2!zsj=JA zyChanpoK`8{?#3R2^7xps&ZuDhj zD+n$f`|(!x=C|J&opp+~(8Kw!@kg&8j#nPj_TfqG^YveI%!O>3xRQiHS}9{_dyZ~w zhw^RmW#LPV+Df=zV|(`mI^+bhO((hb{(j{WnpnrL&C_?vU2-Zy1mLn4?EWg*sylM( zPySpByi@X;XF)jQG$v?9GusZMnj|Jv;grVuXNkJ-Q)8Ovq3RAo@SCO4sBHOBJ%UPe z*8Sg1`7{yj8RJh8J}XFPIaj%?`Xj5f)N!6_`*v=wKg@EQ=|O){gA1Q3E{)^eM?fP4_BG<_OM2i^V&JV67nbe^ZAl} zPu!9fl3EZOcZhoOGTFBU$a$S_cqTGEaZ{7!~t`7C3mQ2I6<6Oenml>Asqvjcw zQKPiu^*^tnS~9=&af%+)j&qtHd_71VbPSIhY7^o*UYU@!13DRHQ4H0))madx!BIi# zKPV{Kle@QQZ&SIiOV$T9+hRW^2%kRMVs&q%*7rZBTeiCkZLtlWfPhcDa|z= zUVrlc-nX82lGV9`fu+d#y=eSgAa*D-P>dEoe~zXAXa<67oiA&Oo$bM*6J+ zZLM&k!tb8+c~@1k-qj>{83kL+OrZebr2uPJiTwyHeZC*5V}uHyVCS z3fF$(le@rsh_($NBk#nB6z{hv4~=8y`$9)(z@F`dhebvZ z5^UzA_FJ~+i#YRLNITrm4pjS;j_C);;Smi70)h)vhxBGN?Rrg71*_CS$Mu`~`ww9Y_2FPn(y}OFSc>;nrZOGPFE93b z55enG*xGTiOca1R@_UiNr2=lihcoNrUEe04jQoz@=}yb}h~oe5SJfVionUe4FVlMD zo<9Ey4*HrnluM3aMQ{nLySecUDGW#Q-U@ph1yc#=etAKIsr>l$rKRo5{Y@v%Z;plnK3=ouR@8v{M7iU4i<>6#FEo;P3?ACy6IURMgH zg2WJ6tRA3I*~{uCgDe6}P&t*{+boA+&Ip z#TM>vt??V@7aj|bp6i3aUB#vL`{ae^if2Q|H0aAQXEWC}3e<%Ag%xmk@|vCYc|zQ! z{cCu{re8Zo6C40}lndVqh`hBrwRcQ&e~BAprU&FXkSA2QZGW*pEte_BvN^}p54I!O zoy)3QuT`@m36-})xpnlP-AcK*lfNLdeW)LXv^t}Dk}u#HNuS>g*1@KHbPvFiEUELU zZiE@-lf!(2xbTu8ZE($VW=OfX!YUCLxn{EbrcI#LVQgJgZSt0QM_4FY2g@4RrT`}- z;|_c=7}z%CziP-+p;KT;!y{yNb|O|iwz@c-9_0g5T{^MY2ev_6q$R1fDvCp@{Sj>V_vc2pFi1whQx~{ETZ5%hAE`UYXtSS59O6{|+$^er^+wq! zIMxpT^VsL?_`6rf=4qK`X}DDM>B5d$MoP9b->>Jx+{jDx zly_a-6{{nF!lQ-{8gOJYRO2O)lAtXxoV>iT6L9J7q!C_F#4o>WVeJ{AvKOdyasb>v zHg_jw0K1%jda+iir@5@ihbGYortN;k+}`AL_Av!_cge^Owf~u&a1$Aw+<$!*#Ff zhhJB$aa_jP*^&(m{RN6Rtm!3o`Z8Z4!%+R!&-;xt+mDY&aqyS;Ok^Rh{nd9E$GBn+ zQ;F&Qk(Gu)X{cVfYbYayTq|4j>)J%6=0TbzIrP~kK0UNr=?;@;^(cxVmxp=P)zAq1r0}-^~pewDc zCD$w8l9?T07$V@PAu!Fk30U(pdfL9k>f{LxgieLVx@S4O9f3!v6^RvUK!0`@nK}9; zoiHj>pK|?%+&aY6hVS)ZaLL=Z$;=MWhoj0vd!@I%5eXMZGhSz)IW zo~5z9R$Vh0MuSRE%@JhEJ$IMv41uyrGhjRR`Hr}{eR~3=X-xqq~#c zUg!rfZk8(EkS3YDK8qc)3;bvMYu$xv^LABCpt4{v)+%R9+D*&9( zac-f@p8+b1T=@m>4RB31wP-1bzZHlrb00|4cUVI#b8T>xA~iea_0Y{U?5mekfOoRB zmVCc8en$} zc3!DE&c(-C)!^Tk`Q8kFZ6}x(o(fq&r&nF)s0lW4U}7@q2UfznHgu5R{}|Z$Fvajw ztWMDE^t`>P9r!Jd0vA?(k*;+j{Vkd#J4(9vf+!Ph1M0yVNK669T2IzUJ_xy_e5sc6 zG#7WAVnvNaZ2sSyG-&A^C{{*;=@GXpZo3{hMm>cuYncc3RXMxhZgL5WSIW5#`!DwX zb(5@&I)c~^f%4gs@v%t|Q{cOd&xj|1b4z~~uJMK=J@`Y_$4T6IMf&r9=W`svSo%F{ zZgZ~Y_ei6(>`BGb6|N6&@L zEI$Fj1gW0z!JfPBjKb`%UboQ$S8*%6kbKd&f~i>1`XB(hL+mJ21P^#3zC(6i9UR_& zYI$+bIV^bcj_Z4H&MSy=f<{x|^C#Lv^#vC)x&yg=MOsR`=e|DR%U&G0c*471SOG32 zaZhI&Ii?2z8S0SP2RXrpZ^O6Pp?7$Vxp&~-|9HzRi+z+AV-ImX^PA5MoG~ zj@9K}N^xeePvO1(oCNEl(^wwJ%`otf683y_7F zU|T9I5-uirH`{z81bj$uSzS2ltuZm%bP!|ep`toWz@8Sc7c{UJ46qk;u)05y+bi)} z2`{a@O@`T)+96#&=`9yMwy&R`M=1v(@caJ+8t%nD91}KXc7Koa z$L(&R9bZHE49(R2-O${7a)_OLlBn5zqBYzH1!uWaO@NO0iJm-t8Vm=lfsW#iyjv3@ z?o2A~NM3~67G7vUp5a&$yB|@5dVOTj^HgH+I)^#uUn1g#qU=!&g`lJ%N3v|&tNB9lj5=bSR!V9doP>Z&fDYc_>r zg2b57)DH=XRO1K;SuSMk71VC!S$7D_&itok&@bnNSAG|JzIhHp$PUA&(hq?noe~x> zY9tN&%@5JUaZYj`N=8f=g|%8hZ3K-bbLf}8$WKA=QrWn?86K7x3;c_K>oRos&k3$U zSO8h}(a?GIv!lj_uhzV1J*Z(LGG++y51j%wN%$UBdr?k!{CRpKSFYsY7T;@E+vT&v z5GWO!B*ZCs1X8=&#+!ng2YkAxGsNJDdd<06mTC?1XtA6TGAC#k^<92wuZm$g+lyz` z69NA@yD#(c>i;rW1%!~&w#4ozes_ZqqG1B9f4xI0=m&o<4Rn#|!Y{x++t%^JJdriP zzWKB@z(n^TvDjqr*GifyJ9ID#J-&-<1AVBcXXex&e`{Gcqp0e%@;W1{q$YbnptKld zHbr#5Ec7+Rj34t`zjl&d=$K+Kj3+-M2MdFK(Z0-S9##Puu(><3|8W+phFaFQh719B zhIUI{*D!K79MtN&S}(VRkIwDp$!o}B z8M3GT*M0Tffe1})3RA1^K>Sq4-_S))9GQFT8$e zvRtXwT)ahNYMiCk@~d@5wswh`a=mbJfv?ax~5;0r0q5`twHNkXpL zH0(1sK)gkADv@BhEPUS5tbumfKG-EB=bNS3h8l;^wutaXk(t--j>GH@ljIH*%WjMG z)T0Et`2#Hh$dT+!RFZ#ol30mDXjrm61l#&gwqTA~4a%9KzSf>TA5ii-ZGJ-=i=l( z-LiPF%fB2!_+>$}X!5UTLOlE z8M3-DA>T}7T^je@4y?5Hsz3!*_pN@1w^uQh0tC~6q=KqjqWJ$=#IIbo=w~I<745@>qWBC)6 zl4O? z$VL#W9GUbif|mB*-0GIl#$>}pbs$W{YY012|I9i114rZSI$@4P->4~wcDV#0zN2F% rQt`Ye!;8y3md3x|Bvwlu4Y$g8|ME2*I?6d diff --git a/CPLD/MAXV/db/RAM2GS.map.qmsg b/CPLD/MAXV/db/RAM2GS.map.qmsg index a88eb07..26e0d5b 100644 --- a/CPLD/MAXV/db/RAM2GS.map.qmsg +++ b/CPLD/MAXV/db/RAM2GS.map.qmsg @@ -1,27 +1,27 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691915181672 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691915181672 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:26:21 2023 " "Processing started: Sun Aug 13 04:26:21 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691915181672 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691915181672 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691915181672 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1691915181972 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1691915181972 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2GS-MAX.v(59) " "Verilog HDL warning at RAM2GS-MAX.v(59): extended using \"x\" or \"z\"" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1691915190051 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/onedrive/documents/github/ram2gs/cpld/ram2gs-max.v 1 1 " "Found 1 design units, including 1 entities, in source file /onedrive/documents/github/ram2gs/cpld/ram2gs-max.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2GS " "Found entity 1: RAM2GS" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691915190051 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691915190051 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(73) " "Verilog HDL Declaration warning at UFM.v(73): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v" 73 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691915190082 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(173) " "Verilog HDL Declaration warning at UFM.v(173): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v" 173 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691915190082 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_38r " "Found entity 1: UFM_altufm_none_38r" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691915190082 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v" 150 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691915190082 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691915190082 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2GS " "Elaborating entity \"RAM2GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1691915190113 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM2GS-MAX.v(162) " "Verilog HDL assignment warning at RAM2GS-MAX.v(162): truncated value with size 32 to match size of target (2)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 162 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691915190113 "|RAM2GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM2GS-MAX.v(167) " "Verilog HDL assignment warning at RAM2GS-MAX.v(167): truncated value with size 32 to match size of target (18)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 167 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691915190113 "|RAM2GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2GS-MAX.v(294) " "Verilog HDL assignment warning at RAM2GS-MAX.v(294): truncated value with size 32 to match size of target (4)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 294 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691915190113 "|RAM2GS"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "../RAM2GS-MAX.v" "UFM_inst" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 90 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691915190113 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_38r UFM:UFM_inst\|UFM_altufm_none_38r:UFM_altufm_none_38r_component " "Elaborating entity \"UFM_altufm_none_38r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_38r:UFM_altufm_none_38r_component\"" { } { { "UFM.v" "UFM_altufm_none_38r_component" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v" 201 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691915190129 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691915190379 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691915190379 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691915190379 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691915190379 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691915190379 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691915190379 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691915190379 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691915190379 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "248 " "Implemented 248 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1691915190410 ""} { "Info" "ICUT_CUT_TM_OPINS" "30 " "Implemented 30 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1691915190410 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1691915190410 ""} { "Info" "ICUT_CUT_TM_LCELLS" "184 " "Implemented 184 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1691915190410 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Design Software" 0 -1 1691915190410 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1691915190410 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.map.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691915190457 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 12 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4702 " "Peak virtual memory: 4702 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691915190488 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:26:30 2023 " "Processing ended: Sun Aug 13 04:26:30 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691915190488 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691915190488 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:22 " "Total CPU time (on all processors): 00:00:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691915190488 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1691915190488 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691916590238 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691916590238 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:49:50 2023 " "Processing started: Sun Aug 13 04:49:50 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691916590238 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691916590238 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691916590238 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1691916590566 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1691916590566 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2GS-MAX.v(59) " "Verilog HDL warning at RAM2GS-MAX.v(59): extended using \"x\" or \"z\"" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1691916598863 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/onedrive/documents/github/ram2gs/cpld/ram2gs-max.v 1 1 " "Found 1 design units, including 1 entities, in source file /onedrive/documents/github/ram2gs/cpld/ram2gs-max.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2GS " "Found entity 1: RAM2GS" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691916598863 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691916598863 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(73) " "Verilog HDL Declaration warning at UFM.v(73): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v" 73 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691916598894 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(173) " "Verilog HDL Declaration warning at UFM.v(173): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v" 173 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691916598894 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_38r " "Found entity 1: UFM_altufm_none_38r" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691916598894 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v" 150 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691916598894 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691916598894 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2GS " "Elaborating entity \"RAM2GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1691916598926 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM2GS-MAX.v(162) " "Verilog HDL assignment warning at RAM2GS-MAX.v(162): truncated value with size 32 to match size of target (2)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 162 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691916598926 "|RAM2GS"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM2GS-MAX.v(167) " "Verilog HDL assignment warning at RAM2GS-MAX.v(167): truncated value with size 32 to match size of target (18)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 167 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691916598926 "|RAM2GS"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2GS-MAX.v(294) " "Verilog HDL assignment warning at RAM2GS-MAX.v(294): truncated value with size 32 to match size of target (4)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 294 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691916598926 "|RAM2GS"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "../RAM2GS-MAX.v" "UFM_inst" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 90 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691916598941 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_38r UFM:UFM_inst\|UFM_altufm_none_38r:UFM_altufm_none_38r_component " "Elaborating entity \"UFM_altufm_none_38r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_38r:UFM_altufm_none_38r_component\"" { } { { "UFM.v" "UFM_altufm_none_38r_component" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v" 201 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691916598941 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691916599207 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691916599207 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691916599207 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691916599207 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691916599207 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691916599207 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691916599207 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691916599207 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "248 " "Implemented 248 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1691916599238 ""} { "Info" "ICUT_CUT_TM_OPINS" "30 " "Implemented 30 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1691916599238 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1691916599238 ""} { "Info" "ICUT_CUT_TM_LCELLS" "184 " "Implemented 184 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1691916599238 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Design Software" 0 -1 1691916599238 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1691916599238 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.map.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691916599285 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 12 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4702 " "Peak virtual memory: 4702 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691916599301 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:49:59 2023 " "Processing ended: Sun Aug 13 04:49:59 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691916599301 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691916599301 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:22 " "Total CPU time (on all processors): 00:00:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691916599301 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1691916599301 ""} diff --git a/CPLD/MAXV/db/RAM2GS.map.rdb b/CPLD/MAXV/db/RAM2GS.map.rdb index f7b6ac88ac9fd32638a3a82bf886b7fe9ef58d91..45e0cf8df4b0524ae996c1a0ddfaa1ff1a290155 100644 GIT binary patch delta 921 zcmV;K17`g23G4}wPk-4100000006N80000000000008U+00000004La>{d%}6gd#? z2DFFD^It(V{qFoKN6Y~H~tb=ei>BRof!zp z9`~@qlE#ownkE_UYu5Z@c%zLwJ~+9i>3=yS{fYBB&OdM>>n&WS zipkar3wL1NDtEwXKlgRbOpC{-j`R|egFwIy&Hw68FdOyJ_=bZF3AC%Y>~rgcs$iG- zira8<%1@epLh9Oyc?$41>3{42ss^k8s-@?=ViI0xCvtw)jni~`K1sH8(;877I9S_S zhw*SU0eGq$mw&LO4OSm>LaRPZrGx;pV7TSxkQe>zt@Z}I<)F)7dG1;$u<$AcPHSm< zAax~jD80%_q=k&B0yI{q0jo=Q#0&=_b#U4;)k4FJQ;3P|*^<8(z=Y7=HQqrfB)3o+ zT?an231vg64X-qnP_`yiE`34!f*+!1pfS2KtgeZq(SHn4dl@bqg{`l2WXxKf3+8wM zh0cA=m5WH^6AHD|@h{zie`wH2R<>aM;vLT&WKIWb95=NMhUZ!pB08e8G;jL6tZ?b3%mI1=sveUGSGL}!py%t3pc7ua^uGeN)AD6jFDQZZkCbbSnM zjERMsDPOYOd4s-Qv{|&A(g9@`uxfqXo zxPOc${ZW4iPrShJJbW~S;bbr#4?-`QKf_#e9JXV!M<#mMUSb837rW@^o*! zJC86@y|0^lk{@C_+Ez}u_Fv${zQbxEyEmRb2==CL;B}OCBSKvh*#j2=??$J% delta 925 zcmV;O17iH_3GfM!Pk-G500000006N80000000000008g=00000004La>{iWh+cp%J zwxGk*q8PSrrybs;&18n-?TOiYD;pwAfAqEl~{&B%AIrC&wbM{)8X;0BmEl5K_K9U=D+nPn2q{qe85451lmJf&bW0# z)o{do&22cjErU)j`XQQ;3P|*^++{z=Y7=wcbG` zB)3o*-2^_g31vg24X-toP_`jdA$>{vl3$=_pf$QStbb{Uq|pq~co{Ang>9~MWXxJ! z2BjL6tZ?b3$>xD@UjeUGR{L}!py%t3dR7ua^uGeN)AD6jRHQZZjX zb$twNjERMYDPOU|d4s-QbXl~V(g9@#gaQFC|#M$&Yf%R-hXIRwKcm+(V?K?7#6x2aZHwBmd5Aj zOgpT&coQx|j8^UX9n~N`Y7x~6wK{u?EkF5od3GT%mMG~O<~?DE|Ha`6ETk@8M}E|u z(Co+EZy$!o_bS&BJoiO`30ipxPw`>Iyz(`Sw;E$S;G zPfsRC^9Uo=XS#hV`31J41LcJ4{sB(xhpZ8@f8*(c;AHwcyo}Pm57O=m(i)zQf8LJJ z`%CC53fL->o!%$kEzduX7B%4^c zoayeFsy>Yc1_oAz2>x{j1GD@(*uJESv4f+ztqmzNHzPCS4^k0lb1NfK4$dE>Ke(A# zSeRITFmsdsmLdIV?qp0VZe;HCRTru8KNAQ%|7Quz80kOxzjM3be`PoDGjGH!BXMWf z%b`3nDZ4);6_t~1dE`i}Kp>E}jEjG+vwd=EJZJbOtO3XiY^mi%d<7E=EDJ-&#!ePf zPS6t*MPjBbDA*an4bMvm3!x4#^Lid(8hFCT`1Nb~?X$VUCfCz;y}HfW69>1%$FcMz zhv(B#KAY!H^93+hg&IpB>fCJnVNK1O**Mq9CPVj-IuLhL<8 zKa2U=$T**MVuw=60(L6hBh76v^KyNT@y&p_`eP%~L0hHqfcZ*|eHR5p^tgV2a>R`Jt`Ul_f}kxeyDec zODzkQ;I>C%B7807Cu6T~5wjI0tso>f=d2SqgX_Ni_8iYhX0Yy#k^1;dYqjh+`(|(2 zC!^QUxzKXXL4RPf{N{{HHlXsHOYuEd667B>SLrg#(P}(s=@SM&rDs-G`#Gx<~?<6KQKA1SUfjj zZ!PW^ez@EOB)cxyO6;w_9eunr?8RpuOVpMdOdHJ7JW@`Vqb~c9*j4vPSZ4C5dtO(s zA9l;m=Mg6)6=>Eg<^-SH70M74YnATLFg~d1hnK|pV`=zua8NoQS)FZl?>&<~j7pNE zq&pgdn13;LPVrb6PKn{m)x=R0%V8B&Ql>I7nk90?z(5@vw&{(P$2jHWLd#?Pv|32$ zqYC(xtFH%2o25|e$+9h&A&*KLSdNf?5H3Y5#XPL#AQCnIF#wK6++yesNMubFBTX`y zQwpfpjB^Mq9IiJoTANmA-L~zmFyiV=imta=jj1cNRvI!|f0w(oq<3et&B7@?^;pcR z-_@kEqSIMOuQhkNsh?7=F>mr<2;`naPP<8AiwJg4W_?BAkQdOET^2%-ILOSYV;lJd ze@(h&P$?UTA3v`Hd|GrDoq8NpqTU(O&hQcK@&w*J95o;DC8K0;^lbzmQM8fW2}=l`KzfS}K`i61JV7M`uJwm}wrIsmsoHXVtXER& zVsol_;oLVk6qDqJ04w~td{L=_`JVGRw9+e4zvP)BdoLkrP>d9{&4%2@O``Pfg%jqA|J(@_}3*X zN7vijK_k*^`mCEeu3!jj2zBbBSsLr{10VE0mv0ZL+k(L4l;}?_`Hbk22cyP#m(z!% zERG|WI-=%Kykl6ML&I4-HdcRlJSY%NPFL9Yw$GZt!+kS$Vbk$cE+0sp0VlPCloJ?#PO*kplHobRTXD ze;G1VZ|`{T2zRJEd@8PaK;)sgzK85TQY^W%+^qO0J+-_{PAIHH7Hm&GxfHqsL1F2K zf}*o+YV5XURL9p_%+G%^B|QW|31Hb?`%F@)~Bf3Ow>rk8FGf>5r{ zSZZdRZ{JL%RzlAsqFqMw%Wq*krDr*Sd?4SDB6pM`e#SPlla5}Ugoa6a(3jE1#L(Ld z#3U5=4RMV7AIIDX`FPwzL#OW6COKfqJNL18SA0!41MKwC^X|N>e^;4nf`@McrE#t2 z4^^!~nzA2J52ew{=+e(wG!pJ zwoysUBsz2T_HMd1iQF)>9*Y#HjG*c=6~AU6{a2hTY||Bg%bR!eQ_Yqya5_^!bm3L} zpZ(nHM0$ZWc`*Ck5MC1thXegVq>mfl&Xz8k=WjgkL;YR*fu!pGtC?F=!$-Z?KV3qu zFA5otIouVs=X~;G52w2*=0r8D1vk2X((x9=G2pwS;0ptKSB^~NXQ3F#=89{BIvMAZ zuQ(oyT{e!tJ)KRd$~eHy;f#!-&CL@~94Zs2ZU_uVnZxIjv??u2@yZe6JFghj@`s(A zJF5R&M2p<7ZzPyqZ>ysmIXR2VIg4XoChJ@#v(yyb%a(vKa$oG~jGyYF5jD*!#7}bbp53m|56B`ekroE`ITu-1r~QXM()3nha)9yC#0db zNVE-OeDg?&3qVnT$3XxQ(1TMN)DHt_B^+gXAW`h?E zLpS;I-9TZErn;4LqU5N58qz?f?O7k10Quo;*=lFj?#IJX3PuqkTC4bS5FT}~hX2u+ zUDyJeEWNBQO*=i@G6h0B*#kDY=pLO|NQ-J1(ytmcd;=Xa7O@TyqcGRt5wvrp)fFLD z5B!PFFesS7pRV`?EO2SymTF@ci)gX~BY#MT4Xy2di=+N9|KnyajX~&_FE{1aW4W)2 zWIGXs=O6)vmc&324Dk&<|4p+lR_Pt$mfo8jLFqx)NTrRB>vR&+|XH@|;) z2TQ_pKG-z@>*vZFp>zC}=UyTt2S_i{GA0x;Q~~68n1a}L-Wm3HruZ4cSI8zL=IRHC zP2_VY&CCHBMAn~wqW1wJH{Axie~7$DE4#dH6x^Cnm5NZ=F6$yVAFvx<7PNVpdT?hB z9z`Y6KPPMRl#A4+xH`;c+S_|SjagDQ<1#o-*31U*j{+nxm?C@CCA*A958gJ$d zWEI;h-6L85!=8})yPTH39>WoUt}0+fzMO$yx}f-cc5%!P@)b?yzSt`S~0y@rd z$mcw1*Lp6twwJoL)n06P`bRFt`c-DnrCM$396sJRoh>!qzcFUIZ5Xw>yc)w2cV$ z>0)dsd8+=SK;%eEM1!}x+=*~tY+2ii@}zvncpkwXsWbI>D}{Dnu&SA$^M=7Suz@o! z%9o1ZP43mASn*DOi62U#OsYjzy`lwH738G83i{E@En5~y3krO;8tOOjc9e^Fwb(}+ z(`#Lrb->qWkBEYXY|m>t-6`R3Fzg{$e)Y>QoV$ndG&ct}FVFH$uO5=7reXtrkRBIL z)|G6aoN-JyKDaSvdcYd_0N$M9<(I7202;3{at89iw7ne`qjP6d?cJ%B-Kn;f?8epX z#_{Y%)=>gPGM>oa%++$xG0L9}x~&y~x!NH%&Ra%WF}zpTQtEPsMsMcx5i@HJkcG>c zFcOZa*V#Pf9-S4@dQL#}C>xG|87spMW<55^68{;Za~O$RIF=t7lEwb^*~}A2QQj&N zLlnd|FTZ^@Sde8ngDYKPLn>WTzsUWf^oyD=nnNm0 zx(Tw4bEQSfLt3FM5i42xHVl3m>YJWaE`i@Ri%yNZfO1yDJAXjK%E6@^SoDTe%b~B` z%K-I?B><^QEn7X-aZ$A9q9Z3bZ2rp4dGKoM0hD^qh#7wK3}z52qSJY}TzJllcJF?_ zGJT4|m`h&ShSDx(@C@4o4Wo`XqP`R?Uy4z@!rs`hU&oRXN>_TJ>xpC)>#1L4ev$h{ z;TNS}RFGBl)?86YmdTS@z7(bCvH!H#+AgA0pYPAj7|^OY--UsyJlF`BR-`p$)1tM< z`bw|kS@+ixgiQvB8F_Np9XI7)Xw@7Q^rbBE~c~+ z?M&E(>&X!_7t(`fu0;lHPv{KnEyDr2VUP>Ld zyN-!R|HK8H94NY%ceEbR&v^KTV!*baVYF)XGfbSUJ#-qcg*b8Kt)@FRMkji6bDd3l zG?lBI)Hm^?sS#{i&rj$P64^cSY}O+r(r^X%WTo4mUZ&$)%J#u&VEz>+n~qXXkd{CL zFjy)QenNIF(Pq?qs|Q*F=U7KqTVe7Y-Fzs?l+ha;c!<2d(^0&$hL##*Kp3jPup(+C z>POvE8qo%@VX(@=#9PjZS@0YN924Uzbeky9RxFS_?C~I**hG-&6z24&UvE_t?*e%- z*6J87T1Y^@zTp`%{s|l=$jHz4h7XU(%N$sMozqPHW0p8ia3iO3id86)nOs9N%R@1p zeUOiC$v2EXoO#=7*8jVlH4H;HuPQpO9tJ@UZ;ktRdgeyGp~`N0W%d+{!&K|hVFtp6HC$A|Qu6zjfwjN;ByZRm+$JnKn zgR5cm;4rRPt5KlQNv$w^Ik1e^Ib-&q4wWzvv=XZC>e>!6TVF_zU?o`7T!3Nbj4mv3 zIgKiT>G}=JIao6F+hdDUy~BYaRvS^+8NwDUu{ zo$DWOM8-VGP-FC_@y9>(+_JU`#*Y=})x7m+QvC@lP^m6L$O3I9h!EUX969TMq=!Ig zBRabBMl-O4%qmJ@hT$xONXpWqD*C0QSoh0(DPfvS*e zcr!MH6zsR+V7OgRE&tLS^%kxzOrag7@|*8(w${8I7copWtYGteG zu&%Aoxi5&RnjY%y{m2LWB13h)K3hq0gO^@?;lmVtI=ZDoY7`3vN#sw!(rjI$)k4#XftooQuB-{ouD=Q5!m7 zh2{TcADjRAR4}r-ol4H}^6PN+b7e8XWGC^y&1%=sdqeJY)}?8T{VUOAEnUGmQw|a< zr8h@(zw0`nLLFyWG@eXMY2Go+UMq@X)5_XF&_y%HAHqK*q#RPhU)|x-yz4h0qK^p- zPJ<=swU2c04LPT`iWl3dhJ)q>!+N-eS1+oKk*)i_okPnP9>&Dc_|7{kYLq8RZZjvQ zB8CBfV`?2Jg8_=F)ejw@syK9yG$jB%ZoFd#wzFl z37(!vafhmyo1sxqZ$}Hit|R&S(>y>mdmCN1so}Q!XT)9cxS}ox)vdhUH*}vy>0cs@ z@Rt#Kb)p4z;zKm+BksY{uO5j7pmeU?sN-LyxfF2dY##@93fNL!;nFkfl=6jw(RPIo zoS8=Q>0uI!$EUeuenW)eFEYkKiK*Qb;aBzL=U!IK2H@PAp~w?6(t63?{K$?_cEdC= z(95`T4FiVpA=5wQwpnJ1#K^C0S_G7YcUD>yF>*2V`{haJj1p!>?c>4MS|HdQ2vV0N z}NCk9&)g#ISgWvLBTPFC!1uBF!>I($Kk%6$o})=czb=<+Lk` z<+j@FoqOa2XT z%GH%domh^$iriA)=e{` zpQ5N@u5tBGy+4~xorpnN2E!j8eYQmPY+PHT$yVr5td<^&57nAL=kqpp%_isjd#CE- z^z)PB^o@m9J4MQNcbLQzUABAhw4C6cXEt1b}$#aA6wXYdK?o7ttVIaz|Ey} z;szh;pI&IK&abz&S>E#?9c(lbYj=8Uduw-EzVPra-a9{5i%L`)WF=JSlkV$qqBKeGuYc zB(FybF(b1Cm#EX_CrcW-!@c3-lGO0GVGlCz%xDT!(A_+qqDfu-b^28%`ja4V6@&hvU z^*Rb|90GHL=e}dUfo^*RQChtD6y4V@Ue6+W^`j<3&lS(>BpZfb$YhHpD>*PbkvKxl zki|ddw2pGQ3jy;fk&5nEW^dT!<8s^?=1Eb7LFwBE=-aIuhRD*v z`GW2CLw%YEJT-%rKHcFLC*SyreMQp^eVY|mfyEl*gE)i=+$`RNQ1U1w=%2(wG}X?9 z+%c+nJZAzzKtLpk2;85k@3eg9r*tFgGMo%GV!ocR6TRC z^S$Pq^JkafUDd~Q#n))N4eeS7yrB3V&3{b0zKCw_qk14lR%qobhV5Q*gjAw$L1sWc_<#!*VdKU`b zQG87MLSgv^S6{@i4^wcKG)SLs@NM}*EHR;VBYy*k;cq_|?7pkcR9}K!;NuI{u1w%u z=k@<4PBHfAsZNWlu*+lb{j4FLiXrE#e%=Ht9;Tck+BK#(PogiqzwOq{%ntFAB5Pli z;{WRYZ>Y9=sQweP-8<+BpHNAta1`|%Dl(XZ*C!(-I|nBNL*(+@p&=2)7IG_$3V-g22mk3ok<9r9(xk4>^3El&H6gBmXyk#`kWd{qKC4FvL&l6m;sd-Rtp&A5W9 zOFUc8Zgr7Q?E2G0l!L3}8|q$MId1Lr4I4N&)jOUdr_UchsW)(Lr*`_&6Jp0hcdUgk zX}frlUMPy(H2<|n=n`vuBGGK%JbYE|Gre_Z;&qB)xVVG>%IZ}kX7@|3aue?2I%^E` zZIU^muVcy^gOpM#0RGktIl#YPt!`W0TG4E96lD#D&P~QEby!QaM(7f1_$Fc55-LsY zh@Qr#>{yGA{qeG0Y8;ljZX(;K^|5YwQezxk4N0na+W2wcUeZg34OQ~rgE3z>M6XZj zLorORx4j%Iu{KT7KS|TFL;f6j3iuB~WBGm--N*5-+e;iF5QCbbmh|2f|DL0Hk}qi? zT_VK#i<7npn7tubTFzPPpc47bd$~dJ$-^~IvOjXa6h9Mc(UECC4^pm~aG$!9%y#TO zzLi|L$Qe#QP9idKvN=CO0q>=o&@o5C$KjnR(L3C4VwjbQtIdjwjiVM<58XE`Zg%vy{7 zYl53A37y*+MUyA@6$RdRI7e4(Z#!+EkCCkW(|Eva?iC#K;&@%jNXP;6D6w z8Mk)I+pZrPT#CtGE+2SrTV(K81T|Z-Tq2s{FhV5#r|b&=uL)YEyrr$j# zQ%6AcDXhLH-J$7h1(P(3>aj{!wAN zYDeo!g9q9EOtJpuvgh}ztODaultW1=2;jBoc9r<;x(M#fc9ytMzg0!MwaM*|QFd~l zMwDXGPNGl3kkvPD6t2c?kVLCi`d<{4lNK_rU*+?|GJ%;ggtSS|N2o`2Eu3ovxM4UfTg5QN8Wp0HU-{M<^! zt8OOV9XAo92s)M3^W!ymr5X40$oB`CJxwxybLE)xtbgY%yz#c7kNe$Z|MDmj|CP^@ZvBLA zUCtVRK&%r@#4PrI;o2Cbi?t!imlD_r?WT{NzsB-4ELR~RBId;`iHWw62qmMZHSO_1 z3eQ*4J5$|Sh)T#s9nEjNA5(jDvo$sDh)ETd;N;X|;jS*p;RVVb8Z^;#k~Q#!dzKj% zHimmBT155qJm;6R*IVvTTUoF??yCYL_rZXOR#^3jZY2|p1h3YK7vBd$Us!Ay@p3|F?_!9+_T4BJI)e%*%DWt8)EF? zTo`+GP#^0JiwhUs)p6 z)IsPCH($DuqAjMRxU1|dK>&Gz8yCn1Q{gDRNG||en8$_~R?@_V3tHxHEE>%P zWDK-Z-E=TWI}T6Po8P9o>q@w{Wy-G35J(Ktj8wHwE_qiw66;K@9e+vKmps#iURs3? zM=dPJ#N!X9qa?lk!UGA_$xY)|0p(Wk2bU>tqIT}~Ht-0e_Yd9iu(NJ2LQ!c@bgRp= zN5?b&knAA*#+$7qVT|6IMWNvt1%dn1JSjC_1eF>Bnh{{^ds~PL?(WZL?HaGuF*WAZ zEQh)n@Hl0v1G$#VEe8TcGf$pY2&$uDQWvNho3!-kcKf!n}C z`%Dr(JKOH9e;~hm+7@qKNo?#!zm;&_XbSNRL2yx&2epo(6XXsuK8ALID@Ke9ICstH z9%q8>|3O@Dkxn&LpG3K^-= z&%_54sonmT8zLqMWnS|qI>((&2tE+iwZv{nzDylYrOhwny6s1@e%6R;h$O`Px^4f_ z>zyY>bD3OcN+hYkHS*lT)D;l``x!=5e**oPCMCD((VE;`tUb?(|lu+@~_#~c@U z58HOA&Km82>fpS7ZmcJq1LfkdVbZ@diD1Ny6LS*%03ig^(i1qFz!)e1??E8k31fE> z>A3mq2imNg$1j4cE6(G0Yx5=N^B?<=*3A2X*6}y_E^J3HO=&lhU*+L1sI221AdB2c z5(FTqKloIrI6Wu?5sd8I^^17?Irpd}hGF-wlJ*<&Uzo$LtgdsPMFlTV-w}O}CjCez z&CNTO+^!1Ms{fR>K&+w(cv5+PA_Dt!`- zy$aPiNA;%q3IVPeeTy$)l2RM*%+2_S?tC=Vv>^GIR9u{KLOFAaTX)?FzG6Hequ16j z_LC0SyVtz{DL=)DhHbGg*tZXa)A${^f3M~OFQQ<}q5~}EA8t7zzP|Er&fM1kupI41 z4&XjuqWoF3$J6Ehe(dN>wd-?4_ZUE!JalRA&@hwB@(v&OblcY8A&PPq>dz11Fg<9mX*oD%r>c6J2tF&3GG}n7Ko)u_@64e@RPs2t1sH|xW(K?!K5qfWVLyRhSD81x zpL~F~UB6o;i>o>G{gbDyBjsWoKCirXtpoG*Pu#dZp7(*+0jPbpt;w#Q?mK872%$H3 zh&*@oDpo4+U49rjNEq+w*T-blM=J(LP#m z-AAbZdpGckHQa|TV8DF#Q;`7GGgv~{bJu4le-WBFJUULn5b ziQB{(KF2-2xdnqM4 zP2IDXU?=QtF57j&AooiM-=pA<>Gh9ke!myFf1dBj7q_80d#IgD01x;)A03bA?%73% zvDl>$P9~&VJ0Y3ODBZ*A%)>wyZycy@n9Y;uw=B+^TOkjWC8j4)TgN8csQ5b$CnS*a z<5^mHx5s9RRQ8he>0&eFl=JajS7*D{mHoYT&#SXdGp9o%r-LFH@YAiQws{KOb}vfA z#aJLV>(9s;u7C^JsM;}39VX3*5CQ~v?8>POc*B2n2;5wiZ>=m0EDZ0|zj#Xp<3d+f;KQRKv3%)#o5#EA9m^@{I6`cil=>@==E zok$QAR;iDIh!$h;B$$w=j3{Q}Y3M1%Q?fl!GbME%+T=W$Hfl3S1AW~w`6MW#Jk@Ctv^_G7C5BxknjVT?6-s*MFQ&!EO zB`IbGBOO#m1x{UXYUgebOXA$@7QM}lFp)aUnW{}8k@wZw>((`xwl3?}m}Pzl92yCu zwGE<)OBmcxid^N5A8b5+rQpMKn3!(zCVT<7yy(9Id=Pkiupfpw{|rARCyz-5=f2`E zD-QcOdwUzYmkID`pvYrE(|=8|-Yg;D>u1c{PSj;?!n_3-yyhBAgGO;=8No}75a#fR z2nTE)_+8Zf^*?KX{pPoS()xBokHMAW9%kdU9f9O(MNfA)s$2BFcQ0B;$gR_aj` z)1`+Zwqr3Dwhsr2E)8kUp{)74bq_dc`r$VX`S%z>j7;5}f^8f;2A{!qJzz6WI$@7& zdT@&JIV~r;#02Y#mltPF?b!4koDld~y`@}@U#Zwu2Cks@&4=dC?yuYcR8kI77rLqT z2ef0N8JnrtEYF?n9JM6o0PGEE_Z+0I1-Z&k)SRmc496vTJuJO=Q^zuS+8fxb+Dx7X(_!4cR`-!B2XCb&Z~S?UEp zqSp#Hm({oq^h(!+%UQX5Q2X{?=(ve;2jcBIvU&tudyt(zhM2E7_Y~-9%I$bAcyIa1f?r4djMz&P%7) zP`3c!?MADqn+*3Qx^>!iZ1IW?iwIDNo2Xi}WD(-cy4ArFToaN+1$#|kP&|}rGW1Vo zD*1WBO;+IP@-VQQ9gwB#zJ~z+$SU*H8C*Z(PTF*{xw=BSdu|Q;o6MG z;FOx?PQ~D~2|_lE8*olOfL^wO4{auGI?Y}W{av^QWmrEDmy;0}*HaXpac_IO)5jld z_kVw6dtF@~1a9V{esq47=vww)Of@Fn(d^`Vs;HLO?tBk{@4jo>g0pj3VNbd3y{U#d zo&#W?at*8c4UDq>0Em`x<>V0G3?1e- zzVfG2@y47d2#UxzrB;FULtUoTbTt>*EoX$AGWTVldtMOM?T!2C;#YqeFOK3@T&qQ+ zN~Nij#6<*Yd#sSyj2z?G@QD_F87h-3Z&xoEfHVA*SYOy^utx=X{^L+A3DK>T_f0YI z@MV1~cDp_lzH6rZ*!*bjmZqBq@CI7nro!WUG~|4Oyjlx~Y1uaQ5UYU^r>0)Zh0yhn zvk%K)d!p5&>AGhYK=$?$*r(bH>?Q@giTmveFZCT*;CJsW-VE?oy;B_kw+8*%e?FL> zD2#;*;=6rLU5*3`42H#QZp&M`Q%yfv-nW*j1eVYNI(PwI&H`=<0#6xUS2{iG1_w_4 zMvs(Kch+gAKac1f@;A?-NSM_=`17=fPO54tnvwkw~Mx$3RQ<4J$CFrz?sY1FMJ|vezmhCQ!j) z3`JWB!dE~kkczR7lzuDq?Y|(tqR$v=LG5I95O2la_9fRXG?aFUrSmh~uY>Vl8BIb%Xx4$RoH=%?pl+(MB-TB5I-wi%L?gh=oRtal2y$E!eO}D28 z5aN*4vZIS!i4Zu$s_osG2T_1ulTOE11(RSONYR>yu38>E=CE9NoPU%R{WT=&Lr9vA8=`Qh;2_0SzqG5=WTj46s9n=hF#z%?f=sTncN}@=yI|dzSW3 zh;DnoFC!7qEp*@NfQn75u~c+rN=rF7Z4I@dd41w^wjE*^;;dULm24h z@UFxIZQ)~y3MWcr8LHng9*310)M0HzBe#AuKQM3C1?h+T)ir;|Gms5l(X*x^#5I%F zK%g?8!=yV7I<8@Pxr54ofR=~}B6fi|Ukpiq-FMj*(Gg;_!gPT$jpl@lA?MckYiw*8 zBPRJB4nFof7m7=t!}i`G0Y4>fMAwHJ_-NZ>qy$5ASXZM0xBp@ddI_v)4K6%>X4$?+ zFS^haCQ&%%hL|elkoC@rIH{-we1N>gGuycuqsh*CnEKVa4tM)OK?8CAnA6S z?%$f}yf+Z2b^8WFz#QFy&-0DHCJJrCenE5?TCk#~bLXqCJ~OaaeIIFt;}v+LTdGsCOBzl1K~J_TL$J=7YPqmpCtOkI;3-U^x)-;Kd7ai2Ou(&3T87lP(e8AA~q4{Qb zfJ<(HGu%pN!~%oaBeh0N-g=c;DZ+|&giA)=I`|6K9SYpad7gb-4Q|6K)`OGqt|fVc zyV&&83X(ay@M}?l9Q?{;giA`^dXd>79Dy!rK?g&?kZZZrDy7+CpbOw-mruA|{(2IA zr7>?Ex@$dPXc3Popd%70`qF)a+^j#We20*rYba<=g%dy~RE7+S5dfGD zN4x51CvWLmsG&o9@|vf^SaB!a3>@W)etLqecMhinHerBng%8{Nmh(9wSY+Pi%L zM{fsF2tU&nhc@$5YzOXT31}b0d_DjaLZ|A^QdY?4Il>tjb=PRm3;CKVP!00cem8%8 zG{uhm?QeQ9Tf$jCAPrv>cEIOwsTv9kF|4J7ly}JQj1l!Ec~|PG3*T8M{IAW)5m2L6 z0wrY)lvm^ww2l>oe6%}!&U<vINZLgK-@2Z3IiI7ccA}(Mq^1+8(03-k09!!43 z43>;J_PSY&qHx>$`k8H}W$^H!pmQ_E=Q=L_2p1JUGOkiP9dAV8#s-eDV!Zz}AV`@v z%c^@TCyfYm*eZ7Dd$sSk6g&8QcwP(^j5rrAYBDxqI2HV5p4I-~@TPA@--wJj>6vXX z$7=@QZTn(W2UWe{VgKw9Q4Pbxhppd1eG`^a%h+-wM-QnIkZX9Ts2JWR|X@&I%tIPYEkpW9)9LN`O-ey5_*Q>NTHMOx$+{Ox=nnW`rGrgEa!q_CWki$}@-Q5D!Co(BKStL=|sPSIP_{sLxzs22QJ&GPB*exmG zH;REOJ{9m`LYIqfzgkxZJk2zi%>KVypZ=TzFK;k#!rb3c_TL^k?wXTlNwlu&%uD!j>y{ljyJ+z_MS5Rkm730c4~=e@nkxauv9|(i7Xp zeu=H`wT5~`HJMG7k~iV|o1Pa*2381q+f`Ut0XRS{E-#bEWA9q@3LaRjos7xGGz(Y? zr82Vp3{WVQ*zLvT#p&6RPe*4Zu)Vv^59;Tc7qOveM+A{h0{*N}hKY2&wprd*F5 z>i||sj_Gqu@PVRM+ghv0?Su%S0#x1JJbxSlWJB`S+ld}GC3&+NPyp9&)x5-GN~2*E4!k0xHS;d~MDnq>hA?K(d$ zx>hRC+mpwWP|4}Ku3coQV68KQ4?B z<6D^+sT$u{BiN!*aAxLcDO^9QdTS^7_Vv=Eq+Ux`@3LPc^!drV?qYw8?jk6` z3i??+gEKz_aw{dQN7U#{D0lh5ysV= z@u|d2dMpv%c@`#-J(p{`De8gsn%|^kejDF zj;EU{t-dd=TktGWWdy+4D6{yHP2jI;<&e*r9-1#G7IJT&zm@kh+Z7jzjZ7Qj<18c~ z;7Wd^4`>ZSVb~%UrHb9k8=#dJ>Qh}l1+T;PeV1YE(Zo()1P0nYOxiAj`csBRkVX1R zSEYMXB0mohBgNXF`qkvnUs0s!z)6 zi>91KjyV=(GNhGtn6^nq62B3>E%@3!6>GBdI9L~gEAETCEGj2I6VR5Ve}baN*;!5# zZ6)FPuFPMm>+``lgmkLKcgWV;Q%2CA-k-#}CojmJufL--TYFbdBUG~`RWl5^LtTf{ z6T^X*fV0CVngk*<weLQ#jzYX=DpZ^aGoMrU@ literal 16551 zcmZvkV{j(T7wF?`Y#SSGY}>YN+jg=W+t$X(#0RgFo1N}}xKy1D**6&>1%-O}t!Je3ji=K&|kyzNx%GQ*aor95>k&A(u znSq&+iHlf8npoD#)r?ro)XMd{tWnGVOaQt6uO$#O`2XeqrDcNulRZH%{NS?n)ZCur ztml-Au~Q_HJ%585ARnbjL17$EPfi^qdU+kA5T=}yv-H7?k;_u>E|8KMi;0PqV5F#5 zSyq=UsjI5uztCW)YR@Tu>uQNUQndMHFfiCozXGndj%!?|`7QDqW^x1#fezCbeoOja zO-uSdmwrI6tuhSQusB1WrGI=Jss_=klsQ>P%WZO>xM6Vj3G`OU)f@ooysQJ0uE9E4 zT#h^DxOMW{Xn$a#$?*bzF0wQX!JNNU2m%;ovg ztAM(UFUH!hF6=)~G9L$nO3BJWmwk3Z#-XlUG!iot*Q z;SisagCJZa3c4OrFK#2rkE^4HdAr@OKImSx57gahtwza`Q%_HOCS~7qr8l$mmtwgc z^VVy=t>?ckb+h70a-PbfSavVjUF2P;o+!w{>@%pu5-G35ub$m$HBb)5Phis8MjiF zeO)K~GgjCBE#NK-JhA3HqhV`4u3YrqG5Y*qIVAtwjliXc5K_f2kg^u>2g~V=ooDB= z#lzh7tQQRdvt4Lc)SQHC$aP4x{{r-DV6@o3`IcK48iAdG=#O5tKk^E$0(|ysY4vfr zH9SAOw%8Su<%SDyOl|K~tU{&PeezBoT|=s4BDiPp9G4n<#fcZsGQJF2^lD1@tET_4 zCLQf|pK40Hq9S$G9ptrR1%G7`;7vGIx(Su%+vdWZkh{@Qkurp*djbUlFyD6b@ikHifqHh|q5!Rq~X2IlFvMlZ{w z6hga`q}`neQ25DD-GP0T4|?Zr(|?TzanMrX9{w#%;}iEou5%g7ZHNB%Fx3Cg?DO`y zs6yfQ@-i;9(!|@@4Bj%&S>~A=h5k}R+q%rVMx7Rk<3^#DsKYwGK}mrdZQ`Wi{0x-n5n@kyw+Asz#HRtE6XA1>@dEqiZNtas zs{_lKEN>|7O`f!ZD&Z#oCEoC1FzKiGWK?^uT08%hum19c*J9}LCgHdV^Ww72*%wyP zmie~vxk2LgO|S8TgUIXNpvHz<2xN(7jS%7xaP__Hnm_US_X@wx+x$XSES`tqWqp3H z5mC)G1A4gHCbsiknAz;Ihi?rDc!$3+ff=KPad&U9^v2U!H=y@xk102z$!^jri;x43 zJXBfNZ$)C`EA@uux+L9`%0K8!)tmYD9sQ#_e{IU-BdPq-w%ML%0=n|D$2u|3>bmdW zi{UF}9DQySts)32k)QTm-0`0kuE*OWBJBzOgO^O+GIBoqEsGqua83%kr@}H!dYv1p zxqwXQNA=t*blzFRlzG}*NTv}HbK+BXx3Y#2A{|hYl{sg{#^zr!e91k%0paAXT3+oc zDQKOs<)$(_x=UaVLAV&p&gC z@s?#QpHxDAvXEa6m=B3J9Lz32hIElzWohZ}2#5f9q33g1+bz$6`}7kVr)U{&@<`Z{ zBJQu?k`cYO#84j@Pq|oy=qa-1(6I+(UoQ0I$NV^qFzWdv059ZOz%-`0 z5ahhIR}aa;zwq$$YyJdAZJF3jP6$@PQg%m)-NGQB0cNN57olKy?Xwu<16oG1T%=TM^vcMB5juNCS4o8Q9S8J5H0y; z;mXxHpiZpK zf?vosh&ln#7^jC521W{-M*4+~BEP^UkX&JqiY)vR4NupIgh#1G#@W*&VHV{XXkMF- z)Q7saiiCg)k!`^%1i+;e+GvhnEhEbej;axlm^68~Xdy9Lr35%hp`Hf@O_hV@F2Cw? zwNkSf6SMVak-wAWv?S*!+LtQGX4%fOK|L)`HOs*Q-e8TKx1^2!Sg`df#Q4kcGM3f$4lc^=9#GiuZ@zp{EZ1js{?%Bbm-s3@ zb5ao%ix3POO#s8uD${Al0w)F9sKW3UGo9}_8P%@fn}ap>7k1(o0v^N8&YMwF#%kB+ zZ+^)j{@KovUt6;h0PA)4>$MQ1(y3{Y<{op4vQP#mr8-@S(bytX3U4=O`}OJjF1|;G zTqkSurQuQVmxGP1W!tdzp~I3=!Pe;PZSQ>D zC`UdrdeyaVYr6p7e7Y)yM>zxcGX z6!G~D?E5K#_}($i zbW3u^&*r5ve^VaPCNSnl(R8|+&JO2}t=>Pb#(2QG^FVC;fyKpaq2>xBXr-)~P^Qwr z>{c9p0_U8xGQzC`fSBXekKfeua`ThdX@^f9f?g`={=P*l@0AK^ZHN2Og;Jd?+;7H3 z<>M%>vO^L2&wu|fgEOT2bxS0CW#oEVTGbWHb7aLYgvE=&4ulFJAV6x|`ARa)(dlSi zA*V(Ao%ZsPe1F?|ZA4xe&~IhXbP&Z4)*-P6iV35jQYu->vsyx9g#nM2z5LAm*BQ~g z4hJcUZB~uxsuK93h#SyVa>(32ojW0BwR2;Z*#e;%l@c7`cCqEN}5xr)8p6psg^mIZO$|X`FCb5 zBiBvOdnxvz>he@;9njERYGL>LU$}7O^qy+8j7BcmqQIrb)!B|kT7iy=BQw2(%FV5$ z)^8KjcdNxea~sa!MXOm*;x0(HDLm!gCfay?>C@tjr41<2=6C}!phaBF2+>ED9E_O~ z@j-LEGzign(MINm7yp=<2~Qne4x|$@=eIb%;rfQ>8@_J@z7fit-w+W%Nzf;1GAHU= z{4^{Uod!3buG=2Vn9e$oJ(hDWy{F)s_e#n>?Ua&l;BYeO&Ew##zgxj)L6GKnsdA5d zt#VKMChwcFZ)(43d95<I*Rfob@SWf1#%>RZ9^t;mIE!hMg#PVqM- z_nPrr;X7?u^ZTajn~!h!&c5%z={jq`eTNlr4m~?)7*?=GQ6DIVB9ymIRO7>|keYm4 z)A^PamHrYROSF%HvPbJ2U9m-5=eC61ev8vHf0)-_|Pb(7fiI#){$$ydRF9u#+ zbf})C5c3$z+8mzQu%^ar$UG+jY2m?`l9}fBwu99xL5@; zapN!xhZx2H)cyO-mK_ju_C~{XP08C8{^n+Gox9!Pye8x2<&;|O+>GMasQ#JE)E46) zcROCyQi0?WOGG7a`1*yNWD`(4WRcr(fvJVtPl#(uh3bO)!KI=tDhb+CgHzH52FU-X%H1npn}?05gLc3O)`^*R_OOby0&zbKGoa_>2t0 zV>5osbrK)e)6*9*BTrT&s3xqNOG;C=@0<+goj-zC}%Je)OV%`no+) zz_I=f%!?CVr4<6-C!gidxj@ZDSTeyfn#W``2z&eSE53oU{95t%29MLAVO#)+&WeQC z>>m4v5w;3pgp^F0J2KgmRw`?1gRPO4)SI#bYF-Ul4=|#XX}Qsn-itgh8EyFFgOxW^ zUv)wixf1yhg@PyYBV{x>#C7qS8X0Eu-W1Qnq7}!PQc@CNEy&<%*b?r(Qtd z-6WM$<1V1i3EU02!TB`)zyRiLd!nlsesos!iQ-JzkBC$V-~>Dbpk&EPhzJOXkD_B0 zA^pO^HGrtSl1W|VZ>yakezSsnIBCFrty;Z=pYRb~?Zj>Wv(hN1<+ABvLoDLJj*}V3 zIrLQdKgoZ{0dRA4qVWPC@)^@?z|OU=jgh; zKPmDVRb{=0l1~(hn|y~|&Qy#zfjHoCsgnG*3Ixwx()XWtZVQ!rMmBz%h7iLpOoeza zAf~>CC!&dY$k`u2evgAX&b<5H)di9x+EobrC7C?a=z~EHe5S1s+`FX6_@J2HmlfTB zhou-_z`}mVMJ1!gyA6_w6lNlHnS9_AX>uwJCFUTm0pbJQzL>P$dP3#pB^c`e0rY8A2^Kn`&HU3Fe$AE{PzvN%KFQSaW9y2 zHxgcYNK!AZ6iCQ2!7xe-EwQ2#{|E#Ui#PLEM88>~RD$T9o}*uW@Zh6S0XhHA-f#ki z(lPR)qybXCRwqcy16KNmnCyg^_ql0{a4jj;H7j!erGt_RsUr*7>@2x#UvbuWOXc*r zdw@ZvX)ccWPhEqgyTin1eza_iAoR-3(ckuQ;Rp)C;Ob)e3+XYQ^lT(A&8URLc4kSbu0#%Hz=Hv;l?nX?oGRY#4N7&yz1u zr1#yCn%Rgqz~M?pH=fP`tUCw>4T( zQtoXCeQ{&Oooo}aP)<(^Nz6&Z8ezN#TjkGR`Hbjnu z^UN7G{s6XE)}>qG{0ZFJ`phjP6VGa``TK)qq3P53k$E2Kh?$Qs%99x(f2O-W#jb;t zL$8~lO@*?82gF(bXkWpFxfWE5Uj}fW2qbu(mr0-Iy#MS8Jl#pZdzV-LbL;@tiNjM~ zJa@3{yc<-1+HJ(p2aX*Gx$lYK{2EC~bGf3ec$C5zOCE!8jFo>SbaTf~I?}scAWNuk zG2fX7dC7XAGBS}6fW}3%c3950{)#ke1#Wg@V%m9JRUgeK53zBK{hUHGui?$h2#hTI z)G(P}Y0cIg5B>|@iA?gMIdVHBnjxFBV&9vBafSAzc~nVc@&VoP;Zi~L?<_0}?6;q1 zQBhS@yWP8tU%EX!B=_t;kvtbfK72GNm#(~I74mbgZKmVlmsqZ2{MdWPJ>6SUTaKRN z4wd#;3&8?5QIFjgM4c-FPOhL+RZ$~rz2nzug!AU=?K=B&;8IO&svE(4H331=x=yqG zW?gmCy)pS5vb^k8MKNBO8R(osh?1Bh<#LPtI`<}1Q#T}FjiSZ9CU1+8tE=1Pyt%yD zZGC;cZ?BBSy?Y1TvM|k(RLp>}p$xC#thNih4>ylZdp4#_&sEd!vC(~b5_kocdHJPY zEsm*VnPGowd2Z{FbCG_r7Vhreb0E>XmZbdzr7aTJ|LgB8W z$3l5QWD@t^(eXa_utH{56dG$HG2X9bB*W^k?wIMNVIeK7HVk1s-XsKa=WZe(RHSnR z3Pp(c(qf!lLRkHbFs%mi(V+H=)I2ekja5BYeQIe|qWC}mHp6!KH`lkD;h1L!SyURF ztw;iilf$c7jy93)GsG@fMQ^+GV~An&EM%Ta85mfL2BY~P6rsWTji{^zIz2-#K1)~AEa34_%ClXDE zq^g9FxB+3ktaK7A2m+|#Z-z$CwSl@p9|gN-hF1A2JiMIBI8-redq{@b+IUdp6U=;A z5EG6h2ZY%#9=JO*GSEFdQ2LDnrP@2Ch#_((8k%#g(U#H)<n+|u_4{Kiqm@~xAjJ23=>W(Qii8P z-r3Tjv?B#A?JXWz!Ob;?KUq!w%ySA8;#9!LB4Lh*zn-a{@^T3byuYA4V(WTj?E+x?uScF4`+9PaJ_&lM z`TfPEls^^zHX@{uzw^Ov>r_zCH?Me(C!BT=J32c?K3{`MJB`I0aq63$)cJr;`O|tM z%P~s5VJ*T>;QZQU)hZ2`vX#{R5g?F*QVKrdcQs1{a>lRqIjVOX05Wa?!8L$nj4`K! zi_IzHt)9B?3p8X2eWwgn40^2V+(3@(srLcF4;!c}t-#$;izb|yOS#EH@uJ`ikY50^ znD|kKoiTi&IOAv-4j&#@7P1XCMe!`k|D5W3!*c1^9y1)VsScjp_-yryUfrWu#Ts|} zxI^R#bo?9x-+U-0g$X@|dio45Na6`g`%i;Uj3DxU9Tuofo{npBhWZQEuS=;3!M**| zm$c&EdGC4ducqF;nM3BI^NoU9j|lzmrG%=u)m)n@1D>KJJ4}VAqN~v}r1wPB{(qO* zIKeBs$I1F;!L?(A{w1Pc8P9{>)vQN{NUc*u_Xy&mOUmips1Ilh5ss%*m@e~2lb+K? z>suqwgFzMWY>B^$vt&x3vC!&nE_~^e3IpJZ{r)rl*(D>v3*IG^e-m*rmRawLXBe@p z%(ICRA8Q0mS^z%vjb`81dn|oVxCqknol8&nRMMicJZZ@+)SUyjL<6L3ux4<7t({*& zhr@K}&v|(n=!E`6H?FT0d+`lmBG487Fb!N9%e-6Fl{@g+aB>vW;^4dHMf$h55w(gictrRw5WFe`?$yq&HM?*A z9*v>4SMH!hdd>rNha0>G8-rE~_v}u;`>eEFegg4`jwaHwGmr9|-7QC)VBU1lkR4Uy zoXy<%T1K>xKp|XuzYYYLm{`QzFsHIgr|!SL2vkkQitzu9w>vK;)QT1PEMvJF5i~zF zxcxB}06GpGgQAr+UQ)kjX=dr-Bx7c)f>M?Z4nCw@LSvV9D997~MdWTj!nsE!!xPGe z2D_H{!LLA9v~(4|CMEdtLdw6QSPB2AJ`r+QP!ABK8;V&8e8VM$(_zUZL!4S?77knb z1!6{2s>|lak?$}aj((({0o#TfaZT`pnjdbu(j0uC>l5K>#)L>1mMo=UtsN1)Q*NR{b?%g^Mua73(Tk^!C%UG|Tt4y4E`O8Sx7!01aYM^IF@>CgIJK*9Jt* zK9zAuy}azUS&>@(s6)$Y24%SJ%1sLW!S>JFCThi`AL-aG_)SfU;WH3a1xieXQz~> z?%Uz;!BXt0vr>k!y(^G47SLxBRw5L^iY@u4;YT{Wv0h+f0(8 z?pwCqG$QpsaUbP2MY+>XvIJxMbcAyU4Bnw83dp|=c5~_fx&9X! z05Vw~p3-x(kEW?Bc7;%9^Z4s)zed{X|h%k&)vxZpD0HuRFpz~p3i1MQb>8$4{ z)3$x;JQut!^C>Qn^2cs-+tF6Cztwx{vbCg!e?3f#*0gtS1S|auUk^#A*1YC^OT`jv zD2ENI&ArdVVEB!>oRQrpy1TN7X9Gpu@XX(?{Qrt+R64xC;q_;+d9iIZ?^j0Cpl7kU zHN8@r<1a|J+U>2`I^#@ga z4~Y5tPGkN;>u0A{l2@8QgCk1*|CCsm@SZ<6*fWS?;KHn+=C}iXj^~y*UbkE&LZc|$ z&i}Z6pgV@N0VJ4?%kVVchaO21yWi|*E&Sk$3T$6h_gJ4iGD@@&eSam8*7t_|Tt;Yl zdDC^Y9>|MvHt;G0=+x|n?`XDZ<554_(?6zB-<$o=(AUEDIUGj0%2Iv?JTV!F)I>R7hbXo$QdYc`u1Uis zAW6v-NV%)F`+a#*(n%37wtz^}M8JmK{%wwwbO$B@-NzD-e)ZmxD?*Q-T23sR>B69!Rby7Wq`>rPI?fxQ5(uAJfuI8!!HMKjezg?yJauC)QjAfwcB z82HK=cY~!0>$QV??L)uuj8ynK_rIS=A+<1m{ijLP#M)K4IB)8d8T&G|N+p(rIm}vi zz<|tKho&`J?kUq9D(N>|7v>a_C-{nz*$%y zQex^V(Q^|5mEz5|X!%X~APXp!O?;m|Ugb$jW({TJ&Z5US^%O;*q`q}$i=+6C9y;pu zb*QlO`6}dvH3Ccd5kxktg-(BRK*FZAoAuNMW_9W-MviXs@QHt(fhj@qj&ec%#=M zmF;69=hB~|xVwVEJIFXz<5}HtZF?_2oZB=dhBK6LREFQ(KtRZ%ZNVdMj&8xNcsF8( z4?e^$WYIXyZe@XPku}QlXFkct&vqd#kB5QBAWtXZD%{Bn_J_Hs?aB=+7I6@Pu^o zKL|JkJe8mB$aA{I_o%2r++mPa!Ii6=FE29;MMW#%F^`Gj=h^P{9Bu+uufZ&Lzotjm zvlG5Xa9%v7M{Bvs96ZE7yIAgQrbl_Q6Fk7Iutm8C(?`O#mvd752XJ2GEDrRp5I&$S zO17QFw=SdKn_2F71WThK9ifzATvVhjJuy2b30}nosp;PqyxKYd9H8Tsn5_m{v@w`Y zWrn`_s&wk%;D{(ZPW#8OKlb_rVQ|Y4_m}pFyTMls2D{Wrh!vxgfaa3>1Fd)X3?QmJ zPS3ww_O`)zXDDyQO}+%%G;vg0{{CCJiHP6D7SjM751Sn|oO!l%a?NKgnBgXa8uZ$+ zL+%UlOwG#IeqMJm+)e=ZaYMY|`Ib_^->&diYy$1ZcYG8YAi7$#g144wg_eb96Yybu z;am!J2ThPucBBGhwU3;NcH@Y{8dS`FvC-#DriZ-7w8Mij?^{Sk;*9E+JAjRQT^ z)5ore-bHw+-<{Lv;x*&4|Lc!Wim&s2d^M}kF7gE+@J7oYan{DATOxX=0;>H&(+zrj zo5_{RJ9W;!{v6*-Rsj9BX8B^%o9Qh3?%DwHA@_}41B73xRz~;djC(;9Lx%7hcUJTidCCFjWg(Nu=*}kN8 zN(B15r(e9-5$(}GJMI%(5Qtnan<$Zrb0K;r{ko~u4ax&U4v+_boad?wNCJOr;i}6U z3+m#61~&8_aasD)Q-0oa-L@PI27r2wh-_nly6I^9jp?0MU(GUfi2OGkLv8|<9^R4b^`WPUMzXL1{x}EYg&8!QwEG*L2s;95b`3}sbsme@h{YvzjzzLH)0#sUvLUG zyN$x4lqMOaBJ&tq$%_Et)BQG^1!-X8MTZYVKJGJ9%cae*^+CqEqn)6-vG(0yif8^) z&A9wL^%mQ{f(0uuCrb{*1)p{+&${*mdh7fRF5b0)kbgjNTG*iOJNkxpM=4X@pu>G` zSa&SFY~g3dqM>e#DT$?L6~snr`#w*O#((tuQzoRjcM%ZzV7t&@Ut)hmSb&On>qHX+ zg+t#r%?maXaj$vRQ5jahGM;k2}EJR&_j!hc`F?G}NSzLbMJxQrlFlnT0!weavy%WK-& zfK6D;Excg(=^eD}HSLae03B!O$F^I`SI=fa4^(pQLpO?r-lvyKk|nE!C}8OHnrfVNTCAfq$q=Olkwm8>3B6z@MzAJ9Q z;F&HG=#Sv$Ta;p8d{8MFvJd{eY3++!P3sErC%GB3PtuBZ!WG(GGU`bm^>teJr1n@i zfDG$3OHc=lzI^xTFyxDv=sn_PM_?TKE8u0P2V#S7k8rBY7iZWd*52R>1gmUB0TMsp zwk0%4IM%|wY8%;8ivU7EY?l15Ypd{xfp}y-8~V%jkuVV`YfkL`-*_A<3TgrIJ~Z`H zsT-5~K8>Rg0ZJlYj2+wT^@n%f24}Ar;xQL77F~B;)OU!YORJPx^>H-*@a*FEm%x1n z&IQ1keiF2AAu}&}jg?!^3OonbN2Y;z+%Zw_SxlO9#JDF<8}9<8(?8j5y&qdX53nMt z&AkvcQHlD$e|96-!0u_Kmec8>?d`lP>JmO4bN#)xU-`oAT`fEy!LoRlrGG$#p2thY znugBK)ro*!g5Xk=J!{R8_S1QHaH8z^ zPGxlh?O;f|gS)5hp(1+U^SzO|>odqg`sD7tAKW+(^2jUuB+k2a7=`YkO}liM4D05_ zdX;;@^$!c)H+e9=gGEm=U*Q0s{-yG4ExGN1GD7Y) z-jQWE$QHjVH@@gRR-D&Jj<=PB_N9;I>oEWj0LulMX?Fhkx$@RfgjWH#OzpaF5i44v zA$zw0jo!`&tZ+en4QZPt8aI1+gzeh0n);w@n+gU(?SFW*YRm9ya`k2Gh`A?)2+D`}w*L zYftz)h3nndAXu?I3`FnH-yW*!IPo~sn*PSLlO32uxx)GIbQOkWBh&g(1wY=v-OWk zfT;YVg2ov^*OP4Ttp&^*=YC#EGEeizYT)tj?ESlPgAA1qm%tGN*lj**Y_}2caY_lO z3BQ!v!6;M-;~ly$uZQM780t#_*}aYe<&q@jRU*NtkfE{FIc=spl|Xr>V~AupPZ{s$ zU8otivXJW$GbA)$m5S1lf|=2>ceIzCfCNJS#6A}RDd--eT%USS`{xY8xk`N$SlFkb zUJVjcWl=eNUxYgJJm2Uzk-T(;7pa#WhEeDMlpRmx=DHF4!Ue5Q5p(1K++l`Xx%3ec}F6{{XDs1LOKTZ^bC&gYrC zzOP)rl=Z1&yag%hsT^h7_$eTU@c zUv&8<-hWz8Q_ybz5VBFt=(+JC9dG%1=na|=P>*ZoFy2F92L6E^b>r$s8d9Y$0EO2r){WojUkB<@{ifiHUiDOjYKEE7J@-FS!`w*$!F&lY` zG74iv$Ey#$AWrPg^W5z8FFG=HzdAmWV2aog7hV(BYGa8}f@NXNY{h4qz6b{&g{M{U zhv#HX7>f?bUe_)8NVlFi3umWUkg81{0p~5WtV1{fB6H>(0-`lh3-X)mZK31$QJZ(M zQvwbLDkJX_bblWq5lTS<4ux?9I0-5dBJ)N3Xca+_E`d9;hA3!UOel=|JQ;K6i0gr1 zY!v}%&c}P5_I0u7cx2;H&<-P)cz@p&67&G+=L#lJLX>L835@U9a0SK)2ZfGs*C>7D zkXZ#up*VAs0i}sIY^L%jz-8tiY>X@w$^a<=#;)TD)SJ^Z{Q^IbER`O%&jqn zDySy9amYv7c22}vNgK~C2vx(Wvdo%4%$o_Ba3@oQxaKcCcJzn}y%xBFR|MrYhN^NLSTR zr@eXkE(qjnFVcR_r8hIS1WKA~yE zPGt6tcsnz*J=ronFOG5)maFoFh1H0(lg*=+DjLj2me3sHYc_Nr9lYE#3e56{wx7(8 z!vL>ogfxsBBhSeD+3%bP0WvYQc438lq?QuF?p(C337<-* zx~w4t&Pn-lfx`wyojS8?=-Yh3&FXVk7>!uJ*8GBpBlybq{e^U@Es=h#(TMpd&OYbU z)+52J&E-$^F(ARM!45q|<`a}##=+tewUzSn_XSSM`2NXmJalX(#o(lu9gGs|CKc?^qd0A3VZ9X!mjC%H~OTo~CKx&CQ@AgBb8$yn$R;^IwPaDQX z_I)~4DYS8oSjL{zz+C+fvkYNust>eXFZC1aC?D1#Psv6T@rihbg#n>9Yed>MQm3tZ zS)z}Id>c~4K{Ium$8oZZk46!S?85-;&$L&``}gx5Xz>Fw^gz)zUHQwv3+NpWK=Zs# zgpmYy6q0@w*nCLhDffjX))TTe1{Gm;tU49HaG$qABgW7Go*Q3wq&5y217$U&R& zmaQgwiUTfXDuC3<)9+%1vp?Pag9hECmUvO=FJilxlXLD8eT7jJU`<4mYH49AE?*4A z+cDes1j7Cx`fDfsv%#^~b4HrO2k}qiPgLcEsM)k|1RhS%hT@W!`;q;jvIHvMPHdoO zJsC62Ww=<)g9LFLR;_{0i9FRU{esL`+Wh5IZqrB2f_68Kk8&|rXH?DV#SYpd=zQ1w zizp7S3cR4N44*;)peL*x;cd65pvfHqmBbTEzJ%Z?OCpiX89DApjP6LBqv#Jr>yIOw z08jh))Vnj~-mtfU&`1LCY{KH`9}x;S3qf!0z80JyFVzpsji=qSVkDL_3i~NmtDK+G zjS{o?J+OJzVuiCTisP-Yq+r5iaZ3`pmw#N{O+63V7%JAC-7W;}OOw(F=iz|<@U~t!Vw7*k4$|)8!j!b-&9uCf^!kzsMedUD6 zeqpF8OSWy#4q*m9*@$h#uvkriXsRPh0SXT(GikgzClx8H@QpgoD))L{SVFU?sVKfF z2krMRHBmbX<1iSj5uxFS0-Z8|Ult0361n;CgIqXL6L;5>6a}i9Kc*3Q!AK5xLy;sO zCZ+UDi0JATrTc;d0r~p!1oc(7u|-!y@AkXB0*5U*)FK>euF;0|t6OMpXz=iexSFhD znFk>JCM(cx8I~r32*$N}S3!WmmMfwP57q1w2h+TP7BZ>mBN9g#9uX*p!IiZwET;K8 z-vw7K^b8vLEb-#Jff1HavVF-t9a^1B(4}ym`VQLF`LDDm`M3!1;(_E;v@k5e% z#*{Khx%qm}6Y8S%&X7boN!4B)Byngfu!uw8)d586ht{5;EInC^tvV94MK`VhC?04k z7l=gWPaGlp!#Zcqt=98iv(T=bp_M)K6<&47FQo3zU5ggMALG>SC;s+Sb{p4J+jWa7 zi=5k#0toqBy^A3FJHZmiP|IMR1#pOy2wR9dV)WQ*=^`=Q3V!>>Sn!}3aJt~xX?0*A zd};!7pm;%P{jot#o%U=02&aFh23&Wk1lNy6gta6p{unn|b$p)8ZFn;xQ+Gq88fD9} zsjeXSA=4LLY@^SRi#+9$-@&l7`r#>IRn^hMlm{Vu<&9t>(g{nTpNO*{m}xHcXE+9I zymzv*JPpF$i+TDWktE^*lTdHG8$@{0-~FELX1t|t7FJb^S?=fGa@~g1dSl1S*s*&t z%OBoa#SP^xxXjS?)}qTGTBH8Ko!%CWw+bL7e~(KWs{bb3I0MON*K6adx=wb~`(u^a zHWdqaWn}1~SlF)c>HBfdJ@OsP;xp-ypb7?gEHY5+*6ZxPP>K_kZ z;0Rn3LfDHm^Q2(WRo(-oiD(kwesR(MJh(h_d7CD~Xpm9O#{nQjP1b#MtD$!S$p*mt zQI*EjS^GijGG(9JY@TuJ4DXE!oKG+zs*FZ5O=cjrKY!^kI96P4TO>2od**9JZl^!TLYecT2k9U zMLsKzQfL|dNDax+UMCDG*VXA@X94a?FQE&DNmq}A3%diAqY$&2U>iYjFJ+FPjzUsL$k$bBS@ z!a0T*_2OJllY=_?7Q+J?EzrMw4~LYP z)^RYjG`=mUz=_1&7B#7);Eh%Rxju8jnW~+K=**@{uRXhmHvx9T>HT$T-yBO}_je4R zz$WmQv#WWGx&)?5BZ-&UH`5Ru-X>2XQjgDi5JodG9Yi@!O(o$KXtX^!u0b`cUtV9Hhb1i_vPM{zYJXO|iGxNw)#u4J+1$qI zX4o9zrm|q{CdS#FH$~^e_~+0?9mx_w;fgGWkm;42{kKX-J97zw8)^f(ykMHq z#qE*z_&0@Mn{{6}G&IQpi+;Z^H~}(S3|(H(E}6O93vz5B&6PU%tx4JFABSO|m9e() zXkc_(_Hq&&#cD!y`4%<7t#%DZp92Sc_#L5ig$;6e9nF2J0Lh_*x%s>p;0~lOn4%1% zcR~pSx;<-xy`wCVH;#%{BDi~&DODm;CKewDrza~~&x`_VC)I1mD516UAI#YjRuvDh zjtn_DYtx3c^P)4Zx4U}}CUec>J+3ksDDg%0Y%Wzb6zQLHQ(|=ia~~Yx~K} ZRS`9`N<`e*ma&)PDc~ diff --git a/CPLD/MAXV/db/RAM2GS.rtlv.hdb b/CPLD/MAXV/db/RAM2GS.rtlv.hdb index c94e9bc4d511f92d7c50bc649b6bd43d62904f19..7cb9e29db7b3071a3a02876a074512e1e88ea5a7 100644 GIT binary patch literal 16241 zcmYkC1yCI^x5tqdTHGmexwyN#OK}PmcXxO9i@Up1+}+*X-QC^c@x5=}%*)R2B=b*l zHj~Nho@5sd3=FIs7W~VCfti0@%wM^Zp`E>{wG}=C2Q33FJ-(o$sf7VPD;qsNJqH~l zBON0>0|&l>1iqB1gCV|%fvLk+Tf(~kx|rekUr%6MaR1H!V{Q5VTXq3I^Mp^w6-jv{ zcEbgY5KwAM;Og;oEz=qE^$ZR-4O`JiTi^qIj&cZdztT=fXlN)N z<)JGXC1qqA8QH)8O>LK>u1C+5l$3<7pP!i}nMJLotu3X-{=Vg#4^EE?lb_nMJok!@ z_lIZdG;`$(fVb#h(|Mp<^WYTA{SZ=-0mWn#_oG3s>jaamz=`W<~XX^gaU`Ie2|oVuzDp5xv18wD~X;#O8n=l%ss*cVz%A1)U61{&E~>}aFRDnm_GT35Y-xYT$4fwtKk2xa}r zLx$6Pgl}Tly^J{vWcuTQjO~C%nbJ5>*(8B=>K7EUMwTe8)3&Dig;kuzPp~Z8Wrc>p zXB+E;S?4;<%Etw_v*L$Ig^A4Y*)pdY)@Fl#bI++KQ-+47F&&Pvv`EHNiw_}*S}l1O zx9w_a$IDNzr_EGyg}(0exQ*fY-ZjI6xYXABV;U}J%N4b(RaQ22?G7`p+G3h#yp?u$ z=jhA1&YxGBk6jn*KRxD*TA<9#W=k3N_u4V+5<&A;cs8^Hg68UmjE`-WtCMcEBLY16 z|8X9`iAYX_;Y>X9LB zcbvB>*o#toCa!_l432~`HK}S9?3FSr?ATicQAhBzsr`Q@ZkZ;<1w-c56rTbfJ4uwB z8org&pjiAEz%I{u!l#y|-RTT02;N5;+&AsARVBs1QC3NMBE;CaQ?oPiq+mK8_Gq`9 z)%V&ENh&y(QZrv?Hn&v9)-3O>xo3%bko)^&U5UosnR$s(v0J3Bi4;` zNHOji7%m>=R_I7vzF~o0lv36oQlDF+W}Ztet<>4xx~)(Cu2*T?aS{^Ne$Q4dn|Vnu zMDn0Pi}?OR*IJ-)Xg}^z=>bQg2J+M(;{!ruRNgi{+*a$3ARg_}KpGS>dfc-M7GcQ-0-?b2CTRDKH0I3i9KyvyFB%@kHjDv4@fRvzd+a~x@elxbv=p11Yc^@H2mM0;7{4!o!vdS5dA zrc|3NH9^Vrvgr|pF9!87PNy$NES6LEJ5Eb@dig+?j3{w^x$z$Z3P_xT94~}pz3)|? zxX>MyX!X*KZyyBSR?a9lQk((IPqGDKC6N_b5AeG+IRW0tySWp_i4##=%8So-8Z6tc zWFQZz!Z#zC+fR?Z)w8tA8_XwlyyU0{%j!v4kA6*5lYf5uxCYi`S>xU>6NLA8A@{ZO ze9|4qO}4NHc<|p5UTyYM1$7norFEp+>7hM6M~&5Rm+nz-hq_r%7vZh`^?B0Cj(LAR z(MR>JuvVC+U9iE!2vX4Uoe^DlOFpGMic4|kTVG{KM3H7}=B(qCY;Z5|rJhWfownG! z%g(<~THY|7uPd-#ihu4=gdGyXqu^gx7k>YAsR#xre6G9+nJ))%YsVeN#}7ulj@fr3 z4d%N}_8L@di|2mUA>1}6$1WU;8TICar7Y+=`G3L{uXDmP41mIB4eyEakS7#h)j+NA zB(Rk=NBPyDw+I^oGd@Yx_bz5M+P0A%Aqyg@?1wlPb|{9#`+Br?QpH^@vIFw?(R{}} zj^+v3UYf%cRhv0W6CbrAil`F!0-zSjyvV6phSv{lwO?xH8O*kGh!#Wvi>;|3%$Rb{ zU*wjYr0z3MV{@XqIMV09g=0{5PGC;G_F}u-;GVK3&qAq4 zQ#snW%QQ6GDX{1;if8U2i)1wXSW)BNfp;FV~6Pn-$f1v9t!UDkrQ0>*R%c_7&|_nN6o>``aCY7ubWRJe3c{LQT( z*jOgIoLi^4EbZZ!>knOjh!~eoxl>w& z8)EOVMz8d9=JK2~*vbdr>}OAiT=zMYg_QuCo%OOrR+e9#FE19i;~4FOYsr|N$>=g3 zlc9G%krE5C1gzR)ZXcs>q*Um*{inisg%(~#_H3tLHJHAi3jee}ieR8Nq@Ghzp?lii z4)zBUJQPm7PW1Kc22*H;T;vS`2QD`7NA*Im=&?-vJUN0zjW4GLFC zgIs-uyZs3mG3ZrrG$#d8vqcnJz!IzG4O_l#9CT0vFbBD>Of%@>^?-#m(J8!zR9HlV zirC5<+=J+|guFaDzsz@~=XoNy%RKh_+%E559 zl}K2*S_F)JZGr~DE`dWxmoPB|D_9NnzDjqD$?nKM;h<8iScD5O=^+=Y;>Rlq5`$xE z`5y*Xwpv0jbY>_&cVf|4!G%ucA-O9PwCUSene@n6dT@x}h%(p`$|=}p$qGdlj$!;? z(~MT3z8`xARh~S5aL%HFYMMEv;O7e3`_eK&e+;)O*m$mNa3<|Ei?(PwW1S?A-T>WyhX&R~Q+r&o4dHDIP`s_ceO;;!EN+4K8`Rt+f zhQ{IJJ*&lX!*v-g4v%$BdZX*B1rJwMxsxw_-E;Pp4AT%~eh0 z7z~ZY7jx3yE8hVu<2tb|uj7)P5?t{tpg3ko*^xhfo_vKun9Dc6Te?kmyYALhU+((3 z23wH7u@U+Q_0tU9%s8#xEv&}I*Ft5*=Qu5P&@jTQn1R(sCJQ{Nbiq9SC>zf1vJFlD zM)2O&d~*?Mdw_x84pYw@W14aXB-@TJ=n{!$^uBMBb;WnOtdlb{TUFDFYZ=@k!qBut z9~W(#mt*eJI+07X7i$SXrQ7sMbZzgSoUw|+`ix|KN_&`>;H`gD0n3z&xnf>z30}3m zm$Ej0#Uf=lz8=+yG4AnD+T~^FY~~*Dtgk`*USbniaKPAOmJjzGGA+B|ye*NZPQOdA z#ItK^`k2zcy{>M4rR;dzEtY1c@_&pPeq zS9=ouxExgl6GqxWg`?}=su2nXZ^yX_xy=VqBDHMrp$8Z{T#hwI^QM*odYm{p$rGaF zsg`icP@xAy%;c$g>)9q30#G9MmCWQ7j6o>^)srPdF8RIVF;o=wh3%Ggh3&RqIDX;! zh36N(b%o7=UNnU5%jV;}ex>gdzeOV{T~QxJ+;oY|kZ5Q7g>$l}P4%v}mBjTpZ@l_E`=6l47q%~LrH-|Gu`z`iE$D{p`P;{J>4 z`of%ZY5lnCAxJSvGFSg!nW&)|zfPT$L!FE%MOx_+a@P|irZ2<`Oq}!OnV+W5@Z1sQ z!w)`)qS7pS5BybEYBf$3^y3PfEuWe(JeLmYk-5c83G<~&U0HWnPvzA5>ybrR+NbO$ zE^O(n{5KBOlmk~=3?jeTmj+RpQxc(_8ps!TQy!kBZlGj*r${C>+%C9zNj2K#jG1=x z28H`;I*H0G+Q8OwHo6*PH4>;+$%H^TnA23CvLvlCFDLrd^;q%?{5e1U)r~3bXK@+Y>M3Q}ZpG) zJ9avg+aA4=!Z*avjyhC?id5tW|OP>WhK(5{;224wfrWR?`EK3Vh0i z^@`>C!e4g|!_w7qDew{=!BJ{exPLAzsVBNcl9NEKD^o6J{n#0QI6NFmDItokO%G%e z0d3p*#Z@SRN)FB-6`J8zl0EZhtgsoAWl}W3W!ab&)1}f4Jd?6MsfF~5KpVq?`d8q z-ygxvqMqm*Pe0B}WT1T&7Ae{i8&1x(g|b#P>?un2f0floY6RU5)J6aRQCI2WPhc!@~sx_`N+8p%{Q51&I|EmuDplIRy(si@fcI)I+OKLOQf`de)~k2PSXC$GPI_!j&~gkMF@@iYS!i%O^&g6w|j&fJ2twmC>{7SD0fVeY*OI`jER9> z4O^ffEFf^y@WqD%0D1~Z~kIWSpw4Yh}C9jD2 zpnv?JZ)=5tV?T&t_7HpAQqVF{qK`cCjV zZ}mwh$Wp)Fye&TI1*cf`Spqp8*lVNNHeJepaL_g^b~N;7xaWxbEaPQMpqDOG*lfyI|7*QX3n0$;F9D1PLUD-oHnV%adcFxPF{hHoW z=aKRvsVk)08o)#Ml246Od08hNF908n3;zV7wxwd@T^Z(PbTXjl(`ml!8`?8oeuGBY zPD+6Zy^_B=0K?!y!!|d-ory@rOq0;Iq&%`G9k0^!m^~G;$Nx@`q zv4E-vX<(2j$BR<8bVZ_W8;O%bNJUTr8@um);b4@5#3?*1_~E(miiU~v;(Fup*4QDL z&9IA>MWx@bxi&Rcp7X0H14X$adSuhu6q#(jM)I2{@`sD4AVWw4(Rt`R*ZN%TR1$1F zrAH16uFgr>nII?{9P&t0d9Yczf5NMv`_}YX^vtTF#9C8rg9{KEyGeI~wa1j>N8Bt1 z;2b&*BtPo9`CmB{L2@5CI^Zt82^8srB*~o9M}c1a8-N2JGx4bc(FaE-iwRlC5?nuv#M1v2ioAyrfZmcj<7^B z9$e#RH?nBy*L%Q+Z`T{qOxAXwaFh8&6*+QSzw#8f-%u$jql8hH!D^M%$sc#@T=h~ZTCjh8l=5* z;Aii1_#sOG5A8O3pPsCV8_r(dqKDUI#10yK)mO=$81B$a9FjDGwnieCBO8CISSvK@ z^?C~{Ix;8Fd1^IzcxKZ$*(_A*tCRHq5>F!`U~EWj3tLdb$njx_q7!6jE!W%jT1<|O z$W%GXS|4e0w3@j&8D0iOFs)Ou(r6=~^R2fWr(Etd^$MekdrlnG3Vdw&Z)}Z$bJ8A< z%+eyPJk8iXVsUXcSZz4yfzLm9tNq;#TRKWVKRdqA++bN}T5m+YKRdlRKhL~4zR1+9 z_Yl!m-rC1glv~PIZ92H30y$!q_cDPGrT@yjQ;m5vxI{*0uW7x!%kV`-Vi1&?SQG~E=fbR--qV(R~swnKj_m) zwUWRde(M)JMqesg6;rMp@Yau&E54FAV;7{e19gl5UO1hP3VdeF^U{lO6w;Gu{TuR< zXrY-sb0nvF>kO@X2WG1soGZ>wE;KgkJ%< z6GM>}L!zbAQIIoRkGkmWit5b9t4uaN5&%-2IWT;mI^H3O7E+XvRqJrP$1Ut9hPmhFKXmV9CANE%V zulsi$cJ{s2R;A04o_6l;5z><)cY&#aXDv2|Is|^ z-e0odwVV~z6W`)t8awnJSd%BB|H;7e0&dw%{tBLbt^7lZ&Ct4V`?c2w^=XnHFZeBH zdd0@{A3HlIw-SI-T5%UqC$$_05WC9A0}mnTOt6QL9`T61B`XWv$pfWT*LzIXV;U$m zil0s0u_Bxr)JFuaueDVQ5t~}~4dEB1hhM!)u60m1>0O8tGdxi$H6uK~j%iWT%L2NC zi9@?V{HpB~CiuvoHf9b6%KLpMTqkT5w`!~+6oSUxDV(*_);|KRoScT@c3c&6Sk6b( z+4uA#xW>y za^|)w_K~S(E4JPO^nlHX4_!ZRF5nxNPaLn8usG#g>rkCz0`VIFhEJu0M5aN-^=9bK z^9MUSyYL$#7>TA`!E#j6FYGfJ{#szzg$TNo1)t)4ReCjwOq}7%A0we1!KcCFaC(5s zg&pYQo7`jfjq%0zPqu6it0|0WP*R0zt_a}tT^`RZsZNbGhp$C}J)c=c~^(y%7jn`JhIh zarpIy8vSIC72^tLAHdsy%X=Uy=6{Fy*dcq8okG{U~j;*6+N?t{2jb8u!(yp&zsbLm8FB^`$%dsmzXVA?p zcmXVvHYF!G{~JgDOo(cAPiI^FvC5)=^HJkk4jYC>Ooa_^ z^!pd^t;m>brqb~;WJFHx`RxWN=T%tJJ$YOc>8npmP;Jf+#H2TEpBa7BqVQ|RL}m%A z!TMWo^-=(+O_`uodB|?h3HJtbVn`bzrNFbFi1-td9QYw(P+lHS8oE0lA2fL)G2^q&mJFKRPAdh2bs*Qn2G2V?K+oCtVw(tYvZhkqsXH8O`AZh2S{U<^H zVXf=Dg^9ydXZO46nSVaL5}>&9D$73CxVYHMJUj}JI51dhF1p0Nbgj_z6Xp2*LyGPB zPLs|8(KE{XH4ETFqx*7y+;yMggwdhGsYeCtZL>KsXPVI63f+NH72 z1&V60XCAm5BOc`5M3fR*-$gW(RZ1+)5|u7I{FfT!709>3Ei$v(qG;yk-Nf#6M;>{yx^}*WcEZH28Olm<5IQ_Z9;!o>(R=aE zIR*1zo0-C0-bDF|;Is3Ye6X6<9J5xsBXn78@Il}a3|Z=rXHdM)ycm`g=qP(t$E;Et z^G*t>CB0=%6Iw6QFTCs*7}DH0!RxJih2brKNk|Llh`M6+L#r%4^d68zcOpL98|{oOWt69baEp*wp5JXY`L{!a4g&cdRdzUZ(MwbKJ$mQ{LRwzR3#D5;>?JUh^2H zkLZ2%$g&~L8wSM=%gRb#AX29XvJ96G=G5iP9LlyI0Y$9B;_LQG-#RA6gT4l=vvC;5 zw1V#13U$lNr?&Lxza?+h@x;G-=h$PfZ!v*!U?Lk8-EEUuD)d`l1E%NufeAC~kc<8y z6;C5+cbT*r%Y`g^JsbV_l6aekEp7Lu$&L^pOa zy#O4^f)<&y`fzY$Wa|`(-9sBc=Sm0ux*i*tG$vEHUGHI@`$!hypS37$wKTe=ALbzR z8iiNa;za&T3tD~ic{SrN`ZVuWpTntW(paY0H};MLRN8r ztjEKRZ_J&T5lUh=ffK;N$squ(c#=nSe?4Pk$fC7aHkXD@(7kMvydQ}&U#A|`<#da_ z__8;&$6i*Rp#c=usVuDomX}k_Z$&YJ1BV7iQD>{w48P8>OH2;ppo`4zyXy;LVnUtW zZ~UD`s;CnEoSI-jH-UW23qw!B<$EmZ>-d2#jKBkbp%80lRSg>CJS(sr_uih~Q8 z^1#YAof<#PQuF&8*a6kClao}pQ|T?7F3W4%C7S`!IAeJ%J{EQrKsn;y!qkZ;UqPjAYSP=VoMOd&RtN8q956|#t$?W zw5JfHW#XYuc3sFSxm&yf;yv0By;bo_cPTzrwuXC&2_4HArv`^vSmH@OR26jiqQJxIr@m=V#HzVo;Q+%Knynt(v_Iqs=D zeOE>dgmB#L0s5hNO7klumjWo;ZBlB12Cv_8!a1yRp?Gf(LP4}U{0`WHDK+Tb3M8b% z1GN%ttTNw|iPN}UjA|pGy-9~j5pS25f(>FVE*h?%CWx0S_=O}p;bj)gnpiZ&Y?MS7 zq{_;p6c@5oJwv0^T7G+!sj-T__0m!^0|Z8x3f$s z#(!)fd3tV=uc^Y|q<9mxt9__$q^1g_q@CBU4->>GqDZ0&4#mGgL}u*2LmEq6nRR33 zmIKDu1iQfia;_+D_y#MeKc~D<6`92#=2(Ly$!Sb8UX%6s5e8APZelH)qd97%Yl0A`jZ3C8&BUln0@Ur7o>Xv>s?Z#`UGw}Mx4jD>?mE~f?$y7G;fx#J}^#~=sc{Zkf`oEK^xY^;ss3qiZ-b}elgaAE_!N5)B4A}Qnrkm4=q18327x+WL z&i(MVb*yJg<2`2|DJ!uY8x@&_2_BPwtb&4-*@!bd^v@8p$gTV96M%vyaJ ze#1HPZg5KB5dl?9zyxg&q11$fm+z_i{h{Dy+c=v#M)d4cACau#yb+ zHxiEPO7P6XIF~%zak&B&fKubXnx!i>8+Os@0v|g#)`4lP_DrSx&_L@>B5o(m7T z3(}+=s$yIx0rW!zM^(~(R|f_3!#GEk^{{U{?oxw8M`J_Sk>xp|n|o_;1=#e*8H@+4 zeTjUZKGOV_xKE^7#jaZ~S69z;F{j^ZHqpjl z2~)HL)kVll&%r~^NwyiV_?Hg?W)SXB^91|sp-R;FAl1M(GI2a&&7UtzSBvo$QQUeB~v(b{c_u|ae z0040_O6J-?hz@b1jvBY#xYT2_vTwh`8v)R56X;KL6yE&J)J}uJa9a~yQ5f@W*EkZb77W{d|S-q6`d7*+wwZrXYonAXTu%* zqhRMTLOVc?msF`AXP_V^M9-V)XrmrJ3Z664 z-MCd~(iUXp47vcDv&`N&);gkfK5D+0x?RgTggiMYNNU1-k>`I#R{uN*)Wv-ew)MXr zok<$M6-eQQ^;HkFwh{H3z5HeiPO-nlWuO)5HDq!f3$EC%ssE`^vc zvVl#w9^X}Dtj=up_B(@LE;OxA4DC-02?UOP?1J}B7)G)a8Kc!u-Ma3T2^w`?Re%3I zAki3{(N%~*Oow#!uYF?gF0!Vg0|BHx0Dy{hR!uwFnL5a*?H?IC$Vh7(7ci4rK@!c9 z@`%2Xqt+~qyd2Qhu2xCaVB+BYb9Ta8xU|09u0c6^&fbG55W;g-@Fc}=djcdO9xtfE z0yB{{HPN%XxAFXzRZXsR=rmzPQGutfK&b@zXgY&5;RiR1JgKbmOo2hg?Lb-w5c`T) z1YbRSVGi|~dhrRodbUWgU@a3=o4!^*K{mJAM5gyc!#UR}6V5kF9VS`>Ed&}dHf=ca zQLpO(PF^nChl&heh<=%Di+3oL8||pT$$i%8d+D^su?wWCE+e1aLM3A_*t#XabN)?g z&NMhTJiAs(a+f{hE_mD0Pr0AZwkA)8OF(U9z^<|qB@w#Ug|UG&;B|ifzJ5RF<;rB4 z>krzOAKb_4BPfU3UZ2^V?49rD{4q-*RQC{YP*}ODQypl(B~_x6dyfL2a^V^c-BJKD zX>|Qac)U`G`2IDA5f*7_=-q_JyXG3cwQJL*_Bo4tf|cWe6$gS@@v!Z*TrHjRsY1)s zdUJq@0EEF6bDbcPk=>RBd*qh3w~d#hm80Ny%o!d?;eB_3OOr07Y+P>J`A$yemcoto z<&+Dhs;iB!Lm)p{Ta(k1Q%SaMqzCWK^^eUaA>g<8%)1U`{ORMjNWTIA7F-|dzu@saleujl>aXcYX^ZJ{0wnN_OrtU-dk_Ols} zY}!vW!7pClT|M#=wDtB%fA_`-J*}I0V3!k){IG~EhwT2%YBlNr>qH**sD<*fpm9`r zAm~kl`Etsy4nbSI=^8yjdZO?vo@O{?(>%HIJ7ndJJlg8H{ZHOQ=4e?UUM!MEe`EZuYl=?xMoq)VNuLyM+}@Z*)@|`z^4+V$2{AQ&!8b=#B z#=i(_QAusI;_oD=uh)|mkR~ltT4w(eAWR_k?^Arhfp%WiA3A^8ERZ*k_G5K&V7^E} z&#EV4PC%q*s{2AO1h7}7%-N_bUawzw_Gf(COl`l=)8a}3&`>sf&67p;ZNS=tM#1G! z;KomfPzq^{u8WbsPtDITe00FKH;=2NJ%82ecG}r z^b)g-sITbs?QdN{?W+|SP-fK-<7}j&em0~(IfKc*u8~$=js*S`k$g^6k7;w1l`rg~u~^t_t?ZYr;e&KY z9kxn8&vNXBom`Jzcl+}=37N5jMm6z7XF#XXbTQx&=*<9pTWz-ZlJ-O)$4vApG*p{#e*hwFs!s`2bfj+MD}k7(Edo= zb#46fm3=p>492>A@^--1H&xh{vR&ccr8KmXRp6y|0&?H|WL#h?O1O!-L z19KbUBY&HI@}g`;5&q?*On3*cV;=y<0m@+qUR1Ib!a00J~&>5Vs#~rArLUm{as1;2J*tvxE@$l z`LetNgE>)S%1?iuw-10iRT4H@vOtXzw@F0*Rs4EhSrWj+<>v<7~JS|5XG7o8|X>1co=EIm+W@-04tN^63u~A&-22NUzJTg^Z z+Z=-4_QzXb!;VNJ|7iSN4*o7V zc869!j!1z^+Tdy?2pIX)vfvZ2WXf_@D(>C1J%Ih;mR2#p3LR|Ofm^WeL8xwht1!0K zfgwCP$Lfu9^V?$c`Eb2e`LhGdva-+X)YPRQ`44|<9Kn&VKt!+)C;$wa3InN%72Nkx z5PS5YN@cbPJmN>60KXV%93JjM@Dq_dhx@%OOx%j}xHWFeyHz%eM;H}m0!|J0DaL*tM8+#F;Bb}K2g{r;lkzQ@C&um6TTHNyOVvyNT z=(!i5d%E#}$TLGfP^)_dkU|il5x9sM&BXAN0s(zkf4cPbb$!?Get;f*KxacS%|iLr zS;({ttvf^~N7WHdU{^-BrPStU>R{1p6E^+55O~T!drD6#b}c(6{WGM%Y^+ zimj_8^3>jsgw_->iS9KC%#7K>aAC}f!o4|IXM-GE#qF13)ESt*hxiDsnZqUMkkVMi zVUHfuZJ@cfIac{3R|><)Xx`Y98e{H-NO{8dhd)bj#-Xj_$ll2r9^VnXGmGeZgd+ot zeor750?zgLAVebRV)BxO_6bp$Z*41tn1x1GHfU43naY%Hl4;td`Oju=_)O#QY z$!m{m;_J>)9tQR1RH3bE(QCV`51-wT~&ktUosU{wGw-OCQ?` zm0lv?S#?(|nT#I`m{8xWx$ifK+JG9fxRQyTCs#fLEY?js*Wlg2N>?ZEZMA6LJ&s&C z_S@1+FZXSzKO2DyF`h79}+_d zeimGBc3IJYe|Hy{HSY;$-~_w9Eqm_2ho0UT48yi9%G&Bwh98g_AJ9+E$qW$5mp=rZ zI%5Ik29FfW0)h`zL$qQ%2&gsg2^%N`tzyH8Fw5%_PVv##*RCthb10*0h^1!YzRH5i*FX;5$pi$7e^{fAY zeYbN(^fk}qX`sa~26R9W6utpeTw}*iH0Fq7i2w@iERyl>p`0Y+5psvggTkrcQyRXO zGYUa@`rU@{Yltk52rE8uk1ki4k>~0p*3jQtM5R8#&xfyOSz=$3o(^)OEVnELJ zYEtGSeH_84)|)0R9y!{JE7|M!o8rM7!dZobFy9C~%{7kgni}m1LT=zBP2BJvD2}a# z!=)5SUs&#fLB6$zO5sR6FTh48Goi5R%3`5q)`}UYC$s+XBlRnI-rZ{_vmr8mb04_d z1VI+kQJaVfiJYMPEFKa$f<5ewbec2t&3h*r%e*!vhIy2#c9*4y(K2P@u`A$%cs9R& zL3h9OLAeyM19;@}>a;j>W({>S^@9hQ(N2{&!*KV@dlUpCFwG#N2lEf73SaYY38xbACaGp??A|uxQhMXbCor3W!HmKy(_Y!pPw$^9*OQ2 zXd=J5is&}#0tHQ76UC}|WN89<+09nl+nZYhSJ&;G)!hheNfb}#RgV4n<@6&y6@~mn zXURhe2$ZT~z?9zngBeV&5Iy?(2ECU^P3V1v#sAV{bY8Y*w6|{5r%4@H9k6YhcRU*j zQiI0z!`nXvtWh1#9_)hl8<0Hj*AD%aeK8~{1n_mHiTNgLwHLl|v(S;zc;q+|mf_qdf{Nu_U~PO}+@B5r=MNRhUc| z1Prw^7a^2r>ahpDA{Yf#tl#H|e4h&VE3+3@$!A!8$-8X(u8HZDPyLEdRDdzN5x+8HI}0nM^3Y{H6w8_=r1 z4!p5TTFG2&nP(@Sg!UG?S0ArTVpRS_&(KrOu~;A_kIamNtT@Zq1y!KqPNX@;{+hyy zd?p|Etb)xpz^WzWHsDF!G-cI0h&#(>I7A(qsRVA1i>}txBnUc}?t65S!|2)P#ezd@ zXH<_TP%wHrr5FLwQ{y(CCN(4dsQ^TRK^uwNx;!aY$48wC$)(BsI~Bfnu}AH3F2T%G z`bArP{X9Q(QN?JxR?$<~YJ}(?&Mz0~_>)M7)&qp48Wp6dDmJ^N3Si+_N{oh_SV;MS z&3Lcpfxc9c!f?EP7?)Lrvs{dJt$0Ug%^6iL1L0P=$y@poeHwG6rcuS^R|_u5Ct@;} z{JbyzuvJxg2{4MkB!5z_nUJpPB=d#?NdFN-pF0i95%a|pw+LTxObgz0aBtiZ;*lAM z$jBYb*JW>{Zl54@)wHI{XByop=Q7zI>>pn0ZFkL5(VDs?bwSp_YoiqM30XfhB5{&p zkN_mTVTT)nIR!H4o00Ekc7eWOpS4l&d_w-`@%%r8^=%FS literal 16248 zcmYkCb95)a8}DmlYunbRwz0Ks+t${$ZES7Z?bfz!yS@G0@BQOu=9N6>eI_}<$xM=Y zP=SDes$hYCVjv)^p9}Dls+u@DS=iYUGI7!~(K8YXxmZ{m6SA>05;Af!Ff%hSGcs`! zDoGJaTR58#iW*xu|2%8a_+JsLod35ZAa1z-(*MQo{QueRz!yHSS$Lw!&+zrMk>kX7 zQOFETdBi~;CJBPUZ7k2^ZN|D=9zi4HE(y{AB56Xz-jM?0f}gMh6A=+XPkr7_Lrtyw zs;Vll@W1fd9qTfCsHChca`*Y|Q}LE_Reg0<-Hie#{aI4_S1$XzT&YwxyV`fl2R67L zvJTI5H3$DM!|+Aol+6A42Dx8&fAFhBDqwsG=QL?r=B`fHP@Oy)$0L2jCP8g{!6x`{ z+-Ka|Rie4lES<+dbIFG;u#Mgd%OIoKDvcpVma}p`Glj;<#%ZqKkxXVh&d8b8K0$8W zG~I&0x@pdS`R^@7{z9SysMoH&wOp^*HN8>c;H&o*!o4peKjP8801HB;nDjxjz9sM% zNwh(x{43RHTYSlcgNJ4gz`Gs(&U81GLu1L&x%zz1ak8cK=o_fRVO{5KWYs~gc;0nE z=h(}V-*x%r*uu>1%|ey8JaeOdkClJ$#eyNJ)uy+3Mk}HI%=$}MDv^Pjr?A#7ar3>0 z;Hw3Ff|M!GX_vdrF|DK0+tR6pughd)D%xz(tYvCyp+n8uh_$V_Q{44(4K2xScpL0M z=Xs`D2l{Sw(iwh5dG?&?G|7wZ#2Rsx9^aY1U(80!rv9n3YJJK(^RFO3DOHKhn2-m0 z^Jlb-B9#djU96|WAPg)Z7_LbEeZG#Ve89{J@|$eht5%NhuJ&IRj(AMCqlL>-9qW;F z(ri5pr{SB3pp=zk+g>N1#bKF$D&Jq&lB~)2DJQ_RL_qkaru<9MbC0O1XERh4GPpI| z8+H@X>o02=jX^&gEu?jWnDzNT`^_TOY#Ii-dyy+)^KD7_uVj;h6z49h!y|8r z)03>W0BuV&@HIyU>+RO#8ggxwM)WRZGS^nL9ss*E^pf+pMoyE^7E)_uhI8o^4lWN3 zv%kv#t*(^5tW(RNPVh;?{Cr1Ky`kZq`^PkRV>{FCCn_(G*Opp2&TW%msjRjQ{MSc4 zSIPEjvnkISpCIJP0nX|~J;Z?OWtV@So~Y7~z&V{Z0%_&&dZ9f}Z&7S-+}Lu(yd|+S zZuG-prZ+ZmvoUW11aVB_o^M=yVuz5{X%4upQnROZwxvB8UnaPP6z9M~IW35&CW%ZG zJXr4^Ey_Yv1D88E`sqWxOS-h@Vxx7doBgy$?C66BriZxzoi-8qG{X z4IBO98R1?drVV{J_{4Bji@ElkVo3qQ ziTns2U3srtjbf?C&pT6D9G1O-8(+-yVUN<5+RRH*VWzMZ8EMU-5tM=H!X5`Y;LuS1c4>IA1t=`a;OZA&h#>U%IHSo zj;-#p5*}Jxr@1iT9Hcd`Jk;{MH@y7wM%D+=OmuL0qPIASYTs1;o;>yGM+})0VoMc7|CW3g$K5raed+vCLxzy8xp7(n<+}LdZiLduqH0W5=r7y)M*ti-dNS zMau~7%9vS}oX##ip+I3^shwafB0& zbv8;7nNKmn)!=ZA1|B=v<_QIg?LPw{cw(!90SiY(M53k7= z1lI&?62HQq5m~R1Mdj*8wC_hwWf!rfdhDAKp(d{}e{B{vGcKF9qFkFcwT=mXFD{#9 z+V!09E)93W4gR&?>rh9{1v1cj<2+o_;`T$No(_5(W`XKDWijs36TxHen$DtHp-@y8 zwu&;KF(Mi9=CcbGA3*el+vbLEutUd*B`I+RFNuxef7s;RRC`U>?7h}{?#5DCal@xD z`F^}j1WYZ%*DEH68|V2 zSl)U>%khYU)6E6m1a?-Ef4jy69&ok&1Ee8&6@6DL)Fa0;EKiE1^fBuJbtfI znV7B(HjeS>m@@h)*=WhfEvZ38-ol4f&Nq2`E}d%f@t!-=<>t7wr^ron=}eSrl~ic= z&$TxM1{U8g$j*u^FcY4vH_$AXCu6M+#e*O|8SCCvZ+|inu`zsUiOBmcBFb*RN zChZBWH@|w*URHrpORy+>Q#9IeguuPxEq2$l1fe013KSecGiG%je3C&)e4QKOlzy;2$Sg`r=40X zUrp9HkuEj0@``OGOh!#h#_88JBLKcWHAXeVNjxJc zl10z2*&}giO3_Y^`HQZ^m&i-0M{^8g(#r3B9~d24PH9PIW0*)q@?4I+&m(#pB69N5|KNdSa_J##ci`*QIm9zbJVrq}>yz7DsToD%5FpF_ZA#Y^OBbx!?BwY4#4o0@>;B5Gh6; zpOu~GlF6xt*Ia{ZsoAB}^jdmO6UjKXBN29FK4xk)kMLi=wd#$}-~xwH#!4!V)6{*c z7x$vdVWzon=lO`)4JXjT)eH!+d#KxF?$w9!My>#+>==FNQWDHbt`Kfeu?912%*icV zeZVv!XrYHHHl`Zp=D*$*Gm|3zxgFE#WMbA*A8SCVkNpqMKe+$k{ewTC)Fa53j;D*$ zYLYFW{BukqJPOows&;cMeJbNX+Dyi=q=B4sUL!Hu3l80?1NYH{j zchOei2gM(heo+2F$6}I~X zgp1cPZgZhpWi?nfIGd=QDU5XR3eyLN@a%hp4T!O_1g@9L)2!jdj42DAC1=_Fx)LYs zSk~-$_ezM;K1zG$v;5sR;0CrY2_(a)7K<>ZtpcK9cdro4{VJ>Cmr~q@Q1(}PIx1`2$^9LL^!(W18^oD zG1LymrTuEm%VFgxS1oF>4l1<5&4h?qTZtj_+QLX#q4~DPDdoK1PE2wK7tIciw2+}n4>>-6lewyVp21RvTQsyv8sHI%TDbK3?-I-Bw>WH9 z;%qzFt!tkKpYu$78z|lBuGfns5cd+zkh*86Gwf&`Ypq!3aSB?T;DyX?-DO0|h);$s z>~E4QqZ+4a@k_#?;4Dr>(I3bMaL=PhmBGqMiGM@HPwb0WavAkKq}-S2HS?yZv|Pm= zz`&c@-Vx~*<_x9Z?kv&mvuF`-3{z%u4}ScS5WdG@@2`_Qj7DqDjo?7=QHohqt|=feb=*_8d0 ztyyO-y!|JmE7~HMMX=eu#RshU6cK{A81n>-&j-Oh;#SRVH6Me=f}>oOn%+q0Ri2g6 zRUGEx+M-^i6kk~ywd4-ha=M;ii5HDt;j&j|WNUMjC6!Ss&*6-DtXvn_2ii%6LAiC3 z-306#FNH*gdttP$Yv|)ITCP(Kk5E;&x_V5fRwYMw+fCMT#8tE+Q3!;otFX|7nKdR0Rj{!yFSnRroW z?OL+s6uU+hN_wcjB6g`sk5tobs%BZqfqT|Y0nK9m-?q12MS4(n zRf6)?%m}y=qa)?sf&KNk*D(N^xn@}h-QosuAp?9c$Dfn<&x=2FiA*XJ#*Iz?iZKW* zo7gX%G}lWKa-_BP8`-gJyirfOIOAfFKAV~9O}Z)KLKt`$SWWR3xTG#=g{GjSNO*M} zxtRUZII>=VLGTaLwJ-WGzC3yt!w*dcba?SfWt?4|;UExD-jLAlYUy%x2%;`)Iadhh z%EF6-7|R4ipvt<_(K~r~QpRq0wAhS>&@S zi=10c($gGT!nE9IPwzpRn}jla@(JL{P&?XXd*t(=Ow24f-4K~~89a5&(4GMKCr7bk zgrCJC{V>35a`o^06n*;mlFg1i)ulJ*ik)X_D`ubW9Up^6;jmP9aH#YzFNW7f-beOnHOUBNA>!DUt>Nx5UX;LGckN_`IBp# z)3u%NNmG%tsz{kjR94j^w$R2WljOqpOcV?zsC8qFzn*6JO=a*VRf4Y1B-x@hu&1I%{c87ir%QA8MwydZJ`srk^B{xe&!}Eq@#s zXdJaydhFei@-2 zp05Q*4%Y%jy#SJ588iDG|G`B+g#P07_lAR*Z+YRFKngbg4+M0Y42lMl1nKB@damESxWVczjayi&kO|r^(bnFt}kTs9S!4 zu9>qaQI$qiGABmXbLK-GMA@?Bsp^0QYQCE>TZ;%!|vl6zTuLL}ev^87Guq&lIWCxCkIaR`2@( zSb0lgL5&(TtMda-A}CeH=NQxv7=F})lZ_EFuoqbpD3Bd@7^{VlP~L%W5)6^WliBb0 zF0(?h1o5qF8lSx2-d(Lc@-srM!APQo;+XkCtpuX2HZV44^n^8u*#W4>!hN}cDsr?Z zc6ct6M!9Lis|Ct2HVQ>RSJXlbL#`O;XprXc@sp$UzMnXS)l~RBCYz zjySzKN{~7w!fP@&Ja{WG!A#NA47{I~GNyf>SnW_$7Xt~bv*aGV_@E*mvYf%nE9Xmg zkOk$z)0OD>GWfwD=!boGACDM%Mpi?64Mec==?o%e2YjhE8Y+TkL*vkVhqj}KH1}tj z&%*AFMw))oi;^+gcQmAeEpWXR3OX>tJH|jUVSY61fKXFy zmD?yxXYfs0-`@P(E*U1W>R?nNbbzaINlI?A!TJ8cEXfr91FX!Q<+VHb`i^VO-nkEn zJePeox-!}1YCi) zS$Na2&E{}Za4vuy1MYCvQ)Ug}q4I<1j6rVg> zY>73NJ$~r#v=D9V+0mfp>(5>o!>YWFvo7UtW`bC~FGJsh<;DC(A&uHz50hk>u{*pg zZazl4u--X>Pa*dMvVcXCLiKz!)s$3f!1}CIDsV>ktZ15nW`kS74V<+O-UN3CS37ry znm+S0z24gD`ts^>TcwkznVI;sqY-W))M~0%YvG)DT&bEwVVWOmW+7S*0(iZ^`>HMr zFUE|I0xl(dvKT}l0n04UKNCN)Pj%(i$7c?+gE{L_F{_za$T@vVd=F>C_}jqjgwr7F z=%<$2Y0v~@8j_C-&q#b)@HI5*`vOEnC>n}TcMub4;EQlNblv)0V2tCFp>lLibr^p; z+to9GGNv_IAF#P!#Sxrx?a1VS=Ku1}6pS!5v;XTe09_XDyAjYyMexOz4VJ!%Jq+~2 zwSV{4-#6&Gu4jisD753#54DWsEu&jP)S%`yUrav%&2NZZl0WbpjX@9m99cLCZ9FQt z4D}z@Bl=ZxXkD`RF6@BvGA)1~_7*?8W^Yl(!NJ9&ibpM@ypO1_sfj^OI>F3~2R7kM zbRe4*{fxacEdkj>0-@VDU_sGe9xVM^fRL_xT_i(jPr<*A{ze6uD#H*Om(lq}#HvAL z8zflfB(c)`XI)i13IGZ)$=Z9J1s>f zns7LAx%$+p$;P4Sgg5c7@1v)o9+t)5*Qdb^8HIb;`bHc_?CFUe-qsN$!ON+BtUX4 zR+*jFtTIT+B;7YlBc21}OOlIB8ZxD0GoK%Pfmi)&qigpYB5C@PsUOh@jY%CFn@dGg zHD%x0RgXL916gRr|FO0+wGZjrumy+%=3QS#g{9XjjW?x`W|zJ9UfTYPqXc{^2T7KR zS!N|aXRkL36E#;FvImJSb4TfQ$LhXMu5Q4BjhcW>3r~J-Z$LGx##VN@%)&SK6k!vZ zvPR8515HhpLLN}UQ8f*YKcII)Ic3WZA{aH016j%2Y|g+^bvLb6ML`D|9; zmbvuG0EzBLpUR}Si{Mkt{Q%;2GUR`!>RF|T{WpdLv(Q@FLHN`2xp{l%zggyXlDUy@ z=Nj5Qgy&h#{ZMr^)6yoqeTC5V5AO|uTX}?f{xIGR#Fn4=W)G&#_)X1gz~TN%>1u{R z<8iUeN5DZU+s{-~=};K1>(hg}=#=q=g7EejIo<=#DTr?sVKIbR=Zbp)p|se&iSYs> z6j+KIPHlWql25@{+M#+D81R)vpZ`##v<8rvU>17U%ATX~-#1j-bMVp0DXgKXHym1A zLGeEq_~3kR$(H>u@)DJ;Y`*A(aVqY+$wEHypM6Uz_ zuQv=lRxZT=1>BmLN~-EA*-HAoBq#GS^}kC+SM|6jp|#(jQ=e%;1SY4UGp164-1XbX z?lqj7enR{|@{_l*qH}-{$n}>iwmc%#x8sNP@gkg98 zvhY)#!F7{v_soe>wC#PXX*VP9Tee&|x~TQU{VbgTre1I&1*g*8(@a#oSIsu`Ew4vWd?b}wNJb-u#z7k{K* zONXJggJFi({!H?F}ovU>MsEkP|b?oC_G`xQ~+XzOV0T%RZ`vX*2U=&fv; zP{}}BT z_5W#^{?;)A?a7T)D_t5v0Bo=Y`7E;^*?4@h{dMYk0tJ%X?L5@=BkQp^YexUAmE9%M z*1p{Lu^@*qu^$n=M)OxSNLrVQ+e?3COtUYgA0H-f*X#V^Sp6$q0%@W7YIoo3A0#=O z4{z6c^KPv)u||RhDD%HItSvG&_~dP3gLZBC2gQjuJ>{*FTW0=BG`@`EhvUjFwTdI~ zec@77e5%;mN}4M9|BeTAJWLGsf@wxt?WA^pZ-)j@k^b^Th>>NhN$Uan=wzfrMf?r_ zn}>(k!MjqCYFTYj%0zAwxcJ$A z@$R@(XSGH-JGT(9*?@iux0La`DKGNj5l!{uSp1lSxfXXZAJmAhk{-9FmeSuod=n79 zG#Pq1=@HB1TPd5r1!TF*94Zxv)%4L(%AM2SSf$<5AxJA@NiA-@lBrmHS zz~({Yicvlx=CvxozjKjb^@581dJ|R?-^XS}1bnU_^bhxrLa~Pss#R3>3-2Ujj|OMf zffqjp29U!v{ZV|_X(6C?Mu9Y(`!$|a1)W39Bx%7@;hZ>J43wBej+nFRyx*5ADU}%d zYCRWboG`cHvVWT`Db)c2N_WY|p;58BP=dS@$84Oqbiqn4uGFu-KUScM{ zu)V~XHq?4rB+p@u_tKWxb_(356gdDzPS>ui72= z>Vd~f2}2WkMrbuYRkg?k<$QKXWD|NY)eC3ucbvTGMM`$4;zJJ^PpshJq__4tIutoG zERxyGl6R1~X4le+b5I*6fDGj#k{wn!#l1^Qe3>*-WdwtUW_d;dNagGxe5j0O5s=1h zFtmYqpr#>v=wk9JCxS%8QG42WBAV5H8xZA=yv78$QfPUtR%!pWeglvN^eg1N5_{J_ zP-a=H9NRd#y{Y8(Q$mu{{>87gvd?o@`y~;=N`V7~Tjez8JP-$S&a_sL6O=JTQIZjf zUl|Hn7Dx7Y*JXGlgoz7R$V_C+mMvvebd*y*ln`)xjw{vMq*GlDC296d8TPm^_=af* z@|_!-uFb894#qZhl!Y8h-s1+`>$^;auFXcqVh$ysWPUe8vkKP0Tt7-)wn7$NoEd6q zpqG;^AT>9Wi3aojDg>SiL9e=yy~0~n%BancDLv(N|-|4k4ytn@^C}(VG3EBZafhH#%X7eNS>?# zaHPH^1pDjjG=o7N2T0Xpg4lVMrvu=T!@~1t)n#IKFgZ2)eHitDXm+5M72m=^@;#6B zs$zCfH8r~K50EClH2k-}acwmf?YoKf3U79Bd4=~`;#9cpDz>#7^%28z*C9~y0B#SV z2wg`-2EZNCy+rVV&qGb+b=E!EI7p-mZ%Y*k|9RQ`)rQ3xCumfia5gamdg zQ3@8lDCS`0fVmB9nVZ$TLlGE4KePU#gC) z(pJG_b{9Tw_e3-mXgqjk<)-(*-NCyIP&~~=0yC(wBlD{-&^;wHPxB?yNq;*5)XM_l zLhHv$0eyeMU77H=nK`~ zFmV1Gv%_{0_FQMg7nXN*_Zzw%m*O&)FuD|@xDVPbd22HzMrRd4rP?x*`r0Bg>AAU3 zSgqy9qpnGG{C%DH5Y#5#5x$56KYn0yNz=`83&(4V zT{!DxC-;}<8yIWF^B6>BJ`~#Qg4kcU@ zB#uOcHt`7Y*x|+Pcu+4L#SiTU_wQjktDoFd$~J80zPw&l(v1+clB_1}($!U_&e8r2YPZmnFnIIeo!<3fS}+-~m0S!si` zt=K?64uX$0@0-|zKtBM%NA7)J%>?API^W9(@Nu#7bt%dC;%(n-xzwcBivVKVzx|MJ zl(>Gb&%xM1_d|}I$Ld}`2XJ5HpY4#$g4|*6mlncB&vCCW7vxh2?#eV!=5gNZYSzp2 z;ox=}%D2Z39-@yP(1MM{qv*4konxr)7~YzFs4td$X_^y@Kfvw@Ww-|Dm3BC0bZB8t z!od+NRuf)TO_j#P`@t2>meM1B`i6lXb8F8w>RZ`oyj%0F#H(=IF?0)`Vgv$je{1z>#%Uve{pSXDfnDI< zz}Y-S;9bV^%;A3U7TBzOV15?U1E%CRJm2nQ95jeU#fZ{N@3zT79Dm^awZY|G$Z~f- zHOc^V%3;uRg3v|P)U6L!#BQMPUefL}5%Tuitr~VAJiFDwhh;}QRrY$LjuoD7!<*v9 zHgNqg>cUkFf!g?q01?yG)@cx{O^}G2C0Bfk()bCMK+C_V#u<7W8nubUBgS9+(uFM5 zt;pqx&gI2q!+_8uwJDq)!Dfv~snU9-(P(~2Lq1xPM(8>*98nQJrpjpuTIc1Q2K{$p z1^Ea?M7GWd`p+p_fVDI}@e~muIrA&(Yr$3`A{~GnQ*LSz`C==k*c~L}wpi>Ty@gdCno4P`A z{6KB=60y+#_b~vWQVP*0h-%GNBzg>3EWLNP%mB6I8c612DQ68@Bl>Lb$NvOa~9|)D|4}JX!#PJHF|V=cIhy1AZaPw?U_L6Wh;ETVrVv;9*Y((Q+-FrgsK6+* zX2I;?-`R`Q2~{9y3Wlf}+$`&IWZEIBjZ_5#qDL@pRNS2OE6>{3emlX3=Zdm@oT?9G z5Pz@30%Hz zd@pkMeSRs3U-#ZO-a008Ob127xzHS60ZMc>>%Z^(V-+Jp zH&|`fN78o53-z8#Pi{Y`E4SRK+=>EP5@|?G!V^u_uC#Bq%GEFn>OraPb&?*WXm54Y zm3~dvOY5Ar|AIUY-Ma&PBZnTnYrXZL+CNcxj1N)uP+@pB1fJ1N!kU4|PS6d2S_R-z zlEZJHFM6we*f~)2O^__91C3oaSbJqPZ_u!S$=GI zN9U#@`ug&{Ox*S9IJX2f`Fdgbzs57;+) zAa)0jkVJZN@xLEO?Z#Hj!5y>;OUAa#z*0)cio7qsee=FyKM3q$BFNJ7IDmnEW|4a7 z3a+1ZCnR6Jma&PuJz^Vm|BYj;y#|40XA>CJA_!6af&LNOkPbm^A-}Z+K}H`4$3VGE zO{Vl-M0R=;ll9`(zVoh?(GBZ7q(<}LSjzhR?wG%g2>9B|U65d2gH)ccBEXtt)?Y3w@#-h+%3XMXRGG*6 zP>vM+%GW+YH2aw;*gb6A?cns@q^xiF1L2RQO8K$F7H!Zz!mf>B@VR3#=$~J-GZyF_ z4()zZ;0$7y!xw9j-G0C)sHN%-<9Fw!B?$UqH*<~J z@Ysv_!slNKt>WP`7M89*-+Qr7j5|?(UI-jc(Jqa zF$ad@i`JH)^h5Bk!$>y)oICzBCy(AetP|TeVCOcq-xU$dbQ8({ZY+LRs*Nk%UBS># zy0h!+SC@^wvDD{t{EIK3d~UY<0Woi=Z*S$oe3S8R-(MEV2_zcUUEK4<7h>YEG>|`F z0-yZlOj##ZI5(^2_WZ7oKLy{nvCpwb7P1F2g?ku?{X;qyQ>7*h0>*8m7o*Qa(Om}D z8@d)X*E=d!m>{|4w(!0$HyQB@xA&IN+Q6nMO7HGB&uz^4H`6P?oqoq6z~{#a^k|R( zvh#h1VKSI+D-2}a^~gt+lJCy(dj?P>v71RKD{1;)Js9!f_3N0Wg zgJPHs?V~{daIRP=4wvzbdIE5I6g$yn+4FD;vHHP_@b&I}(=~I8Tg6Yt z>piaDwti`^ZVFuU?!}-=uSSFh$+q2>Q*w40SZzwUam(QTomM5^0(W0HCH zDTRuE`4-pJsp4h;Tos}=k&@GJYF&P@I$eLmgcn*H)#($WR-URAn_W+VpkC>x>=0l`TOhK~%wyiy1BrwjU5 zC&t`LbEv3nCIkoZFGvvhblQg*M)5)X_^H(nKS%X4oyTGWs2&D9dEU879dnUKsDn{^ zny80ArX;^Q@V(_&HN=XNNh;&Q@Gl8(YLR9Db8RjLF|GisR09Iejwn}qk%wMnGIJ&` znkZ{v60hs=(Kfr(Sp)<-4Z_SOaY%@F|Fg@P0YNeDkiPuY9?dXbZ5|39$M|ymQ*F{c zG2D=mH-GMxPZDAPvH`It$1mcqUBUr`aFI)>gSpy2t;8_u*0j>K75MSHygNh`j>eb2 zurAC(G9Rm7B(UPUIey^=7!BfGJlV_B8pb{vc!>nv;ZiU%D^bQr_ylXqRGCiSM5rIN&meHjl!1|A}>jgz`@-hB3yI zqt8Z}&Gq^!LM`Qk%u|-e#bm$?)>lj0~ z`^P9r!(~-!%>jDdh~Ytl+qMC%&*18kq~8!}h#0}>I+A_p33<}fihGr_Cb_JHF+r8L zJ|U*;%dk);7JZU$Viak1KsN77lj**7gI0PJb~HFz2=Th|7Q0V@RNSzkQu-(iPC4jT zoqCXw90e_^m~Z>6p=17uWvpmirFf6I^&tdP^Hbcu#wT-ryW5jzbzEIYl{Os*&!}l1 z66bC-oQd(4ShbEjvoTH1{Fo}AFfV#UrXyrsdf5IJPz2kI884KrpLzeZWb2*5BN}#+ z-$SF7u1+rnop(&&$o6dk&@Nr+rd!c-pq1uu!PPQ8(oXBsq#6&Ez{`Hq^eKb(k55#? ztJQT|r+uNhiN9x*{=H}T2f4Bs=M|8OlXn^}MWD+0?k3F%8dy!h#oGnikkD?3eS>TM zM@ok6(8Mw{FW(EsNu9cV(ipM=E%Ck~(SA>q57sZCF4G$53SUsM@eW_uDWyEfT#wzI z&1fX>vESZtp^aW(HgyG?H0Ivw;oi^CYeJ$OdNT+LjgVlM0-|2>Gf$A0Lgw~#mxXg! zClHVao`RVm(LLL4p?NM;-Q3_d5n6dDV`qhqI)dW^s>=|#1u z&E2RqQ}Z_S&AS3zI|{N1&0DC}!UJ4k&6UjE1ZHd@q7%J~^KQFf&fMzrZdsA$#K59! zCgW658s(_glJazF%uAuyTq0aU@@~P2$F(TTy;CC^qXS%7&D{)m*kIR^BkWXXs(~)q zZfsBh-NWwm2iZS8Hhm8vh!Y|wIxqtiR;hn?mq`@xmq`bj{qVd2_1=+;t2iyQcPec} zgbDPQ6Zkl)9Cl0$cq(NZf#(%oBXH>k3gI(cl3k)>YCs;hNlZ6Sqd5XY52X9*r7qd? zQKnZnMVfgiXtH**c!rrCB=-ynK@kw?_@SdEi}5yWM`CmQ(i$Z9 zoVxdoAyV2^qO_W!c&5AmOoqVij&qpMW-j#50M5E6AyO0L0(u~@4tPbQDm%g;0!>;> z-sTEL6?0mq6CkBG|Dho2=tfmZaoEHFD{>%6g67Ez#7)ygBq#$K_h@pfzd?QnRsgHR z4xPH=TYc|lc%{xMh$%bR><@?-U%0Y({@Ur$;HZZucWK0=q}{WAzPeJ&cHoi7$raOG z&VFk=%hzV%+w}m#JO+8AQ68~Rc&qXO@dLP1zBud9<>z z0>5pJ;Lk^s`ey_$d){ECJOi1YK*RLvxI)Wa^hzS}($8DiO0_7uhVPP!ow zvIEyQdWq_V8Dj9#8j?iNjt)n%XPO7Sr9Dv0s5vAN^2m!; z!Jk!o4~`r$F81#YUHgZ1CVB*B;{82BBm4vxN)nV;2R^th6PF+F6O>fT(D+M?4U#@4 z$A7LT+6Qmq#?Tl@*s~GqH?3m;hR4czr1jx9 zC=k&1w>z+RikCI2C}wXd!`6&Yek0FB;YyCm?|j6V`?apFwvOQSO(!=kPa+30Wh<-7 z2SLKJx?#V+M1qADs6%nTXjUYEV7~;t!QsFD#HPKxAg+VKld~x(qD2i|zuTH!S1)go zC$?q2PCjSYb*D2<7~EqTU3V|<2gdkhOsv41ko5UijnIlNTZ=ZdA|)8-Ovv_24}C@M zbIurPfxt`3D7ImNlE!u+2-|tTYy-&>)sG5F(-$^+FF}Etb>s5`;{i|L_RgA0ilu3R zS0ab7Uvar()wSGVYNdrt8nE7c&sY(7`=TV}%Zu(^7ZN@gIy172T!%FrYRI54INvPJ5{AM1&HlL@JoNZMQ!Ak2eJ_GNglRK2A z$8sFpn%w`rn#wq9!Qb_YEpkX>egd9BoL+*wq+G2rp-vU#I&$!e>Agn?&E_E4rW504fTY9WaYHLOAt z_Q`!Gp1NYR>!?WnMNK4&_s;7!8n1CQs@#UXBi6ow&*B&D6s1od*w>3w>i)-;vlkEsYdt>XX!gzD^36CNJ^ubi+%>megt|HXrT z2AzTJKTvEK*w~>4g8J}YSYMl-W*@tpGlfuY6>!24C=Dse1FbJ{f@nUUm%4U(es*SV zBV5cbC&}~TLGZ*<^UugiZoHxv_QtL1Gv@-hWM_@p;%f*eeeRrA5F*~K)+m7bo!;&# zUA+jpMXH`poE6R;Pup&OT4m_%!!YD^2}SV^ikduQQW1xMN~OwHJQa`-3}|Gam0Vs&YAuUL2nm|1lAja z*{IAFF|uDxGS*_9q{Ca<1>)zFIPCD9;oza{R{#lCi zFYvAIpv&=hJ~u%9`{q!0Q@hzSFUh`z9IX;=x!Rj0t}7rcHc&3JS^;82Bhx~V`}xNv zqz;*QCd1jUVnHMN-FiHi2{hjftC?)rj4yT9n%m?o=_Zry7<-JZ9=w|!-fUum6Kq>> zDwInJW9Vd*01UF5UALJ`#mw6w-4FvaS$;N^%Y_T6#wivA-$gbs;K{sO81GlgsK)eX zPzUssY}Sk7_LoK8h-}~3gcnjjd5m^k3pKNyaj3yX=C-YN0KH6H2XuJaRbE=kc58IH z6b7cH@?`C$rL@1UBk$L_)2A9zw diff --git a/CPLD/MAXV/db/RAM2GS.rtlv_sg.cdb b/CPLD/MAXV/db/RAM2GS.rtlv_sg.cdb index 0d900169e3ad66dbae97bcf40ec87785ba11706e..c8163b5b4de69262d688c6f9dadd5e9ad9fe2593 100644 GIT binary patch delta 18445 zcmXt8byOWeucxIzad)}Jix+pdQna|cyE`ltiWGNu3dP;s-QBfNoXZ6+&g1*ud;7;E zCp%})Og8ytl1WI?yVt~bv5G*qG|YdW!w>&U|1$#L{+IWBck1U5iL2#q5VW)$L2A55 zP*t-byV}N-rs-MaF>xOM5fycVzi;w7cF49W%H)gS^ofyq`bsvTIlfk~A)KtHm^1K6 zS#}3jIaZQN2m?{p_Y+pUj=S_Zx@Hg7rrp{y4I;2vZ?`zeRde*@4_#M*E>`H=lpc5Z zbHsS$9IGt|LZ5`8iW{wJH#MD|oiRox5Bd)5gtrbA9#^opo~XB7u?dQ)G|5n~a3JKv zIri6g@mQa;-e2F?i7xWlzRucvzijXv4!YP2N1k<+_ka@4Q|#E%3MtIE4ZC2bCuzsV zbO1-W;nzN1ZoyabeJ6IAqobA;es2NqkGl3cjwA(5WcTZG^trU@odL#*K6SN33{{1U z35F68f9)jO)dsV8?#efWV*F-q9bl?z*!s^>IgpL(?&B$W*d^MrI)*}hEv&; z^kFifV%KT2TUH(PClQA6%XS!SG>zfjN%c+Z!dw%wm4n7{NBgaKB9B?=x>V_C0wIUN z16R)ii?F{B51X7%m&AW#U;R;eRaO5z;3CYj$o%=0?U5Zb95%MC2W^+ICt4P_!7i!ft~2iYR>!=Z5g zvwqMPt-{!+G}xY;zQO0NzQJp~W#E=Ps9?>HrHid!fGYpD3fWZ^#m7#3NrSbU#d((Y z%LqheE#G9>O9NX>;E&|fRDIa%3LY3}lzcj4+65}#Bu!zjKOgSeh^v6#Nq6jboO>5O z7=1p+pX*R%ezvNw} zK2X6S;U|&4pJrnr$kP+RzwYg&kEuBRQL!>ROCQtUnCky9nQzRr%#KeFrF^gi%q!$c z7?EJCldtz(QDJT8tKb(4*foO9-+AKi&1ML3!%EISXr|c$KtiYJD|5oje9S1 zQY`jWVpfs4M_Jxf+w_-)o=MWXJoiJEB_l)iTyU(P^;}Q@YcaPamUc}D*4LR1O9pQ4UzsEG~;%Gx}Bo_+G{ieBAIdB#RFTy;5u$Jx`^=zp>*HU?-n1gJz{mL zPf)sEdX2enpVpRmTt1bQ?~8D?hfnaz%d~g(Dck^ilV~pg-oaZFl<()`OqXY`{(#t) zX$DVR%?m~WXR=d!u`D&_J*n)!i}+vGS$;r;O&V!(K0vO9zX+4pbqJdJHwu~xt&y?i zSfQuo-Nt&=2%74L#e;Q_CgH8dL%@CJ2mE26Iqg%if59`eD1>)x7-!^jIBZaLQ@doZ z&GKhvSMw>u)kPxF!Ccj+6s8wpCd#>@eD|d0)6gwI;N|>-QX19ZO8Hn=nqTcjZM#YG z0Zv)hPW^>}(IY)QX2u3fOlKqPT3%Dp=Bo$+D>TL0RzwC1_Ml*I&SCCj;>(`CAQOWi z*!4#$e8zy3w@MCRw;w@i!h_Ye{YhrrsJJ|(ATxTnPGQB@AUX$LFndmv(%|v(lAq<8 znw<==^@{c+?$^Xa1OwWYt`Q_t+5E?z(*jYbB_Fd+t#0*vI%f^FY)E&;Ah=Bf5%-Ob zSBZ6S=kFSfkyiuP&hZ6xhQBsyqvpRp5$xYXO~j+T%#JJ2Z%K0ae%09;^J0e${cvZ&lcBlPhB6`-mt>U;i*e$h@aqx!}v9>z?SxzCH=rl9Zr;vc1=GTqOYY z_}+)_9;*F$^g2H_`esjPQBL38-w9%lJxrj+_}#NpW=_|=p$G2TCAsgc7*ZJFl#-ZQ68;X=S#^kO#V2>dz2xj*rwG95~y zA}o>1{@moAH!o_TI+Ozj7RIqx;h`2S5jzmupK!b z#HV0H6@RDrn0RUXAhBO^rt{}#jGe$A)Y|MKKUV#z6J-Tt%nFO` zh{KZNSjS#-FrvQgP?K2Z3Rd|%d}T;=&#iAs`?b88hWCT5TDG^nD=oOkC|0u<qT+x+f$1lm!nu!DT>Osa_$Fd?@X2$sjn!9Rygs&Un}o8&Gr6NsUR6g}zfj zEd3T&O8zatFSE$}nY67D&)cR|#oK1RsWDk~ah=;_>h_-Q_Lc+G;Be{<{z)|JQYt`% zpsJ_BjxDh#64&A&m3!E$cGznmrpCd&st`d5;cErKc}}Xo)5Xe={0Nw3!0f(XPz7zL zCn0b;O*jXoH=9R^%Inru=h9Sa$tz(mF_QlYw^>A;&gr+98|dGwW-)JgmcI)Pn(v!) z=!9_HvUQOnce5pQ%3;W)@ZH7yMIo3E|oFf6~S1 zT|j;EZv7e#oQZ}I=s z^`g4*$-|ufR;qtT@4;uBt}nt3M^X9Zc;ww9DOnykh8bV~i8FR&xgONubb`!eCoMl% z^!HDotc^_Dci1!&t2Jv)TQ@S87QOKt@=6UY{65cX?1iufb;hN0<{~5qD>|KH(m6dj zwFA3z8Ck`EMVS+>b{6ky5Ya99ZPRZA^RwOz4w*`T=y%Ma%ZTiFyyDxSZ zot!Hk*HHibi)GsJ{+Hxev~?z<`^|fZY2aTV20VLo2*~VZSLVTaa&sr2dQTF3PJoir zl)MBg8YQ;-L32a{&mL7f);u~8MfK@S%~SlEs@ouKwC*h4+))f?-q=5g0vpb^8+nSy zL_f$B+QaM#$V{vcRSFTocC-!OJ599>b1>?Vx!~U;pS3v+aGTX={n}_g-uO0d6aEh~ zgbiVR5TIni6EF#2OXDp~i9N&y$YP$0^zgs&1SNYb1r{Y;W4TWiVESc6pla_P1<{vr z@w(B8=M~Rj3cmLkM1nVNu&k8{CTuRc#^ujBeJ zLZ$Mlfwv8)$$asa@*8;K?}-wNSq(~DOtOJRed=Me9L*=Uu=SHe2z`DIs4?GrhUVFK z#iEFS;%nxC@~)5(SsiLbTYnD3hAaP|)TuGpJj&YCxPws0z+<(Snh94+50&-9H)Zy} zZNG89;tYziXR+jttDq26C>)rPaqix%=;Jgv<4>!J1^8JIyf??{l(#wH6?C+I_@@DM zAcP2jmrYZD58b+%GTdmwb0$i)&F_83DC_Ub$Jyju&5?#-XHB_LP^se^zS@ehgSJUa z6`?7%yVOfbenRDXX|{NMcyuUA*~^gI?WCKOy`r9kUe>wVNr>&pc8UR=&G9CRLtnTw^QttnSIUx zCP8g zwGCxSL&mTI)|i?fSzORZ6p8UVW6lis&DnQ|kBgw3VJnf?bk35*wR+G6S>P`z;hbN2 z-=lxw!l~ZM12-G#66G?tm-5X(Mf@`4o3&M5MYS2q)l?o=Inf!bVuVb3+|Jo-(oNO; zrn$3YWBFIonIfxHN0>|BU>TX9OFsIIN-{We{>s01bR@H^Zr$G!HftsyN>0nU!B35- z^r{t`4A$Te<>&%mWj!hLmbGCNV|&iX*8WIm8?ZAcfC);Q@uU+QQ5-P(yD zg&Aiu{*h?O?wpigD0mao-B^~9Uen2buQ85@GfI`~cMaZMMd$P~5t`5zCUeLE^oZNwpc-)BdmBW6Lxw{|=)r3}yoPx_SYB zYh>rz1}X`P(`V&lb^E9%bV%9VWI`f-s%mkuKEZ`(6*Fv|+>=2!^A-voL^Z>uL&8=B< zr8`#ftKM}1ITIbM#XCn)x*AnOW;0+jIEv|q7mxX$`3z)|z4oFI>SpjkPP^PLa>-y( zRGDw+w+`am%;7K9O+2kx6mv?Gqtw}*_>b?9ONK{}4rP7gwEP|wAXQ#!=32L*nys}6 z(fJMIl&9`~)@eKx(R0;Nii6JK$^y}z`0Wed2BgNBSLyV>o-HvP6E2orX5IpqCt9mQ zW*{+G%%c2{+Z2(_e&Z@=V%^O&IIIHblI9XTDan4VV{D9Ayw4b78@bPRWuD%7Eubd1 zr+WV1t|ij^mfSLu-yl{~%{i->Lxny5L*;jJU5YEC=I%HPG5ff{XU9MNbqs2oDqz4! zlKCMd`{6XmuG*I~169v|>pL)0)w`H07OkF4kTgf}on4D7p8%GVJ(=O&u{-7+w>_o` zu0&WToBUl@b^NeW?))74U$IvQ7xA3InfY<0Ov}Q&apyCl^VSu-;=|Ul(iyo3Uyl;f zW(O%r16REzylY}&%C_;&5KbexHl?l?6V?hD5mEwlH_-S!6LHef3oP&_+=DF;ZE_*kiHTM{AXt1%j%ST@9086MmR*y?Z#zik8-k7QS<61#AU?Y%bx; zt5wUC7hIvYGcgUov{}etz6JwK&c6KX{j)(Rye5LSz38Ngt=pdlWQ5ZwRhir`K%Xn@8smPodHKqZf_JJp zb&jtxBu%m*^se2vX|)tOIkt>f747p6Rs$yMzhcT&)t+W1Yw6Xv@Kz8^D?EuQ!`!c` zzRCX0nhxUBal8&D_I<49s-7yDIaKnfQ`Ze$FQ#U=FKwUtg}cZ3fHLo?-N3u`tE|am zB$~OY`-er16)@$DR^#;kFe_5Nnw^u1G@`6YuMpRyZ%Ie>gB26k5>RI<%(a!zgUVq} z=T8$5B*|3rJ3g7vF2s@S`df|bh|vyS?!<>bS(QSDpg)~(yt2!xXT>!LK9p+4*5;}2 zR@{-D(Efh87tpOid{k2Jko)j?y0)R`rulbDa()&( z_sBXLvzDG^&|~VxFBKMxJl=i|*;WFEE1gv_FI3${v6bjd-Fi`z=R9}0MCys(?VwuS zuTi?Y^N0X-v_n_(%1rgF>pUhzGIcw3sXY^}1iea2bqV@{Bb!EV7?)FN`$B)lub5=-^0E793q(XI!+) z$AJJ@qFl~Q6Wn3UG{?DIogjL1^ zDeyW`phA(D^NM2_LxUCs^P-j z04Uz~>gBr2JktODTK0~3)!9RfcolFT_FnZcLs;&KkUF3X){ z{KXYRe9TT2d7VPDmHk!ys;Z?wP2z8RAt6vCaZL4#9W8UL#JD8kvjQxShRT34?f1-% z?v`x&WWMlS6xc)aQq8wXb+A0ADSF?ss8-Tt+fd(Gh_cIt&&%LgFgThopOTL}931c@ zeD+jUk^=>PsxDNLI`{p zwS*+OzW7o7n*||Tekf`-Ck{u=b!HFU%(0fqd=b{sw|{DeS;aTo9(5_I02EC)VsN%lqM5w?);QeoHZ`6S##p`g5#_9R}0vU zu^S!x_w%7o_JNA;*jxz_R&WmI`r>9joT&}iCoFcWIr>+H034mAm-nuyD;#{Kmq$Cz zi#J}4#3>1^YBldd=#$pyk8H}oJGgKvxGUp*vA9kz`5{6TjUBSU{)1gf1aM$b_IqaS zwE&elu%waheFT2a&2?VY==NvotD~Lra@5Yf`{H*#Dd3npontYr*K5c5_hobT7usOW z!&_qpY=nx%xelF&2Y1cROeN=z+=+e#i;6`br{i2dzvlf}8|*ps&ym;F|E}G*@uj24 z3~e-Pce+$h^%7VV*{`~i7F^bUaZmM&-^dsGcOu1L{oWtM2Uy97k_@I?adAe zW-#Val>YIB6C=x!#>?_`y7K#dj+euFkI2}l@_Hd0*vlJ5Sh2RDJ}F2uxzdv09f*4m z-qkKbie@|A`5Kwr0NhwN5`%P%@)cR0y*S+2SdXDK5D@{xAiAmx%4b^rHCN0m(i2kb z!-;ySuL`$0wRzUGPEmbDJUAt`8!v8S@xIQEyGbAaB0DlJoJR8$G=H7v`%LYuMexPR zJ`a^HXz%g*!+|U%4`B`&I~T5BWj7rr2`2m1RIS>H7`pV+EKsMz;(k02*NA`*ZtP>b zxfWjGlg(te427=Be_8M|D&tyNZ+TmdB$muh&8B&g zs4wuHv}{g*g2*R*5IcDNTXszk{8*S-_BQWqi(*>u?TC1i*wyuG-q0b;IfO1J-)Ba6 z8|)SEsm+09vE9d=(EL62eQ39?9n9@CrOJBP;aY&-rYK>3>Q8Pju1m9w5J*ythaZsz zd~`iA_W-Nd{xHoKx-L}OYlejFL9NevSIww?*X_dqe8}gQF!pG9Co17d=|QU>E^~V- z!IWQm==f=ASt)pUmu|jst<;fV-+)gtN5*R!gp2j?q?uHH#sKm<$uW18=|Y{r=oQ$` z4`wteJSe;3CjECha3GgqxepD2|qQT|f!bynX%q$qkOVs~|@CF}=wvXoZl z*k?~5m*Ndz{s|~+31v#J>cmaSZ=-1Rr@>A}WASuZjaA!kNyOUdi8S6)r*(eskjkvJ zQ$ruR8PBRG)=i|EDwfG6yL*`tXwvvIwi9=toMWqONtEBB=J*l>D&Scpz}5c7Iw3u! z*=QSi`{uZ_Xyu6;U!l+Fv}k_Ui`O&wiPQk88|tK9_2i^WWlW}TP{?pQ_U~aa4q`$f zHt9*41<@BdHPd8Zi-PsGC5{!FW3iEoverD9=SPVW?;J33wiA}+r_;1%-#jimNS`92 z>vs&MI~C?Vh}!(PSXt zYMQRt`bW@mPI5}rva)fozXR!GS`Q7aNo*bCOoyxzH>$B7|S#*Lh`5?|MK`)pGuz6%K%D$%!^>^Rf z*Wpl@jX(D-M2^tX1U;u^ZaclRA&_* zt_?t&!m|WhoKMHYd3rv#98$Lz!t(_8#w*k0ee)=lv@p2ok3YbLtw6$ne7<6uWk4E0LuPcO#fO_F+0_{urFivC&+HPc8R8-rUERs$I}tQ|+&py-iJ2`FN(t zAWl=oI!@(6xLPP*OdKcv7@zR*xIIBQiHFooRobegNPvMMxPN%ym|r=k&s@QLl8$dk z)}+SETFNYUcUQ(8J#bHDb`wx$;wjg%j30-efH75lX}EH`3fOqa@xCh3se!G^!0uR0 zC6tY~1K&th8VBNq)cTVKcTNSd?`;P+CfFYCgzR8yP@;v==xkttaSgnon4nr7f0D!j z@DRC~lch-!z0i#rai0vK&Lh|Ill0gawmV|g_8TM#-qq1oW}jcGGk+pBOUfUvdVS`~ zcwjUlu7_y>thu^T^35?0D>d+cop(R-@Y*H)J#`08kJ$f$s@ct=rf+k1Wa!*lf#}t2PMt4`Ydksew zbo7b>s77d(=sAdH*19Q#V-(wFL7a{+k8mc>;&0}2u+yb|ODhJdOYMx!U2)`b3?yi=UA$)J>X25}oC8s9AN{pd0X3N2#A5nvyHK~DELzf!b zC@AOh?>XGBzZl}FGcL-Yqa{?ZT}Ss@?3ilj8Z~hb(Zqru#d%ijm$CF)xMsY>l~w|9 ztr1tU4k|e&rISJT>r&Ega7kHO0var7;ulj6K2Qq7N4sgfj)@@wyndHK5iF74cu3Bk@3Ld!KYu{)|Ahb#l0u_ zkmJ@a8Lq0HGvtY-;q*bJA&LEYNq4n-D9-n%wbhD^eON+>kO9wOIWQVMqS!6`OJ&i% z>6Ks!xe-i%k|VQjyu=!RJ#pOO`|3EU=rlTd+rB%l$zIER{aW?+uiaw%EGjTy=eKU- zb5Y=@p);0B6J5}avB?@1!=ObaCh!e871F#32$9fs=M5C&mR^@or)j*^$F-S~gF{>CNP5q&gm5 zMCg9jVP>sS^55dW#j~6#499t4Nnihg;ZHYb=1<}GDC`rtLS~2Wy?L1VN|&RyFw7_> z&3ylg_*t{b_ODSq>A(-=01Od(Qz~y*v6rjDgE~H!So4kygWC=puoHyJ&+{_xNDy(n zN;$)F7vEk?VSkdyK%OCW2^0QI+Ked+ zJhO#Gk?)z2sQzoSoUBNHcEiHbc4^iC_7&1$ydKtCB-DGTSzA;&Ir4QSE8F`K~)AY^Zx_utT6)0xdV74XbHS@@1lsjz>nk^?uHzE#s+WZF0Hgyj+ZM` zc%G&O_o{$z?Hi?ma}X=<)2{fMIY^0sPmz;fjcMCl3Ev@PXI?aoYX(X;RAuJ)?DVc$A9f{n|5sEAVz%BWXGOR=q2^SdE|t55C(gVdvru7Y=rnxHUx^^kiazq zVlWvF^S#Lq4G@>hpyGL1mi#v0q+dhzdCgb`c>R-ATEFx{graXzb7q89vRf;ISVL^4 zLNXGwclWZ>5H|X&Pq%G{jjo3HxqwH~_mo5Px6s(3O5@A?&Yr>Cq;Z8bq2GME+HNjd z^jjp=0drXhveY)*O%8|>W}aj#rkp3&z0*Ip!ZPCzl=_2`lXK+=zw2;TPrW_~J;F5w zQg#xoeOP5kwE9eqmz^+uz?lzn6nEEN@Hr;{9NRlcMOOTA)ciX7T%pV#8x zqJ0L{D>NXwPPU$dZ2xc5nLeSc-)eMD4=mL%?)rB*wvo7(F*)3+Vg4-dFRKL>_`>w7 zAneyOkLA5f7rRJpuQ3<{*2_^%1*#0-Sfpw^zv%Q%Jj(K{so$hra$Vxq0`@k&WW`TL zk-m1UOH+o==Xmns3!kTYmjyNr6X}ghX93N;;yktOx6q~!)DBblUD)T!tIQs(nIl1F z_c9~Rj2m!!qpiOSjf?FXw^2e0I3gKDS{`(N6&j)2`qnO?fbZ-Vboly_EGrQJ*2%^} z%UNmr4;cp@cpfrvp|4h{7dj;-%#-FyM953YtljVYjX$vG^T72R$-QoH4IpCUMTU