From 3caa56c1afa0ee0a798aaf608cb4a2ab00f4bad4 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Tue, 15 Aug 2023 05:23:06 -0400 Subject: [PATCH] Delete old PLD stuff --- CPLD-old/LCMXO/LCMXO256C/.run_manager.ini | 9 - CPLD-old/LCMXO/LCMXO256C/.setting.ini | 4 - CPLD-old/LCMXO/LCMXO256C/.spread_sheet.ini | 3 - .../LCMXO/LCMXO256C/.spreadsheet_view.ini | 65 - CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ccl | 0 CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf | 14 - CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.lpf | 226 - .../LCMXO/LCMXO256C/RAM2GS_LCMXO256C1.sty | 205 - .../LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcl.html | 91 - .../pn210816194012.tcr | 17 - .../pn210816202808.tcr | 5 - .../pn210816213322.tcr | 4 - .../pn210816214112.tcr | 6 - CPLD-old/LCMXO/LCMXO256C/impl1/.build_status | 46 - .../.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb | Bin 65077 -> 0 bytes .../LCMXO256C/impl1/.vdbs/RAM2GS_rtl.vdb | Bin 72408 -> 0 bytes .../LCMXO256C/impl1/.vdbs/RAM2GS_tech.vdb | Bin 67067 -> 0 bytes .../impl1/IBIS/RAM2GS_LCMXO256C_im~.ibs | 2156 ------ .../impl1/RAM2GS_LCMXO256C_impl1.alt | 75 - .../impl1/RAM2GS_LCMXO256C_impl1.arearep | 24 - .../impl1/RAM2GS_LCMXO256C_impl1.bgn | 45 - .../impl1/RAM2GS_LCMXO256C_impl1.bit | Bin 9227 -> 0 bytes .../impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd | Bin 154201 -> 0 bytes .../impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad | 271 - .../impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par | 211 - .../RAM2GS_LCMXO256C_impl1.dir/5_1_par.asd | 33 - .../RAM2GS_LCMXO256C_impl1.par | 28 - .../impl1/RAM2GS_LCMXO256C_impl1.drc | 1 - .../impl1/RAM2GS_LCMXO256C_impl1.jed | 977 --- .../impl1/RAM2GS_LCMXO256C_impl1.log | 4 - .../impl1/RAM2GS_LCMXO256C_impl1.lpf | 4 - .../impl1/RAM2GS_LCMXO256C_impl1.lsedata | 5981 ----------------- .../impl1/RAM2GS_LCMXO256C_impl1.mrp | 402 -- .../LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mt | 9 - .../impl1/RAM2GS_LCMXO256C_impl1.n2e | 574 -- .../impl1/RAM2GS_LCMXO256C_impl1.ncd | Bin 154201 -> 0 bytes .../impl1/RAM2GS_LCMXO256C_impl1.ngd | Bin 153868 -> 0 bytes .../impl1/RAM2GS_LCMXO256C_impl1.p2t | 9 - .../impl1/RAM2GS_LCMXO256C_impl1.p2t.tmp0 | 9 - .../impl1/RAM2GS_LCMXO256C_impl1.p3t | 5 - .../impl1/RAM2GS_LCMXO256C_impl1.pad | 271 - .../impl1/RAM2GS_LCMXO256C_impl1.par | 239 - .../impl1/RAM2GS_LCMXO256C_impl1.prf | 165 - .../LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pt | 10 - .../impl1/RAM2GS_LCMXO256C_impl1.t2b | 2 - .../impl1/RAM2GS_LCMXO256C_impl1.tw1 | 2507 ------- .../impl1/RAM2GS_LCMXO256C_impl1.twr | 4355 ------------ .../impl1/RAM2GS_LCMXO256C_impl1_bgn.html | 111 - .../RAM2GS_LCMXO256C_impl1_iotiming.html | 202 - .../RAM2GS_LCMXO256C_impl1_lattice.synproj | 41 - .../impl1/RAM2GS_LCMXO256C_impl1_map.asd | 13 - .../impl1/RAM2GS_LCMXO256C_impl1_map.cam | 108 - .../impl1/RAM2GS_LCMXO256C_impl1_map.hrr | 10 - .../impl1/RAM2GS_LCMXO256C_impl1_map.ncd | Bin 104196 -> 0 bytes .../impl1/RAM2GS_LCMXO256C_impl1_mrp.html | 425 -- .../impl1/RAM2GS_LCMXO256C_impl1_pad.html | 336 - .../impl1/RAM2GS_LCMXO256C_impl1_par.html | 307 - .../impl1/RAM2GS_LCMXO256C_impl1_summary.html | 83 - .../impl1/RAM2GS_LCMXO256C_impl1_tw1.html | 2740 -------- .../impl1/RAM2GS_LCMXO256C_impl1_twr.html | 4588 ------------- CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_drc.log | 15 - CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_lse.twr | 311 - .../LCMXO256C/impl1/RAM2GS_lse_lsetwr.html | 376 -- CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_prim.v | 789 --- .../LCMXO/LCMXO256C/impl1/Untitled.tpf.prf | 89 - .../LCMXO256C/impl1/Untitled.tpf.prf_cdmp | 155 - .../LCMXO256C/impl1/Untitled.tpf.prf_cdmp0 | 88 - .../LCMXO256C/impl1/Untitled.tpf.prf_cdmp2 | 155 - .../LCMXO256C/impl1/Untitled.tpf_hold.html | 2080 ------ .../LCMXO256C/impl1/Untitled.tpf_setup.html | 3314 --------- CPLD-old/LCMXO/LCMXO256C/impl1/automake.log | 528 -- .../LCMXO256C/impl1/hdla_gen_hierarchy.html | 9 - .../impl1/ram2gs_lcmxo256c_impl1.ior | 137 - .../impl1/ram2gs_lcmxo256c_impl1_trce.asd | 91 - CPLD-old/LCMXO/LCMXO256C/impl1/synthesis.log | 239 - .../LCMXO/LCMXO256C/impl1/synthesis_lse.html | 304 - .../LCMXO256C/impl1/xxx_lse_cp_file_list | 252 - .../LCMXO/LCMXO256C/impl1/xxx_lse_sign_file | 252 - CPLD-old/LCMXO/LCMXO640C/.setting.ini | 4 - CPLD-old/LCMXO/LCMXO640C/.spread_sheet.ini | 3 - .../LCMXO/LCMXO640C/.spreadsheet_view.ini | 65 - CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ccl | 1 - CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf | 14 - CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.lpf | 226 - .../LCMXO/LCMXO640C/RAM2GS_LCMXO640C1.sty | 205 - .../LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcl.html | 75 - .../pn210816203903.tcr | 10 - .../pn210816214112.tcr | 6 - CPLD-old/LCMXO/LCMXO640C/impl1/.build_status | 46 - .../.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb | Bin 65077 -> 0 bytes .../LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb | Bin 72408 -> 0 bytes .../LCMXO640C/impl1/.vdbs/RAM2GS_tech.vdb | Bin 67067 -> 0 bytes .../LCMXO/LCMXO640C/impl1/.vdbs/dbStat.txt | 1 - .../impl1/IBIS/RAM2GS_LCMXO640C_im~.ibs | 2156 ------ .../impl1/RAM2GS_LCMXO640C_impl1.alt | 75 - .../impl1/RAM2GS_LCMXO640C_impl1.arearep | 24 - .../impl1/RAM2GS_LCMXO640C_impl1.bgn | 45 - .../impl1/RAM2GS_LCMXO640C_impl1.bit | Bin 20214 -> 0 bytes .../impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.ncd | Bin 156017 -> 0 bytes .../impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.pad | 353 - .../impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.par | 219 - .../RAM2GS_LCMXO640C_impl1.dir/5_1_par.asd | 45 - .../RAM2GS_LCMXO640C_impl1.par | 28 - .../impl1/RAM2GS_LCMXO640C_impl1.drc | 1 - .../impl1/RAM2GS_LCMXO640C_impl1.jed | 1745 ----- .../impl1/RAM2GS_LCMXO640C_impl1.log | 4 - .../impl1/RAM2GS_LCMXO640C_impl1.lpf | 4 - .../impl1/RAM2GS_LCMXO640C_impl1.lsedata | 5981 ----------------- .../impl1/RAM2GS_LCMXO640C_impl1.mrp | 402 -- .../LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mt | 9 - .../impl1/RAM2GS_LCMXO640C_impl1.n2e | 574 -- .../impl1/RAM2GS_LCMXO640C_impl1.ncd | Bin 156017 -> 0 bytes .../impl1/RAM2GS_LCMXO640C_impl1.ngd | Bin 153868 -> 0 bytes .../impl1/RAM2GS_LCMXO640C_impl1.p2t | 9 - .../impl1/RAM2GS_LCMXO640C_impl1.p3t | 5 - .../impl1/RAM2GS_LCMXO640C_impl1.pad | 353 - .../impl1/RAM2GS_LCMXO640C_impl1.par | 247 - .../impl1/RAM2GS_LCMXO640C_impl1.prf | 165 - .../LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pt | 10 - .../impl1/RAM2GS_LCMXO640C_impl1.t2b | 2 - .../impl1/RAM2GS_LCMXO640C_impl1.tw1 | 2507 ------- .../impl1/RAM2GS_LCMXO640C_impl1.twr | 4338 ------------ .../impl1/RAM2GS_LCMXO640C_impl1_bgn.html | 111 - .../RAM2GS_LCMXO640C_impl1_iotiming.html | 202 - .../RAM2GS_LCMXO640C_impl1_lattice.synproj | 41 - .../impl1/RAM2GS_LCMXO640C_impl1_map.asd | 13 - .../impl1/RAM2GS_LCMXO640C_impl1_map.cam | 108 - .../impl1/RAM2GS_LCMXO640C_impl1_map.hrr | 10 - .../impl1/RAM2GS_LCMXO640C_impl1_map.ncd | Bin 104206 -> 0 bytes .../impl1/RAM2GS_LCMXO640C_impl1_mrp.html | 425 -- .../impl1/RAM2GS_LCMXO640C_impl1_pad.html | 418 -- .../impl1/RAM2GS_LCMXO640C_impl1_par.html | 315 - .../impl1/RAM2GS_LCMXO640C_impl1_summary.html | 83 - .../impl1/RAM2GS_LCMXO640C_impl1_tw1.html | 2740 -------- .../impl1/RAM2GS_LCMXO640C_impl1_twr.html | 4571 ------------- CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_drc.log | 15 - CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_lse.twr | 311 - .../LCMXO640C/impl1/RAM2GS_lse_lsetwr.html | 376 -- CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_prim.v | 789 --- .../LCMXO/LCMXO640C/impl1/Untitled.tpf.prf | 89 - .../LCMXO640C/impl1/Untitled.tpf.prf_cdmp | 155 - .../LCMXO640C/impl1/Untitled.tpf.prf_cdmp0 | 88 - .../LCMXO640C/impl1/Untitled.tpf.prf_cdmp2 | 155 - .../LCMXO640C/impl1/Untitled.tpf_hold.html | 2080 ------ .../LCMXO640C/impl1/Untitled.tpf_setup.html | 3314 --------- CPLD-old/LCMXO/LCMXO640C/impl1/automake.log | 528 -- .../LCMXO640C/impl1/hdla_gen_hierarchy.html | 9 - .../impl1/ram2gs_lcmxo640c_impl1.ior | 137 - .../impl1/ram2gs_lcmxo640c_impl1_trce.asd | 91 - CPLD-old/LCMXO/LCMXO640C/impl1/synthesis.log | 239 - .../LCMXO/LCMXO640C/impl1/synthesis_lse.html | 304 - .../LCMXO640C/impl1/xxx_lse_cp_file_list | 252 - .../LCMXO/LCMXO640C/impl1/xxx_lse_sign_file | 252 - CPLD-old/LCMXO/RAM2GS-LCMXO.v | 403 -- CPLD-old/LCMXO2/LCMXO2-640HC/.run_manager.ini | 9 - .../LCMXO2-640HC/impl1/.vdbs/dbStat.txt | 1 - CPLD-old/MAX/MAXII/RAM2GS-MAXII.qpf | 30 - CPLD-old/MAX/MAXII/RAM2GS.qsf | 212 - CPLD-old/MAX/MAXII/RAM2GS.qws | Bin 818 -> 0 bytes CPLD-old/MAX/MAXII/UFM.qip | 3 - CPLD-old/MAX/MAXII/UFM.v | 268 - CPLD-old/MAX/MAXII/db/RAM2GS.(0).cnf.cdb | Bin 20498 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.(0).cnf.hdb | Bin 3642 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.(1).cnf.cdb | Bin 1261 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.(1).cnf.hdb | Bin 929 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.(2).cnf.cdb | Bin 1267 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.(2).cnf.hdb | Bin 1010 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.asm.qmsg | 6 - CPLD-old/MAX/MAXII/db/RAM2GS.asm.rdb | Bin 1391 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.asm_labs.ddb | Bin 2638 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.cmp.cdb | Bin 41577 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.cmp.hdb | Bin 18013 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.cmp.idb | Bin 11913 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.cmp.kpt | Bin 220 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.cmp.logdb | 1 - CPLD-old/MAX/MAXII/db/RAM2GS.cmp.rdb | Bin 15262 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.cmp0.ddb | Bin 73778 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.db_info | 3 - CPLD-old/MAX/MAXII/db/RAM2GS.fit.qmsg | 46 - CPLD-old/MAX/MAXII/db/RAM2GS.hier_info | 280 - CPLD-old/MAX/MAXII/db/RAM2GS.hif | Bin 586 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.ipinfo | Bin 259 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.lpc.html | 50 - CPLD-old/MAX/MAXII/db/RAM2GS.lpc.rdb | Bin 507 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.lpc.txt | 8 - CPLD-old/MAX/MAXII/db/RAM2GS.map.cdb | Bin 16089 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.map.hdb | Bin 17137 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.map.logdb | 1 - CPLD-old/MAX/MAXII/db/RAM2GS.map.qmsg | 27 - CPLD-old/MAX/MAXII/db/RAM2GS.map.rdb | Bin 1252 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.pre_map.hdb | Bin 16014 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.pti_db_list.ddb | Bin 191 -> 0 bytes .../db/RAM2GS.root_partition.map.reg_db.cdb | Bin 210 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.routing.rdb | Bin 1420 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.rtlv.hdb | Bin 15834 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.rtlv_sg.cdb | Bin 18021 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.rtlv_sg_swap.cdb | Bin 804 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.sgdiff.cdb | Bin 15071 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.sgdiff.hdb | Bin 16455 -> 0 bytes .../MAX/MAXII/db/RAM2GS.sld_design_entry.sci | Bin 216 -> 0 bytes .../MAXII/db/RAM2GS.sld_design_entry_dsc.sci | Bin 216 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.smart_action.txt | 1 - CPLD-old/MAX/MAXII/db/RAM2GS.sta.qmsg | 23 - CPLD-old/MAX/MAXII/db/RAM2GS.sta.rdb | Bin 18145 -> 0 bytes .../MAX/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb | Bin 36170 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.syn_hier_info | 0 CPLD-old/MAX/MAXII/db/RAM2GS.tis_db_list.ddb | Bin 191 -> 0 bytes CPLD-old/MAX/MAXII/db/RAM2GS.tmw_info | 6 - CPLD-old/MAX/MAXII/db/RAM2GS.vpr.ammdb | Bin 615 -> 0 bytes .../MAX/MAXII/db/logic_util_heursitic.dat | Bin 10208 -> 0 bytes .../MAX/MAXII/db/prev_cmp_RAM2GS-MAXII.qmsg | 13 - CPLD-old/MAX/MAXII/incremental_db/README | 11 - .../compiled_partitions/RAM2GS.db_info | 3 - .../RAM2GS.root_partition.map.kpt | Bin 2658 -> 0 bytes .../MAX/MAXII/output_files/RAM2GS.asm.rpt | 114 - CPLD-old/MAX/MAXII/output_files/RAM2GS.done | 1 - .../MAX/MAXII/output_files/RAM2GS.fit.rpt | 998 --- .../MAX/MAXII/output_files/RAM2GS.fit.smsg | 4 - .../MAX/MAXII/output_files/RAM2GS.fit.summary | 11 - .../MAX/MAXII/output_files/RAM2GS.flow.rpt | 126 - CPLD-old/MAX/MAXII/output_files/RAM2GS.jdi | 8 - .../MAX/MAXII/output_files/RAM2GS.map.rpt | 309 - .../MAX/MAXII/output_files/RAM2GS.map.smsg | 3 - .../MAX/MAXII/output_files/RAM2GS.map.summary | 9 - CPLD-old/MAX/MAXII/output_files/RAM2GS.pin | 164 - CPLD-old/MAX/MAXII/output_files/RAM2GS.pof | Bin 7879 -> 0 bytes .../MAX/MAXII/output_files/RAM2GS.sta.rpt | 1576 ----- .../MAX/MAXII/output_files/RAM2GS.sta.summary | 69 - CPLD-old/MAX/MAXV/RAM2GS-MAXV.qpf | 30 - CPLD-old/MAX/MAXV/RAM2GS.qsf | 212 - CPLD-old/MAX/MAXV/RAM2GS.qws | Bin 613 -> 0 bytes CPLD-old/MAX/MAXV/UFM.qip | 3 - CPLD-old/MAX/MAXV/UFM.v | 268 - CPLD-old/MAX/MAXV/db/RAM2GS.(0).cnf.cdb | Bin 20498 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.(0).cnf.hdb | Bin 3649 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.(1).cnf.cdb | Bin 1261 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.(1).cnf.hdb | Bin 930 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.(2).cnf.cdb | Bin 1267 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.(2).cnf.hdb | Bin 1012 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.asm.qmsg | 6 - CPLD-old/MAX/MAXV/db/RAM2GS.asm.rdb | Bin 1391 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.asm_labs.ddb | Bin 2681 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.cmp.cdb | Bin 42303 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.cmp.hdb | Bin 18020 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.cmp.idb | Bin 12219 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.cmp.kpt | Bin 220 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.cmp.logdb | 1 - CPLD-old/MAX/MAXV/db/RAM2GS.cmp.rdb | Bin 15283 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.cmp0.ddb | Bin 79054 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.db_info | 3 - CPLD-old/MAX/MAXV/db/RAM2GS.fit.qmsg | 46 - CPLD-old/MAX/MAXV/db/RAM2GS.hier_info | 280 - CPLD-old/MAX/MAXV/db/RAM2GS.hif | Bin 587 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.ipinfo | Bin 177 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.lpc.html | 50 - CPLD-old/MAX/MAXV/db/RAM2GS.lpc.rdb | Bin 509 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.lpc.txt | 8 - CPLD-old/MAX/MAXV/db/RAM2GS.map.cdb | Bin 16089 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.map.hdb | Bin 17155 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.map.logdb | 1 - CPLD-old/MAX/MAXV/db/RAM2GS.map.qmsg | 27 - CPLD-old/MAX/MAXV/db/RAM2GS.map.rdb | Bin 1255 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.pplq.rdb | Bin 246 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.pre_map.hdb | Bin 16024 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.pti_db_list.ddb | Bin 191 -> 0 bytes .../db/RAM2GS.root_partition.map.reg_db.cdb | Bin 210 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.routing.rdb | Bin 1410 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.rtlv.hdb | Bin 15843 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.rtlv_sg.cdb | Bin 18021 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.rtlv_sg_swap.cdb | Bin 804 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.sgdiff.cdb | Bin 15067 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.sgdiff.hdb | Bin 16458 -> 0 bytes .../MAX/MAXV/db/RAM2GS.sld_design_entry.sci | Bin 216 -> 0 bytes .../MAXV/db/RAM2GS.sld_design_entry_dsc.sci | Bin 216 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.smart_action.txt | 1 - CPLD-old/MAX/MAXV/db/RAM2GS.sta.qmsg | 23 - CPLD-old/MAX/MAXV/db/RAM2GS.sta.rdb | Bin 18274 -> 0 bytes .../MAX/MAXV/db/RAM2GS.sta_cmp.5_slow.tdb | Bin 36400 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.syn_hier_info | 0 CPLD-old/MAX/MAXV/db/RAM2GS.tis_db_list.ddb | Bin 191 -> 0 bytes CPLD-old/MAX/MAXV/db/RAM2GS.tmw_info | 6 - CPLD-old/MAX/MAXV/db/RAM2GS.vpr.ammdb | Bin 615 -> 0 bytes CPLD-old/MAX/MAXV/db/logic_util_heursitic.dat | Bin 10208 -> 0 bytes .../MAX/MAXV/db/prev_cmp_RAM2GS-MAXV.qmsg | 9 - CPLD-old/MAX/MAXV/incremental_db/README | 11 - .../compiled_partitions/RAM2GS.db_info | 3 - .../RAM2GS.root_partition.map.kpt | Bin 2673 -> 0 bytes CPLD-old/MAX/MAXV/output_files/RAM2GS.asm.rpt | 114 - CPLD-old/MAX/MAXV/output_files/RAM2GS.done | 1 - CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.rpt | 1002 --- .../MAX/MAXV/output_files/RAM2GS.fit.smsg | 4 - .../MAX/MAXV/output_files/RAM2GS.fit.summary | 11 - .../MAX/MAXV/output_files/RAM2GS.flow.rpt | 126 - CPLD-old/MAX/MAXV/output_files/RAM2GS.jdi | 8 - CPLD-old/MAX/MAXV/output_files/RAM2GS.map.rpt | 309 - .../MAX/MAXV/output_files/RAM2GS.map.smsg | 3 - .../MAX/MAXV/output_files/RAM2GS.map.summary | 9 - CPLD-old/MAX/MAXV/output_files/RAM2GS.pin | 164 - CPLD-old/MAX/MAXV/output_files/RAM2GS.pof | Bin 7879 -> 0 bytes CPLD-old/MAX/MAXV/output_files/RAM2GS.sta.rpt | 1576 ----- .../MAX/MAXV/output_files/RAM2GS.sta.summary | 69 - CPLD-old/MAX/RAM2GS-MAX.mif | 27 - CPLD-old/MAX/RAM2GS-MAX.v | 467 -- CPLD-old/MAX/greybox_tmp/cbx_args.txt | 25 - CPLD-old/RAM4GS-AGM.v | 402 -- CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC.ldf | 2 +- ...2_640HC1.sty => RAM2GS_LCMXO2_1200HC1.sty} | 0 .../pn230815052235.tcr | 5 + CPLD/LCMXO2-1200HC/impl1/.build_status | 54 +- .../.vdbs/RAM2GS_LCMXO2_1200HC_impl1_map.vdb | Bin 70832 -> 70832 bytes .../impl1/RAM2GS_LCMXO2_1200HC_impl1.alt | 2 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1.bgn | 6 +- .../RAM2GS_LCMXO2_1200HC_impl1.dir/5_1.ncd | Bin 197895 -> 197895 bytes .../RAM2GS_LCMXO2_1200HC_impl1.dir/5_1.pad | 4 +- .../RAM2GS_LCMXO2_1200HC_impl1.dir/5_1.par | 94 +- .../RAM2GS_LCMXO2_1200HC_impl1.par | 6 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1.jed | 4 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1.mrp | 12 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1.ncd | Bin 197895 -> 197895 bytes .../impl1/RAM2GS_LCMXO2_1200HC_impl1.pad | 4 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1.par | 100 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1.prf | 2 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1.tw1 | 4 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1.twr | 4 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1_bgn.html | 8 +- .../RAM2GS_LCMXO2_1200HC_impl1_iotiming.html | 2 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1_map.cam | 2 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1_map.ncd | Bin 140118 -> 140118 bytes .../RAM2GS_LCMXO2_1200HC_impl1_mapvho.sdf | 2 +- .../RAM2GS_LCMXO2_1200HC_impl1_mapvho.vho | 4 +- .../RAM2GS_LCMXO2_1200HC_impl1_mapvo.sdf | 2 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo | 4 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1_mrp.html | 2 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1_pad.html | 4 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1_par.html | 100 +- .../RAM2GS_LCMXO2_1200HC_impl1_summary.html | 2 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1_tw1.html | 4 +- .../impl1/RAM2GS_LCMXO2_1200HC_impl1_twr.html | 4 +- CPLD/LCMXO2-1200HC/impl1/automake.log | 368 +- .../impl1/ram2gs_lcmxo2_1200hc_impl1.ior | 2 +- .../LCMXO2-640HC-old}/.run_manager.ini | 0 .../LCMXO2-640HC-old}/.setting.ini | 0 .../LCMXO2-640HC-old}/EFB.edn | 0 .../LCMXO2-640HC-old}/EFB.ipx | 0 .../LCMXO2-640HC-old}/EFB.lpc | 0 .../LCMXO2-640HC-old}/EFB.naf | 0 .../LCMXO2-640HC-old}/EFB.sort | 0 .../LCMXO2-640HC-old}/EFB.srp | 0 .../LCMXO2-640HC-old}/EFB.sym | Bin .../LCMXO2-640HC-old}/EFB.v | 0 .../LCMXO2-640HC-old}/EFB_generate.log | 0 .../LCMXO2-640HC-old}/EFB_tmpl.v | 0 .../LCMXO2-640HC-old}/RAM2GS_LCMXO2_640HC.ldf | 0 .../LCMXO2-640HC-old}/RAM2GS_LCMXO2_640HC.lpf | 0 .../RAM2GS_LCMXO2_640HC1.sty | 0 .../RAM2GS_LCMXO2_640HC_tcl.html | 0 .../pn210817003052.tcr | 0 .../pn210817054927.tcr | 0 .../pn210817062320.tcr | 0 .../pn211010064144.tcr | 0 .../LCMXO2-640HC-old}/_math_real.vhd | 0 .../LCMXO2-640HC-old}/generate_core.tcl | 0 .../LCMXO2-640HC-old}/generate_ngd.tcl | 0 .../LCMXO2-640HC-old}/impl1/.build_status | 0 .../.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb | Bin .../impl1/.vdbs/RAM2GS_rtl.vdb | Bin .../impl1/.vdbs/RAM2GS_tech.vdb | Bin .../LCMXO2-640HC-old}/impl1/.vdbs/dbStat.txt | 0 .../impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.alt | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.arearep | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.bgn | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.bit | Bin .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd | Bin .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad | 0 .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par | 0 .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd | 0 .../RAM2GS_LCMXO2_640HC_impl1.par | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.drc | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.jed | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.log | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.lpf | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.mrp | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.mt | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.ncd | Bin .../impl1/RAM2GS_LCMXO2_640HC_impl1.ngd | Bin .../impl1/RAM2GS_LCMXO2_640HC_impl1.p2t | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.p3t | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.pad | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.par | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.prf | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.pt | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.t2b | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1.twr | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html | 0 .../RAM2GS_LCMXO2_640HC_impl1_iotiming.html | 0 .../RAM2GS_LCMXO2_640HC_impl1_lattice.synproj | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd | Bin .../impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1_par.html | 0 .../RAM2GS_LCMXO2_640HC_impl1_summary.html | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html | 0 .../impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html | 0 .../LCMXO2-640HC-old}/impl1/RAM2GS_drc.log | 0 .../LCMXO2-640HC-old}/impl1/RAM2GS_lse.twr | 0 .../impl1/RAM2GS_lse_lsetwr.html | 0 .../LCMXO2-640HC-old}/impl1/RAM2GS_prim.v | 0 .../LCMXO2-640HC-old}/impl1/automake.log | 0 .../impl1/hdla_gen_hierarchy.html | 0 .../impl1/ram2gs_lcmxo2_640hc_impl1.ior | 0 .../impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd | 0 .../LCMXO2-640HC-old}/impl1/synthesis.log | 0 .../impl1/synthesis_lse.html | 0 .../impl1/xxx_lse_cp_file_list | 0 .../LCMXO2-640HC-old}/impl1/xxx_lse_sign_file | 0 .../LCMXO2-640HC-old}/msg_file.log | 0 .../pn230815052238.tcr | 5 + CPLD/LCMXO256C/impl1/.build_status | 58 +- .../.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb | Bin 62815 -> 62815 bytes .../impl1/RAM2GS_LCMXO256C_impl1.alt | 2 +- .../impl1/RAM2GS_LCMXO256C_impl1.bgn | 2 +- .../impl1/RAM2GS_LCMXO256C_impl1.bit | Bin 9225 -> 9225 bytes .../impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd | Bin 162296 -> 162296 bytes .../impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad | 4 +- .../impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par | 64 +- .../RAM2GS_LCMXO256C_impl1.par | 6 +- .../impl1/RAM2GS_LCMXO256C_impl1.jed | 4 +- .../impl1/RAM2GS_LCMXO256C_impl1.mrp | 10 +- .../impl1/RAM2GS_LCMXO256C_impl1.ncd | Bin 162296 -> 162296 bytes .../impl1/RAM2GS_LCMXO256C_impl1.pad | 4 +- .../impl1/RAM2GS_LCMXO256C_impl1.par | 70 +- .../impl1/RAM2GS_LCMXO256C_impl1.prf | 2 +- .../impl1/RAM2GS_LCMXO256C_impl1.tw1 | 4 +- .../impl1/RAM2GS_LCMXO256C_impl1.twr | 4 +- .../impl1/RAM2GS_LCMXO256C_impl1_bgn.html | 2 +- .../RAM2GS_LCMXO256C_impl1_iotiming.html | 2 +- .../impl1/RAM2GS_LCMXO256C_impl1_map.cam | 2 +- .../impl1/RAM2GS_LCMXO256C_impl1_map.ncd | Bin 107268 -> 107268 bytes .../impl1/RAM2GS_LCMXO256C_impl1_mapvho.sdf | 2 +- .../impl1/RAM2GS_LCMXO256C_impl1_mapvho.vho | 4 +- .../impl1/RAM2GS_LCMXO256C_impl1_mapvo.sdf | 2 +- .../impl1/RAM2GS_LCMXO256C_impl1_mapvo.vo | 4 +- .../impl1/RAM2GS_LCMXO256C_impl1_mrp.html | 2 +- .../impl1/RAM2GS_LCMXO256C_impl1_pad.html | 4 +- .../impl1/RAM2GS_LCMXO256C_impl1_par.html | 70 +- .../impl1/RAM2GS_LCMXO256C_impl1_summary.html | 2 +- .../impl1/RAM2GS_LCMXO256C_impl1_tw1.html | 4 +- .../impl1/RAM2GS_LCMXO256C_impl1_twr.html | 4 +- CPLD/LCMXO256C/impl1/automake.log | 325 +- .../impl1/ram2gs_lcmxo256c_impl1.ior | 2 +- CPLD/LCMXO640C/RAM2GS_LCMXO640C.ldf | 2 +- ...S_LCMXO256C1.sty => RAM2GS_LCMXO640C1.sty} | 0 .../pn230815052236.tcr | 5 + .../pn230815052239.tcr | 7 + CPLD/LCMXO640C/impl1/.build_status | 58 +- .../.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb | Bin 62815 -> 62815 bytes CPLD/LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb | Bin 74340 -> 74340 bytes CPLD/LCMXO640C/impl1/.vdbs/RAM2GS_tech.vdb | Bin 72003 -> 72003 bytes .../impl1/RAM2GS_LCMXO640C_impl1.alt | 2 +- .../impl1/RAM2GS_LCMXO640C_impl1.bgn | 2 +- .../impl1/RAM2GS_LCMXO640C_impl1.bit | Bin 20212 -> 20212 bytes .../impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.ncd | Bin 163428 -> 163428 bytes .../impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.pad | 4 +- .../impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.par | 44 +- .../RAM2GS_LCMXO640C_impl1.par | 6 +- .../impl1/RAM2GS_LCMXO640C_impl1.jed | 4 +- .../impl1/RAM2GS_LCMXO640C_impl1.mrp | 10 +- .../impl1/RAM2GS_LCMXO640C_impl1.ncd | Bin 163428 -> 163428 bytes .../impl1/RAM2GS_LCMXO640C_impl1.ngd | Bin 160693 -> 160693 bytes .../impl1/RAM2GS_LCMXO640C_impl1.pad | 4 +- .../impl1/RAM2GS_LCMXO640C_impl1.par | 50 +- .../impl1/RAM2GS_LCMXO640C_impl1.prf | 2 +- .../impl1/RAM2GS_LCMXO640C_impl1.tw1 | 4 +- .../impl1/RAM2GS_LCMXO640C_impl1.twr | 4 +- .../impl1/RAM2GS_LCMXO640C_impl1_bgn.html | 2 +- .../RAM2GS_LCMXO640C_impl1_iotiming.html | 2 +- .../impl1/RAM2GS_LCMXO640C_impl1_map.cam | 2 +- .../impl1/RAM2GS_LCMXO640C_impl1_map.ncd | Bin 107278 -> 107278 bytes .../impl1/RAM2GS_LCMXO640C_impl1_mapvho.sdf | 2 +- .../impl1/RAM2GS_LCMXO640C_impl1_mapvho.vho | 4 +- .../impl1/RAM2GS_LCMXO640C_impl1_mapvo.sdf | 2 +- .../impl1/RAM2GS_LCMXO640C_impl1_mapvo.vo | 4 +- .../impl1/RAM2GS_LCMXO640C_impl1_mrp.html | 2 +- .../impl1/RAM2GS_LCMXO640C_impl1_pad.html | 4 +- .../impl1/RAM2GS_LCMXO640C_impl1_par.html | 50 +- .../impl1/RAM2GS_LCMXO640C_impl1_summary.html | 2 +- .../impl1/RAM2GS_LCMXO640C_impl1_tw1.html | 4 +- .../impl1/RAM2GS_LCMXO640C_impl1_twr.html | 4 +- CPLD/LCMXO640C/impl1/RAM2GS_lse.twr | 4 +- CPLD/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html | 4 +- CPLD/LCMXO640C/impl1/RAM2GS_prim.v | 2 +- CPLD/LCMXO640C/impl1/automake.log | 56 +- .../impl1/ram2gs_lcmxo640c_impl1.ior | 2 +- CPLD/LCMXO640C/impl1/synthesis.log | 4 +- CPLD/LCMXO640C/impl1/synthesis_lse.html | 4 +- {CPLD-old/LCMXO2 => CPLD}/RAM2GS-LCMXO2.v | 0 502 files changed, 685 insertions(+), 86656 deletions(-) delete mode 100644 CPLD-old/LCMXO/LCMXO256C/.run_manager.ini delete mode 100644 CPLD-old/LCMXO/LCMXO256C/.setting.ini delete mode 100644 CPLD-old/LCMXO/LCMXO256C/.spread_sheet.ini delete mode 100644 CPLD-old/LCMXO/LCMXO256C/.spreadsheet_view.ini delete mode 100644 CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ccl delete mode 100644 CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf delete mode 100644 CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.lpf delete mode 100644 CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C1.sty delete mode 100644 CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcl.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816194012.tcr delete mode 100644 CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816202808.tcr delete mode 100644 CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816213322.tcr delete mode 100644 CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816214112.tcr delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/.build_status delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_rtl.vdb delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_tech.vdb delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/IBIS/RAM2GS_LCMXO256C_im~.ibs delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.alt delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.arearep delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.bgn delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.bit delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1_par.asd delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.drc delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.log delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lpf delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lsedata delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mt delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.n2e delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ncd delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ngd delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t.tmp0 delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p3t delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pt delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.t2b delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_lattice.synproj delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.asd delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.hrr delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.ncd delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_drc.log delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_lse.twr delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_lse_lsetwr.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_prim.v delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp0 delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp2 delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf_hold.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf_setup.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/automake.log delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/hdla_gen_hierarchy.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1_trce.asd delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/synthesis.log delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/synthesis_lse.html delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/xxx_lse_cp_file_list delete mode 100644 CPLD-old/LCMXO/LCMXO256C/impl1/xxx_lse_sign_file delete mode 100644 CPLD-old/LCMXO/LCMXO640C/.setting.ini delete mode 100644 CPLD-old/LCMXO/LCMXO640C/.spread_sheet.ini delete mode 100644 CPLD-old/LCMXO/LCMXO640C/.spreadsheet_view.ini delete mode 100644 CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ccl delete mode 100644 CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf delete mode 100644 CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.lpf delete mode 100644 CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C1.sty delete mode 100644 CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcl.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816203903.tcr delete mode 100644 CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816214112.tcr delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/.build_status delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_tech.vdb delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/.vdbs/dbStat.txt delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/IBIS/RAM2GS_LCMXO640C_im~.ibs delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.alt delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.arearep delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.bgn delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.bit delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.ncd delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.pad delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.par delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1_par.asd delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.drc delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.log delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lpf delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lsedata delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mt delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.n2e delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ncd delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ngd delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.p2t delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.p3t delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pad delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.par delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pt delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.t2b delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_lattice.synproj delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.asd delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.hrr delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.ncd delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_mrp.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_drc.log delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_lse.twr delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_prim.v delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp0 delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp2 delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf_hold.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf_setup.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/automake.log delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/hdla_gen_hierarchy.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1_trce.asd delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/synthesis.log delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/synthesis_lse.html delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/xxx_lse_cp_file_list delete mode 100644 CPLD-old/LCMXO/LCMXO640C/impl1/xxx_lse_sign_file delete mode 100644 CPLD-old/LCMXO/RAM2GS-LCMXO.v delete mode 100644 CPLD-old/LCMXO2/LCMXO2-640HC/.run_manager.ini delete mode 100644 CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.vdbs/dbStat.txt delete mode 100644 CPLD-old/MAX/MAXII/RAM2GS-MAXII.qpf delete mode 100644 CPLD-old/MAX/MAXII/RAM2GS.qsf delete mode 100644 CPLD-old/MAX/MAXII/RAM2GS.qws delete mode 100644 CPLD-old/MAX/MAXII/UFM.qip delete mode 100644 CPLD-old/MAX/MAXII/UFM.v delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.(0).cnf.cdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.(0).cnf.hdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.(1).cnf.cdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.(1).cnf.hdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.(2).cnf.cdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.(2).cnf.hdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.asm.qmsg delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.asm.rdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.asm_labs.ddb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.cmp.cdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.cmp.hdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.cmp.idb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.cmp.kpt delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.cmp.logdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.cmp.rdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.cmp0.ddb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.db_info delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.fit.qmsg delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.hier_info delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.hif delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.ipinfo delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.lpc.html delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.lpc.rdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.lpc.txt delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.map.cdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.map.hdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.map.logdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.map.qmsg delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.map.rdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.pre_map.hdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.pti_db_list.ddb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.root_partition.map.reg_db.cdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.routing.rdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.rtlv.hdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.rtlv_sg.cdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.rtlv_sg_swap.cdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.sgdiff.cdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.sgdiff.hdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.sld_design_entry.sci delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.sld_design_entry_dsc.sci delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.smart_action.txt delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.sta.qmsg delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.sta.rdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.syn_hier_info delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.tis_db_list.ddb delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.tmw_info delete mode 100644 CPLD-old/MAX/MAXII/db/RAM2GS.vpr.ammdb delete mode 100644 CPLD-old/MAX/MAXII/db/logic_util_heursitic.dat delete mode 100644 CPLD-old/MAX/MAXII/db/prev_cmp_RAM2GS-MAXII.qmsg delete mode 100644 CPLD-old/MAX/MAXII/incremental_db/README delete mode 100644 CPLD-old/MAX/MAXII/incremental_db/compiled_partitions/RAM2GS.db_info delete mode 100644 CPLD-old/MAX/MAXII/incremental_db/compiled_partitions/RAM2GS.root_partition.map.kpt delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.asm.rpt delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.done delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.rpt delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.smsg delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.summary delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.flow.rpt delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.jdi delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.map.rpt delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.map.smsg delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.map.summary delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.pin delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.pof delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.sta.rpt delete mode 100644 CPLD-old/MAX/MAXII/output_files/RAM2GS.sta.summary delete mode 100644 CPLD-old/MAX/MAXV/RAM2GS-MAXV.qpf delete mode 100644 CPLD-old/MAX/MAXV/RAM2GS.qsf delete mode 100644 CPLD-old/MAX/MAXV/RAM2GS.qws delete mode 100644 CPLD-old/MAX/MAXV/UFM.qip delete mode 100644 CPLD-old/MAX/MAXV/UFM.v delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.(0).cnf.cdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.(0).cnf.hdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.(1).cnf.cdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.(1).cnf.hdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.(2).cnf.cdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.(2).cnf.hdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.asm.qmsg delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.asm.rdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.asm_labs.ddb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.cmp.cdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.cmp.hdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.cmp.idb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.cmp.kpt delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.cmp.logdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.cmp.rdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.cmp0.ddb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.db_info delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.fit.qmsg delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.hier_info delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.hif delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.ipinfo delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.lpc.html delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.lpc.rdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.lpc.txt delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.map.cdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.map.hdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.map.logdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.map.qmsg delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.map.rdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.pplq.rdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.pre_map.hdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.pti_db_list.ddb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.root_partition.map.reg_db.cdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.routing.rdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.rtlv.hdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.rtlv_sg.cdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.rtlv_sg_swap.cdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.sgdiff.cdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.sgdiff.hdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.sld_design_entry.sci delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.sld_design_entry_dsc.sci delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.smart_action.txt delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.sta.qmsg delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.sta.rdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.sta_cmp.5_slow.tdb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.syn_hier_info delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.tis_db_list.ddb delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.tmw_info delete mode 100644 CPLD-old/MAX/MAXV/db/RAM2GS.vpr.ammdb delete mode 100644 CPLD-old/MAX/MAXV/db/logic_util_heursitic.dat delete mode 100644 CPLD-old/MAX/MAXV/db/prev_cmp_RAM2GS-MAXV.qmsg delete mode 100644 CPLD-old/MAX/MAXV/incremental_db/README delete mode 100644 CPLD-old/MAX/MAXV/incremental_db/compiled_partitions/RAM2GS.db_info delete mode 100644 CPLD-old/MAX/MAXV/incremental_db/compiled_partitions/RAM2GS.root_partition.map.kpt delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.asm.rpt delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.done delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.rpt delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.smsg delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.summary delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.flow.rpt delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.jdi delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.map.rpt delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.map.smsg delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.map.summary delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.pin delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.pof delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.sta.rpt delete mode 100644 CPLD-old/MAX/MAXV/output_files/RAM2GS.sta.summary delete mode 100644 CPLD-old/MAX/RAM2GS-MAX.mif delete mode 100644 CPLD-old/MAX/RAM2GS-MAX.v delete mode 100644 CPLD-old/MAX/greybox_tmp/cbx_args.txt delete mode 100644 CPLD-old/RAM4GS-AGM.v rename CPLD/LCMXO2-1200HC/{RAM2GS_LCMXO2_640HC1.sty => RAM2GS_LCMXO2_1200HC1.sty} (100%) create mode 100644 CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC_tcr.dir/pn230815052235.tcr rename {CPLD-old/LCMXO/LCMXO640C => CPLD/LCMXO2-640HC-old}/.run_manager.ini (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/.setting.ini (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/EFB.edn (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/EFB.ipx (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/EFB.lpc (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/EFB.naf (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/EFB.sort (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/EFB.srp (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/EFB.sym (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/EFB.v (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/EFB_generate.log (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/EFB_tmpl.v (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/RAM2GS_LCMXO2_640HC.ldf (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/RAM2GS_LCMXO2_640HC.lpf (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/RAM2GS_LCMXO2_640HC1.sty (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/RAM2GS_LCMXO2_640HC_tcl.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817003052.tcr (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817054927.tcr (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817062320.tcr (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/RAM2GS_LCMXO2_640HC_tcr.dir/pn211010064144.tcr (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/_math_real.vhd (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/generate_core.tcl (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/generate_ngd.tcl (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/.build_status (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/.vdbs/RAM2GS_rtl.vdb (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/.vdbs/RAM2GS_tech.vdb (100%) rename {CPLD-old/LCMXO/LCMXO256C => CPLD/LCMXO2-640HC-old}/impl1/.vdbs/dbStat.txt (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.alt (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.arearep (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.bgn (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.bit (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.drc (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.jed (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.log (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.mt (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.ncd (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.ngd (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.p2t (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.p3t (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.pad (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.par (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.prf (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.pt (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.t2b (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1.twr (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_drc.log (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_lse.twr (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_lse_lsetwr.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/RAM2GS_prim.v (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/automake.log (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/hdla_gen_hierarchy.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/ram2gs_lcmxo2_640hc_impl1.ior (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/synthesis.log (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/synthesis_lse.html (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/xxx_lse_cp_file_list (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/impl1/xxx_lse_sign_file (100%) rename {CPLD-old/LCMXO2/LCMXO2-640HC => CPLD/LCMXO2-640HC-old}/msg_file.log (100%) create mode 100644 CPLD/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn230815052238.tcr rename CPLD/LCMXO640C/{RAM2GS_LCMXO256C1.sty => RAM2GS_LCMXO640C1.sty} (100%) create mode 100644 CPLD/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn230815052236.tcr create mode 100644 CPLD/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn230815052239.tcr rename {CPLD-old/LCMXO2 => CPLD}/RAM2GS-LCMXO2.v (100%) diff --git a/CPLD-old/LCMXO/LCMXO256C/.run_manager.ini b/CPLD-old/LCMXO/LCMXO256C/.run_manager.ini deleted file mode 100644 index be682d1..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/.run_manager.ini +++ /dev/null @@ -1,9 +0,0 @@ -[Runmanager] -Geometry=@ByteArray(\x1\xd9\xd0\xcb\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x1\x1c\0\0\0\xd8\0\0\0\0\0\0\0\0\xff\xff\xff\xff\xff\xff\xff\xff\0\0\0\0\0\0) -windowState=@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\0\0\0\x2\xc9\0\0\x2i\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x1\0\0\0\0\0\0\0\x1\xff\xff\xff\xff\x3\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0) -headerState=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x1\0\0\0\x1\0\0\0\0\x1\0\0\0\0\0\0\0\0\0\0\0\x16\0\xe0?\0\0\0\t\0\0\0\x10\0\0\0\x64\0\0\0\xf\0\0\0\x64\0\0\0\xe\0\0\0\x64\0\0\0\r\0\0\0\x64\0\0\0\x15\0\0\0\x64\0\0\0\x14\0\0\0\x64\0\0\0\x13\0\0\0\x64\0\0\0\x12\0\0\0\x64\0\0\0\x11\0\0\0\x64\0\0\x4\xd3\0\0\0\x16\x1\x1\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x64\xff\xff\xff\xff\0\0\0\x81\0\0\0\0\0\0\0\x3\0\0\0#\0\0\0\x1\0\0\0\x2\0\0\x4\xb0\0\0\0\f\0\0\0\0\0\0\0\0\0\0\0\t\0\0\0\0) - -[impl1%3CStrategy1%3E] -isChecked=true -isHidden=false -isExpanded=true diff --git a/CPLD-old/LCMXO/LCMXO256C/.setting.ini b/CPLD-old/LCMXO/LCMXO256C/.setting.ini deleted file mode 100644 index c145fb8..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/.setting.ini +++ /dev/null @@ -1,4 +0,0 @@ -[General] -Export.auto_tasks=IBIS, Bitgen -Map.auto_tasks=MapEqu, MapTrace -PAR.auto_tasks=PARTrace, IOTiming diff --git a/CPLD-old/LCMXO/LCMXO256C/.spread_sheet.ini b/CPLD-old/LCMXO/LCMXO256C/.spread_sheet.ini deleted file mode 100644 index 6c511f4..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/.spread_sheet.ini +++ /dev/null @@ -1,3 +0,0 @@ -[General] -COLUMN_POS_INFO_NAME_-1_0=Prioritize -COLUMN_POS_INFO_NAME_-1_1=PIO Register diff --git a/CPLD-old/LCMXO/LCMXO256C/.spreadsheet_view.ini b/CPLD-old/LCMXO/LCMXO256C/.spreadsheet_view.ini deleted file mode 100644 index 0aa848d..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/.spreadsheet_view.ini +++ /dev/null @@ -1,65 +0,0 @@ -[General] -pin_sort_type=0 -pin_sort_ascending=true -sig_sort_type=0 -sig_sort_ascending=true -active_Sheet=Timing Preferences - -[Port%20Assignments] -Name="166,0" -Group%20By="84,1" -Pin="63,2" -BANK="62,3" -IO_TYPE="117,4" -PULLMODE="119,5" -DRIVE="67,6" -SLEWRATE="92,7" -OPENDRAIN="97,8" -Outload%20%28pF%29="103,9" -MaxSkew="87,10" -Clock%20Load%20Only="121,11" -sort_columns="Name,Ascending" - -[Pin%20Assignments] -Pin="90,0" -Pad%20Name="89,1" -Dual%20Function="109,2" -Polarity="77,3" -BANK="0,4" -IO_TYPE="117,5" -Signal%20Name="123,6" -Signal%20Type="115,7" -sort_columns="Pin,Ascending" - -[Clock%20Resource] -Clock%20Type="100,ELLIPSIS" -Clock%20Name="100,ELLIPSIS" -Selection="100,ELLIPSIS" - -[Global%20Preferences] -Preference%20Name="222,ELLIPSIS" -Preference%20Value="236,ELLIPSIS" - -[Cell%20Mapping] -Type="100,ELLIPSIS" -Name="100,ELLIPSIS" -Din\Dout="100,ELLIPSIS" -PIO%20Register="100,ELLIPSIS" - -[Route%20Priority] -Type="100,ELLIPSIS" -Name="100,ELLIPSIS" -Prioritize="100,ELLIPSIS" - -[Timing%20Preferences] -Preference%20Name="246,ELLIPSIS" -Preference%20Value="104,ELLIPSIS" -Preference%20Unit="1012,ELLIPSIS" - -[Group] -Group%20Type\Name="134,ELLIPSIS" -Value="1245,ELLIPSIS" - -[Misc%20Preferences] -Preference%20Name="117,ELLIPSIS" -Preference%20Value="104,ELLIPSIS" diff --git a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ccl b/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ccl deleted file mode 100644 index e69de29..0000000 diff --git a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf b/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf deleted file mode 100644 index 0accfcf..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf +++ /dev/null @@ -1,14 +0,0 @@ - - - - - - - - - - - - - - diff --git a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.lpf b/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.lpf deleted file mode 100644 index 9fa278f..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.lpf +++ /dev/null @@ -1,226 +0,0 @@ -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -LOCATE COMP "Dout[0]" SITE "1" ; -LOCATE COMP "Dout[6]" SITE "2" ; -LOCATE COMP "Dout[7]" SITE "3" ; -LOCATE COMP "Dout[4]" SITE "4" ; -LOCATE COMP "Dout[5]" SITE "5" ; -LOCATE COMP "Dout[3]" SITE "6" ; -LOCATE COMP "Dout[1]" SITE "7" ; -LOCATE COMP "Dout[2]" SITE "8" ; -LOCATE COMP "Din[2]" SITE "14" ; -LOCATE COMP "Din[1]" SITE "15" ; -LOCATE COMP "Din[3]" SITE "16" ; -LOCATE COMP "Din[5]" SITE "17" ; -LOCATE COMP "Din[4]" SITE "18" ; -LOCATE COMP "Din[7]" SITE "19" ; -LOCATE COMP "Din[6]" SITE "20" ; -LOCATE COMP "Din[0]" SITE "21" ; -LOCATE COMP "LED" SITE "57" ; -LOCATE COMP "RA[0]" SITE "98" ; -LOCATE COMP "RA[1]" SITE "89" ; -LOCATE COMP "RA[2]" SITE "94" ; -LOCATE COMP "RA[3]" SITE "97" ; -LOCATE COMP "RA[4]" SITE "99" ; -LOCATE COMP "RA[5]" SITE "95" ; -LOCATE COMP "RA[6]" SITE "91" ; -LOCATE COMP "RA[7]" SITE "100" ; -LOCATE COMP "RA[8]" SITE "96" ; -LOCATE COMP "RA[9]" SITE "85" ; -LOCATE COMP "RA[10]" SITE "87" ; -LOCATE COMP "RA[11]" SITE "79" ; -LOCATE COMP "RBA[1]" SITE "83" ; -LOCATE COMP "RBA[0]" SITE "63" ; -LOCATE COMP "RCKE" SITE "82" ; -LOCATE COMP "RDQMH" SITE "76" ; -LOCATE COMP "RDQML" SITE "61" ; -LOCATE COMP "UFMCLK" SITE "58" ; -LOCATE COMP "UFMSDI" SITE "56" ; -LOCATE COMP "nUFMCS" SITE "53" ; -LOCATE COMP "nRCAS" SITE "78" ; -LOCATE COMP "nRCS" SITE "77" ; -LOCATE COMP "nRRAS" SITE "73" ; -LOCATE COMP "nRWE" SITE "72" ; -LOCATE COMP "RD[0]" SITE "64" ; -LOCATE COMP "RD[1]" SITE "65" ; -LOCATE COMP "RD[2]" SITE "66" ; -LOCATE COMP "RD[3]" SITE "67" ; -LOCATE COMP "RD[4]" SITE "68" ; -LOCATE COMP "RD[5]" SITE "69" ; -LOCATE COMP "RD[6]" SITE "70" ; -LOCATE COMP "RD[7]" SITE "71" ; -LOCATE COMP "PHI2" SITE "39" ; -LOCATE COMP "RCLK" SITE "86" ; -LOCATE COMP "nCCAS" SITE "27" ; -LOCATE COMP "nCRAS" SITE "43" ; -LOCATE COMP "CROW[0]" SITE "32" ; -LOCATE COMP "CROW[1]" SITE "34" ; -LOCATE COMP "UFMSDO" SITE "55" ; -LOCATE COMP "nFWE" SITE "22" ; -LOCATE COMP "MAin[0]" SITE "23" ; -LOCATE COMP "MAin[1]" SITE "38" ; -LOCATE COMP "MAin[2]" SITE "37" ; -LOCATE COMP "MAin[3]" SITE "47" ; -LOCATE COMP "MAin[4]" SITE "46" ; -LOCATE COMP "MAin[5]" SITE "45" ; -LOCATE COMP "MAin[6]" SITE "49" ; -LOCATE COMP "MAin[7]" SITE "44" ; -LOCATE COMP "MAin[8]" SITE "50" ; -LOCATE COMP "MAin[9]" SITE "51" ; -IOBUF PORT "CROW[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "CROW[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "nCRAS" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "nCCAS" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "RCLK" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "PHI2" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[2]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[3]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[4]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[5]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[6]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[7]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[2]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[3]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[4]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[5]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[6]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[7]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[8]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[9]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "UFMSDO" PULLMODE=KEEPER IO_TYPE=LVTTL33 ; -IOBUF PORT "nFWE" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Dout[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[2]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[3]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[4]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[5]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[6]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[7]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "LED" PULLMODE=NONE IO_TYPE=LVTTL33 DRIVE=16 SLEWRATE=SLOW ; -IOBUF PORT "RA[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[2]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[3]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[4]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[5]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[6]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[7]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[8]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[9]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[10]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[11]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RBA[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RBA[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RCKE" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RDQMH" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RDQML" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "UFMCLK" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "UFMSDI" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "nRCAS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "nRCS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "nRRAS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "nRWE" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "nUFMCS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RD[0]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[1]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[2]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[3]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[4]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[5]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[6]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[7]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -USE PRIMARY NET "PHI2_c" ; -USE PRIMARY NET "RCLK_c" ; -VOLTAGE 3.300 V; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; -PERIOD NET "PHI2_c" 350.000000 ns ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -PERIOD NET "RCLK_c" 16.000000 ns ; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; -USE PRIMARY NET "nCCAS_c" ; -USE PRIMARY NET "nCRAS_c" ; diff --git a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C1.sty b/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C1.sty deleted file mode 100644 index feec63c..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C1.sty +++ /dev/null @@ -1,205 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcl.html b/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcl.html deleted file mode 100644 index ddd739c..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcl.html +++ /dev/null @@ -1,91 +0,0 @@ - -Lattice TCL Log - - -
pn210816194012
-#Start recording tcl command: 8/16/2021 19:02:08
-#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C
-prj_project new -name "RAM2GS_LCMXO256C" -impl "impl1" -dev LCMXO256C-3T100C -synthesis "lse"
-prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM4GS-LCMXO.v"
-prj_project save
-prj_src remove "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM4GS-LCMXO.v"
-prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v"
-prj_run PAR -impl impl1
-prj_run PAR -impl impl1
-prj_run Map -impl impl1
-prj_run Export -impl impl1
-prj_run PAR -impl impl1
-prj_run Map -impl impl1
-prj_run PAR -impl impl1
-prj_run Export -impl impl1 -forceAll
-prj_run Export -impl impl1 -forceAll
-#Stop recording: 8/16/2021 19:40:12
-
-
-
-pn210816202808
-#Start recording tcl command: 8/16/2021 20:24:10
-#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C
-prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf"
-prj_run Export -impl impl1 -forceAll
-#Stop recording: 8/16/2021 20:28:08
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- - diff --git a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816194012.tcr b/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816194012.tcr deleted file mode 100644 index c6ef2b4..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816194012.tcr +++ /dev/null @@ -1,17 +0,0 @@ -#Start recording tcl command: 8/16/2021 19:02:08 -#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C -prj_project new -name "RAM2GS_LCMXO256C" -impl "impl1" -dev LCMXO256C-3T100C -synthesis "lse" -prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM4GS-LCMXO.v" -prj_project save -prj_src remove "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM4GS-LCMXO.v" -prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v" -prj_run PAR -impl impl1 -prj_run PAR -impl impl1 -prj_run Map -impl impl1 -prj_run Export -impl impl1 -prj_run PAR -impl impl1 -prj_run Map -impl impl1 -prj_run PAR -impl impl1 -prj_run Export -impl impl1 -forceAll -prj_run Export -impl impl1 -forceAll -#Stop recording: 8/16/2021 19:40:12 diff --git a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816202808.tcr b/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816202808.tcr deleted file mode 100644 index fa5fbe8..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816202808.tcr +++ /dev/null @@ -1,5 +0,0 @@ -#Start recording tcl command: 8/16/2021 20:24:10 -#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C -prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf" -prj_run Export -impl impl1 -forceAll -#Stop recording: 8/16/2021 20:28:08 diff --git a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816213322.tcr b/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816213322.tcr deleted file mode 100644 index 2a19da1..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816213322.tcr +++ /dev/null @@ -1,4 +0,0 @@ -#Start recording tcl command: 8/16/2021 21:33:16 -#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C -prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf" -#Stop recording: 8/16/2021 21:33:22 diff --git a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816214112.tcr b/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816214112.tcr deleted file mode 100644 index e2e3953..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816214112.tcr +++ /dev/null @@ -1,6 +0,0 @@ -#Start recording tcl command: 8/16/2021 21:32:14 -#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C -prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf" -prj_run Export -impl impl1 -forceAll -prj_run Export -impl impl1 -forceOne -#Stop recording: 8/16/2021 21:41:12 diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/.build_status b/CPLD-old/LCMXO/LCMXO256C/impl1/.build_status deleted file mode 100644 index b86a12b..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/.build_status +++ /dev/null @@ -1,46 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb b/CPLD-old/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb deleted file mode 100644 index 2ef13db145a25d3f598a8eb441654a402be32199..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 65077 zcmd6Q33OaXc3q>Xp4DHbrPW5KVdCvd3E%Cyw_$wqrZqm&v`gzWV=TPR>Dh zPWl|6>b-iu>eZ`P@4c#D|NnbGaCUMglX)$JU-VO7eReW8Soo|jo|t&s*}2?%o_yj< zh|fd|nPFz?;N{lU?R}E~JKvPQmj(^sCT8oWm(I@D?^&qxLLf3BW}>)vb^CgBZSV5U ztJkjW?dERo+}f7)Ph>LW;M4kY^M7I{+CO+Xy1E-_#6%`Q#4DZL+PwR)I(__1Tx<0^up5Jr%#??=J7h8w?H=5ebq9!ey^{-@ zrw^-hA~W^swcVGm?5fJMwuyn`=6{rlV^*x~8R@EH5pZOD0P$n{8Zm_1N6xiO)S{Dd$}_yJu_9wIBi#OynMZ z@0UK6|IjlpJon55hxw$v?5>jwDFjOkw%%Ox8?W3{#Mw-?dBx>kx4DMHH7qXI@YhV9 zKC3$e?OHNfaB$i*;K$`yU5WXWoFH*rd}OB7P+mD~Xzu?1cxe9LjzL1&GZCzdoC5(O zF6T*DuET_!&*YaDVJnP2nStvw_Ni?C%rSNm*7LyyE=1t@(3@+Eq9*7%x?U z>Hu2RKlq4)*Dr^oES`mYITz z?OZ*uP42%TAZl}c>7GqfIHOd>6VJ|{n_s+N5X?2B{mYlPMGbR}1g4e1^b(js0@F`m zhH=a!^xkl)INNfZWivTw!gW=s^VdM}Jbjuoz{E1V(2*9~B zOQs3{e#q?Tg)UN2DvL9}bz(UjE{RDD*Y^IUy~Bnp`T(n)>O{@$Xj`=aE$IeOJAj4( z)DNIR0QCZ>6+n#uY6egzY6QGUs2!9I1E?QBg8=FUP%D5M0o0Vx^jf)ITCC3-!A!4* zU@Zh!La-8o)etO)U?~7O9+!jh5D>;gKo}1JVLSwc@emNkLqHf00bx7@gz@kYWl#=f zAVJ7Lf{=j(Ap;3Q1`>n}BnTM@5He_ZE})YVf*y!6=z)Ns2Lgf~2nc#0An1XBpa%ki z9(ZUPqjUcWuLq?N1m9t)1oP#)7W9?jbk3I!!Iw7;J&bq0B!FY(`kDH<<;~?S$H}o$ z0+qY6XEXB<$C&!1X8Yp%;?@~2LDV7mN{&HHTcV8N*LZ+E0h=>MSe|2Rnb9|tM_;~?dK9Hjh@gOvYqkn%qkGXCd8=zkoj z{f`5+|8b!9KMvIX$AQ}aI8gf^3u^ykx!_d1EM*`e<$oNd@;?qz{>MSe|2Rnb9|tM_ z;~?dKEM)wTWlc@R#kvEv|8b!9KMvIX$AQ}aI8gf^2WtOgLG6Dm7ux?gNckTJDgWaj z<$oNc{Evf_|8bD=KMqp<$3e>fSjhMv%i6T|KMsTmf&Xz}DFBuKQDrIqCk;>kW9w4> z#{$CtIF$E47DfM~`PTl&=9T}^M8^ME!1x~rYX9Rv23>DA9Kkzj|Km!v|8b!9KMvIX z$AQ}aI8gf^3u^yk+138XLCXI)NckTJDgWaj<$oNc{Evf_|8bD=KMqp<$3n*cSk{dH zaiI1;4%Gg~f!hB#Q2QSTYX9Rv?SCw&{g35B`yU4>|KlL#e;lOzkAsx|agg#q4pRQd zLCXI)NckTNS^r~U?|*8W?}%*{NKC^2^bbCHaOnEyE4YZCtyR>@^B%j!IJ*)@RN{zg z98r!VN^!($HP*^T9I+Nhtj7_xIASG^sKgP~IHDX!l;Viha-7pRVl9qXk0WYv#7Z1d zi6g3UL^+Nq#Sk-{?c3Xz-?*xGe6c~(jlu00JdDBp7(9r&H!wVZ z!x-F;!Gjpwi@~iJ+=#)=5T0JeKGM9?>*#xKxiu^5ZX!!gtk%wp{#xKxiu^5ZX$4 zgtk)q2ceY`u(DDDR#r;D%1Q}XSt$W4DwOUScpF|hTHomLucHx=7X#ST-k{Z#BA728Y2wo_L4EA_NFnU_PQ~o z_RcY+_Tn+5_VytX^Zp&@mbT{4#?aMtbU7VeN<|;T?cBF-E!DS{H}A7sC*|eOS=#h* zP$>ZOXSVM5Wy*{>F#nFlEeA}!x_k4Q3+D1@#1-Xn|3(AhI$PcHGBz=#WJ|Lcmas1E zDBpzX65|1!3iAR{2#3M{h;t?h$@dy)>c!Y^b zJi^2z9${hio)@yPP>ovKD^_twndX4R2y~g&iUSoS$ zudzL>*Vqo$Yi!qjy~g&ST4Q@qt+73**4Q3YYitjyHMR%U8ry?vjqO3T#&)0!3$aeM zVPQ7o!om=1!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2 z!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>Y-!$Rz&*i~0HW5dD_X~Mz~ zX~Mz~X~Mz~X~Mz~X~Mz~X~Mz~X~Mz~X~Mz)dAu3D{N|-S+-}0At%@ zgm#;RI86oZw|j1Yc(lyyz-2S;sF=stqhcOokBWJWJu2og_NbW0*rQ?|V~>hC41;MG zTIpcgfsDExFs&9M&Pq?Kg@^;W5b*$39u7FpQ>d@+??n512X7j2O>O%P8AwZo@WK#G z&o7@7;0(5Jno;ZWEps*DUetE*?B==pM!i;Ew1*4lKmgO%=s6O?MC#~malap&kV zk3bx9%rxf@S>i_L{=v09BUh-xnWeW82p4ap`Glg8=SKIh?1$$@1tQMRWij{$+FK@eYWDWD?VHE*>#^?^VtobU3J+UoYG~}Tn=u_<>A;|9m0z~S-;Nn9R5i_0Udad`wjE|1X2 z<*}#c^4N8A`IgA{M1COheUWcQZ)`iciF`}sdm=v&`M$^xMZPWaU6Jqjd>&5eetVcP zhoiNE37?0Jba+@tmxo<+d00f3hb?sZw#auyzT@*`V#_iyjh&h^^9!3s7}IB#18{j$ zf|K{(wLrpfT1{@HV()ib6nLybU54Xy(`Ns~G&e(=dVPD>1{&Ebb`DSCu$&^o6DC*e z(n1w&JrZK3ntIb)Q}H*$tmvby?1{*G+SrXD*L<=JJ?lE{}QU z@|b5Xk9p?um}f4JdFJw%XD*L<=JJ?lE{}QU@|b5Xk9p?um}f4JdFJw%XD*L<=JJ?l zE{}QU@|b5Xk9p?um}f4JdFJw%XD;82c3*aK6Zw|N_e6dm@_msXihNt-yCUE5d8+t= zergRzT@ds+Kry2{(cSas-WGZyiHTeO+{@dyKXI7pFToQnoKscf!R{U=3_kl{gyA3Lnu*ZMuzG<=R1dj*hU%?U zW%UPFW7P{pqI!(bXQp8lXqiZ?3mZNJ~x?BTM zo2`4q&q1a>@mN}3FwNzfi1A$Bvw>$Ot;XX?N|(hHQ^a@KJo!h2jxC@uhmrpKl>K1vjTmXs~W@#?Ec5NF`zfgo2Dy|0klPBjlKZ80??Wh`! zOl&)N9vF|Lh*knbyp2g5H$F_wqOW9~dF*_;r&9CS_4ELCJUxKjP7h$G(*xM$^Z<4^ zJ%HU!2jn{2J5~vEU6F5#{7~fkB0muMp2)XEz9I5WpGW^rI400P0n{Y0B7uqosuCzm zpd^4?=aT53$hSp)DDr)gABcQUBQT*V;a?W-!+f`KHL@>BxOUKY7B}azfN{Nz@|pO_9IkYsqaJs}~08xl5_& z>3OWjw@$0`BH;zoCk`vgH80)Na}WVbL*!c`-xK+P$oEBlDDrKQ?}~iK=MmJMIK8NZ zrGh#EKs|g3rkw{cAw7Vp>H$n*4`6ybAlKX${S*0?$oE8kAo6{YABuci-EzdOZJnYW)Woy!B8Zo#P!ofqDQ~RG1mrmb3e{yNwJhF?0 z=JMj{dptOW33B_oosCmhZ|@!WXL4Va(B$I%dW{JWy@V-X-8Dkw<9^4)bBB~}wR zC2&>%GncO1zV3RFJ+%Guua^M^MEa#OES;F&yS8&By0v{ZI=HnP?HycO zc>cMIAFaRmk&BJ`^Nr`~!{IROk_C*U_}BI2~;Ie zmO#k^(`cvFd%;@vX|xo8wGdnh!Ab~LL$DlzB?(S0E}t~Ln|iBpXZzB=gPH-YHBP6Pf5DhXGHZsaNALKUHk= zKERHh=+Q$!zSV2o=-e6N|Chh?#Fst#&|@#W?_I4&AAIsP1-$miWAAwtzdPV94+@(#{rnzhftA8H-s04=eR&pMAQ%wpOcep86w{b_UM^ z3ek7QD1+6Q4BAcx#U}6ejA_J6*f5nNK6K3%aASE~qUWt6FZyCP8bpsg@}N;QBl)V3 ze4&hcW@A!=Mqlz$g2G8PD6HVV+?ZM(v}Mc3*2)*_M)?Z0@wM6yTV4098o^hD;ERnh z>Rvgfdwr*S#nu>`t;U)ixMmCMxZOIY!5((xU>ApJD!(En-y5R{DlrlCoCu18F*dsr zYqsT^9cq(cjPXbfeQ8}=GV9ffgEZOKr0n}+6hbW~goYPFpSRJ+Waw)+5(M3LLTCj- zD6HUo!k9FFFp!!**L3|g_GVp5zA;7@TMk|9v9dRP#o-v+U5~X}676=zIOMD0ke6`w zO}aKC{{+zQbjt9n+7tuYRHH8$ipQyC2T#`yegH}JQ|D!#`?_^V#{*#dM?Xu*59 zrt04rw0a+Wt8=H@Z5?r4RpgN?AMch*85mrc^qhd2ulu@%(Duv2Y*Z@z@Klj0>UtE6n4G zYJvKUnxSGpP@(xjw--I~@KaA+c=Vm`KX~NPT_YqIaL3YAp^OuK-kdy)p?siRxp%F6 zzKUc}d|~+UXP!IW_(=8RPd5t+C_MYzOV1Px=7YVDwJv_7&}hB*{KtFGyzp${Blv4H zpKtUE_dZi>45bz=KlT2{aHJFxdGEtdMg&?>tJiH@C~O2gJW3wc&R46IPd`v8pI<*Z zAKieDyIQ^HFsoNK>Ns7teE0G_8!uwK3M=Csn?W!(#U94y`rgZXSG$ky>)@*{7f1YF&JB$!H1=S2=#BimeoT-{{|HweEB} zN3=JkYH06E9HL6SQo|78Uegwj#tSX|B5FwOJTCe)_VhMZkF2MGwVUbUkXWO!yP1RX~3I6>$p!b}0rKL|#Q1O@{&F&jsh0FN?z3CwiCo$7xnaIlYHxZ(2q z9m>6N)CmY*DH|>JqA$eumbTF_(5peDtDHowc5k_SzOqt2qOKJ?L8yCzZ4ROy+&$Hw z9Y3xtr(I}|w%>R}3PWkvn6vAc@zo~wz|FX0lDcuv6&pO1?$;WlR{c^L59?v#nlVOy`pc~ADSsg4?tJW0sgaoFFz0fC)TmqHQFU~bPJsei$4K75R(h7}K0>O+l z#(%8_b68jpVv#S}??HY0ITmAF{RqS3> zjymqeb`S=cg-O>gOu&H=#y|*Ts({Dng)mfvH0a;xH172KM+}FHeD>7~!fdDE9&{6n zH?>#L!Ct}k)dH-NU}5AQpb=Q1Vjtu2*uw{pJ+b>GPheE=mOat7N59E3Q6dP`l ziZ=>dZvgAzya7fdP|eYDgMJHcmRf=v9U-+Y6sm!h9BunBfuj8xoC;8Lv``L0qtUk6 z2;w5%5wo_r>TNU5Zi*q$F4G=}8l|Jqp5C5^n$ZhoERnHoI5rjR1;NM+&LL=_ua2?J zPSB=u0@eEZ#)fl-Z6WYn0WY;2Tle{Ryq|$HI1gdEAM8qKtJk~HZ`?WhK6NM9kubfl zt@++#ci*X7j&D{Gy&r8XgoACe`?G7B!y}2Vp=m z!o^r=B{deQZE!VK33g+Q#~E1|RK%W(kic9Q+d%pRu$Dow+148HTC0I&0X9Z68C`O+ zYz_-x&vvC=Qa5~-?^;lYqO-+8%2J_Jxp%#Kex-cW=%KU{E)^E>AoSfaF3bqF4EJPv zs@Mrn@+k9-ZEo(h9zB#@4Uh8Z+v@jho~myy6`SLXp?Q=8)6y;@^hp-oZ~>=R#f()Q z9@!m890eAdWA6MRK6>f-rrKKKs?7{TPYlCMu{Ta>R6=RY@%XTs(>uk%INQY?4LJ&P zJhH3XE$GQ@y|fyHQSBa~PjV2{_R&CXAN8Wag~CcQL>gVoL5Rd1JwNa@JMcKw>itKt zJfTh;9v8FYmVW{xXYVRd1&(R)Fyi)`=bn%K!~J;~?8 z3Y9UsAZ(Qhr8kSz!G+^$a*nB^%Ba|m&h+(`?QWr(G&UnMbFIMGm_Q4AVb&{ zW4{R0ohYV?jd3o5%HbmDn0m6uZUBWdakM*6^Z_FFoZ~}eX7z=yH;Gk;-Fmp`tIb`squ-A6HSkoed)nJ=J2vMr3mhL}Wc3daehXU!!fci1R_B_p_@j zm3p(f^jT*MYe6KaZk=L-vATv6_bcaDD@R^>wu5M}gaflFegFr)oZ92u#+a>9IcAO4 zj1_E+x!r24-F4k=VI>%NtMwx}u2mca<8E#gqo4kSdAq~sE^1@s0Vnl@?w!=<`1G+k z{;$?I#4Q}?i&KRuJbV;5rqLRr_1G4;dbG}1z|J^L?`mjz=ddEM7O)mf$B{;4FmYlS zN?4KEnJBityMg!z;h9#=&S;J>lvcv?taodLpclf^RO$vzeYMV)v=nN|{h8t5M!RvR zd*pKz{a}B_+)>00BHqXvZ!FLYVgZ=pN_}Y&-yLHdV&Wp4Jakhbi`8+kAz}ifk=NwaGnXRgWj(GQw;ReUS9XB&LfHKC3xfYw4>giK6F}vezcq7)Zdh*iTn<^z2$s@Zr zg=3pyYA$u$HlbarV}BU;FGXymredpgqkE@`y~?A#I;YqO)@t*9r=S(J2VJ{C+z<*d zRnWd{Yzr0birs;Z7S5JFiPsJl^m7qoYgRwjVVj+<;6bCU6AL(Zn$-4D?U0m8;jSjo5viN_Dd9K7JDwT#-QYbI#I!%oy)8!Q{MG`hv}O03-#({3j` z-Y`KjoFi{iMDY4^qW*}h7{XiU7Q`w4Rv{8IPnIOA%n5~#cl(q`%N!v8C?OYt; z61K@~=i;=N)X3w?fQ+fr%I>*Nub6{2cJlxa(>me9Erk$o!{)qp!k?0KOaL`kEZg+=%qp?Id>2$j<(As%=a zde9B6(ujpo!bvANCev6!Dm`e8SN*Cn^}_;EHQNtwC5&XsgN*l8IbFaps!%${Lyhi6%W5bgWg)9|AiagGUc~$f#G_WSBMTwc zL-`mM@c55s0V|q~U~OsSFuI*pEFHysJyynwhHq5ZI{mq3Sg`#mhNU;&xl)PE6~rrQ zt_;T8>`JUzge%%IgsZoa&JH}_Agz&^qBu#GK0CT%7;wO6yz;2USv;O1g%7;wxbFa;ykg^g4uaH}!Kgqe~!Q7xuqBi!~k7GiX$$SO9%#j<+TUBCz3~Qgf6UF+V$I^DBbqH#g8dA2JRSaU{rtwtQSbEN_^xn7 zTwdFaPJi^ozcf5sSPkwvsp&Xu+!!?OG>1psS;4o;Br6+uy-|Iw{_N8)VP*fqB0J6Z zm)lpCXN%p?ERK?w(ne?#ORHpNJ;989WqP*Q86z{RF=k4uV5XK}#=f#WTWsq0klD#G zQpxb)C2>cxR3?`B5c$-x)Q%A~7ImS$t%-{`YF=p&5>$Any7@4WYn5mGN zYJwU2%KU7xH%4YEF=kfCOgX`fePw^P*dHS^wHPxsGE<5%BR?5{9sCq_It@M=)jsN5 z`bz5|J9F*mkq6%kFZaY{ZDi!v3bHtqmDJx+ak3WUWSHQ@e<%S4Z;YI5#5n0EIPu?T zfVCSVC#x||@XGMm==je=z|`rddjnH9s?p(XKVjMBhBe15U8Wg^oN?6mGZj1~2Z5>$BF>+CkaiM*`mxcYP z53J}Ixv0jt(0<=@VZSB>3pz$FDlsm!&-Yx|<gij**L%7#G^#doJwvlVC!}$VDy2 zh4%HH3;W?F7|=0tu^!_>`+3iW{bCf%=NP%b3A13PY9H^pu%D`e@f;%;cu_;Zh4$~3 z3-^sIn9dX?+Kn5%#vPn|I@)OutouVI7y$CUUD|ZIk8{Ct>er73mQyp)KQa?M2r4Zn zncy2fEfeOeU@)91Omqe}`hyWjqMXJAS~gC^eU1!meU=dam+|cZL!F!8_Q` zLm3$ynUS>=Ms(YT5&x|{n9xHR=^vSqjTA<7+nN#gnLilOkd1dkKi+FgaiSw)$%+4xB#i19Ia!HuqN8HT ziT{Wt?CKafsl_$2if^vE;;mx)Zi_jGU~+IMETZR=UStQ8>?mV#?Ad* zYh(RqT47_y$VoZIiI$1yM0_C@CN_l;1n+P=!z15psD_Np>N}b*UNko}#kXl;V8_Tu zCB}!onJM^iU&V!a9U~ujN0?m9%JUdKHQgpVOqz?$9jwp zefLuE;l4o(!#YMj)?$3<8<&C)_w{3#)iLt15#vK|nF>DKcb8#Q$H>QOj1Rq+?fCFt ze1=604^7h4;R{UnZr~eCM_pUtEy}@c(d%7&Yg*e=|EXzM(~t`lJ2zXrV~PVBN8AP} zr?P>sTx&MmN3LN?LoSpoaGM3PIO@}}5JeyhwUFS~wG9vUTwx7TAk#9 z4Qn36N4;T0Q@Fs28uLUpDEENnbJPCrr9)(mj)2Ht7|UzG~8|CcS3T>n458q%D)SP1-SO*QAsW z`~M9lrQ8VLGU*#8{iI0`kY=XzTal3CFGqQ^p3g@5F_Tgr_W@>onF7C0e8wL81%kia z7vSGVQTz_1WRvgQWHW!%q?!B%&QR-5&0|zaPO@_*&E(fb@g$2sj^a&|vNn=urfcQm?`mOi`sO@q~C%xv$&?e<@bD@9|1m7=X3Kw z^EVmcA1nJ@q+f5+eO{CY>E7CmzHNHd8 zG^?aela5XDI_fgZ*%LP1KuXT3dmJVThUK;=ObLG@Qr5#XlN~&c|NJQpVBNfyX=X~l zB7ueYO_F!&8{42BGG!k!Iew1-DSwlcIcFC+zJ~>CQ{N-AQUh=PIc34@%_P;EoIw? zrVPlA>f0_-{<376dcuoUXnziApq!$qU=&#An+|rSs}t#en!zk|G(4Nk-O~ zX6AVF^t$>29w7d*5&pf1vd=LoZ7#RI0PE8kiG__%}^@+oa!QQqEGAzlAiDdnj^aujIp8`TX4vuym#wJ)~ZVk34_MI{Z3H zC>rv~K9f%l7y0Bs+(1h0=^>?ThDbTQ{}L(bK7}+h)r_|Bx_jjKQ!TTc>Y>V~OMzLM zrhxtc1gw|>V1;am7yJtYv*X0G<4oD%OukfK+`1nb{!}}>j6M7w7U%eUEQ|1)qV7|< z*b6Ehxn;^mIkJSAvQecFlX%P(dH$xUT)dct)OcRRiwQGjrS@W5nbxW@Ua|HfO8Lu1 z_(#c7QNjIn&@XKdNqb~{;LK+@mHjfNK4@`r5-UQ%N#z!ObN3N z!c566hm|l>!qjWRObJu3nNqKbSNe@!Ne!pzXL}s~A4UrG5DrI;Asr6cvyO*moN9gv zDXX_0gJzn|&@98*Vms7HlC6k%s`*yFZyN`hQ%SQP3wJ4+NGR?rq@$MvY{N>3%@e~JBa`Fk2($;fO zehg`5j_*Fix3 z=$@&WlnPV!o_MAl5>~|ia4xglx?!fA+cajRBU4l^8a*;gIS{t#Hv`V#`=e=0+YTzG zkIQc?;~M^youbDhXEs$+BEKPGIVD_M%;Dyo*ki-|EpA&g6z-_E2D)4xF+~*)eMY7%OISZ9&bsW~rD`Y&VgTd8V`vvAOm^pgEaH4rNXq zHf4`E3>;so6}h15aCn%q9S#S%w7R3jo?j6{Qfl%oAY(O3^YDGzG&ND}k$~;&BIU5M zT@JTRSx!9LW4o*vs*G(`t8GfemW*1)cGcXQX<#t89SsD3bMzsA_^#O<`3?B$bfvA(O{bJg#^$0)}FtEn&*Z#L>-^@CLV(S(}YeM$5ta zXbLhVd@V7BaE98-@nIi1Zsd*^aD1%ZFeS{Esh0x-GknZURw^$d;V&EEA1fi7Of%EZ z=#v%5@~5UI3s?(Nn$Djf;R3(eAVQVJc_8^yUFW!wF-nwz;Nxwc9>>z&93h+FD8~3{~$|`_wjO|>*gcT zfceWt_(yxnI+$jr^hczT<4^UMcuo^~piI6hSWx4A3uw+`JKh~dRuhv?b(CnGiAk;0V}2jqfFQUD=x+rpQQ;s(qvyrd%Y^&1pN2ZuOkeuVCEMdv*1|=h25>nN7kY;8ciu491^88J* z+gWT!Qa+@h{N5nt-1WVe_pWvy$9q5l@u#$sqG8unZO8GV6RNf%R5YN9kYOoxTTvaW z;=7Y)^mm$p<4+km4hyBnfP#wf^++@Gcn&!&uu$fSu@$?*E0}Uzn9?gSrSdVQOqhOy zN!b$NPa@6C@!8TK{8cMc*2#|1lTrx0mhd+s<*?Y4@mD6l5}#*%peaK&9FtY&ma-9$ z97@_|RhY-?UA>;Lm@b*pVwzbjTdS4W*i#dlgTuZkV!s4+>sB>NETnQMqWs26CFJKd z(;!m>zFjqlm-ns#;!m~1g%<-MMFX|bUo=wXX8c&fE>m)xw?D($@_1=n*gHmp?41oS zz2Q)ijGd%pm9=q>!Q$dxdoY(+%weE;%H#%qE~#}J=MXQp>DK|~;Hf#3YkF#>coz*d zQ&Q3EA#TAzgP=)TR!&gWRA6MS{sv&9X8bS)RKkPlLx6)8j$h8C&ec7|74yK%>nhGDdx&nYdwNb}X*2R>Ht|eN7-?Tkb z+ro4b6gX4L$r@_vG+||UX5Figf#FZ}g~oxGa%3~dd63|``PMo~@XJQ{$5QH=qRQii zIBvIFsmX^?Oo7<6Z{Pz~n#c2Sj(m!Jf5sFuW$)T1%^QUoX#WWQr&^HAk^X@HHxvX8C4HmqD`6M#_FL zWeZF-LuDKUx1*)@D<~zdp?G;FUltZ`G6KdL2+EpPvx2Z43t9xWLnlSNS*_werW;vq^{{%9 zv03bvsbxiCWf!50>QV7bNgZD9$a*P-|`(2o91PoV7tdnVGx)O}B zvZ}v}0?L}5CYQI6QfN$x*AXaI5q|#Ao>5&XE1Oc=DO+keY^>95wI(H!0_0R+YGFMU z)}*d8lA}Dy3dfOCSqW}Z%oh?-*c?Pvn6HRBltX3JY)Z`&wdlj~0>J#K=<^Rjzz$Fs zc?aPJQYr&^BTRWPrPU;!x=2{*_Vk%$zSziCsQlax2*@o5f{al+GxK~eoD14SbQc*) zj*T8V471Z4wev^yV;49}IQ#6}CO)%RJ$!CcJXSqa0EMjzerU?yUW-7%YRIP!0aoLd zglG<^DxR&V7ijqPe>Or9MZq37$xwbJzO}#+;xKZWVM!GqAqtcY=;9ilNwMNo1IU5n z)oK7|-HUO7;ZJ#~eUPwyMRL5Oyp%tLPO1q1SV}1?s$+b&Lbx7QTe*xsDGaI{wVv9~ z*2yGOHMQsX;BT-DR<48*8K=L!*2c2xntVCFd1bYlX=WbZP)!TKl?b|^;1qv@l=%ui zFds;W;nruPM0IT1yl4wBe@Z?ad&);?;;{{Uj5%Bvs77ei*a(ehW~vd%J6W9QG=7u- z!yA^%I}nkv;{#nlS-(GOIKnGdWY8QbRXo)WE+<30 zoTgKCJdgLC`O9f~h^AiZ+VS#AUmO8V>C&9Nf|M)daK@57Dm2CRbEKH+Bvg{)#`gD3 zxl~CqKs>MiA(rPlo#Eq1`BS&lX&EUjPI2reHQTO~ZL^d*!xT}xC}qzX0P$K~+7hL_ zmZiLwX(rbbrM#AAf!FHNeyo(Pk)=wRb3;+enpw)4nPRtIl(J^p30|v9yP}lWvXs{{ zg)~GducdS0wYs#~2&KVNUdt5H5T(4966Ce2RBeaHccK2bxn9j6WgNsV6suqXhwA&- zKpyX~_4}vfoz92F-=>Q3fdXI5tLcDP{Ozh3FF*CgyxJCjhbqQfzI-vSribU%->Hgm z0?rrnYFqqWsu<5I`eI&9bH=N`o5eHTD43OMqvsbtIe+zR>;~%0hFDJXq{?U7 zVNGg&|4&eG7-*na6Dv)Hd_?nDYOi$~g=C37iN-lr^vaPpX`{9hOrTEdS4{oSGVzQ>HBcFRGk+ z=gVp8ZTWvy<h%b(M#m{Q&GUz z8H%4JMSdk7##{uNVa&6tcr6}f(+M)}RL(Gu7ZrxSk|7RNjw$Ze$1DF3(5(D9Ra}a1 zDG<%#59_PrM*;SLrZrLf8I$Y2WeS!`%*l2`U5j@Hg~c2<&J|T$Y+QNs(re9T^PAgv zvE}1H^OueA?_;22@Xa)%=^ANt+lG#5=B`%rg_r8jT>R+8=JSL43$6MCYv&g!CHy8H z0L27fjQFkTr1njEpsHI^GvR$Ihi6?zJ!o+1@XHq@%N?^ zub&sh7c8-|SIL_F8@^EUyDhOYUrA#B5-t#bp(R$d!vUge9?s` zAO7;_iKn8s@CKht`(`{IwY1-dOeRU|UVj7z9<#LHpHAyuQ3Tq@E$t7a(>CpEgh2Zz zE$t7IHmPf?<|R8I{Zp3ohtf&y`*=Y5r!A>+3&~pTOLIW_XDq4m5J^(|W*dp}&XOv>ktDV6hym%Jx1>LeOo{~Ti(EkZ7c8mrHpyD;+g3pO7cHrBJV{df zN)(X(B}@7v=?vKSmVopxTT6GqP{={Fi zls`_&M4!|PEl_~p#9z0hKXC}DJB={$H!SH-rjm-AJrhq@(w|Bt6?b$dzSxrfG)WV4 zOWuB)c(0}XnN(UC5==a4X@54AR<3m?zQof09BC6{;Ez;Kd?_jU1%sa_WzrU{p66Gx zP=w#ams#RpNGJC10|4>gw8X!dPV67q2l4wXG1nt0Z1|_@LHvG8{7dP?{=s(;KV^x3 zIi1))n-1bHx5U4aPV65a2k{3iF;^2QJo_iSLHxHY@vo*6`-iYW{I@OfucZ_F=cGaW z6_)td(~13~%pm?dmYB=u6rTOl#vuN?miRYFoY3UA+IJqmU_$nZzh`NGGo5xQX#c*Y z{jGFb_h2n}_y?Bux6^6e!>^$IhnDtt(rMiTs-XQ!OZ&U&wC*8N(0-Mr{k?Qr_n;?e z|B6LX&r00NKS#6Pu^ mym^+S^rt~5{+XrZ18+%9kS9?l{<)>)X%zK~?)|{o$^Qctd^zNhN@ zR-LMPYddwS>$l&tmNvRW*c9~Fyyq258|_<%_dKO2c3s-re!^4NJ`MlS91hz<=XDp) zTyfzwjol7f8Hz_g^Mb42f5oL&o)kwsd&lz}?688d^DU z#@wDW>vtcRnmK>NxpS{OZ_&WnlBwwpQ-=)fH+K@z?wH zr?Oc4^b?Oc>AX{qo;h*#Q#YAo({U#~<(N(GbJS^%J$=p5Wmz1jvN+Dl;@hCglLamSv#=J;ceYaV;j zX~(TSv3c^pomz~9B4Cn+%=EWMk{h@vhI*C^5ACsX$wB)p#`-n$7a>qV?#I6oiuIt9 zP`obPoM$&}b`7ET)MKBy=JYiuu6gQv`xdV}Xw~rIJr=KAa#+zkRtgW|G2Nj%42Sj` zHebKSAJBfo_UwLZcE2sVpUduNviqs*e!9FbQ_q9e+JoBk(Cyj%*6e;;c0ZTh&t&&g z+5L37Z!62ItGic^>67$J1|$n4gOVZ1u%t)@+10&BPAsvDWLL>-7!Zy2uvp;#zK zcb9vE1O$m19C0LhxXA!W7C|qBWb{yAqC$Oad{FxhscM7*0~+IrOBE;-nAaH3P^xC3 z!1%_D=thN|Dq|?98pe3Rr79Z=DvmK;*r{TNf@)=q8z@!(P*CZNvHYk^dZwn&n^`x# z;ex$8R;*e-JySGKl*$9O;iBV#*sLRI%Q})&?G?BF^8VwneHNGfN6&+dxe?l?S6fVl z8cDh<+mdw)+bG+`Hs5_Ut^HK3ZlQrk4 zjeoH+gn|Msj@0E}(5EEjOC|oia7Xd!%X-cF8QAl4aPN& zn2sp9xf;jw3HPs((6+6{k!r$4ZflJruY_AG32obJ93@1!wUW@bxyDhhggeE!*&2s6 z2p736CAUg`#%P@+9Yh<+ z@j8hoWQ=yAPU3lpC>T*2{rXm{I&0nBrVXoR&O5(o zQWYP>IMEw=HQQsfrh+JL-KVz3eQI6Yr}o5sYC+upXLii(9UGL2vnA(9-Y7X&Qg+7e z9Sp&%J$~d+R*+wt4QkdG48c z?x}h1>3QxgYJau#&2w*_=iWZgy>*^@+dTK&Jon5z_tZT1bj{s$#Il3e&8$1qi?{2D z6?Nxi-MPH(oTxj;>(0@-bFA(hsXGUAXR2;(jk7jPdD7ZDKB&77Nj@yOUUGw^JuBO# zBOj5^jgpT_ZjyXVaymFsmX>45wQ|u_F%=ELT|Sw2mrv&1<&$}L`DET*K9;!4 z#}aq>SQ1_3WZs==GVjhbnRjQJ%)2v9=G~bl^X^QOd3UDCygOI;+}@qb>dwKj_=@4| zNZmPBcaGMb<8|jm-MPH(oUA)n)Sb)f&cV@os%p;W(bz5T!$Lv*D?Xsh{gJDBv`|nl z+u(jF0sksd_^O`^1@*Qa?uQca7mmMo{EJXws@^aZ)bnn1zb65I;P?~ApF94>@pq1Y zbi7ZfIIBK26ttTm;`)vR{I26Yj^B6uk>igYf9m)%$6q=A+VS5Vf9v=M$9oJ_<`%o}ifXF(4H51QyoWd_)KZeT0Q2l?t1W z522vuf&Cgo6Id z!cyW2n~yo6pl7qN&gLUfDCqMnELKz4*=#%r1-+q#bv7TJLP0-iVX?fz=3`YT=s_*4 zv-!vs3i?(HOYKqEd>jh}y{?6IHXqeOL4RyvseuZck8z=(r?#-p<|AGx=))~6wOC>E z@h=qg?iSYA+GrSxyHZdZLa2?6pXnT@HTV9c>+I+=~Iq4;VFNpn`nY`hHxqm+eoG8=tE!SH3zq(e~1Y%C51n=*CgyP14~`x+v{e&xIBErP8ka8$K;lV2y7AD+76l-wi;(os zwM79)Dml?cGFudY#1k?`n=J}J;t6RPX=IB6ka+S;8=vw;0Z1ApBm+fgl#2qLn&?O2_}lW1)iZH(4Q z`cp{8xX>7{llRJxXd^jMC-EetjkJyBb&}x$(uT~&WSwMGBHBov2q?KIbL#tr263ZXysfr$S2S4dJrq&hsAI{d3IOJ zg_uW~=1^87S~XT0Y<1-CbR$OZai*nJTDW8)V%E*}VIfFBIG#_q zzZd4+GaRWVT;!_md6oQl4&k0`;i~Q#4t>H!uIirQ@H<5K4;C(U&&7#y+VY3_%O+JT z=o5-xF`m`n zZ05GmrMu(xuRE{IJL`?7cxFj-bw8EePpA9#s{GoYTZHgD$@3*IkThn>7x_l}3+3@5 z$%`c~k#vaMkczj_{!)3pO!9KcDySWHRBMoJvXa5p?IZy+n?zaNCY5{0llD8*Gk~4#qqg|V{j)}Rqdb-x`*}ju@Q*fX5TyQ^q zz3VjErc>wAXy2$}xLoo!$rX~esfxQ&?yDr5BvX>K=oT97GjeX0Y>~`Ls%Ca=Ovr;? z*Qym+C`gaJOuSP!D$TM0LqRf)dPk(n;tU0;H)?yN%EAo=nKEiyq{^ZW1^KhLn(|p* z@Sz~vMwQPhDN4hr@>wNig~Vjdo>iGB6w?Z1D?2bBkOqN4;s-4Wm61Y0soD%L##Nd! z6qLC!mVnCOp}-=>SgPs1)+%cK71+xddrqykcZ{#vK6mbeo+&n)MCjMm+;rohXuo00^;S$Ooc0@Lv-{22{eS+h7qiM4WzJ=l$|0*%4q2sg$SRdX zR;e7aO68DMDu=96Ib@Z}A*)mlS*3EwDwShJ?vPa~MpmgDvP$KURVs(9QaNOm$|0*% z4p}8Ru*y+hI9R2+Pg^vw)_=!dp`|0&t8LyO`H193$wwtONj@gIS#pcyR>{XDw@E%B zxn1%}$)_ZrmfRuvjO0$qXCwej)j#A(X*~BJk#`d?q<$1XO&-MB>i$iNu}h6Nx+1 zClYt2PbBV4pGe%9K9RU{#6OX^bFA(hb!U9(0r#b4A^`tnM6~sHdv#9IHD=>(24IbE58CUUyE`oh$0j zWp(G^@_MT3&at|4wC)^tXIw04uA|Vi*+3}h+HCb>pEje)xq-ehC2H?Tl`{o>=t({do9#%kt$~& z`mB?vT_aV_MM6POZJ~CFR5>#V1s%9iiz8LeQ$j(%Zq%Ykm9rLo%1PA1NNKdRf>r=V z0Sh%8sd7dW3I+$Gh9XtYZ}bHxQG<~xXFK|Qlc)ueD(60-V1%&<2O?F@ghIi|tVi&QyF(kGil^+u|kGwFLxqI#gJ8I-=&B&ItuX+EXzG>PeoOqyNkBTZsD zBa`M@`a+YKj>uG7M;R+E-R+S{8`$)1CNYi3R2!}sS1n9iWUA#sMq6Ww$W+UNjKRi) z$W+UNHZrq3c)wI)t2#qB`YRZvi>B_XR+T6QZ=Fv)v6N3q{FDHRV9kKh*4FmN)%HRqpDVw zC}u83Rjn#fOlXX%T2-Q$=NMJBszfpUF{)}+iDFh{RMn~y#bn8-s#PV5Ih0XVt4b78 zE2FAbl_+LhMpdmUQB1^)DqGc2R3~{dJu{|kRf%EJW=z?t62nx^n6gzRh6$cAWvfaI z(>`O$R+ShggT|DtDltqEjVW7IVwgA@Q?{zaFr74}Y*mS2l4*>!s(Fjy_^~*>v`v@n zTjgpBNq#I&N3OmY&hrU3V&Uo=m`OF^B3CVj6DN5k+?a){7Q^8vA;LwjS`3GyTnRUB z;nHGwXoJHVgo|ADtr89!5^g`j<=-kHF+BkznSHB-q{a~PAr>;*zzj)EBV;7AZ zUMFc zJPG+w3prXRX+V%Gj2y3%v=O3>neIa(+2gpARS*GW8y_6Uo1qE6C) zARlGq@;XTyA=*ez)=4}GdANmKQ7365kcSz$tWMGlh&Gag%WEZsCuEFvq)y@q8M880 zC-H=gCL67jc=Cz$(L(K+NMvzep&|3oL}(Yq^;hK0fY z30gB+y{(+7XcfC0dOxWgdLyYEdIzZ-dh4hhde5jFdb6k;dY4EJ-X78?4OaT9`_0+? z_UwLZcE2sVPagy0-iRum^uZ7R^odXZ*hl`VZ<^ZSRd*_nIjdaePUSOqDyO+qdCi^5 zZRPA#e$$7)LZ23AYp%^T*Y=ugYt6N-=K9;dHTQTKY?yXC_joB}9hw z;f43e>-&-)NPZ~!k>tmcpGbZx`I+SBl3z%EDfyM;*OK2z{$280$?qgZD*xeye~|ND z$sZ+ulKfe6pX4u+ze?_xgoc7h+9VB0yQD+XDe01QOBR+Z=BKHz=GA2l5Zv_udmP&Q zp9);lSIphz`=Z?C|5V^E|EGfJDp$5=_jlkx8SPRs<8XOJvd*rv<^p zERIYX@w6V8m_?CE#0#X5HEdtM$M{nti*D$i`bO2`17ktUc7#2&z@VM4FAe zj>yJh?b}YISy_9i^N@5imLRip*Adw`9SZs%BhAvl>HoSdBB=*EcTF;TmVwuWwwUBR0WnCtknwrhekFN3I!vVu~sj- zW4$QDGNu`8HADZQQ47mxXROtY`J2ob2aUDbF@KX8Bc!obKjv>TW9&56YRLReW;Uum zTit-wk=iD+-z(t4XF3HE0mx%O5(AQCkc@$3AEb~#iV~#2LCgYTJrE;<)CG_#15&d< zDj;a}l-Gn))ScI=RakA57BhQLl1|@c}5!F+;&@#$vNdt9?Rg zDjEtVJ4RV;*B8W!VlHHqkB{ZlHWW;ijPg;noC1e}nUqmJ7MD}yP%zOl%17{WN*xO3 zVMh75uQ#?$W~ERtO*6`Rl5C0|3TAOenQc{ts`ul86(g&rrq4O&%vBrCT)(y0>p|=y zHfbBUNW{OlB&GxC?z?n&y(Dz50c!cPmtWFkB;1@&y3us4~yKVPl?>8 zkB8i+&xPEl4}>H_+wM}Gux)phu{^^`H?$c>*+@~|&xKCSKx&IG$Jm)=S zqwG+Zjyz9;)$=7Uki1axBFT#-FOj@d@-oTGC9jaYQt~Rvt0k|IyjHSK@;b>Gk~1ai zC9jvfL2{O4gXC<ByVpyijtH#(_eX_!X+CQ{i3G1{w9zm8P4L5yZ>)UP6yZ4je%8}-XbWgEn3 z^hW(6QrQME`U0bV9;s}D7+r)>KZ{hhL5!ZmsGmkE+aN}VV$@F}m2D8CpE2skk;*oR z(ft_pqex{N#ORfb`eCH94PtawM*SdC*#f4dh$dM>|Q=`5WsdCO03OZS%z6n*$ zz(PUSYs@zyljdWgphGt1>yb&bGo7K8n6E`9&DBCd=WSuW8ksb6(-~Tc`ATHcJWgk5 zCFaYKNwYegp_P~~MJCPh)cGdn?#QGWpU%)q%oih*=6|8E0feS=7fd!A3+s#PV5Va=$jRV9ki&Zw$Y zC5l1NsH#;Zim}nCs#PV5A=9X;RV9j%)TpXeC5i#osH#;ZigDMds#PV5;n=9ERV9j1 z+Ni2kC5pk@sH#;ZiZR`&s#PUwI#E@tO4LlEs#cY#&55d7Rid^es%%w9sb=Y~m`zOC zsuJ_|#FVWnF>{G2TUBDVCZ=pviP@HzvQ;H!dt%B~m6&%VrfgM-d1qqER+X4{C8lgu ziFtQotW}jS!TJYKh|_d2f)}y1RyJDKhIv+EvVCLEc0WS-gVI0bX`MWUGA29rl2G^& z$`hU@xLS8lp^V86y(AQVgz|z&Q)I2-+S}{D1|esk!=qn z9G-;p7FoVL>6=mtXHx`{oHz3F4NRY@QaGdXV=M@VC*i!`C|~3B|qZ-+!Fv8(UIPWmaw^IEZKniD+ z{*$EKAVWAj3Fm!q`D&~GEJ)#uYGfP22!|)(yjw5dkM++ADV$M_Y&#g?@Fbj%6y-~H zD6UW8jA~??!3c*Z;e7BZ-_&&wSPExUBijl_I6MjWV+jL)dc)TtWAd@C$f!oP5sYwn z63&Ona?L;om!)tLYGj+g2!|)(d{R`d=!D{$6watdw)Kl}coNR%S>>`&DBhdG8P&)(ei05&!uixq z|4ftmm-nP_Mm4f+UxdSxa6TKZTMlaT!CXs({-w)NFa{ExB$HTtLfv@TH{u3oggq+QE1l5+W^XnUEQFPBvRhaUe0 zEp3Hxm#-c8!7sjc5dV9rJ4;$9c!d35#%pvVrkZx!gZaOVS4So#TL&c&rYWWj6EmSh z5)xx!=IuJikBxw@CBAI-u-ao{!(Qa7UFU2i#gF}Z>nvQ_bzZzePTQ_${)(~s9MLtl z%8qb;(7W|GzIoDKud3Z{X|DlhO1lfVPx}eDPdf;>PkRQqPrC%TPx}J6Pdfp)Pv8F8 zyY_$2PPY0N*g~ho{a?I;jQ8PFR5N6J6=?c4$9?*O$9?+F$9?)5$bI@|$bI^<$bI_$ z$o+q?v#Y1~9M!@%O3sy>Cplkof#gk+3ndpx-Ym&>ZuRtDERRbhZ;`xJa;fAp$wtZL zlDA2&kaTFbqkkzcLQn6N^14d0Nirpwmdr>tOSVX6C2yA$o4ibVdbi5CO|o6`4#_(u z?~=S*a<$|=lJ`ogqrc-8qtu%V(vRo)i01fc}lUZjueMn*|3Q%ewuxiK=SooWdp zF&~LcYPDK|NX!k9No`n55Q(`yGO0yt2_i8cj!bIbT7gK+ha!_&yA~i4^TEiZwjT=W zgyrYD$fTYi6x0-BJ^+*V3!$LW*bWgZh5GIoDwAp*S=4vO zP$`YG(x~r_p|Toh!F~BGscWk_5Put|1-vnS&b2)pm#LJDnT`pgo3`)7^^(hcoPbGR%5ITRIb(Z zV__A|5uAQZP{5!McYr6 z_Mb_rGmwh5pUeFV@{~Sd7Hz+h^Vg_JF>bVNb>>km$)O-_JBH}@x>0V*3}`o3qJ9^t z^2jG`<$~fsp)%CSnU)Fd<4Tl;s)srUc&Mj14C`v}+EL^>aw1*4Uw!jfu>ufnEKF4b^=aQ;>XBz)s z_zKX~y+qYxcgY@-Jtccd_Ll4;St`k<{$1T8@)(tjNya71B>PJClRQLHYo=Y@6Y`pr zESId1JXG>9$^MduOUmZk)qS9xD9w9kc@<_>}B!@_$d-bDgLNRK2`Bh zP)yb#9IYF(NqpDV&m<=1sK})1ub);-%wr;x8bc^3Xp3!iWKz=z1;uYnGcu{6gaV@& zb7W*vvk3*JGUm~dsk$)?Xv`{@`pg}=q$hvh>GjnWveaB0mU6f{|5EOYs6 zmDCjDTG2>O;Whm%KjIsQvOsc6-|7>lzJ3&q2 zLF~63(vRN$|MS-`pP`~URdSl-bjdR%&yqY_@*GLIaNE`WTsfa7dA{TYk{3!|Bzdvq zC6b|XlKnsny3YB6uDg6Qn7e#4n7cNwvFVMwW^1l3(dCDS{dfKP<%s(JYD)?wXzS0f z(~X#FOA6&`%(}=_TT&=(V_qAXYD)?>h^yI=skWqG8Dm}@nQBW4_A=&Gkx9$;>O>Or z%E(k}<7Qjd#$OScbe5vNo)e}irp!-T8^`#@l=(>vRl=AuKZ&8D81ow4sC25M)2Tkj zl=(?vs8q(3`AG~_&6qMjiJ<}-WBHl4ZjT@9_Gbv^uiFz7>-LeW*6mlzkLMHaObeIR z?L!+JsU}?H>Ziq!SHivC!qrcUp@aw*x%z1_lq=!hVBzYg#b6D>MXow6hVKicop9?3 zmw)|-B!L8sWOiB%B%XxaU?H;&0FWdR@+>2>(_$b=2hm0{+XDcJCuEE^J1qthPrinn zZPAve#S|}<+dhXFQR}t4{1+`B^a;;=4q2*q_htD?#ERT8nLC!}j)~kco;&`Q^P-nk ziyR&LK{j6$U@c@acP!5x6S-qNca%pW6Tw){luHpXJ6&1X;>!oTQlu>w+^1a{@l|83 ztfPh^|Fly%~%LgrYZLe{gYp&UvYfJ7bcQD6jYIRo2dL7CXKVx#Y zZe-W825T)aQD2NyS)a9ln5erVRn~5;IwtB1kt*xDmMRnV`AC&DU+bHR`dp;ScA!Pk zM13|=*&*Oq(-MDYq{>x6eRNOMXCjp?v0-})bw{Mi_9I^?me|VDD3{o%9Y&RlVvN7 zeChB;1?pwV5C$YLNcGNPm|Cq>LiJbBq%8$zxvKxtzNo~Q zxvO3-6m$m`#_9+w;cG22?QKeol|gMKymNX=zs_@F(e)tS5{Ru4+NPNv=uzsz(p}jx z856Sq{p(w_&rtf2k)n8z4|%}fa9w%m(lWxf|BMJ1?X!D|P#+D3_dMnPCP$Wr=Ih$H z+6=6H=90I(_pkTge}8v>cXva1=hxTHUq7@LehU_kk4L{1=2vuD@#u(vx^sEmIZ=0x z*PWwv=UCl2Qg;s4og)Vyy7$WAn6$C6n6yFj>yJremE`0#8%<+)k3G;}aYSB&NnvZv zwXNowtGQ-suBn=9I&)DTGjr!H;;Dm+_S{odNyWI_{Fd-ooD+5Dc-=W#caGJaI>kWI zmr)Jo&W;0zhKJAR(cJ?>Lu6P!E2Gcg;^@uG+vW2{Zf#IDGV)q#3Nh*uZKnjW^6+%OUH`bF_}A-=Z=ZoF`hd{ zbH`Zj7|9)jxnuI+MJvg|f}tahXsFnA;+1?3C4o35a>sb?7|k7Hxnm@E3|5Zz>n^>< zN_1d&|NSYA_P1SmsTEIm|Kub^+ha5sQ9lIr;o(0jT^SVo~xcq}LHH(fs(rlyD$dAGpSk!DP`*tzv zl8x6@6#ZP2i&0mXRQH1BW1zSwH(l)~uetCFZ~i^KK}FgoX-L{79g8&l2i-c3HcOZJfL zDcMW1w`3p5Qb}WI;YzQuMcaftmP^{oO4`@ILhgr2_Ln?da)9JO$x6vVl1E4mmON7O zC`sGKYrOt+bsr-4VUoioM@Uvl9xXXi(nJ>1xu)-x_i1R7Yi11){$#G6!BvY_m92Al zw}F91c$D!2Fw0i$Q?Wy1u)nu(U9jF{2hBf*S}@(bqNadlobC3_i*GXx0~dqu4wPEiipuou1cNgqH(yG_rcK#Pvm5oiO~b?!?s--;ptws%aqfV zhb|hjE}*6nW9nJ9BG))HkHeoe(ehdRpk|Xxde*{iTemgI%+&Uo=2gvWuHAT{KQvSI zhmOQ#jl@ywP>aVSiyA5OuRnj?LSh+Q+I&0@@{m7$)X!J1lpT<^>l zC0t3q;!=CsVR=lhGQD-KIrG#sGwA7P{xb>sUzVWw1*dmPDs!fCo~phdgVjAF-=|)8 z;We3G&*;SHs@6vo4PvMeUNc#yVuYU7eD7M|K>e6dr3#IxrbYEt=f7SPGo$YHaZDduN_w;u* zpN-CoP3I@3GdNOj$1_A7n|S+$>KLYQpKYq$Guve$wL$ZXw(J^RKDuhJ8>tNO!6Vuwgb+&0oRienVk2eQx__S)E$D7ujly-7qnMf=m6gUvp8`o^uF~-0Bu5itBN`}GVLwB0Mk028 zTc?y0(wH%Q%PFRPmQYZccmM2`IqSkxg&N+a`5_+1r4c^NP#*d>@2GS$N?ekXHFuhn z)x8DHTTtMVIu#q+M@E(A=_QBmVOqQ7TCt3WD4oqS zpB6Ewr>;!eQ`7KDI$qP*H;=$&L!&)RU{S?3LJJuklKb}!C#V}l=<@SLq0U3H)htvw5xKOsggDaL`x z`C1EiYyKK7E~VCrG^I~*N$Xy;xFoHfW#eAs=*ZN?+>b`54PHJrt(d1_aYT(+MQjL~ z(ey$?kQ&yq%pfXHH;6}X$;<=yOs41`QibbTo~)C3c8%!3GyP8(G4%~LyOc(|q$y0# zGU`(rL{-DP(HOL>cwN>U$CLB0{9!2tx#vVmLFU;tsTAnvEY0gOMXa}Wn`yML?k>$C z9?vC>P1YZkmR+(>t`Udb-Z@@T{_VKc$Ho|Q`epm^HfSR&+Zv@tTc?=Z%%%sF!Sr(CcoJ8WWEcw z%D3w1Gp6mjOU!kuEUT5LNj=)~m#GY_kmrW8&RBQ;k~7bZQ<;wD2-V?es}9+OJH7Mv z?G_c#O0%K(a=1CY94^?qIYt~@(u;w0gV$U;Wv|vPwb!bC#J*??b+NPB`#?m zGd$TUy8g-LN;J8oiO7QKS*8Bila5+_@@2=JchamSv1`kE7uI_>vF$_V5_&=%JSX2HXn%^mqwt* z>UDNzhYB*hw0Q_>T#{O>vD2x>F507c7%E&+rCzXohX*RABT(a#VzRF3s>?3E?26fw zWl#*Dp~ci5V`{PD4sG_>Y)M**ud2$%x}IHj8{TV=L(~fA6N?uexC3FYM5Q(Bu?>#1 znCHtm_4tOzph^SP$${m|MIN|gDi&yM;*w@uH?Kcx!7NN%Hm@_bIKzU{%DoTwA~74} zMKU;APv}`yi42d-PiRICOymj8hy_|@$r73~T0hAWnlXcuBe5gM65HsE)$gdxeha64 zVY4#z`Bi!sZ}D1}+a%+Mw#~DC#to17$XM2ZoE&qqtOXf4xJn;asjg@K1IzXFGN(B) zDWc3}M)c06nCwH2)=wTs8$8#H6LY&@&gbRT8rClRHjhD#OBxk+opCkw!B@pu)ah$Z zzJ&VORa1{+#DeJ^V%=x|<_W~grHplpuZ-;&>rhSIW1Zb$Ax*J9o>;k*v2K2#STpq) zYn(bRTXmqVqO|ul|BeV>WD#Ct#$2$y)pK8r8kh9k-YMQ>uDWdFWmi%z%0Z?QpEWS( zUAaD<#OJ9aegzS6X@s-vc`-%fuCd3&jvD>by!v^~;$hu2rT8%GsH?AU2Op8g6JJ0E zm-!SdTf%N%mFvYWcwo6V^*r6LB;8z6x_h-dA}au;DO1S`d!Hr#*{S{TTq8|WT2-A_ z@hmQl@JK78g*Fe}p)#t_Gu5mds_MNmeuT`_VvPM;V(M);G6U|Q7~>mW;e}C7c};td z<~kC|C5?k-f$E#b&~DB3sBlRt{j>9*I+82JC-&#f0(^+wZ+Ry1JO;k_Hy!*AxTNZKV-#50yldR^{ zp0o^|n5XY%Pa3bDlzI1W%bt|^4~+Txzcr7H=o{G~3D&XHlCYvm!jG~fj8;j=y!-V! zSY|f!@8PqeJwEg78{FaX)`!*}KUqEg7un;-s>f&E{aTPNAD{X6#7T`hhs<-qTt5EW zI3{b`P?D!$!K|yr>iOF$5ev3B6RT*(435+rLq-jZ{k5pIj{QpdMCzQwU!dYi;I9NQcVNB+NhTKvx(*~z+D?sq!A%kgSQ zwwS`RpA=;CD0r>oCH`EFmmpmak^h`cYK@U6^>UrUgfySamsPpamI18;}*wR$G1Dq zIc{~_=D6MQ9ggpGe3v691H4!E<`@8wpF5(S@S8{=_2KH|o90I3fk^#PL6_5iU5h&@2;0b&mjdw|#j#2z5_0I>&% zJwWUMVh<2|fY<}X9w7Dru?L7fK;clgFHuj<1pM*B zmpi`9@uiM0ab)O4j~4`x@FzQ-xJ|$3Gv5`-uuF6#Lggg2C*}Uok8pjVrLLL zgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^Gl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IBLF^3v*%3Q`Q*P`GVrLLL zgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^Gl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^ zGl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IBLF^1- zXAnDs*crsmAa(|^Gl-o*>F6#Lggg2C*}U zok8pjVrLLLgV-6w&LDOMu``IBLF^3DZ-caJ5PR<_#NHtG2C+AYy+P~^Vs8+8gV-Cy z-XQh{u{VglLF^4;ZxDNf*c-&&Aod2aH;BDK>=u`7sOLF@`*R}i~`*cHUCAa(_@D~Me|>+bd7lP(p9XoK26>+bd7lP(p9XoK26>+bd7lPZUjbQP0a;%GSziHJUjbQP z0a;%GSziHJUjbQP0a;%GSziHJUjbQP0eOE1d4C6ae+PMg2YG)7d4C6ae+PMg2YG)7 zd4C6ae+PMg2YG)7d4C6ae+PMg2YG)7d4C6ae+PMg2YG)7d4C6ae+PMg2YG)7d4C6a ze+PMg2YG)7d4C6ae+Ox|j}X#sLE0@yy9H^tAng{U-Ga1Rkai2wZb8~DNV^4Tw;=5n zq}_tFTab1O(r!W8El9fsX}2Kl7Np&Rv|EsN3({^u+AT=C1!=b+?G~ing0x$Zb_>#O zLE0@yy9H^tAng{U-Ga1Rkai2wZb8~DNV^4Tw;=5nq}_tFTab1O(r!W8El9fsX}2Kl z7Np&Rv|Et%%hh#vR^ z;Y~v7^;aCf>iBiXZ#jO)@gBz?I{w`8myW-3{GH?Ph1AzSI{wM=&yM#w{#8i(O~`jD z+Gn4?8tX2zT@zHitjog-+4g3`+$500{Jck@|_5raGZ2p z?zqD7p^gu8+~4uxjvM{E6Gwyd{fT41L5}?!H{=o5vjz4nzvExr1f9m)%$Dcd?!jT`mzgFqzr|m(0#2)15>Op>(9^@zJ zL4Ir=q@K`!g7lvt{U=EO3103<|B0La6Qmx4)MJo(3{sCnV|puXj6eIAy+5mfPtgMz z;GX07JRuGKWkMP}NP`Dy@E{Eyq``x9q#zw5Nch(X2@et;Bs@rXknkYkLBg#U5)LFB zNH~yiAo?KB0}00mWWs@j0|^Hb4&-?t;XqC++AMsxXOQs!0eW-3_9@&hZQ(@uUd`6NOKTXCS@X@k@@>2L?>^ z)4+L++zgx`jTK}-1Q|FJGC&?DHv{DHjvNk(`$Wf+98Y$9yd&Xm^>85JK*E890|^Hb z4rD+E$?umP|6LgDG@bjE3vC+stDyavDk+Az1LR=HIz-Hqq!4IEkAnOX#rE2}tUqB{A+cbZ-?$I!uS8+E)0^ z-d%Pn%ZHf`(J<4ANwnSm{lihG{SS)fyW&XdZ#+7533IW9DgP&T(UKU(iuBta=4T$p zblcNE9(VTcx+_U&C+Bxdh17oC@kbtHRu~%o@4B5myX{tnxJL{L`i0|fJp|ilbUKh7 zsx-)bC>991mMC_nB+n4ivw-v%Ym|u>I?_Ynre{E(`meaE)0jdrB(H7?|b*57&h80EE9v;_e9v4VlkMp=d;sS{aeUGa;5h@f5iEB@f z>vWF`B(7sTE|9oD;zHl!s?L)N#UjPkzL&@KOpgmBF8n=Ab?Q_o7As80-X7*z9tI=~ z{lTk+@E*20qbd};5OyCA`)m&jrm(M3Sa=UxooE$`UCH-S5BnSs3lf$Z_ELZTYaQPp zMBm~{=V2{TEb25kwC4~>u}H4JNHNq7#|mw`i{xOPVsWLA9hVi__7KUbJH;-QLUw>w zXyYs(j^rtJtrW5&wL;roB3GfXTcwa4vK89)7WrrtmQ)Jav0I^SACX6*uzRJD9mEyd zmWphmut%jZdGPD~RG0ptrAw`gprtTZJFqIP<)*cNx5BBosP-tVtQ00{3e;s34yqK! zYYJ3Q6dqA2jMfyWbtoKMDU8(=sA4EQvQikSDNv74cvPh@SW}>~pm0c~5D#zbm!}Ge z!=UV^SJ+Uf3Xz@S7K#B#CYesg6X}W=G6>q4v7W9Q>f*D6w1wvh-zWdgLdBRJS;sGo zsAS*af!<#!We3@Xq9LV@ce)aGdYL@H*Elj){y-HtJN8aL07Bq*d0=cvV35Edfv*#K z^0Gtnw84C~+2MYn;E=G6YeW*5 z`b1!mz#xG+VbcR=M*)VSS6s)nA_+`gBQQu{kieY5X@TwhyM5)OYPC#Y)1MdN?#edT zcMj~kFB7m{D%D%XQmJ04{)!3M2OYm4#Q$rK-*)_|yWsJTCpezy$oeik>$|TMvc8M^3657d{?U>5aCpiC zywA}l!JXBKC!PHdeJK58<6b59CMAYqxnD?O^3Y%h>pfOJ;ecX|pbc|<$~DMFu*3Nt z!`*?!YBY%BUe_RlOo;U_%g05PW0MN6kUWOGqoRLcJ|U_cpH%+rDin)SqJL*TCTct` zY24=;6qsf^cCO+iI-!^l6rIY~CfxiOMmk_I6wBed64zV(tFz6&hYmP^Lg^r@r%ICy z{Z&Y6u>jNA=g1Ax4Tkn~{#0l0fd?vR!NGU-%L=@Wgm(Vv+G6fqxf1$e^0i|6i*AS& zJF64bp^iGK0nPrQgAO7MZFI4;I(~(03z5;)qV+Yf=+Fcf zqRR6#LvaAq$118kO;f*}0d;dlm1k?}2REQ@si^X#%}^W!b!$bH=Wd4L5l|nmsPYuf zP#g?(TSb*;a_UD!pgvJir1>aZkTXUX?6nuZhZ_9b+QSbv5 zKbP}Nqu>`*{7lX>i-I4l_^F&{5(Ph0@zXia914E8D=u)^vj_${vXVfqt4$aoiDi#eRk-K|CacV=!D`Q1U7np0Io0l zw_NK@hMQ~TZ5PJ42K^BWNc30F@K`Udhm7Ynb`CcmOGqwdNS3My$u~RlbqOJRYG)aA z4mKZ0I4*?iKtF{`Pv%=7!^!i7#KSkn(4YTHuO)tA^BAJyQbx5-stosM3yJzoLZZ4; zNK}^zLvQte!xqs{lZ7R_lo2tHAfgRIBD%oc%qNJ5_3hA8{>!k%GuUK7%Pz#zNjP5G zh-bay8-@5^sx7r57Z_;WqJH@iF4g|`u3cm6+%oeP?* zli8(=?$sXbOviJCB=15YgV*PTWOt)5w5NkJyZZ98IF-6TsEGenDlADnMfgX#Uqua6 z5IKpOe%7VJP(7&}JdVo2W6mK=&*uDKKUK27lc!zC zX)ihYkn{+Dn8JZaIIePhv~XHEJ<{DxA>ke;q$F+^QW8wSLWe!ijMUeBl059fvj&O# z3hD5RlhZJ#6K9zXI{rcAeu@3XGeTXpog? z@SVcPC?G4vAS=3m@ToEDR6OLenAje+1`#hWa>NS~FG#!~@q)yAl*bDa@4Z#LTl09= zdAz*i5idx*An}643li_q9xq6|f2`u&mdDH1CDP05AMt|33lc9#ydd$e@pwVv{Zke1 zTpll5p@^3^L*fOA7bISgctPTQtj7xy@1Lu9XYzR2T1C9PG!idJydd#{#0wJd<2+uF zc<-y?)j{Qz-Po!{yu42mFG#!~@q)w)5-*)U^#LT_zf|!~=kc=ji+Fj}BwmnsLE;68 z7bM}(GP z5=?EwKB(GUvU(c()Cl%r4|a|R0|{1C!Io!FV_O@+uJ>SX^k5*t+Nxj^Sul3N5$pyJ zcCH5l3D&5BjaR`s*)&HmisBlnG5CGc@q3Ox7PeQxN2}nCb3HgkLGX@BZ7fscUmbZ0 zSx2q2QX9$C=tmpc&y16~23-0Z> zR7eK+cDPag2f9Ds0&yQHyi!R2{VE|Z;j*zZw)h?0x>bkx6?qdaNVFi)l3}8~TyCPp zpJ;It?NvgeeYMb|RY8=|(&ZB^NVFi)Qb0ueHo1uwf1<@rw3~!P`x>D~tAZ<|rLQMi zkZ3`or9g@H3b~0Ef1<@rv{OQ&eXY=gev4sAjH!c|UZ8ts z7cUX=f#)JezN_#}kZFDB-fCwCUVgHN>?Gui#4yuLA!psq`~-jIHlR(5`YeU<0CkVV za!lZbitH~co6tM6J)Ks5F116MeW@aNnMd>rVd$OSL5GrqBOCUx-fgZkb?Nz8JgmHt zpmi3{+zvX#14leIG3%`kEBExYlb-div%xWivGnyV*Kxi&{FgJd-jqF@1! zJ(#_P-YuKw$HVI`9QDFhWpt*4{{TQ-FbZ8H=P6Q|(_D!q1Xj+d2q0C6vZPkCvd5wl zQL!Qz2J}$vcvsWz?Kjw<(R*L!&tQLwabP(ftm7;zUtA!%V9w?q) zDe4EZS}3vzg5m|0qJA!`g(6ELD88vu)Q@MiP`u3*FRT>xQ(7$)KjDfORf_sytrm*6 zyW*RZV*AXtDRw9D*Y*neGB4*<;c8)M-@3VCKchaB**d2TK#PXJkH@f0YmEuH8#gDq;$126~mWnsI z;?0%fXiLS9x#BIA;#fookw5>B>VrOS=FXVl=+iUy^b`8o`4a4e(TW;)f+ z19&gc&ZxxZ8sfQCNPj~cWzbw{GmWVoo=v>yvb+%Eo!#Ntqz{ff+#n2tIz2w0qdhSe zO3!^#U`c>N8FUJfVLj4%Sjz!U+TA_W26uv5?;3%5V zKWo;C1((}4X_0KSNp8>&TwvRJF%$RKJx)uselMw2F1f= zTChCy_og-7LW?KD@8A`N0UVB=0Iut2N zR?~Yox9oH%espNGGV2z~fN#W4&bl0`E;=;mFlet>)mi@Dj4kODB#N~7ptBlxEYRyj zka@JMY4vWg5hLqdEhaLL4xN|s*SZkMJWBLzL1!7ZECe!-65U?t)y!8JoZs`3d35L! zH8iv=1Tv2f-KEB-*0qZ4k$Dv9Pt_akq!7qFTCvH^wk!lPj}9Y@^4Gc$$UI7M_!(_o z2xK0mIQ;14phY2&d30>}(P4S5Y66)@hp|u%$*6+PFN97;Bjzj&CJ%Mo-|^v&2MGC|bD%KvZk-=T z2lD}z*N9_3#|g(tAR zO}OBQC&fqnYJu~0txteMmxOB$)zUU89x|n$P}?Ch77>Xi$CRRa;g%2MA?Q%Tp?7o3 zhozFE^h3LThOT@e=jDQBOV()3Chc1?_6}!RYK`pl_9`qd5`<;NG_q3}d#AH3d`4?; zn{RE)Bi1DSe5DM1%e>j7jZHp^Pyi_L2~*SD?X9{63ILr|rn9|eK~Mnb@aa>EQS6NeLW)zhMcT^%KNE!iOUsa|y5Y`??yN9GKnke;VKkA`TPk#+`FbXx9 zJ`IfB){53f!Z;|!ZfmxskuVNAvD@0((nuHw9qYCRju<^yhyQ9VgM%;6cruDuQ9l*b!ip5+bERhAM6lwHYFH7q=T&N2I^H2Rtccq4 zD>W@0@1TYiQF}pB>zmxsicF`m9vOIoP@}Mw2S2{@*w|!ynI=C;94p-yJ6_`W7RO5+ z*@S~0n@YgT9oe&j`-#FZJZ6J$wqxm4-aEWhZTiVlq2d3dsgCSKwVmdV3eEr7j?Z;` z9zh33Y&KNxQCgSHpZ`e;bO{6u!*MRKljQu1R7lRhn(8vE2s^4;a!?^Tr#U{;@mU1b z4**k#S|(?$6i5yM!|;loJp*Gs#p8Us<1-vjCFtNXE3KB#c$yUGYzP?iV+}h^3<@a5 z#7yoaF*c;}a8xaW!xME=EgE`FR^p@&Rmvpjmx^}!cpi&lG|l(}#YtSKnz@IUKTt|Z zA*$36y)f@VHg-`72Sz?+6!In4UQWe&vrb=@r{n>3d4de(|npt+sn?0HtZz6 z7rWl;9M5n(({a7y>xH3zYAf+Hr}@d7^ZH?adWFDT6N|L=#d}2P}&=1xef3QS!E^(D3W0{I#Vq7 z_ie}&Nh69@A`7=#8uQJLXJoqM16@ir49z}3e#i%!*fT8L_JFYx8@kv$=qEW^*w*q+ zp3$RD=sj}hc_+^(QfbtW>^$$}8AYpA1A{FpsXds=Np+ykhoQ|6kZrPyCaoeYoO{4* zlU;OaIYB=qyt8bRUG!*KdMDg@w#hDvG(GiSJI^-RMKQL`W%lW?-qbDIWS1U+0W=J4 ze}HV0T{IbD!@`*d%r@CYmti<8*tXMblU?)}rZu_QdA7+eij3pxH+PJN`zf_xJg6*mK@4j^}JOoo(}V>^X2e=ZB6zcKnIsPaS_I z4E@_?sHoXiaJ>S2Q^a<$R4PL06=wdEEH&>GM{3?}IH>R??X+?nDZXhK<659BnKVv26P7|TSdvxhZ-sSAZmU3 z4Um0S?QOq9<`u}+CO#mNVn7MJ5#@p9t>UELPio|fg~oZwY$KT;7DrYrdA(bA&>?Mb zq|F}JJF|lh&w?XKvE;^ECFzNxZ;~3NakEhSURtT~L}*fVo2gB-QX{roJ+`Pe)fJATFStBzk2lG>DNY8h_oK1f>wt=;!e zP4mJvV}})_O2xe3Q?7M~P)pTp8uQ^iX^|IL;=0qcW_Q%G9K(|1FF1bD@ovX23Hc%V zd{}s5Tn@0WJf9PfH3`R0kMEckY)Hw{o8huF4BV*y+4bqR=>1GGL4qlnw|umI5KSBN!m#> zT8v||;!e^|n$h~DX>BpB`Y6K_Ni$lEfhvccq@6UQ#R$n-ZL74CW^`=yRIO=U?xYzl z#(K4%our*KqxCz}n%zm-Ni$l^6I2d6Njqsqi&+HAtF6*bn$fWthH6&pw3BA$J68}> z|MX7MPMXpBqiJp4N!m#>T7NRFshy;qG^6!rw0dV-rkymSbD!`bjq}$p8l+R}3f+5a z^zPb6m(HcSbd2cIKB`M&OqaHCU5aJ8g!a=Nne&33}4Q(Y6IE*-0MX@9gXjU#nwYwA+0 z)+IF37E48XGQN+;xBbGWt-Z$nCsvXEgM51ahc4a!q)XR7>(cozx^(AG}0Lznhbb!nWYOWWzX6wlN} zCjqTI)c*TXW%C?)bUja(&gbjW@d91iU#LstMY^=TSeN1@x`eik*Blyc5Q+nBtBzQ$lr7~TT!$6aNgPrH z+<{(2@4cRb?~R>87N7j||1+7lL|zwpL*z{@*ZegD8>zcPc88){t~+}h*YTiakuR&Y z%pA6){kF8{k>TOXc{xdqmPySHioFYBdmy?GA_pLR2-FdD^z8?S1qUDP9BfES`&YOO~qOj@-o(XK^Pb5dH+}3s~R4`~jg~ zz_wO}f4FW?I>uWotaYziqVi*y_s2C_9mo#v4>O2v; zp~l2EF187=O=??B>f-;|ro=Wawi&U_icNX>sy{f^#8kCXX(pgt3j)bgtsc-wdBql6 XsnlX~y&%w2b%Q&OuH~2Onscl_C}XFT diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_tech.vdb b/CPLD-old/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_tech.vdb deleted file mode 100644 index edbbbd76c474eef169c21d577374b2a0c4308021..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 67067 zcmdU&2b^6;b^q@x+soV4N|r3QEwa7E21~YaUHb_mP_ZRCBqYJu*w`{PG}B#VTLw!; z*apc}TePfJ6k{2XO-l%!6nX-ocS1<`Cv*t@|GsBt-ktmQ?enTY!hSyQ&Yg44oS8Z2 z%$YND=iWW;xyKZmlVoS2zw&oqc}$@=(|yFVa=F8fX)T_A@rBO@o|LV!a_3xe^S$r6Yx~_>9CW8;cHVyXecN|>$a$B)dE=^EcV4z}!Z~KX7k9X8&*EdcJC+~be`ME+j%%im znAmi9$JoTy%{NV7y``t)M*YUdH; zzVWK*8@FC{!$VCsZnZ41_}*|2KenziLM>n~q>;RWRl zmt2&9pq##8dIG0$(xm@`gG3#8nZryp0Ezdmoz}?%n@4Z)$tR>`fEiFsByOyq4 za`Mp&XJeCOw=C%)5QQW^zS1aiNq#Kc<+d0oZ`UMgy==`Dt2VB>VAaLGj2Qc=!K)*3zsfjvE;if0t zJj!nJNuxTk%I;eoWFnKB&g5oXuF+BM&gAyQxmI!AU{p!2Lusx_m&E|`69L`{yiFHt zWqvxqO95_e&Cdily)t^!Pky(DC;4{IgE|h=FUjx8;NSypk>MsYIC#lrc-&M4*WzK| z9M4@D958U!r$VWBtM2ueL(n-28zNWSxN5_yX1v2=H|-gV&7#U6v85~EknvABuUFu? zq_E=jW4P0;`-`j$+y3aJk;CdiDC8cez>h`kZ&U-Yh0#2b`aBIj8#eNcE4CL`?Nh z(oLlL)#Om=`TCKXze`oW20ZGyz~djUG*x|WEjd&K9us+jezE#-)9{AJdi`QIjmM-M z!9s8F;Ypqv0b*2E zW1&AFbL#{%t1?7AtI8UXw<^s96Z5dDq8hfJ6S*8?&57Jwhqo%9^H$|@*rO?1J$n}2 zLt>Zf7g@(ez_E%;4PKe7F9r_%a||9FL5^5EhZQ(@cCv;CWiHb%wgQv_J>?uOwE}R! zz`3>sE680UP!7Do>!~Cw{fbH^#^<4uhGf6}c*7hj@x!-{O7h;1I|ap_`^9t?;W0-? z3VI1}6m+G*D++pPfWN}v6$QNvIKq6T!Ltg22X(y4a9J-6A8@W*Rzbkv>BsA)8Kcw*zm|$oywVN3|?0?87+9#Oiqrk zn}=$eG|%tnsKB;eWZ}nwUoK`<<8d{Yi_WFxeeB|u=wla`Ym3Iu41z#e@76CGKYIfF zeuG!W&)bwOpu~IiBfU>Q6th>qC|Y^Das`azo%)f!OFtCh=(5U%1_OX+wQ_-B6s~=# za@z%iC*^2Es$3Ue0Hd61e{hG+Rni6w#&B`eg2ey?X%(hXOYR+lSzuy_dM1;#4sSAR zwLvlulR1QeN!Db1E@LurVDe$QhoU~NUt|b>2OLFz(%=&g0@-GO!Rx6cEB##g`6@ZjC93v{7K&OmCAp*Q zrp6{z{+8SR5KQk4Q^EN7%pccyycekxLdC}O6~u$_d;JYN^crT~N2Gaf~?k@UlO zUb}YfJXDfTiu*eSqFLA$d1IWKFYs-{xhj2gC#T#hZ@prYT? zG3M8XoGz(~9wG<4C^++!!v|H#*9ebl3%VKcbm{!+R0)o<@Q8N;n8tun&hZWLYA!FZ z&0+?xr{=6Iy?|$C=CvF+gloC1BWhKT)Kx!=dEw8wmg}Ii2yuZ4s1l)e0!R3Z3| z2{6LnDbFvA6byMTjoD9Y8Bx~F!}3q?6xa8E9J1%~T# zJVOwZ>lWBtm%-~PF{`gIn@niCyw0NqomFU%0XEvF8LEcAa5$v#Tq7l>&9AzA5 z@QM-#0{x)DD@t4z=#MveR(bHCjv>RP%0ofWqXfq9$F?`11KN;19f332AETSdfX-0XTyR-8%!ub1zG6scDr-xaQI9`YDF(OF=qiSF z7IY~39NjSMLP!45Mwc}-cwuPJRtGV_@Dj8q-k)z#7M^$shf56&R}YMGu7APM+!R4u zsn6OHFLkg`fHc@TUZ~oj1xSs?HQJy(TX35}3{lU1vj*j@Z1?=E?2oi`_7+&@sZM^* zrSlUJ1a|e8x{2)SNy^$r{?l~>{x1w)v8yL5Yj*WC-C$3DrWE^ohS62*>M6?Ftn=r( zK}`;ywI_IhqpsMVuo+<3g==5xxNvIJiCw@uUOHnIz$oY1o!S#TX#-YaxY(XBM1UZz z!qlE}PZiuu#t`*PCTksGzM6^SZ}FGOM~6(r+#b#!!l*9mm|QN7>Ne6LRQCeiM5@06 zIAVRF!7D0%E^u6eg9jD6Aqo%5yvWL=>O~dMQ_kVSyp(%B{J( z05C-B$^_B6cnughS5G=}5IHb#u5G~+=UyYQsl?!QRg#f@P|5h%d}6Xc(g75&u1sJZ zb`%{hK7jHDx{jFNsGDds+!Wy33|>*!mH>Z~!7J+83LMeiV(_fG;EhS#X1G*cs1p9* zZZ%x0E^yQV&hZTDa%u)feXd;U=uk5-a8_UH=yEp;ZhAIET|H-1TG8{$`2<-9rDv}_ zzjN$!KK5=^m!zZ2rhbUMeaMyBIga%_M%PbJ@jbeU^gOO?9vQz?H{fRsU(xqOz)$H0 zJDE0oMemc!S^xzeneSFQQsI-@0eT5E)D=&7;D9l4x%Or4fI4X-ysIZXV3c$1PVIm> z4H#`Po{=5w65QrAL)5o}SmoXhcCTJNA3HdNVUX8+%{7=0y=#U+-hSo`gWiiE(E5jU z6IsPRWi1T)kZzFt-x|JR6%T;N8s4uP!k@n}e8nmr4EPV|h7iZ$Q)^&!Qx@JIw6a;R zKsl_*;ZkeBQh>pew#C*!hyx63aQ#WG0UT|Br}ZVZ2Io!yVk%a!Pzk4V?-Sfi#SryO zC2JMlRMxFq^MCru!9USG6!_1&i45Q~0sbX}R}}x*0RN)FD+>P{a17!L2G1%Q7z%S` zQbnT_VBlOmsiMIF1LxWn+<)%#0^4q>4# z@lF-SsD&P-IKEkh0b>xkazSBkI}8}*TtC9d%zaN_Q>MY|DKo3Lpv?J=ue`R5_jA)Y z-O2X~%RJ2G^vVYHMt`}V(*;ERTirw|`bB{M#^4nd{Sr8$aPXiS_vjNCIL9lfCHHH} zFeVIMS4d6fkhETu@8yaDgqtH+VhKXQdp*$(nU*=CQke2zM*|$ncS! z%f-7D$I&6|_C(!8!ahF0PcV2z*n_}P&WORY!Ul$&yE3V;v2$SHTs=Y9xncN$H+VgP z%I`$7^8(f5*ZDb~{}bm2Po=X6?@Zl9!hTeMpJnihuulVy^`33;Ane@fz_CsTPX&$B zfH!5%u`)r>&Jn>M+^FG#Rl5ikUhs6d;A|WY7&ynXGP#~1u#ED=7{wC|Us3+LfZyQpPc(eR2+mj5jOj@R&l&+d8P$(BT-FHS$4GRz)Cd@bz=oB& zwx&jaVbMN#KE-$jBXEXA+rT{;T)g(7APuBKhpeo9TtEU4q;X9jB{(F&5h1NPg}&ac zy4PRs0>NztG(GU;;{VFIU$5!mD(H zfxOP}!4Ps+2K=i%{wl*)jNui^ngPAW;90)_PYmd_hRgZ|_5TrFG+C#fl zaC3i#sAm#c?N>}#cT?Yjf~9R{zceI~%)Y4D(S zH=n=*HNDGlS=GY_+`Wd&svcfw!r@ZYqa|SA9M7Qo+}i{;B^kWFl45;$CGFPVJjSig z4(@NP$eX*FSTTy--bbSm_TT6x6849HW6K7w*O_d)eP?vsJ31vPHc8Eg)(7>V$6M7Q zf1~^(K_s8disYlf2?77X;1&D(SfKxu!GrzfJ|5toHh9+d;0>>TG+fs9-~;aO4VT&; z>VOx)q{9WcyQ~j#V>Gt&WA4EBl!i6%SlG=BMEN3(;1DKuOPD%B+MV`CK@yUs;nKU z`i^cGQ{Odw#ZbNq9{4vr{(FY67|hp{wWBfL^!RTp#nF7<=&}X`4~*nnhRYfd%2}UN19D!Lj^asw0Mm5da!7g!(t44`Id0tz zkS@BCmGzH90;D~z&VkC}=<9-8gk*?%M!S1=Y@gm}SFRqP&(p_)inqN<)ihdfd^Mj! zdz@dDd-KjbeVqH<>t7)j?6SxQPSRc9Ukh$eH2z07;0uPY*yV2owkOcwvCrQt#kTTB zSFz9E3T*cKkf+NTKDA3GM|fZ-t_@kcgfEt$ubhZeYM0m^JTU}^OC1|Lfx*+YJGD#7 z(FSbY+8Wy>#tskzvVyT)V$lFWT1!*A%>7O+G3zo!J?qLElD95}-&6CL#|x71em*Hk zPvK{+%jYtyx%e&71?s46vK_9Q$S!&Ue2Kv;_OLL(7aP2y|3$#jk%MRT4h)>*mFgY+ z0R!ji4|>lX20!oyuP5lNOue8d^*1j;@As3dRM37n)*QxeaK_KE`sok@=y=^kf*uI) zL4yZDJNF1JgKfxgLD&ulJ;v>DLEyRL1hz@r;PnKTl~M42D`Tf9_O*kvKiH)0?R6gh z&x^n2uB69hb|vdf+9x41oZmSn=ZOv0Z`q%+=G0Er4fs*R2OZ^B1pK3PgKp0-e9%+w zWM$2Hp62mq`t)q03p#W9qz)Y5>4r-O362c@;NTNGM+OKm29PV4b&kMrj;>!>=SW+z zt+U`6J4Y&{p%|A#Mw=6OPJnPVX{654b%UZv~jBdL0WqX>7;#%;O15hQO{^s zX6(}&?S$S3n8#@UUwfvvp6;Q)r|Kpe`x}5`H%~Hn#jYM7;7>MquqP*AXyNq~!)0v< zdThbrQX9fffPr&us5sgu3T%$n;PphGm9iK8dH=^>-hC$B!_1$fn@IE*0!Q@EHh4w! z&kFRH7(9qRcM)(#go9_r4iDTPd zov0}XjQXrE@kEVR2RNeCn5L_k+!n!YMm0n|Q^{I|HbL$7SQ1L4F@w3lmj%vgU zl`%Smh^BQDjid1Zf2+YOs-6h&DT7y3JP8~XIe4mCL=6m_cznondLEd^>{5l1_! zM1fQoTW}k2hNx!;S(S$Yw`NUrzDN9Puei+Hwq&xg>h_Qh663l&^Mx%kh*G;6sPXI^a4qnmRr-5Va2CvsibtmeN@1$PunNOU4 zP=|wC)jGP8*&ohqf7E`(!wrl0aPVj8ET;99tZ+UT;9oX)ML3@a&gAhWgICP>3jzMm z2G5!=wAAr0hD$vTi!kUJ77iCYj@$kO2F|r1HD62=7&zDNV7|F83T%54VKV{rh1T$c~z_Tw8Gaov>~^S6g^(zd5$8j_3zQSZwchxIMDFK4_^g!2riRd?lE zI48RrlH3BjQDt?$j&ncdl9Uf9V4R-o@5D z1>{Yv=B}JGs`!hrtwnEChv_CVsy1cKc)E3i@hmcY#kktR<7!K~Azfhjig9&-#|3tI ze2?K%qr$yX7S{_+b>sjHqon@o$N?C}=-M2N%0(lzgZ3`8a>4aF9BqK7;nK*#Z3O@X zX&p`Dc!vat8C#8o^oy{af}0&1qMjXR4amD3J%^u<%lU&%$KF=F%kepHRW27#$IIv} zx*pO^WGlx4N9HFOykaBA1^9@;E4FbwaQF-xJZls1hS!OPOC1gN0zE2n{8C4Q69NX# zwIQ_$aKOMhp1~$^g92MDW$=2+%jzl27c+lKvDE2w7NL*oCQ{xRz!`*PgIAPyW`Lh- z@QU)z0uG;Z44zdUyy3Oda9QO+k3(?$QsogZ0R!jSkSY%xFmR4%MR{inY_XKV>nTsK zn?y0MSKiFb?g%LMs;I^h+=F_`?7j3n0^#}lbLYXsQ)U-3$Nv0$#DaRBpqog|7XT-C zc$&d0YF@9b`SB;}hH_6fd_~XY?Z6K_)yf66J3mev7|({Q_TvCY0@K)!6OK?5y|I=?e*E!*n>87to;76+r{c$_ z=3`BVa9__`j9*syocH5dYw}(_^X7aw)#GO>)Yd_Fn2d>Vn{ZI~^t2cEU*a&rEBQG$XS^J?LY{cPG z`(Zu+hKU%@*nTh(fFP|gsr}?O3T{)qA?lgPx^=NNcoUf#kAdP}+uH<7Ae7vP%=UQzW`z)|J31`n!sLlPJR%auvh%Pn;0<0+ zpjp{@fv#Qqzx$nIFWy5i=C&XR#@u$@M2grN;BPT_MG-eEYu`D(MK>t$R;7%CHyd40 zOzw@)p|CgU1_eMz{x+jamBd(rhVcT=I2LET0Amcg_N8MG<-rr2Yh!i{QV%>`yRu^t z7{koURgXb{Ag#h^3~m$L#-JhUnM~F?_G{S~Z(^h$0zIGwr2G8mRqDw+H!<|$*7!D& ztDCwD@2{lqtmMb}Qn_KrDs*ENy0P$XOMWcS6^>rm?Ta*&PCor8m(eNZ-YAnF-)A(u z6dvvX6jfy5(F-g8#7KqWY)fgh_vG#+haJ7JA-{adDfyP(Y(D-PYH{L$?c0MdoSK@N z$4<*ZZMC}L8E>`j!+01jAJ9!S%pL&F@Nn?TFnd4nIq}W;edg2Z40w??#BX~t21~#m1L#AU*qfGwqwr<+p#ZF^XEC6>ht(rqE_FM zI{&Cn|4K1ee$D*3)@8Qvh%=L%9q}n2gYB1}j-{8+(N*lw=YoL&2OBY1u|Xvu8Ommdv)RFHb|9PW%Vztt+1_lnl+E^@dd5*Jx?R)y z`(4vY1}nLyHGW|7{To)@ZWP^1mqO9_fjxj01cKd}+)O4noykpPa$}j?c$7mP6VqFI zsI}B{#1Wd{C8J@39YM8Z2ea9MY_>0(?ayX=v)NLdZCKvb-My8nn>)I?=&&Fw9Hg|+ zL5e%>zhi@uv@cr*NkQL+C*RWE-cD;9KQQ+GZMW_B+_!zNNwlN;5syF`#XIlbZsKWfAJQ3Xz2Cj# zP6z1dKJi4O*m1ura^iAV1U;=V%`HB%0_k>;{^LF5h$ByOo$6Y2`suJ~SR5cNoet8t zZ~I+$Jm6Z>bMCpO8?{C-gwfGcF01=?G1P6h?@LiDL2)tEy%kh*XZg{fxTrTx#SN>r z-Q|aWOKU+QZP2e#zb5^f^=r|uRlhd<+V$(uuXz8xd$;?Mnag(y(xqQv>!uz*8k?HC z1?bUlp?-_>J50aD`YqA#aQ%uKFTe2iFo>F$3UZ`=N9lL8e#hw7t6!gf{rVNU7Oe0V zo6C;~aH4+sijlUpKSKGF^jogq3jI#j?-cz`)$dXIou=RE`kkTQnfm2#-|OwCsrfAB zNA){LzjO5~>$g(BN9%VU`Q`dxRAqvGNYj_3)Hl4WchfRqliwMjb$s`9dE(;Wbz2(B z&k(NsOkE1eubA@OhsZlOUcSCOQ|2oARYGe|W5b23Hm=@q`8vRhip8#^p}0W5Vvqi9 zQg>ThThdmV*tB)i=uKCRUv=}u*bSo(X_chNME~mb`>-zh|NiPgiPbS}bxf4UR$(oR zjxDcNFYHptR@i>swySTt?z-*Q-*n6M*UzfQ!Xnl4&uKjYl(dei&(qfDOOZs>&E^TA zF5b6oZ#AN&Z?JE)e_TUk7G^-0zFL@Oy}Jdo?X!vuduH9UFhic%EKKv1n2n7&pC>h4 zq=uI-)uoVpE>%-gY13%e?k(naM07bsS5^}>j;|`;zW09QD;oaqoK5W+eK$|+QQPIG zUs5HZroQ36(G?52tKp^6NZ;tSn)_`Nsf7eGm=)H^ybdhNAWjSdYBjxJwn0_Z4j zM!Z}K#f9VLu?M&Bc*{MvmGz&)S6;O7vi0R_^7r1eaI7}GYy836GPKPrSL(Zks=sS& zF3fJVEbK@GYQG~9vgwY5>Q1*Klu4SWr1daS$Tqgn_&3t{YVE~iW|s1bsiAi6o}4bPU$bxhh1;Kf;oc3`Vr7v2GvjAlt z!XQ%TW5zMtAZ*J^0=y|zU;rhh-oDYBH;<1_Oy4l7vA#(ys&)leBEPf!`s=U1 zdbZoKU0CsdP0Bxjl7+5M&g|wkzTWGuyYBYuuQBJQl*-6&*R7znDz&|IReDKUX#gdi zQzpih<;lt2<$ZU&PICTJutO&*HwQGfm7k1Baj9|yM@v>n=jxjfPb{gMYD&r;Oi5Df zQb`4n^9M6!w}Q=@+d@@hN>>fHOYyat+cQ)#KP_b*KyGRa)91{p%cX{F72P&_2CJ4k zn{B)HfL;6tQvLyyG*7H5-?i_K@;ANg^qGPnZ|I!YrW!=qZ5U3=Bd>e-ea3*-KSWkJ%?Yl2azT}v>L(`=rQ(m=JcBg8#B@bHHEZi=$$aHeKxC-cV}`SGQpHizre5dz8bGpTs( zgeKx`>fJOts#w^9hR6huo|rCQwB}+T^6gnwo|y6T|D@)BTQ+weQ$C#-f=iV$Y?^MU zG40#2Ue)`tv?&3Uv^nD%8R+lbgt8k;--QWrF=zo0p3_=CU-7%d7r-)B5c= zHKJHJ5sf?Pb+_8zqX6CF zChLm>%+Vox`$C*IrcEK~--wQX4Uiu?uhyg;|Rmsor#-S%BqwyVkDRxN_CT4J$WZrXYL6C70!T zUzo}$6iPaGXJkYbSSN9G?vNrY~6I# z8?GLm93Q{x>e0<(({7fFqSMYjmEP7~xN4;NRRptcjU}tSQL4HxNShNtZlv{(F6-4u z!}_})apsv-UgW?GdBND&t}#D169tdEz3r?hhj0?!Lsdqy@*x&xR%BgNqf_>VvO1-` zwJ;e5Q0P?OvXS0Rv#q0*U}%m=)LzyX*S?f%03|FyiI?2LArIE9&HF*? zQOzZueON(NcBfSaP_l3`(tU`yQB&!d^5fMCyHu&`z-RatH?#Ej*YLSaCDDpiI+ABk z!lc4($sC^7KG31={W72GiI2YsL~Nf1aJ7coK?(0L7lUtD^Vp5v4tGsWjjek9EKeP* zu*&L~Rbtz$Wrg-}8+GN&Y3~9k@%3x3U`_q{)6iKhU`DGII_qOzs^{<0dgNmal$1uY z>-Im9B~q775M?$?(rwaH&n~}`*@a7$T{kL-tF?HvkDp0252m6Cprm=)uPTU7=3Yqn z?VFjgD3+VtC0m(2{dTfmvn{*YYp5~)=Z;$Q*0ePNlq`;y1>EZL zP*t0QbJgU+)tlp*94N$310ywEQoQICd$&@znrbi4?HiZs(@WEu0w`%&HqbXZ-oNmO zW2$!o7rEZ{_Gk7a?wmFH4k}PwFdge`d|Eo2?eGsM%x>uKUFK$w~tS0%@$mDs1@1xlJ{<~D?AX}Dl=B5K9V#LOWx zO*U$;*ETICQG1_{F~xR*o?+A$qW$9lb!K7OzW_>X_oC0ZD=_R=U^v^{6k*5a#x6#! z>Cyf*gsRD<)dW!3uBx?{bWkp#)E9f4!5ZF`L0D&5s(*H*CjU$`kl$pHEx1jVQg15w zfzjSgvqOm^kV_iB`tU)aQOy-F|HMaCeKV@cgA(sOhkGmDvtJd?o+-2#3Hyh0nX+&F zKc=aQ^$9Ulz@zs2bXgIhF&mEA1QJ3g)xmFmdOv?BqO zShc;QB}vkrWU>+(d_?(X`6s(n3GqOBW>Klz=bk7}&P>^UYV%>`N1-S#Rds|Zixp6v zDa!?guaEoWKuKvJ9Uk};`qZ?fd@A*Esj4s9+VERoHJAK)NNr*0l~Iizl#~Y38X1t( z*w}T_NmVr+*s{NEh@DdR0Fi~r!|!j{QziG%JbiRNd&aRj!B&}bL{EBDF@xb;9(CD+ zlD6UfZB^Ug{+3wamYY)(ZUZ}D^2?H_rozo(`B4WOiBNYC>puf1yX3LVv0h%sx6@_I!6dR?lwC`-{6Zb`Fih@%eu z%rsDYKj?sFCO?M^JzO+!P=V8enK)n_W3OcPFg(!Bv*ri)=_J73eYe6gIYoNZ@g!yu zt%0+>0+Zb(Jm5uBn_}1fb4Jk#p31|trRA&CT)R}c$b<4pC22EQA5r`_YOjnB)a9`& z6xa`lJm(`Nn5W@>JUh+IO?og4=(S0VJ8s=^*VKl!7i@nR)?G8A))ShIt1dY2@_i3auLl<#fcXUNE}NX0!)$L;!^F8@Gdxb_6*=a|aw z(yZVlJiVy(K%=d9f1BwgHZV|gE7NAvS_kLCskWL2-Q31W-=NkJy}ey_*6^^C%NI)k zcBxW`+*Z0t>Ks=%%QUO+dsgqU)yw~gm-m(dqzC5{{)w1|k)DWMvUcT~y+}RlmJfVm zs&#zVblIIouI1}~Nt@g=-NT&(v>qHSl?H4~py~b<(3a)?70`o|{VSm9aQ_Nu zJAJt?L;BE(4NMTl|Eix8un7a_(tFG7rPUW6Fmya+M6`4Bes%dONn@6{-=>E!v#WPkAy zfJO^QmrY_82PElt=A`*PYf zg=GdxnxxuVqHL0CYr>`4nsBMMCS0nm372YX!Ar|HSUOzmU}>qeOsK({s9sP5HBtSb z`f8&3K=s!|4S?#ciNXxDfj*#samg9(g|g1(@{)I_L+H&?tEucHWTt(@uuL|e{Sp{@rtO7bA zRso#|tAI{`RX``c46vD=^G?dDRC)&E=<}Ltm`+r$OJOaD!p^B*Fbk+N+8e5X_D19r zs(|)ViZr^8ubr^Q)dr^i`fr^#7hN6o9&_VQ-vrK7F_x9W{+gdJY7 zcEqiE>ADtlcTMO_P3Uw@=tNDZ8)VgOaN{%!_130$rtPgv*W6%&-CK`Kwe9RzZ%z+Z z!Fbj8aVgAf&7BE*MhXpQJN{VPZaRtmC%ApJ)IEhtujwUM zQTSU8)(|3jP|~_fJ7sS0Kg@Q6-{|j8AFuaoM|yU94lGn#RO*j*y4}u3e~ky-2la5I zq;qUxJDuJ7+0owtpDOW&t!;)s&VF+A@ZPq&am3l37#`kNy`AVz`0}XEpKhsnmU(hg zpKDXtxjQwN01D3~hljQ1o_%oUknEKEL;HJH&F<1c?OI0fj`N}!8@Ef3E)!+gW%hod zJ>^JW63|l)UIeM}y5;zc{^PI&_Lfv&0puQ!^=XGPv+S6oYwn;(?ZaL=l4>XBcqkek z;doHeIq`?^ayo!(4~^#cns1<<|7*S_xsos%2S(>Ow`4D%`e*8MJ>23yno0bB9ZAN6 zl7-`YGA}U9v0WRUqWR}qb5=AyK}8qF6*-VQ)zYsQudf*$9O$ognC4)f8M_rM%=N}t zE#}MgOfEH5J(-K;Hs>q5)068a>Cj`-4h2xs(r*vl6#Cbi7ov?i@A?6mn{sf!tEm%8+e;f<8r*GQ& z8majr<{_E1ZE~IPZ_w6yi~E3&fB3Ld;bHxKy8{b5y=eM5Rf(U=hER6aLFXJaAB6WS z=Jcg2r&mtnO9xY58bIMhyLOEKI12X$rp@I)PJIo%e9y-giSBzo4gCOYkH7)K|DZok z($N=@>>SbEcW>MGpyij@b;0iR=+anud~&>&9UaKFoIkeofcxh*{Z^TOO-^Af*{s?R zatk=Tfme&QKk4nhqfzs{VlB+h!;V-p`#3LvT=cCcy~8yhqaRdsY;XFG&+(?OcAYK4 z%D(Aas{f{MD3mk|^krYdDL+fwtx40w=B=YWM;~q{S4-u`31k<&L7AL!ye@BVOpV1b&%5V2{ zr0}60QutF2IORy;ALvN$^Lh9{51#VWOG+L%c~bZUzM&ra13dJLl=^pidQ#d!3Y_-C z7drToQa^a$q`*mm2YOPr;;BFW zBU^H@ew>Q_e@X`gyjP5p#?|Gpub6K>fAxjySC=namFw-ibML+PKe*$b^1f~52Y2lA zRQj0OuT{g}h`|?>zDVhBl%6d{UlgwwDkZaLIjd; z|KH$=H!9@=@z+}+_W?3EFFL{%D)5g5Af_f}ReXuh5snT`Af6ViEp3+N||ESW7#O`*LTQ0hH zDvwYml&%*1PQkyb^jxLy6a7~NFDm^9r5{tePw7{czE`QfI>+bHXn)TV*QWegQCp}p zp^MvH7Z)v}izesi3q{vnU~K4`z53DK?P!YNUML)P@lB;j4qE;*%jc{NRa=>!C9X{2 z%fh`$xU)PBW#FME=zC|b35Oj<6B^HmNM0@yJ{W$Rdi*b*1*Y|B!SlZ7NkLymGY&0_ z7sH{64+B#fm0YQmkB`IQbkDiqOX7r)=_fqLq-kjMY8@$ZUnbP+lk}8PMtXwMm#M%G zrC981lpZfepHu~pQu+y{FuFtOxYEB>s^^v&hOIDS_#Nj9l0Q-T!<7Gf6@c+;l|R)p z+$fFxLU8`YDGcd575rXw?V?MX1~V13z>sl%g)d0{5z0TH{FhX4i_)(urJ~mf&c}S8 zqx@pu0RA_sYxzKiv55jlLcyz)4n{qAr1Gcx7EgKxFBKi4;+q>(m^Afe7&g!{JQNJC zR!aUPrO)*(U*ubqH1%f+HbIDsBVFzpT%&YC1;4DCzNZ!xlt%>LQJ#OkxWih|n_*-v zz-Q8eYn8slHyH-MR{<(mA-KMxkSW*%!EZ!B!A&A~uWCZL6u_yHzEml64=H`IXPh+j z_VxB}<$1sU@_cW4ukODP8d&J-+sZa0$nS~gXLR2vqBjET>38ef&7%X_UORe}_m|D; zJB~X}43hlKDuJZG?^~DtGtZ=Owzx1fFHm};(k)7_gDan{X=$l1=d3;1>@GeKtKZ^h} z=um_1a|FG!2v9GL^i`B*5umOGp^?pF<<;fN=StO26#Oc&_`Lc%LLJTHH7H)~DQ-ug z&AUWV`A{lTgSg)-44<#>5+x4(?aIUZMauu1@+TYbscgvtC0;KKlz*+1R-9s#lUd3l zl-oSziroVLAKDbo}+Jzh1vP3alRQ`5@=XN7-!w0Tf4{WV=8_Z;$I6oqDgRdCuTh?uc#~4{xB2wVN`EZ6w5ljC<9vFoPnY?0z^DB_?el4` zPml5G(LO!Or$;KKJxBO-sZS60=@OqV_UU0hUF6e+KJD@80-v_}v`H!b!y>5XzkT{W zpMKY;-&TqoxA^q^9!?mZG`eH@W_Il-oFH~d{tTJhkA%eBPzu&mIUjOT(!kV$(*Ieg z=co}YL`NJ{xV}gToFDxtuB5^H85L1@N^>}eyAc~vVh>v zAZSfL%K9zA_18&%nI=9WX1FJqV&vp;D*7hBO)KPanWQ*dQrt8t4xW^$f%Nr0Wg2Q# z{$@{)!%T{+%VD{*oW+Oh$BRTlGymL3#;11KC&n9FSD=?9{}WvqVvsxo68>{Q_!e?| z*U2Xv75~wNI-x$?mqf+I-8{TDE3BxcCskt6uOG(3?)7LORg|CQm13$l2m z2>zLdCkn{o5hC~t4bPmP#Un%T&oVqSd=`%g!Cz!}=JPBb34*`a@XX#>JOTv&Y{L_e zW%0-l{3YPEW09T;lK??HR|q0zf-(rwvt%N;S_p<6gjZM%I@+W&%N8G(&N@qI7yh0g zZ5+dsJ=8O+xRR{jDj>+z7Jc}~$k8}8$YCLNy@T(nux*C6y$FFhtiE8|E zk6-KXW7YT-9>31v$E)$IU#NdQ_-1@<8zfiouQ$#1Jw3s81c~JbAXm1q7jjDYo{M({WLfS0TU0x-yU2F@B z|6xNY_9~Uwl`Y}EmfqN1ek>)pSXi_{lmuh^lXAj8hTRhMy@E@fuUv z7_j#EO+#zXf-?Iyb}{ad=0y5B6=jp@IZD}lN?Oxb8m(Ygc`XIGSVvy3f^-=M33atp z-mWzIQh6QpT#Oz%Tf<6p@1}y5$_tjB{(R`UROnf%60`mg`eswO-X}Ej&N6%Db}{mk zl|$cDQ?TY#-e$j>(kCSg@aeY*wN@=)ql*oybChQyq6X4ko&`}I6WULeK3m0a7yK5{ ze^B`ur5{kbSNOY>UaoS8>?=wyQ~EX0pDTJcBs!H675_|d7LII){YI%tpuw7G>+6$} zPO7JQU-8AUv2Nv`tm5BSF?vRD_X(wU2>xBcKcoDN=-;IL8l`tCeX+{@o!~4Bwkps5 z$ITx8Zlxa<{3fOH5tC!@-)CE09i{S91+fd_Z2YX4e^yN1p+>Qv%#!t175b&%|D-$) zg-DCtng3LZ!-3hkI2@J#xu=`)<=HNRj`h=fe0d_afAaOH{O+iHk$T5fAN3I7(TRUl z$~uyEh<+xfr=CDhWC$JgP%q^~KONJjvmQOdw(=tryapY#2tKgff2>=b-jblB7~EGO`lV_Nx|Qs zl+m|L{C=qv{zS~Q6Mk><^|E2|8u;*^aF#Fi>M1@`#b*Sj`qwJ$5}RLnHU!`zABo7} z3Ry=)woqix@@zyt6p?p&vWq;L#u4UI+h(y5`EW#joWS&%T0le2>Y&f}P2__qc|$2` z1dEAxsTPJIyK4+HYRL<(VgqS0+lprm@+(P((RZP59JPA#zLdOxg75R(-k@SMilvOW z_D5ut293TUhm4hv$a*6(z$}bt+jgaxC*&e4RgtmYW0Zh~JLFo??<(I@zJ15t!xU13 znVOy^4ADUY6fJr~-#rUCLL|9%_XOvP4Xf-ajwYtxi`TP-V(r9KN=nGU*5H*s&lC)t zshX52os_Ac^btNKl%U-6RZidHof=c)_@^X|KHSJl744#7Ux9dCA2_tu>8Z;J%Fb?G z7u;X&TS04B!LcBr)qBK=Jn<*#h|d$Sk|(kyB?KlVR%XRZP)(lbo0Kq}^c0UL@TV77 zh_4=!4r?P+H~i&4t>XV7@DtS$d~eb)sL$YT<>(RUV+Cf^F{Av@&;!eKEbMZR-lftE z?$0V^uqOGL4FSg%D+Bu`;TXV4&+zPB)NiO|`YW6vTm6KH*g$2DC1tYKwynO5SZU7v z;)(O)p(oBdX`S99jZB2cMeQBsrwD2nI2Ar5zF!d`z5j^PFDhl%$T@4l`C*?^OCm<*!r9eAKMgy6gu;Ddm~x-l>%N>a9wdCYhFhqCE50N0l;P{i{-@Rkrzi*|jD@t}P{TI!XxyLDt(sdh-v5v4bb;*`)g^{9tpfj1nr(d(x87~kTpH# zMNAJ9)CWH8BbDKQGbZ`xt0n9SzDnuWsi|Rj#2=wlCcjJIFAIF78ulU3?UQ3@GpngP zg+RbB5|wF6|H7bJ=iAf-?2Qkn*qCkKQ-jk>JO{!`qOGKL_cWX;J2x6=L|SaieN?nq z8YvdZ!!-grEE7xRY05A>+In?<&)lznF-X0H2}o>)@as5Vm_kF!BY9km25WU!ij?ga z4dwt1_H@4Tl=hN~+w|4IytLnEeD zhpM%Z-=&tae}=~1ZH$KW@@Q7dMs%Bq-XR{=l-9{T)rRp@ZxAqiGnKXPu3;GLWMB~V zeY-Vj#;7XNeuFyO&EKHdGpxp_Th7DGmQr7ajw=x-!su^_D>3mj9LK z!kkQ_l7?lV-|9<0N)Xmhj0+Y)N%ue>YOAbgd%8|?vwPt+zJgzRjvrV0aoCiGtw?rR zs+Q3a2+XXE_n?lRN4$HxAK`cxzbMijB7KEYM92uBC}wM|?&!B?jqwu!iHfI*h~@`M zpQH3NiHM1FtD5>%rAfocGXI4|W%553*=~Wyh5j<=p58ep;rttfe~VgurEijWg)`vr z8dg;}1s28(ill2wp8&&wg)ah~>Z79fskSevHpGBAB&DHH>(zXkXvO1bideo|1Vprq zj^6@n7@)@2do%-r1>U#Bj|HGcXKxz26dte6F$wJvh9U74k^6aa%G#wc@_kgVnr;>D zgQCT0Ou?#DiAJ(bdcT;mj-W|DhOlj5NE$rKzL6BT;bYhpp@Tej(20*&QWpr##E%oF z{YjSuwPyW!D>tmso>_Q+BY0t>YP&%czgC8=8qyLB+h>S_y=Z^sJF!L85lT_5iK~5L z3=KrvKI-c4R4Z}+uRPDBeV0*1TR)=03lPykWi>YR$6Yu@RS??{F<2KG^yoVsu`gGt z9cmSE!GDQt(%Tm&2$%zzJR>uFqPVfMGv>*Xo{B-FPt<)ZSj1*AZ8U(>AjN5r;!Ki4 ze>&JN7H~$$FDSJLw76^6o((p|`iP3>cKgSl50&D4}6^DAj(EvqfwXJRmZL z7K;fy?{B@K%0WlARlqZ%N^FT`?)}Q+B;=0p<6)lf6c++L-25icE$$_s$T+B&y$e>E zG(a5mC9TYA;wR zoo@i!FxaQU;Ph8OC&XT)EGI5ke+b5t!pKlX{O=L+0RdTWvBQ=WmZivdN67CQvNVz@ zNeoWO?-{Z;MN%>#|J#uLDUv!Td`Wi0l0sjKq+~!g8FC;+QW7JfPWHEw!eEM|WI!%3 z#ms-hz6iLbLzT^>x988gv49GobMX`kj^WEI~*$9`w zi58ol3;MSOexw(EEl0o-Z;h;oY4s!#F*gzTR}E(b!f-~UN!N7kS-1P^LY^yzHl{^M z%Je|WL_mtmC&jsw;>JmF>!kfY#YL0H8I$66NpY~GxKdJ_CMiyo)Rt57lT{r+FLZ#8 zXi!z75k6?h6m-OUF`t)|*vRfz%9f3mpOH(kWziP}Mz1ditq-|b{JL2W$fKC7xJ!+@ zM+8$MWK}}S!h=TJa-l(=BCR;SJU(`>TJtd}$5ugIyK76`*2nmB7+KJykG$1tLH=WE z9ZP#^wq;DiNWW?fj-LkJEHt*_)_?lf6a8C%E!O(WcdP%u()~b14g;|q2+=<+^m;KT zcx08H`tkfrg}z_RU#^s}Tys;k4jAa@09T=awHl3;gGN=__2i$TJFl5LOZ;;GPmJ-L zijaC$ZwPh2F7Xk&5^vcWusBoYZXYXtxZB4&zUjYK&y5DYkSNrsD)=~G@CmM9`fu2C z=Y)cgD8AXVYlBk$S`gD0g#M{gu%tAblxj#<`*e*@*ZOpwPuD9|Y*W=7O3{xef@SBr zBA;_Zb0Cp}i1#TmGd7Bkmt+Yw6cttRr4{gflH;5HPx)Lq@P$N!Dvon65hQF6-2u8d zRmGQH!S~6IZ~E`)b1MU1NRozrj8r%L6%Lmk|6cg@YB!NDJES|5Dk7`mkF?f!iCgzODSlQBm(B4l4cnt(XA5h42n z(nL@QpASlMLjmzLlbt>!6h0!9zgh7}!K8#00ri&-rIR-Sb#g%cl|$*wO+cLzP|tKIowf<6 zQv>QkhtfHlfO=FwJhyrR*r9Z)CZNs;sAoHr&eH_cnE`bP zsA9V1n0rjXTxytf|2?-lV4mYJl}+y4+JL#tDoQ_9l;qA2sLMSn941S0>jP?|p`xQE zxyJ|86^4qAspOs*P|pR`7#+Pxa!(Gp=NT?ub?2TMaL+efyw=V=J>XsduE;){QvKy} zV7{Qc7lPpc7vBN`C3qnqU*wP@F|r{b|JoskVq{}LzStp$V`Ni6zQiF1V`OtczSJQH zVq{A|zRV%}Vq|MTzT6@EV`N)EUg?m%F|s`%U*V9Y7}*h!uM8#m{*jkZXF$Fxl#GyF z0r_f>Is)*1eTc{x><+lsINY8H*AsBBb-3LTZehT^&f#Vv+@gTH%HgIX++hKCwZlzB zxWxf?jl+#axFrF1t;3B+xWfZ(6SxL@JIr@)AfT@EC_Rq!sAB`_dQe5qID5?T0ds?4 z*roEA#|F%1FpchjkjMRbz};w74Oh?EEdqCn_C7=R6N!a5jz#V}1^%L@bQX!4@rmOc zq1m_iu}^>J)0~kH zD!2@lHhe;DB8ug~$EeaTtJ1w9->m#wlxLUr!^$gqiyG0u3gw>!-lpOoRJvO!%lu<~ zv;v#Utk_8ZaJq#-ko6ORStftV&_fa0w#7d$aGTKVbZk|6ozjn}e&S=rrt#ha+u~aV zMxJblAQ1w2ExOYxS&2`H=sRlhXFxU#BCb2RRq#xU?$G@kg#N0bm(kA)pQE~;BIe&W^iXyC-zxOCebacN=QKJnnA%02 z4ca!5mBinys!*!v&lWHLDAx4-Kb3w)yjT=*0vQD<+K;PbOZ$@oKPdEXQGCF1hdNk`&M1u=18~tG9^?eM@Q6cXVm|t}YGV z)1~-*T?#+YCI3TRazD}~DOTR2$tC#(G@!>CPGH)3v|i#=#u1paMhNy{eBElU5dZfrSKbF^1sz3 z_d8vZLeGjb?A)hh(hu0MQ+Kl99D%yax^%77rSxcBI?vOk<1xCluhOOMvAVQAPM4O|x-_rRrD?4$jq7x2 zIA53I1-ca0>yqD~OYZTys1o~s;q9H}rzvNbf(`&C`9019x2VXVcYmU9(7&QcbFCb6 zD39?aOcl09w~zDque_^_1`H?;MLqCy?9{E|RLFqQb4P~}^Ww~m&m5y}=uybgqxxX! z^1!-9{~{*~DeAu>R`|B*e?|zce@L=?sTC2E;>5&+Bhchr+%+-nGGHe6_>4N+I%6Ht ze+a+LN&?!mn|{O~?OOZC>cSWG&8xKG(|de|{_P1F`nPK`BAc3u$i{a0j5Te{%Ny3y zGd=^i$&i6;a!*V)8D*wI#>(hngLR~owBIJdcD`Acj<@L2zFn8L9lEsMu1oVBx-{LX zOXFR-G~BIA@g7|YJ9WuRZ^NHOg`;=v-=0}X*cDP zgI$%9;tN%I>3O=eJXe?86}lvafj&1MmaxbncQ2U~hNJtT%Kd_Os8IJib?LfSm(sg* z>AX*uj=j3H->*yCyLD-Ok1j3m)unl#E=>>U()ge*4e!&X_qmCnfM!oeAp4k!bf;Lk$EwzSY@+C_R76l9iy)dEwrlxGbk)>@t64Gm%pZjj^z2}>6pZnfR zBJP#9&p!J*e|w*E_BrRhpziXO?d_|ZS2bL@YVGRg?2Xs2Yi`fBHZ0Dzem&c|vVC>- z#)gYmx3{&ou3EXa?%!9nwo$XNp-PvmUb&Wa8a_7vf|*}l-Tv{0^JmSOJL|kzb1&eh z?vhn)t6OetxN+s$RSO%IUUbFW%dX5^zW9o3mdu?ue{p8@+OL0Y&a4}oudlnPwfQTn z+gCNWuWMz&wO^gra^87$pIh15zObQIeCGLAeg0FQn?vA|mF+Bb1qXIf!@8D+IrAIl z&RKZ={DpJpHOxJ4?wq=%*<9PghPeyo)h*6`jnbS2bL+%}g$?uO&%fZjx}~dDUjOBl z&1UOLEwDq|zJ{)^!<8@$Qm{-KRQ* zV<|d2&^7cE&rPClm6WaN5+_B7Y8u2R*W|R^6bv}+TQ#&Ign}oaWx(UtR+P1*QrV%m zexs(Y3Mv%>ChFH!Wi_1~CgA<8x_-!t1{$BwRO?Jnwd?nmh10bz7tnN9d-j~aMpdei zL1FRKK{$Oqs^0SP@MBKpSYR`?jPJ}o^QM}DU70lFvq6qZ6CrYL$-1rZu$au^=Rjw^xitnbtSCs?C`Kujtu zWB$lnX3#&u0Y@A#Fmdt*SEa%fk~P`WVPQM52Ud4nJRJqT^K6I3gl;@xO8txeX$ndw zpj1>jtcZi4&EN~ay=Mx_!9+n(OpQ%6;u-b8#1xd`n7?rl*f@dXzK3w;{|IV?IZDRA znPZ-HUNZ=vzon{A(GAR0pj2%=X)buM+ zbFe8{V~Zm=Y-|9cQTH8Hz@5t;E4U1h5|n!gqL8KvIqfMo|zWq8RNiGKl4l4gp&xtQ`t{ zlNd}q^a;^w2a!eh>lgdl;zG=!ya{v#Rluchl+3SMj_4IJJg(p9eV0u`BZFrZ;ujLS zBglk@uAYu66gw^LqW6ZNE_MS_)gnH}aQFrfZfhXyZx$d^Md_R3p;qY=usYnpCZ0x4HAoK9ye;tZmf zK0X!YETA)+*bFDomJojb>}hD4@t9cL3%vBrDX3MF3D74&lybOnzbLAtD0C_evq-d@ z=rtWxWJ-E~8`O$Xo9>&U<<2xHWWl))zzq|f)s&*uiHtBtg~6DzD#5Xh)6oQxLpP~6 zkVLnkItsx4(I994|Kpuzg@e7~w?nkZnfh$k@0Nr<@Y?)$)4k)^8>i)G-e+frUk8out;cZ`;T36(vj7ftTv~X~ZBnpC_5h;e z@bhTw65RsE`5Mt)!;?faC@k~XusZhR8s-Frq$dar4l7wgPk(JHswBhy^7OZej~y01 zjkp9%x)9X9zv?$Frz^#8X{`uL?QBFT_S=}X z%)kBW3g!}NjvTpG&&a^dyZ@mASx-~yXYF6W=4BGXjp4i8m<`we zpUBW3VDXv_+R%-N2f`OWOWhgAR`)uoogi}S?LOYEFIk4(l)#$BPy=iqDzJK@^ieuR75U*(TKu?n?5F~ zBdI%L_I=L`{8{N#)&07piYJ(4Py5iA4LUkjb)qf^(5pe16kF%CSjI@r}uiAC{~mqjNt>j@ehEQgs6 zETL%Y5zYCKU|fLKp?%Q{pTRn3x@A=Poq(hs2?ZpdLDrY;2N>X69}z(bBXO-Gc@hK8 z-eZ~YS1uxD*fN8>h3Q9IL4_G4YA;W^AF-*FJV^{cEhuRwLSMdHxDqB*%40{p*cNdF zIvPGrlv-GxrA%)U^l2+C+uOLb=LkTuo`F>`iKZtF3%f-fwm`)l)SF<36`Xo1xx zQM^8cW}~1&p5x;~axM(y#U||9(=AFWDrEByGNX*e5MLcfP8GElmd|tlZqJ}Fi0ce% zCWGpf>Mnyfz|q>08Bqm$(1dD)b^mRzR3o`D`z3{JW9qhk#^=M!Q;_Csk^1$YxiEZB zo;nHUUs6F`qPPbGU)wydxt5wwudASy`8BvTh+qu6Qh@no1x@WuA}nbPz)4heDJHg7 zQI|5h7O5tnELqQcQ6)}{I_@P)=DRMP_Q8V{Q07-E4Bv5F)nez?n&fdHV4KC0aLgc5 zAYJ%9;X<5WP#@jdi%?M%%56$h`bx9qA?5GV1+H8!0bV&KF0G-4E&s)g@5lff^3?K` zG;mS{Rh|>_Ny7DDWy>TiP(3A;1G)BtoI7kdg9%o)h?cXNP7J$yv&&c`z9Rj2nQ&QL z9{W}7Ya~b6c^UZ8EI~Sno7$C?yKIB zoUi)eCxRG$NNW2?D$tWg{2h#G*3_v|o!nSKUB8@Eeo4_+RhdgySH(0Gsxwcy zdtI7~dzS@>f1jN1my6Mw^OL0i!B0xD>*$)ZG1}$zA^c3Za`>62?cfZ=6Z;ONjfkJ) zhcp{mvOf5ee^p{TqND01x63+Rk#g_^M^TIh;z5nxAr61LTUT1p{y4v;ciy8*dV%}f zljUuAxOdeNSB?F8y=6VtdElk*XKp@PI{1!UGiUj+85NYL6(7B7pi~T0HaXbV)-n8h zb!gI8iM1og40h*oW4#B1zYKB4{`XO-tE6R=^C~-!v$u72rp$X8c87On1SJ#n$*8kL z)XvVw7O&MK-1oeoLbR80TTYswNf!8oNwCiygv#L)f%OfgfyRVrWP(V)CV&>UB~}4? zO%z~;iK7l)MsZ;+ih3nlv}*;oXl`bFun|9J^}X&^z?wXNM+bupOs7hklF=YpZcO;4 zNE#(s?BK>6-_0+Ng2#5)=MizA-INHVg!Qm{<%j4FzM7AZogED!{Nqmq$gu9&FYX^) zzieNuT8_`GAMMKR9Y*+D9!e>B333=e{A-QJ_H4-avoX%{Oep_P{o6DO)v{_WpP>0?Rw` zxB<>Vy}6c`DV~zgbNQs?v1c=qK~Ek{%ZDQOYCGw-f+=YkKLD3Yva#D9GUceVnK!6c z0zoql-QBtW!zkZTu)~J4&yX3uzF1HpkN($f49tV_T1*rVEqnS3L6k5W+4BT%SsxOoXT0J;#;M!7+M^Yh0ht z7_CQ|fP0qP0*+qQzIPU&MRP`AGYBWm6pZcW#~6Z8ppQ4+>Sl8`gM2PWL;7e z*wpe~ddloIz-WU5gt400=fidVc6GUr%ah5%gq+*Z*34UZfG|ag$)ZeN>we<9Xm?1-2?=y=~>KXihTd>b!8XnP@pg z2hDnS3KA`q3msoN!(hLid7mHVUe71?=GvXXi{fsZ7|qfPc!SCw&j7Q}s?=pt<~k|L zx%^SzlPf&%pVc#z_S%iS=lHx3n*l!RMr@3A3s}jKr)@!r#{)E3!(GE`-&&Jm92LeZ zVLMqszoDOZp8x)76{S%p5Dm#qV&*Py!XKf}+kaC*nZ!FdBujy@#VW0UGxk+b#^>=~ z#Ft@7kZc#!8s1hPtDq9E0%f=O#Q?*a6CjP;yHZfDT~gU74u=<+*k$uRe(KHzF$4LS zUG?P6IT#w@`msG4OWoQ?&)@Q{Y&>A@Z?VI?5Wrq$aF5$%(h~daE7;48$>A`Ul(HqL zZI#uodH%+c#(s6M4|HcDL%^lgG1FJkogE4pJ@%;~KCt+37)IR%(ke;N!mSF$9l_~` zcNGzGV6e3r^kj*vBuM;164kR~RylGDS%sqU7>U-qIG&WecRp2-AddlMXCFm3Ovywl z!3xa!Wfk>wG6-w<)HMDpJa%k-37b!;pd8hB4)z78!q__0)63=&s57f5({XuQQ~zd< zIm%{$yQ=XDHp4HTI=JqR6bch2+R)*fusol2(s*UY3Run)_Rz|iN3Wt=_|km&UO|OC zZ*=c^o+L}cZQha1W5@&=H~l~mB@EWb8zrvj6+7N6KWRJoQai&%Q7xbeifeB2yO#_m zWrN7S+ShphhXf#TAE9yGTxB)}H_vSPT@bVeCVFK`1h%;oZ3jz5-#e>xa6{V&&!a`B zrB#7Nz4k8ofrKI{iQQD?nh?on%K(bb8dM*2m08!xiZ zJb&@ZCfmlyf2{IKrM-wX3rgvET-tK{*hObDS0&j)Bs&wY0uled`?%y?^Gw>#Kb#yZ zpy#^hqi8+#6CW0o<>Y>~Dc8B76gFbEIoP#ru0iz9uM0}zsDhr+712;fUouaRCap_( zEAXn(Ozu~~5c)J`CmI)aXCuQXdNJ!&W z9Q@)G^hpU2cV_IH%I-$NAUSuLpvo1A@`G6<8~g0JQ5k-gy36YY$OuEE`-V*1GiYaq z<=`Psmwn(=2RN4Gc5#&!L3>S4eIs?7+&QSyB3$2j-Z<=O{=uM8!p$|k0%&1;D=V_% zc+2CNWOv61o4(__qIP6ZP!x(mW4DPf;BysNTZv|Z2aM|6Wj5KF4|1rd=6xrTIihz5 zL@4qm#o*#%=aCPjnDB8E(DLye+~uPhERCygnnXt%{n(hu+fdvv9>5ylZGDqy%!f`1 z$vQ4kPKdpN?)dvjw9M>g9vATZa-e8BuK7w0H8HZ>;ON5R_r-jZdpVs2okSxEVKj-i5j^13Yu{Nz^RVjW$23p0&aTlYBU7C_ zR6|V!b!dMcS$Iou!6WkEJmniT6bwou1NqMVPLdkoMxl!+97Qpu~UZkvL3M=j54Kn>?n2odbYx4$=uGAM7Lo2|6TqZo;| zrfw1~Ba%y1gl(|TQeD7DCf9SGcg>OEfXdUaK)({deAY!#SKq{%XXp^^OFre6fb=cmT)mhc(bE?3fb$W1EEk4N{`}4O?=gggYhz46iHv z)l=55kanExS`h+YW)e@IA>2_$N;B?pZs-Xma?=~ar;?Toe^(TG^E#IZ({R>lwke|g z3VB*v4Xp^urLGhFbWsguXRsRU2PEbarLPvH9n^|U7nJL3QpX0YHyHAwC%UU{m_(*Z zd53nqGF2yXG(}-+QItxKBjtumTg0>P5?&)2g|j!+JW_p4NH(pT0*)6~R5EnWiMxyZ zhmSc;o1Z@6#17o`ltcNSArA&We}Rth1s#84#;fvwBd;!+Y={+@nR8*DVP?jwqTl>8 n;VF%%4hkQ?kgS64yQc!ZCHdrwzp4D+ia_hXQCD~IKa2GL@P^o( diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd deleted file mode 100644 index ea7b083f50e6de0377ea862e7ae988bd2b157371..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 154201 zcmeFa37nl(kv4uq$evDs1Va**hK6)Pg7m$;CkE5$?m(N)LMLG{VjCf11R6mYbPx>U z?kFAxCgNPe0gAAghjH086j-sDBir~zk9|OTrMzwz#H{EEOfBfwC z_dEC2ty5>KQ&p$V@}4_y-eZqVsC?$~(!|olfv$CxlQ)&NEj;+c6G{^&b`X0&9@|N**4G;>)t#Cn ztrRx6A`Q+rq9Qru8&Q!4=NnOx2Im`5kp|}*QIQ7c8&Q!4=b2uS25Sd-(+YXsEZBSo z%~G*^4>wE2X5^UDEESuc$4bTWlG7{|%d@vxdO{iL)h!f;7U_vRcUz<<^4x8ap2%~z zMH-yvZi|$b=WdHMIM19GX>gu7Ez;n;G_**AtLiHa*7|yb3+2338k}!Lt28*@h*oKE zz7eg3J=_}guvZ~cDn~{-<;X~-92qofQl@?DyVmsv8?vrz$I#-=t5o8uX~9X#_lCvIJ=j4H88d7 zFTBmAkGQARugN{Ni&F+k;&T8s)|X~B9T-hTs!sNnW|>Fc4}ejl!2Z?X;(X4Ggerd#6}!d zL9WSBwcttOsLg80YsLycYsLyYYsN}XC$UkbRlCwkN71TWHKSFxYDNpYau)rd{!P7u z-5WOzuIt)3xTdeam)_UGFMa7t2hV@W_Q99zICp691v}2)E~CSo4KLn)@wQ8L44qrw zec{kKJ1*IA;SkBEcW+p?u6Lljud83^rfuw7FQ!lj$&IJ7kiUP?dvp(hS)6tr&jJTHKz`K)T7}+w$=u zULN0?kC&eG_?CRUbfw2P=i{X>J-(8Um(KL~z<`YP+J0woM=re%L!Lg*A03Bg(vBB1 zNC%>bAkQK7ts{awi`31I2=Y8qk2@mBGfAEAh#=1;^}{2Ayqu^@9uZXWLHImrsJpvs zQ!2zFK#`2*C8$}17%2xr%1nBjMS2e$T621@Wa$yoYZLo>dvbUcR*ZVZ(yqhaj4VFi z2%RC!96)l_mOLAD#3}&LC!F@p*C6xrE0DW@X|k+7>Z0FHAcAdHSk& zVX4RGWlbj?9-kLAoql+HUea_7^!U7>>6FCd^9P%gh^4$LZ@c&!mB7g^lBC_EQ{hpw=#b7nHzhT3u6xGTc4FGSYe7`*>1%q#Ez~( zE&Qv_M3`5N7RFVhg=y7jVOTX z%@iG33h*^VpxDajU{aln4kZQn8Y0kE!xrcmQjkv#CbT8h%sMZzg&8(6g&8)n0y8%C zoh-A$javpdYn*agclY4X_De3l-RD@{=(-CGM%tWX|n1wJK;UI*A5e`9^gD@B2Pz3JX%tJT~VLrkFgoOx) zBOHNnB*IY$^$15J9D~q+a4f=c2#XPxARLdd6k!>{2?$R|AS0R($_Nz%ZWgs5v?8=2 zv?FvNbRsNAScz~F!ZQ(8A#@?EM(9T9LFh$TgK#p!DF}TC&q7#>(2uYVVLie|gi{d) z5H=xfM%aRI8p7!aXCR!3@N9&w2+u({3*os4g9y(<*oN?YgtHOOLD-J)0)%rBUWmXB zdJ)2T2V_dOA%g%@Ye{JBfK2p3WO^WUV*R^;VOhz zB3zB|Duh=fyawU52(LqUJ;ECh-iYuw2ya4oGr~0pZ$Y>g;cpS%itsjswcEIKn3oK8bJ}!lw{Ejqn+S&m#OI!tDs3L%0LspAhau_&ma02wy<>BEml-d_B76_weuVEM`~czq zAp8*FM+iSg_;-Y#Ap8{J0fe6+Jc#fR!p{-@1K~dr{tMw@gkK>165; zhOi6aafDwX{13vf5q^X4TZI2b_#MLU5&nSi1j25FJqW|9-V-L^`v8QA2nQleLYRy& z1z{?}G=%90GZ1DX)FI45n2m4{!odiKAk0CSi*P8y(-7t%9ELC-VFAKIgu@YzKsXZN zD1>^1qY;ilXh1j?;W&gv2#pAf5tbkvkFXSB8Nvw&Pe(Wrp$VakP(f%$XhCR2XhUd6 z=s@U1SdOp);TZ@k5l%vQCc-L&E`-$x-3UDhy$EX%PDVHdp%39%2x}4g5!NBBN7#U{ z5#dyX0fbEmn-R7koQ7~Z!Wjr>B0L*mE5dUS&O&%D!XU!)5Vj#aAK`3-a}c&8ya3@` zgcp`zU)O9JY;A8J9NdA{sLJv?!lQ)|es-YWcFsA2ErVsSD2nvVz@kDt*cRbuC7Goa zn2}YioMsk9q`Bp)mS*QwLoY^Ea6Ec8!lzYBYv5@h8BrR?Ls}wynpdszYq1g%STnD* z{D?DYW>G|%TaINlvrFq7WtTE7mtD%WC{@jJQoiM~ODl2kj&f6L>tOTX`IlY-NyKN$ zryZ3Lx9yx44W>1j-PN<{(&t~Wx^9N>z?icQhANx~}_zb9#riJ^%degU#)!{7xIHGvXPsfrZWODSHngUTab*l8adx7bt%z*QQF^=C-tM)3EAwF*KkxmHbSK zr1PayC@&biGI_yZhKE=TXBl?IPW+I^dqg#&TJ@%d-e^yIW7>{NrGO_>t!>PGrxbyY z>AIW-?W|I$N^5%o&neulqUDqu%nd7WgUy|(t{<9dgeR?}B!@t(J4^;C)|IsDC>l-Z z(6mz^0WHn#1+Dd-6vM^l?&Jrb8Kz;)ovCilR)#)bhTOcNJ9MZ*Vap;53Y~@}+OAEg zWSZ@y9p%nuX^j-mE{E4qI`HJK&G1r6>v!Tqo~c~og?6P~F&iD{Y%8aEyRD(bR?-E<`XT8QLjme9Q{AUB;8Lh@?a@#YG5~;>9}!jgMJrFA}|z zAAH<0iOnhMof(<@=X5WMNI5=hM~hm{g7(ig36-(Cl6JYPRLWz=r%an_#+Xgn$qzn< zW+E-_K}SIkdxo_tkP0+e=sH*(r`}<;sr7PFt#f=jZu+37Int=5te6I8pn$EDPao(R z+>sh0#ic?_Whiv3W)D@GI+|)2rYW!=U4uK)UJl5Vfm6}-)k2@^k)i=>DzyvBmE#l7 zjfj7dHjQI~I%?!lIjzHjEK-@M9ami|2B5U}y(S`TMY*M2tRqkf~tJ&G`;+%iGdqkg!Tit4LV& zJcToo%oR%+HZw$FAn!<}gX%vLtJJ3M6vKcISG=_Pku6JWJr(w%5a6eCC0wJ(#kZ#x?Xz%D;e2B`)=wa?2~Z$TVfd zskAqowW)M+fW#cFy3glVIWP2ZtEOf_G{mp&Nb5qUxjBsBIu}eeH)nS+wW`W}8V=fU zrqr2My2$fMyX~MI9WB;t)dCW6DXmfzks6XnfuxpDpq!c?o!K>!l4MPyKt`u@uO5Ii zofbb8=Z#=y+tSw3EOa&(bWyc`S;WI2VQG5;GL?~8rcGkTQiGN2tU@bA&mB77nl@@0 z(bTuJ2Gx}>G8ffUMd~+Y^|qn&@&jx@rdnQ|U(xzRH5KY#C7hvvoIYz+Qca*ArvoBo z0~6qCbxZkM==jb!W5WPfUtnoRYE(x-bty(|SmmhIjiS#YC z=$lEOa**`RwdgCPPdk+Km0I*=(x(h1eYqBW6X{cClfEgXpYHrm_&i0A&(9!E( zIPW>9mC&4XY`xf7@CQ{o)MojC0qCC+i%iPMf(;vB1;IQ6}hILGHH7_cek z36tfxY%JZ=sGL-WwD`$#JT~o%j5IA=NONUlpwUe8x$;nLKG#5+&lRM(T(KS{x#m@{ zYinnkrxB*(<|G`r?I~ZnCb#w6`dSMjq?i& zmwCcTvYwgb7?xZMC^4T-Ddik$mFB>b@px)dI#K<_l-N3s#t>V~$`^0vR}C ze41}qM=zq~3D-E^shm|+RrWw)6T0a#+dz(ksh&;I_ajmTa^h6ilsKntPMqqS66Z9| ziBp|Z;+)nwajJJpoYOofPIXU-bK2*`ss1T(P6M4dWvLS9w9tuDJyhbHCOUDdi%Oi+ z#_4>MM=WJ7XMMvb*Mk{xE@vUm9VbN!Z)rrjo_xn9diQ`QP;uFo>kl(9mZ=c~LjQ>C2YO`2GXr^zca zMUWegSjAmziEI#Q$4etd1j4HW)&$H%G*?lR*0EL!Pg&cv zmbKMila&jBO!yEYw6Xf*zzu882)R#MbA~5YHaSfwc&c$MWV_DGo7UC8r82l~OaEq# zn+RqqG+Fr>ekez?A71?f$yc(25-?d#ozAj1E&FHFzvaz|YyG#J6h2f%H899UwYf*?{}(8#lU!5}92ZqN7F{H& za*Df#sOp=##iFV&tEeWVqWU%$O%c_%`fm}{!&Ou(s#q?nt!`C67EIGqZ&jaKb2`;! zbjrAl%5i;_(by>JJ0dD+d4t(5uYS1r#_8JS)d!W{R6=*SM2du->%T=pdsIT3^Ag(J z{g-M?XSkqF9~V@4m!!a$mPMLe8k2FqETUCxOgrUmoFZfT4i`pHcuR0Zps=P;5OH?zf+EnG-R`gniB3XTx%cjWcJN-9hb;4AY)z&Im z^@0Bjf7-w=Qw&U<_R+LTvKwh)g)`)>fBMAgV3*p1#-&zX$}5uEY)CIx3#Q(KQS2w^ z8?r^dK!*#d2<^N5w+QWA723AE(6;==8rZoms&mFgRo)pb5Y?u=`2tNk-pf)-eu1E( zx2Y5v*tfWBO75@s-y*AFX4PILtADYJ0P|c{pEfS5@=kNLtXA|Mf?~_ro{6fO+<1o7 zkvS1fE{U>Sb*cXrNqv$37D+u)t!hV=r2fUuuok$a&L5XldE>rXQgOjDPs95pDxP6g z!rim7dYWG-=*(7K%PxwN*=zi_i0Z5Sw}|RdDyp5SsJ3=Do7al&lDC~E!X=rEs|OCm z)dSOT?Z6C76YFr@z-(MKa4%ZZWKE z=v#kUexCu$km{oCB zlXX;FaghBM>1^}gBAv}aI&;H3Y^M$L^N~WWr&`WQqp+PH7{PXS9lsdT*?s(#J&|}W z?q1rn56q@Z<_P<t4FJ2mWFf|W)BK0ac9^T1IX`5c)2Io8<(;2Pwr-t-- zIr-h>zh!LS8RR*)n%~ylYKu+uJZVNTUlVzrGK#VN?IXx@*V5p6Vjh$U^^>-yVGguU zP3Y52Y)>c@+Y`sdHX6)hvF)fimbbddi3wfkzeNOxR0MGkO=40j-N{(asm}poPH9$0 zp8R}x?;^OG({G!U)0+0I5Nwqnq7_c<=^OpG2=g-kE#=e&Vb1vnZLxoVBbTtI6J?Ew9>U1N zeAC{AS*P*cOO|57g!QH?yO#DG56kNEz54hb#6jYbPdLarss*R9u&^yA$<2k5+&V7F zH6*#Bw-=mPTW_6;GGa3?H{g1su{1*+xECWyPuJo|R$EiU+m*eD;XzRe8HT!b*S~JYK%8 zTtAv6fp(Mewjkrs_f7$2VYOpi#zn@bR-5GP9BZ{n*6X*69OHJq!#J4unbAq?EW5lN zzrdwkq(6!m>3^EX%kJ2Wpba@cxz%4H;DUp$f{or!e%s!)0ec0q3U=jw=O(W-0e?oJ z5kF~Mz#}&~aYw>c=>^lf&YB+doi2dBwQ$J`-#aa*Gw&K|=Zgwpf9emqulJKQ)3NcP+gV3%s^mv3N0EU^vQ4ZPoXW*sjgHzmVlI zShiSWV%=LP)+djPbuB9#{&1&Y*`iqlQSEakthBcV=LMRIynsgOaDkP1;<5f)Z2$HCTLio*2y||>t~p+<<4PUXhVBZ5dSrpFx??HlvdB^$ z7dFIe&L)exf(M6MmYS6)TWd~j{r_)!+Gm@Kl=xZM046WFbV0(;iDz(&S67RquE%4%;y{|>xZl=ZTOYEeGg zMOQ?5j{g=>J}iiGZne3&XxDk4iSlB+WWlwI#POyi|B?G8vHXs~67!&m@^cGC`FZ1_ zTtk$bYl?E42w$-%cZi@?i}Hmoxz_*)2-S0q0EzziQop8 zVUg)0{I|%oUV1l(f16B}_Habb_fz!f{F8YZWQ3(Xo`cI|80&dvm$NzFUcBJibG|V) z(%Vg-&nXn>7mN#Z4F|cBtzT5{ZgP z4BU$ZlvTVPJ;!)gE+fcDX}-MeZb*V3FwO`friw_5NE1fSEzUbFYWuttL0j zb_!p&xkt0-@{tiFobS@(h2{8ldhQy+o94U_iT{*sz}P_VtD7FqHz_`^&?;XrF2#{m zPFLOJg}b6vw-ymV^)nK0VnS}RH{oCxS`p$F`G?UHRdn%}xQS1JeHQnV?SRqf7nAjJ z^W1_PmMrK3V~>z8k-ASo5ytj4Hwn$a)`xAq5qCJ^h29IRrAnj!vM(GL9&n>96_3mP zx7fIi{#(RjMf$(8CMVVVasDE*zGlP|mE4gV&89<-+*MyCCp^n=WmhI4vbjvb5&8Mk zgyf=eAt_DPtNvBv_5Q9PBzh1bF+I(h=}G11Q!X!*p9zQfZ;_wVgJH?-QsK>Yf1igt zRNTZJy}*p%^>HnUukCO-DHEUc;+cKm{#9QTTJw0qAHRhKw!Fl~%Zr210(Yr^;`LoJ zK3>Xr$zy}Le@iH5=e^YJizT|e*W(M>B2^;oFlgCtv6gH7w@CYY{kIIr?+MbM+tR|E z$EDwnjq%7BS5v2sc>mYkd-n(-{IHVA(7JRn&xVOUh)ejV&U~IK(Z9?@|F2y1U*@9! z(s9uj(XT$XP}U_WI)p`{A6?v#e?7U98Tx!oTZqZ+>z0mkp%Rz2_UuiV$*ABM9oEj<6U}1x-aqHBHbVI-y+=~4APz3JcG%1>9)7z zMn9AKm%U3jd>h$UaBoLWyJKO1ivWLK68;L4@GD)yuW||BIWFNfZGN_DTV(U2Ya;WO zU9a#hT36_(Ve>04^`aGj<-bKMKH$GaD{c&0k=uuBjhDv!u$sOFGP2FTZ|_9nfot0b{D?27a{Xn)kDf!8e+$zrkhwO)l$yGcN0q&lI227r`tx`fVbl<`l(= zqParelj@KRt6%Uw!)0ImrHlNxq?qo%rPzreryX#0wXZoJiW<8NIz-tM~bwsGC4sT;X5BUKy`(PG^ww}g{Zs#Ea< zqdg28dEMCVvMv}u0}VEjPcy!ou)nSaP4`wYtOsJwWrqHB7QxL&Qqd2de@$6 zPdc}Fp$obU5{LS4QG`$WZ&8F#1VzYgJL8OO_1uD+Zlk-e;Xn7T2y!ojt~n*Av1FqG z3$#z|XfehraGj~Z-?<9B$5r6($5kLwf!NmP|H?PjfM}B<)qvNzgo_5`FHW81@uC5@ z2Mx$=J>&IY--obWw$ZOOjo>En6`ssXl4FTsfobe#5My)p;(aFN?{z7^!KM8AaVf7M z<(-+ARB(d4mXJ?~?mrbFpXWj?`CaM1MaZuXLY~`7#_1v#a{mV_z5zd)t>h6l+qks` z=SDZ&T->-DHaJAyN zK}T2(${!|bcPZxxPwKCThbSdmO`&E;I={xbh9a{qV! zTjc(xAosaFXWZlI!vz;dIb@7p?r$BzX~K(6xNjEQXxZrtnJ6O_-^~jrO`qHg)Yap` zsAYY`x2Z7f-ew~H$spqLW{5hpi2l^Lh>M7G=`gp3*_54_%Ug9lR$&{;v2=HeY!h2B1> z$9w*D@1|C#q;hL=GA#|WRYo0a=-P`EQ)S{`(574A)Yo_y(u!(?P7$hkM|6ux==dDe~X#^d;cw_{<@$W zxqVt3@A2&^x*(hZkKPUW`QCK{3p09kI1l;6M?rz-(&aw!!3#}#wX^bM*KnBaleq5o zPE(qHa;3S;mFDx~N)vhLu_sc_mUF5PDU`Egq16|HmhHml{KWKcT=GSC{>gufW*qFl zMKcZxnvvVMZH_NDdh!#~ku)Q_E+cZ#qdRV)SO2e^T==4C#ur>OzT}$m&*PdAX+|=4 z^(!_ChLe^|Z>n{p;^%(SE!}6h?28Ar$bXA&e8zu^ZhSiEMs73Hn!U}dXMTW zBa9k&fhOE28jTt1PlXdd9AWplH}zyki2Bh?YwtGc|FTQ}S6ur4WnB6r>5o_Wvz7en z-P|^bvlE|jqFUR$r7jn285FkqZxQ^d{#yipS`hr)Hg6?;V^~*hMt>pc7e*5N>Qx(D zes2F8^k*XR_n5?g)g}JxF7aO*m-t%Kht^1ZtER>FkA&4;Qv7~Qeg&vAOds;cJg;zh zmx16o|1J8k(tnFSd@1NdZtE9!O&3icxOqLAH)lrD2fRQgx1swgX682i`HTSHFn#z} z*N1yuAHF%R54H3`MZU&#x>e*jQ)L@k#1k-t7NVX_M*)Gx~6}hiT<~O=%;J?-yRoz5&h~l z{fh2P6$^0U{lru0j%bA`@%tytaN!ll0ILTA9>|; zgg=|pLv6g~H*)jC{|oG!w<4pH`}w~C-IphM{>{YvJ8t2>=NA6E<6<89_^~lA`(@Py zGh98BP{YFOpMz3CQb~^vC5xm#-Qz{lzu>>cjNcU`Kes)L)gRx^Qn{Z9sUPij)<}*G zwr1hhM<NteH#WhpSiJj zW&dfLH}^L;FY6iTJFRzR%d$=Vy{8X!ZSGyUsei-i^*vpiyLhC5d2OiQJkV!9HZw~g zH?M5i)ORvt`qrPeTnXy?*7x-Gld^9;3db@$PQprU^kU&jQYW;s^k8Ws@Pr;JO$lWw z&1B8We;|APG1YR{pVQa$ozdHa^19Ac1n2a$Dl;06L*B=2>|5X0LzbQh>hT6j5pA$oWnKAVLjyXe{3m7WH zRmRXt!q99&^5?|RM>2-~_n0%ZrGTL_-e(Lgrwsjbl+Z^rhCVjt3~eo7s5nd+Lz_~D z{yC2FyE2A8KIRNkKH%N z*%M>Vvia34YnP+&5z7*vuRq&e-=A2vJ7d|NF=yF=0+vNivW%FCSJxj+E(EOLW|Wr^R`pKbo_M^ZKcrww&AYXbgdcZ^rF4liI?S{|^9BlV_ z!;7DcPgZVw^6P=4cFHE;kLLovaQa6BhXXNJ(4V)hHYhb^$_;@Qe@N3bS^J{ql zzalq(Xkef#R>*<<(g|zK7`AxK8MdN;VUe2;1C}ssb9Q8Vf6~Y$8N-erbA~;mfMKzl z-*f7^QzDZ;uwTx+aYR3;-ZOs|STE?7XT?HJAJpGNQ^y$@S>bb6t_CUJOtF_UT3H zv}PP=8*>hn3OEq??(4dC45j-T1D$S|#*zv6?}4B=9b?Xf2~|v}G(`@%Fd^BiSRL)S zjwKU1GbSt_b0+Zmg4}(xO_7%^Oi1=0R!2KrW66XS855o{=1kzfl;)WbdCtOwWKUsr zH2WV*Cg9egpbjUEITH$Q9&U=fVPQhDPp~>VvN4uScxJ|gRb$SCNd=M+dAP!aWbJ=- zw5~ChOu&6@K@wJvITH%5UulZGR$)T2p1(ReSTUAN=+2nXGv-XF{=cb8Q{;#W6OuLh z)zLoVSTdnEW5Sv-XF~Nqs#KaH-%^;69I;s)t-FsU6Hd;UaLSl7VOoJrh}=bCLb8_L z-|2Ibv1CGD#)M~$ITNNAFd_07y9YL$o*w919qsXrB@@LF6xz2Z_7bAFb4kB@Z@bJlH(uJeXa;gUDSZ4-#*&KROsb zmOQ|97QtIQZOnOaPyr7jZ;?DmoW=gOr)DYP^o$2*j5!YuF5p4rERqL_uh{<-tt6b8 z@!;8G&Vxe=co6xD9^P!u4jioI(JLAAPW6pu23OEq?hy&?9*5;>32DWD$c)^%+pre2Tk%uTd zQyY?E&snjUA`_uan%}+QkF_596LPx*iQvjTK-*kpCMhPN#%3dbBBi?Jel$x z9=y_e#J7frANp8?Lo3;wgbpu(2wi(bcc9`PyeqP6i)XZcH~taY?yT11>IO zmeys@gHag=Dczp)BHY2H((Kix(#%I9+##gP;pS+5k8O+S<_6pkBHW>xw&k`6_cSec z_pc({Jf&-08sQFOex*{9cD~{kOo`|gXxdp@BHTiyYkYTvJ6zk=vLeDAp=leBj&Mh6 z+QqMra7Ss{y6q9JUfZ$j)ChMp(+&?OZ97)WNa}o?rcL;_h<%Rx-c@VAY?Lj{0f&8LT>$(n%ieQGS3`&#LJjyvykbi=G9XwS)Otxdy8~ zruj)5Lt2AXN2}?qy`&>dI>TAH5*ONGu+RmZ(JjA!czDl8wG4yFmpu>W%I$W#WdUY> zd(KPgW<$>EAVYi%Ry&|LD|cD9(;2L`A*EY>AMEr86lXB&_t>@^9omu61z6~QkkT!K zOtsvmID=WP;j;SmLH9l%=mO04?*3JEeyvMAKf=trlfSs`mhOGuQ-EQ?yM~-9n0d#!^dFeXE>|hY}C7Dh0+6E%DT1JV74RQUiN*~ zjsQzLUQu1H<;OY@7XICn(y{I)R|c~kdAT~`)6QpuY1ejNp618;EnKcRgIT|Y7p8RV zE32Qu(%w)%^`3B<{!MWDPSUgnn~dNeCg|nO-qC$5yB@J< z#c3HR>uu=#V0;WN-#a|~#LY@)Fcqe*E04qfpI~6*V`*2tb$Iv}aDw<4yyTU@!C~ZM z@cbb-tZ!AE!B$UVtvo8ImCHer<*^gA+%q-|bgb(=d2sz;xh#zAb4H@^N5Z?Sdvs04 zB6C){yD}D;FK|mW>Pybc{41-LIM&eDh$g|x&mjYw`tD>$SPoTMGXKd*E!lV|zlgj~2Dm6%`6m@PdSVP!S!r-dw>=G7sJ3fb(P zVqMp_I;-K7P|Ee7L``6|OAF3aoWV=$OQoZJqd0?wNzFMrxY-$9fTbmUN@r=bR*qYM zcxj!`VSRA$>_L%%er+GBReBi|?C{~?N57fOv#g2F?9SR_P25n8+U9DGnv3zf)_G&7 zn_XZ{tncm4gdd7Cdo{eHBYXn7N)5|`n;mr|8)r2JbuZw4;*feNQ(G>Iqna+b*|H(d z(@gy0@bG`1t#k%Y1#=gCO>qVv_Bm+DrHV6H7#=h%#x2;8(k_=wvvu3W2h2@Y-K6W3+oy^6E8O<6cRd_T+;AA={uQhn@QMST*y@(S?L*t1fByDC zasAi1F)-Uywn^ig9M`hW zvI)45X3>k>7#Q5Jq*Q7?TImd?$tz!@ID^?{=F2Bbi;t-~!yR z0`iDF1s7oEJ3ZuQFw0}cf~BVi?|1(ptgSpW$L+(@*mS7sCbti0 z9S7DuXZA>z%=S2?dhBC9EF7;?yKZrdR8gwk_c)cI9`L+6HR0n+yu^7d!>Wt#|3bAP zw}K0>%oFFNxP~)fP48En!KB->DWz+A=kV|YeTp-<{41pWgW?Qc{%z>qu;L7+tFb2x zCK(-YyTV8tm%IBOO`G5zsK!0^u@u*KBwV1+D$d}}??>x+0cKquU!ruz4|d)|#+T4` z?NFt&`fHDRTGoMdRu|H-P2$&PUIct*w25@qHkP{^Z4z8)o7IbHwSGgDX8g4Xo((FG zbbTp9kjKYf;={WM-#V8ele8OscsCjPk`IT5`k~9v^w2gb0!3YoqE-c5fEzAC-64K_ z3>J9_dSz*dd+f$)y4_zY!2M8hmXGk>@(cM0pF@7aKjb6)3;78S`3O$(>l@l}$-wq) z=e&%WZ&V&00ubIl{q;SMo|;)jn*g-CP9LOM?YZ6c&`|fe9wI*)R!g7ny__>muMXsnG9Rk{Iz$a8J1Q@9m5jgwtd1;javI%CwTT|`ET{^40X9)P#G-zSrKrk^B%y$ zE5XV7P%~o(v~1>B)*N?z*T;gH%A~rJ-L~2A(Cs5-5NX+MMDtHL3?}BM%-rF&H~6BA z!R0SO)n2W129rf~P!m3mgSd4o&f>{JqZ6@2yojaH;S|Niq~Gj~o6ms`9{f@6ydKO6 zC$edE#&&8hyQ){ZSjaBui^yfr8_f_IV@#X>Q>~!EtKN;N6Ko0}gO|J#xM1@Qo_sD` z^WgRv%q8i%R!wX9RVINh$eY2HNnkX3jgP@}GauQdID@V3#9H3ka$?9VjCA~~E7@fR zTT0*q2d`KZj9W{5q&;{U+N2%<`>-QRECOH;~F%tg=@WH<|^Fa?QRbxZg(mZ zoL~8Rhp3nF5#JabO)F?v8XK)G(h4ymyDqhgD^5D@S3&6T&ByXt^%iKOe%q?0!JKCv zd!f=9Y`h@W+Sd7tXs!}q;|1xGa&I8UaSbqbPu!t6gBu?7^%H{|zT)dA1~;sO^Kn~9 z8(P0AMkY|v>wzMEl`duwi@*7WNAcCoV5$%;{c3EW=2-XSo+( zHJs3X$s`U#$mNy^%D|&# z;QK`wj!|*J1$gpSxM4v;3_k30=%#y>&fuk|xpgyGtPIME^W(~_X}iI+Jf`giQyLfi zK+_tImcmxP4W@)H_=?h58cMLGwRlRf(vi_3!DlL?Cn9k@7y=J9-bFO7Ml3YcPkij2 z5=xfDuz{0ZJPclRj!)_gZa5R1K3mfoEVU0UNpL?2WsoC?m(~S3NrQO73EeOdbX>;Yzi?fN|!Gc14Xv9oY&JQ)J#Ec;33;u&a-&Mt(XDaB99|hv&46Z!#WHX zt)*#OwQ!VDf-o(F1AXV>WK#zNCl|nz17_3p5KeMv3VD;R?#Iyqq?uZ`BrQZ-81dVP z&e|9s^g2=LlEYQdRq?JOUkB3|W|y21Tdq|}&Y`VPQ<0okdxoY>&YZ0z9keMv>voc+ zP0pM(D!XM*yc^|x8ch%VW3aLo;TR30l>=ndWDM7NeKK0G62Jj6x&Dtf8MNrzb&g`54UamCy~t z5pKhP(gV}T$6(91RN_0+*y$D>ey&y&rmu7f_<)`6@BUqpQLKyRpTQ=^yHCL+&RXe1 z5e_L+o$8|HUwEjr0DEqAFKn3_oCSCI%nzdiw%QX}HEuVRXJ*%P_e7Ls+?ID$Zc`dfjb`OUk`9P0K?(zYW&fV9r{9 z8+J4eo;)8K`&&(GF!{J3XhBAY4zRk=p(JelW@<~z??p&&IKpC0g0(hYilq-cHNJ_A zY|^yGBht+Zyt1~dco`k#%H&INR)0#9wZrNl7ES6haN%WLstbdzw3I=5x(~b3nhgHV zMLhJoQX<8_BSq*ZgQuP1Cmal(jCL$~r`FM6sn_qk-i?5zj)K#pDbkJ4(@IVO>6I$} zKl@aouyr(jFpa&w2xF@Fde^8E$SSbqTyKr}7lTe^?#2C%GEd@8M~Ns|T~yT`l&OOn zsk+w(OMq`aGB^%uEnD!-huz$Azt)N0d}J`YQ|TJ$bVpK~6c+)m`LT z2leTH7fki-MMGsjdTsiLjY3P(3`(WGvrDCE4=B!H`Mxy50bYw9nf_kI8EpCDh{ox? zGIu{)D>)f-?OMsjOMDXCpaQH|Z~>Nn5OY>48GP&%IE%r|8+YyE?`B^@Ki}GW=m{#l8y?TJ;=eRjG!8pRDcb;~6>l@w5nqWkSBMo(` zFPNBML~fCxzT-a7s!*Y5r6rb?BOoiUi)1ChA|L;$Z8f+7cGzUa;D-0OtQgG2*+s!) zHJJQrePb@a73d$diw_S*5f;AQQGokSz=iT?f9=?EpidcuzUm;^0WGjT!eNA4)qsYXb01>JdqWAmr6tB<~I0BrP6k{b<^~G z^UPoQ`UgIIQE9H(mPt3wB&`$@X)w z4pXVLlJH!u$AJJBX+7%BtE$J$Uqu^0(^(E&;@?HM8Cv$NrS55fjE|hOJ`dk8-*u=u zA67}4@GbpT9J92ng)B=W=jAR^oWYg{v6lDTA0v-Or89WW<$fO1V0xD6475k ziZxoc!kAYNl}blbqA=Sscrh2P9av!u1s*DM_@49Z>NeHgtduYQ4aHEknK9^IAzNOjx>HXHU|EjXS&&hPukt zp`M&EZs7YIG_GDy89WV~GVk1A>5Jf#S{n9~9YZ#lbgiLp6Wj$_MvQB@AuX5Z8yXK) zoWWA=RRy>mY1+fyfjWO$aR$?j*cM-mv#r3S42)2GjE3%QUUQBFixj{19B=i_`(-sQ_wPtC!#+v(xxM=zVDR6%sQM)Zx8Ykh?L8m|gCeDh2R7V*R=p6}+| zbadSsaX3h~6)QVnaPexR#2jZ7Bu5cc@^$z|ktYSSXfVH5-XG`!JfquJ8x6L6^^|)P z6I`IRoB|NT3HP@i{JIZ1MBzBegMV;KGKW4C))hYOc6+X(c6GWgVwsr<_nhbn;SI>@ z??Tu9ilTPk>v_%7R%k^K{iEpodVo0yJc!QbWATe#y509G&iJN1syO3^cB$ibp-pn& zE40n(ubt}o?Lr;2R~^Ulh|_Y1a6M`pBZu5cIGVF{FeEzbXJQq-7GbEb_?U%+tks_A zMBr2{So1@^FGj)F2V8*5kKl02!-_Ll8vd1(jyRqc zD%0v^A@+ifu;W5E4`?W>A0Ow($S$dW?Qi28=#|*>Yl~K#rbaFYBbQYH7vM6E5bh4W zXs}ced59gra9%3C*gFTmMEf?m86b*g;A+=q7&4+BpXZ~rp-%Mv3Z0|*A;nH)vW(?9 zEK(VU9*b|d0_}kP;$txB9uL+b!JVjdmXB7{aZIB%jc`aKI7y2)euO_4SMy-dmy?iM zvcAr3l{I*VTQoy8xIYu~02BIUuK^G0LtO$ab-ymf@f3jFK4Y-(V208qxQ39HmJ#`A zMIHAz(nxzlTFD1#1Se^S${p?POz;vH%Ly1yzcW1i^TXD3Z_vEtbJ;c&(zB+!&u~F7 zl@@6*rTaO|0w05q!3NJyFH)Sr(&#S58LW8|#|nvLUg8x3S_nQKRfr=LEA&rMg-~%m zN^9}N4B!vgcf+`s-d=1iK<+gqjYmg9kJ_c82z)eEm@G+QcKXyPdqXUcQ{~^!?Sk{+7 z%g4%-J`UwtKH3jTXK7?jO49OQ5h-NN6;iy+g$z9?TgJC-SaXK>UW^aj+VWua@{$rG z5oc-7BQg3LMYKGq{mySb7H@I+;YPgCzt(yj2zqd5+4b;So822d2q?O1SNL!vW)pl{ z=_sq~-HyVXnvdp(@2iooqGYbV2hyS$E0U7Xx{^5kCRVC_cW4s6`B**;m!X&LSDe8O zmtjC#YdD2zKUfwYOUuJw_17rQU>^Up*9!)G)0^6K8b z%ZJV9VPE2gDrKCc*-8uqUnvwPJ${$6 zEo~+e9q_WHfr0~GR01CGq7v|%4~<#NB2|zK2P1;Z`m#8bjY|n+P`yISo`{ssK;K*s z`FNi%YFgP{(E{cXDjtoO7Yw0HjcD|2w$Q~YvS?Nj-+U~dFALjj-{AQ>feSXx;91ZR zqcd2Vp39FC(0I8NA?xOZDanc(Dl_IvZ!dJ5?OkxK>)ApT^TufDf_!vw{u}N}_Tl}EXSv<{`(GkaZ;*@Tv+*WC4f>&tk zXhpzxifdz|_olUO*vBL5;McpGh=fwwrS3@0(8_3j$QQpGgJm&T_CzjJI)kP1&}cqJ z$2U%nzEW`pFL@Mv{@No>6gzcoy7|d_2HA%4|TE4?s9I+m#i22hWccEBslbq;6fisJCU~-olpjG3&C^Z_{~Rf;JM%e?3G2G`3Vj8WL;WS>WMI5iR=pgW_>efXA;X&*y z`1N1+W#t9hf3t4%fh1f1L#r@0uE2H4iy8c%Y;d-?<9z7!Km%n!5nxp;r*h_q_H8-Gs>8Px0 z!KPm$laHXGzt>8zTONCfEW`4{_ZzVC z^DhAxU@kd5Bz5ulxi_J0=P8}RjZa{G?X8M4nC;kwp`DN6me%`NY%n|hv0&$|JZ+Gs zwR|Y@mY=23CXo*7j_v$@Z8fjH;_HrqS3jTV?lLAC%;oWe-2*aIbQ2K9UOvM6ui*O~ z5w8L)%X7hIS^Q$O_eU3KT7$WZ@hF6zkHKuu<50FL+!DoE-sH>ALm8GvYp-;ILmI(J zS}y&G5WP+ra{vgD0oNBFx;|R^BWH;FNcGa6(po$VN%W!k9QaXc4w=ig5oR*uee2lIFsk4g%45r5I{-M%Y zn`ER9ZL_*+|0$i7M_g7e>cH}dvvMtO?JlO}045^zH!h*8Xv5Rlz6r;pZqp`f(MpLF za1d;2Z}6&j;3P1{K0XF>);i}2#Tm@CszpChoWat}M-^wVG+A(|4m6YOO|Ao|EvvQo z?QW-o>$V`eD$&&9LLY$*^;&PHwfx6!v`huga%;Xy`5eDy656d5L4`hqZn&Nmb+~*F z#0WaZ$6&T~!8XNNn#CAZ>ml-d3>MM2umJa3#aY_Uha$&2z{1ZTE1ks?*LarVlDgcX zII9=?!0Kmp5b+Fk5gh6uxKI}>Px>#EYvqY}hH|A0lqY3KxkHt5Sw@yOYwyB%@~#MB z>UHj7%+vB(U*=tTm}V2-M@939M-$`wBTB7OYGV{4c8%VbRhHb&QRR_$1+*@6h4Cb+!x)am<<^nhK1jQN5 z^7ot>a3LS2oz(-&CzuI?tq(|P`LQmxHez*|i!yC(#Nb2cM0^e~ z?=H5r41?u((gdxG@mxjKaLQkmRl_NNNoRaEUQ$7fr^Yu)8~A5DQhBxfv`wV5wpqR8 z*p$={bJAY9S(J(1;v?P+I+rLkdL0WWsav}*W^)RFM=9}rK2n74Gx+eoaR(Vp&1uxZrIK3fQVqLfJSKfY9H@Y1=_v?stag}GU)ZLmyd1UFQcn!eR5 z!;(>%$IkW2G;+*A{ThAD;pl`%De=v-AN>IrU>3FuN%&#Yl=XD3#u+ zjbfi1p^a)hq1rvYz=v&X=M{b=hY8_OG)9i6p`ydXCLMRX5kO_x(~S|;iJDj4O`iRH zZ4TMkh#_*>PJ9P1-(apA9fvac7`*B&@J?T;ID?nqcm0s!4CYe4`BnxC>#k5bD?>cU zvr-(F-0en0gN5#@luqi@pg4m?cJHpn@w6)+E4KmNY3C>mW*Ktv0O%|~&SZ{0UXseJ zhA`WB^wSh)aQPAB2fgECFzd450>v53o-v&9V>U&}_<}mnGuN)ywAOaPg?3mwMW;i1 ziDNsp_dPA!#I)MBq2^{rz036uqChu!L3GhJP*T(O$mmSe9Hk`~)ihpl+;yD)IB;xn z82vO$WF6wD{kULB4X_`e1jqzL;6LBYAamx)#q@EGr)|iclrC2!0Hg zfainhd<^CRo5s)_gL_uN@&B}tl?>)9o~HK(uRRxK{iD(uY~>ScWl(_TZBaUdg*{<% zVX&}KaM2q=*u|_g%S`?6oKeeQtzU&kMdlHTBI9p##UGj`!|!oEY);WyXkujYIb?#& z_!vCravbRi95Hw<#L5oR8!V=|T9W*w(Ei`QB?j`3P8;`q9*NXd0Bgx0!u`VwN7 z_ULgpBz=xH^C8cV`&*+>oU{;ppZ%{<3j@seO@EE1I_?IgBktI5EACj>Og@4;G~nO_7><__vc<9e3FIiaYGJj^osbbTiu= zH)B9?GlKnP8Pm}<+5)Asy7JP&pN0GkZus%=@Yf(Zd<<^*;_&c~-xP2GZny*D63Q?b z|B79zKTqil77@8naR!Tspx%58Hl7ieq=ka=k+gtGf9$_2&S2v$u}Rv{Hc1Ou=#P%l z0=7ON*4oL%R=b|VVCHKJv<5R@{`(0ZgIUIW$TA;;S%zIOnWPP7Qqlq@{b4Wlw1kx8EZ3&qN!rjhNeh^1 zr+-GvFqmm6b9|DtkZV4Y7BJ~&yhw2dGc9G9W}{u|^d7gBD6fB~*@(b+WCzW|3~AAu zskNcGI9#LoDt(Y2L4kS&sPWRHrcyfAsS)5pgk#n#PGQWeFd!TaD?oFpFs62}E4q?s zFw7ZNi57iQ=@hoIh{GvFcmX(6)G9;3d%aonXbmwTF1!Cv)N_H_TJ49>D{9?p@yr?U z|6ss|hFYB9u4k4WXEi`WOQrW!m)`Dv$T`rX2dWN2298P$hBc*NW+>x&l!az3!)7My z!N*`q{0XmCoWW23I(()h6=yIVgRUDDXE4jK6UYWz`D~A#(rOciUhhpp$I%OmPc8x_ z?%_Sv3}s=B_gK7EUV+tY(St5SKrtkGrUQ&KO6zW|N+7~6NGfA_hDlXb; z6pE87eNffu*h3XZ)gjF9hMOHnm}4P2Td@T&ScjeN@p<3FikMn%GdW4de_sqlv|nZL*A%^ z*m&5ei=3ZC@u0?a)w-ahh{qDs?r&%nxPr6iI!hC9A>QJw3XHv}y70@~wm_CS@2iVm z@elGQWnYGJa1t*oJHR{+Y}W`GJbx#KH_W2=7|f}qz2k4N7Dp<|TZO{gw}Gc zbJkYp54uVG_~>npMFADv!xn@<6C;yXq2w@78+`azz19ZHOreXF@HB^iGolNy(A}nV zmPTt8;Seu4q2nT}^#0A>0jRgEB{<-i(N+l|L zjc?wZr1I4mB}5%KbZcF7xSsh@t&W(?UMov+v>SETSk8*0EH#3TvczxZM;CzkOos*K z3)g%M78cz=OhgBF-rTY20iL`8vffBK#wmQ*zr)S=vEmGt73jMaXJs^g%F8u))mwpk zztS1Jjx3lke~5VR^|gwt*)fg zI>S~p%L4f=u1$+|OpdR4F=>ug7{iFpp|b8gq=36%+GKF!mFSY75e7?DZ_?%&EY%iV z_rQkJ?Yha2c#ZxF-trHsc2TikBZnW}=gKoxGge~AG5*K!t3OqooR@f?B~hHkPr(7g zFK<(v!BZFD5KmZvGFXnVD_O~4zLL8;m==S10__hN0{9qg)H9E`Jt-$rQyIOnYTZ$_ei6 zN@r#8sz0+=2D4nfTF}}7JNz8H-~TqIb$B8E5bKxU(EJR3+P9GwZXO?lX`^g6#$e+k z>8vhuVV7q7oEX@;0Mh`>dQSoF(~67hybyfgljR3r&G^EVJi@#y*p7D?JPU@({=CUx z){lM4$NE7eC-jH$mt(W>S#aR5-~ykGmrQHdHCmfgUbPItp-qAdZIg1*CY4yyslK%n z{Fv$+%^BV+q`v+4sfGF${V|FuS9F_^DOa==Wy%%(5iA6g6^qYPnI=X5&SILh#hs8N zSyiiEkR}WVd_)3n4w{5-!?kV+nuPC6lVJ9Ka~jUQg4-g20bck7B=F_LK~nF49|v6+`3>d^c&14PTN|0$>c?}u_8&?H z&%Fs!8%6_zDG_$`Dz1zD^M$p8-p!nU()t-p?`FS z7Sr6(O5o!@Mnc5tZ?r@=)|jM5Z<_~Wsgy_o*0;bR<703IhG@mDiZi(RIP9N`2x7Vv z;6`MB!pD@(VD2Z{tw{z8JHD%Q1`BHhha=U}l22$$CV>tPgB*e0;QJv!(N}xujlL~* z5E%l+y30|Gii%I9qw7ia_z_N3r&ZA+P!tC32j3lV0j7WW&>K_S+}m)XI`o{ujo*iM zLR$G4Ec0PZ|6?4N)*ppk=VP#(ihyIoC(iF-_+fmQA7RoxeuUzzUdju_S$WC~$MF<9 zaq($(=A-N&9sJv}sQf3D_h2#?nK%i5>?b}KHbzHNGh=jgebD4kvLr?(-$N#^3Ag|^ zY=;YXsNxKk+TWeh31_5Y$cHNrh?mwG9dNwuMp`Fy>B|~2O5Em}!3LmOd#>;qFvdd3 zaZ=SPoKosad$t6@NXH59L!@m5UA{qF0d;u=D6_~v8rQZ2#BKizWIyS+k!&$^Ugn3bia zZO#{%YMRbjuW(1>L`Bs_i#fr_Yhq;b224NCXXYS1nW-%o#aTSZLHi3)gN>e)hFdz< z$9scGR~H5igIQC>rTSSB|KT6Cp%mvsP@n73b5PAd zoBJT##9;mnZaf9<+ND~C!NQ-w=L83y@riNDm+bBru~E0HP;xM%1YQr$Uf^EHVPS^z zsGm49L5k+%7=GyGEk4udXuX9p8K`zG1tn zCUFMyb!5Be-e7S-A0<_kb_w>DA5mOGfGHF6wkghF;cF<@^5ZMiivpd&EMxJRnl`~* zR9(igq3>7*VV1$aYv5yb6?Zw*+45HAI^AOAEx1sIEyH$(hy)1nP-`~?QqcPq|ds)YX`u)>-(>4wT;>F@B$l-cVbyVu7W ztIW|ZMcD{QO7tO)PCxcjE!g0t&q8Hjw)hw}jwxTDHYoTxmk-0L0AReEMMDrorwF>o;v4+|+mSgbDIj zW8BDUvFrE1pHk^guV8Da;G5i|vkF#wbW*=Jp)S8h{hqCLkvhOblQ!X-kHyPH*WfQ7 zgN;792b=_-_evSphJCoUO0DxXz0iqLsbMr@y)(_=WALv@f0)7COC^J)0_zlKuvA`f zxEQ^qgOPW6bPi)*LnWtVXdga{3}+wgu`IV z18N6n+-`yTqE>Sv(i@+mZZqfOTDS8UU;E!RJp8~{lI7;mN&PQC%s(21)=3zJ4qovh z?_h%)uS7lXS2}}fxo5ssaR$?p&AK+^7xF3p4q9KQID>oe@0CBgS#bu_?|<+b#TmTz zT-5W+iZj^iPOOzj%cC70mVKVo>T1@lMj2)Pb=t4|DIW? zKn>5aG>Wr$_7~%7S_jNp^(uldc^@{@9?%P_wg)Hs#D7^>a*O^rgo}uHG%>#4;zO>% zb8o;T=_N{MuxKDUhmXyevX77dmv+^2K~OLYW?)fpK$@79uOypSjJ zd#KyZ98gU1&`VrjLhr^m0lR^K@OZL*g;Wz?5ZWce}t(DjOEDhz^(pnm=KIy<0cz&hQS6l|kU-0F@=n__- zn00&!YrQgI*SmdKpTdkRF;YB^6cAND29pU7UY+9jk7#z|s=)-5IV@49*p^_%Nu%%N)k`eOx~gexNLAEC93~xpB))I_hHE3S}odOk-NLR zihBUFiu}(0wxE;nJz697-+8)*@^7~$YbYl$B^0OZ6J!W54O+a{W~gX8018HpYy6?V zCxZ6!;Y!QD-Kr}s-~dEU3ZEulzq*`jvaM9svBK?xSiuH zR-s>&jKqQ8+@Nj7TtX>A`H}%Lx&j$33b+9Cf&zQ>!C)R@v)l0v<_2){RhrgdD+``Q zs~Cf?yD^y0F%lv&-v=bD!Eu(d@D?|JhPubyL)djbni$^)V*vS&fD3RdGPdm&gDdD$ zF3<2WxbkXT;0UioZ~-nq02u+y$6(H@7T%*cE05<1P38<1x?7aaU~N%^Lq39&{Me`c z+Na;}KBcC;3e}A+l|--6yL#fwNuP$nnI6g$pEe3DOEW-5pF>7#0xrN)EASw|BR&St zKL8%reTp-o48!9WlMC75EY`Z z&pOKO%Vf=ycC`hT?#T7{eqO)@_)y65ijOPK;6qUt+lw)ny2!sk(Y}QeVGgzxY z97eD*zwdRSofHP4=n8G1WUPp;(5??7*qdCA;}L8SDgGCu70CD)EF&0gi$S2+F%)>-W1Yu1VcVrE5%v7j9@~C##I>iEpHr#CK;Zize)f~vWfmGp*}S3 zt=>y<<6vPqNqg{oE!bdb+#gb0Bm5Wh$qnYF$D@JHV5$6DHLc~NwNjkLOXGwNjcaDy zebtS--`A=_;~tvh{%?I~-241Z-neo1qj9)mD{EYUS*eHSXk{#(v(g8;6=!fmD;js6 z;tZC`zfW*pc)sjO}Mnig4RoSbZ|pMIdS(JA>=3imqd!_`Q_%BVhE?Nusn zSJhWB0j6^G8DeuomXaT4GX3bj_ljoBF6P74Ok49I_@*nwXFPg$c3)#E=L5rP+OE>2 zowtNneoVu7ifTURBk{?{(I<8tf2fL~p}?%zDD?sgB&MksP)k);Q(w)kbl26b>FQip z)m;}RRc`{cdp=7=>Am=c8(}b}=w5l5wwi5Uda7}#eXG*eG~a*GrhN}){G|BeEI#0< zoobyNSF}VjN1Aimqw9=au~EFpzgtOew=@qGC$g zs2HRPi;1)tyU%~?g@352*MF;WI95PMcI^7;#$u)0b7uBp`bGqC;uk)0>X9I|@i-=y z-rqH*7``Olm?DWrBVNl)9-QfCs%ftMOf}85gw@vlQdP>-mvXu07X5rqC)}ddeKNJ5 zIUlO^p+y%J)e5HQoYyiqeiZ8%&xMUc#n*Q7jbq2i4}FZet^CB7#b+G;;Y*^KkNNHT z{7l;JVbLrXtJLyYhN$Kui}McOQ_67OlgT2F{K=dX$AdlorDQ@vS(7vcAWL zZG=1>BL1uv{yq`n4&LI5pgJNA6y-b`ZF>;&mQ}{V~-0cA*u_ zS>>KPL^D=4q|JQVhYf;8<7YDkslH!>zlm2RcIKNI;hT#fm*5v;f(3~;4|X~UbI%p&;{b85!=#Nu3V}2~P@Fu22 zy%p?wB+a_Z7)TL~x|8NQu%5B6T=cb`vWHB|J-eWVzT3CpOX#;T_J3rQ@S%-s)as8u z*^V=Ht$G zchnow794&39;K~mgJ%_5DKD1GWsr4#L4!^V?X;hRPus1Fa9cUm()>Gsy+_Lo=@L7&fW8V;D}14r%;>baewF z4OSL9BvSnp2i}Sahd!DKm#Z4~Tv83wN0gM+k+|*wJrx@^iMWZ)!!oPtMLmj!$A8O=lq40)1K3? zq6!Va<{SE`X#8b}!5Cv@4955s4c@4SH2sps?W3L@);!q47N@VVnxc`9w>?Qs(KzoQ zpL8l|ua^8v-U|Nm)WUe;IjjXgEMFBYFMw<4eWu4u;WGkgA3G^dR* zz4!}bdRgC{U|D!E$5DT;w8p%c-F+ zgE8yC{-<3o<`RB-)hd|BYx@ffW2W^JI@eYIC*WUqstn^pzLTS(v2A2;Sz5)nT9!WO z>T-?aY2{fe!#I3Xk7#a>{!d_(g)J}`^Ft!PUv6wWBwt6nmC@b0Mcc=yNIuvEFztYL z$YA-p2H|f%CYo{Y@#&Y1ZP(Y#Zw^M);FGWBUN|xy`w+>bE$J$>+ZBenB_Y?jNNOgg1LFb7Q^9j!CmUlSVt1 z+fF{-$sM#}&$ii^NftY>Es|!N4BB<_O|~nR(SvpkSxWo5E=g0JV%;(hLuoXg(0H{U zARIpT@`o<2FKzT#QJoyn-f$o<()=AdSn1ftJT%;oT8%@gO;Xx86bxGXeG zieHwJbgKcnU(7WX3SwOk%}vr=m8ryVKTyZD#@gU(AFK`jGO)>pkVe^v<}_ z5PubZQCkdQlBYXOp_O>YdC54&=%-cs{(x6%M*PCz9kX+cq0u)^$>}o^Mtk3slO~fs zlXH$^%fMSD#GK6Y?iUW%ygJ{lgc7H?Eb?ibCoRTr{CY0VG+gcd`f<_{4{xhZfGe1n zb}d4qGZ^zyF3)w1*?=sI!TAiFi?rv6X3WF9eQB3*7&?rCp@a4r(VVvDH!zN<19YH* z!B;&V4nJ%$~7k6t}-!n zc~VGx&PN(0jcG_z+U=vO7_}>_3L3|r?mo`9{#-t=alPE03s86l=DU05<>bl_`MwuD z=njcu+`1R*zFS2zraDtaUGs&yw|Ax`ZTCvioQ754v`!Q1mb6YIQJI$ehieITs}ksL zInSSj!B=;YEs)a~uRbOjPFE6PL^F<{2U(xVenyFm+Ze(9dKO62*!q+uHFZ? z!dr7cu{|o26DhF0X_sZpt5do^DPfF5fUTk#hcH27lfYv(8ox?$U|wNIKh9UQu-c8L z9ZRQLiL>j+NbxCH!y_ujc->EO``tJ!B}zn=>{!6cnrXLh;yHkCBit;34gh=)vc?YL z_wVl*4i?c&kijQYrV*J*&)dsmdFJE(b6Ku(j}TjHam99|ocrK=DjIB+H8nDkNskw) zgY9q@gUQG!-l{^S9`gWvYV`HQ%%cK`U_{C;9fL<499;YTWgkqhSq~u@2Cv_+?_Ddjc1&{>C~;8PQj-^uiJQP zlQE;!cf`-6lRX$+1%vW*^(BWVuylVXj7%OxCXoyyG|u;`=Q|J*%?m zNLOh2Q@<6vOf#)|?+hI)Hl(UcB$1Hwv3g)EZN@bcKdj~J<$h7txDWN){bkC4E>SS2 zcU)F7n6Dpsc->&!hi6tVd`W!9u@&-7(VUj~`2b+&O;Our@YxsANTZUrq>)Ob&3p#7 zn&jVPvw6LCO^#NaQ=kc2)k&i4F$ip6e%-nPe!+_~woD6sO-X{S9Y2gJ_i;hSoHZ;# z9t_6L1FbtAPx?n0``eV#4O|_ke`FbPzMYQ|%9t8W2irSzCz@_Ib4nb$Mc)?9m^1gm zLNksLB4~VqxsC~*OL18C`;n-+&fVgCEoU5@pCO17zxN^q*n(DY6!*14qZq(ZbkJ~{ zNz_J+_s?hX$8&}O=IYe?VL6|n6S6i+@!~`ZI2spk%uYUpaf-p19bPo_v^CMwrnBhd zG`Xp7))`XKt)FMn#av=mhNk8_ZPUM}VvOmVd}od^eUs1AF{W6ppDV3#4D&(b{3|Sa zPl~u!wKFoD7Htu$i@b}iuy#gJdF%fY#avoMEO_0gbCqWtB0wYt;}9okn49XD%okIf zKGoRNlPL~JF-ljT;I#>$2?y-#^&oQ2uMP{xTd|ImQ! zwc{t|S5+>)x_DZ>g5h;neL9!Zk%V0eAL5$^pEt>J@cQKZKL^R_Nwpy^0)~6 zVshk8O0UloHI#ATEAjl0b6FWhjOj$jpYPrSY zjq-)+AsE{Z4K-LDyXGdSo-||L#-I$*bt_FPd>wQz^q~mteK*OXZB0d>s2<-AZfBX95FvlrjpooTQp>>Fe?f z7UMOCq51AtTH|QGGQly9W(gXvJdJMhvh1j={z+8UJ?Z62i%eAa8WyK3PNeuLQe2>- zjpXFsdz}F@fwaxpDOrn>(W|CpV2LNwAI{z9wgV(5C6m{n9Pu#w z!%iZ}9KWs`udQqs&3M(fL3^WU#(g_r+v`L#cD^yCT?G%)XwFHoQNa{@*FJU;R^;wh zyF$syc^SlhM8z0$?ez4`q8W!XTp^lqIL)BFaqAg8P6^S?15zN)3MbLSt0UgM;<-LY z{&qc*6Di=Y+S^J6^T-!(+8Wcp%>M%kWIX!I^l`@Y8{^koaAEoo(b^{-#a(#Kxhecg z315Hdfw?(tsD3KZu1$D=%MlIp^amR>J3e|)5((gVnyKIFGB!Wne7N}XjqycPvn%B} zO4GugXY#w6W8~@UZM!m!;smni8Kn4M{KA1T7|(_`Xs_Z6=Ecgs6~UMe-g|1s99Tp< zgJ(lb-Os}1BWPK4cVwRdiVk!gnp+@7_`Jx%~cf&(TBjyoAn;|}f zvGeuQj*zokaHvvw&(qS%2~9ib0`>f9!fhOc;9nheDT>&lP@t3fBntRmDZStRr@3d zW4;04gTIX}6H}Xy<@=5_^I6WCkNlok7+ZGoIUiLdDZHW`4z(t z!wuT_OI*>822(sUEnf$n$$Vd)`MMilmEC4g_1s%M!m7LRRfv8Z%>hGZFph3|iD<^G zj$U+S24gm%XxlgWqP6BLBoH?Wu14>})3z^o* z2f4x`6HC^;`CwHii^liQh{H1Gbn+e8LNzWD%~(2h@*TMeI(_j`HPkM?Czuk4{Ej-1_Z!j$>n*|SR= zXiG6g*n@UZ9J$cLaOTQ)_sclaxKDZCo{S?+wv}rdd8He;igNRs~#udZO zzLb(8aa_JAw>wYQr{qsRN@uWD`Qe#{06*-0`g`Umg+&I)=!@y0jQf9*j@@|pA}HBX zTH^_P#q-47q8al8^QqfJGv){8Pa{tTW6Oj|%BE9JD3`ooL$J|Yn2K_91TJXs+4`*) z^ab|IJ=HzQr9s4tG=GjX=R|RBJo+dqAGO3_%txtyiQ1T5f%h9T7^^r^;=}kDar#rr z4QvjinwKL(@teIzmd*FpSU3(TK7ijB}>>iwtw$Kk7bDM6CDnXg{{pitUdNc1W4OwUqso>lKl3r2 z-`zLnuOCeqGws9;O6z>0x=VhJR~3;jOd_;D#caii6zC$p zWz2Z)yD^`J#WNVselv8zT#3OrWG$Z7IOGl*cT+>ep%jtwK*ajBEsMAzo4)J~iW4b* zgcKOW8H}Si^nsw!LfIc^p+Sr4!StZfJT%L8^=!%>V>kQFe1E^Lo=wQ}f2-;#cs9yg z2Di#!%-`;^z%-_eJ>L|cF=Z4D)iW`U$mU@wqJ3=jeZH$_Q;Jwu&*c#DUS#=-QcS^7 zJrJM4II1UT*hG$}S~*C9o7af%5;h-Wf~r>UW-soi*WDM^u0obYC- zxPeDhwlVk0e5J1OFouFw>l- zCU-$kSZa<^RAj(03#;9pLMxcG4G$!Zc;x(C?HW5@EUeb73n5!6B>V@39J($ma*Tc- z9sPf)kdu1S9bWMC0Uzt`)MbP|1H|HX|3`n6LO5m2NrDoj?K?)^_g4^*&oSR#&#^w)cI9N58 zr!=SymN8fvqot|7gc~{%^=D&@+&@~R!7qd3quxp?py{aNugb>_>f?mXvXFbX~q!OJR+q*%SGK(7e-r}BhtQV zX>LLatgrpdB63G(3~5TIbbKrpJ}zmD%^%XBBR85yrZnhXv2%MxTDw>Km9@`Nj^ad$ z4`A+lOrfD}Xzi4SzYLCFhRs!f+iX1iFb-HdPkhG1m>B)=g+eQsr_lJm5o2niGSCBH zP>tq_nVP&adjOTg40`H@ee?j3--+m}@w-JOa~3>xgOe0miRXt1e&^EI{86uKDa}zS zO$+%z`>9=7ua@T8eY7$;k>YXu7B3RHUt6TXFN5RbRh=hAGd6!nb2Q?bD`!emjbRiJ zb+c>MzU$^OMC0w5TAE#$1SpA0c6>+x=^2bE4f#Wwb@*wnN@%ALo_HHaD}F|4jh!#09t{sWI#cTE&>Sej zaiPAxu=HCFusxSR>er>z^xxdRRFWcfi4RGyvNX^D*!&?4yicRKI;DYEVHx!S=a%OB ztiwv0URe8Ke*+1ynaL2jUtgrbFN5O``OjQz7@HsahPuiwBpOSJF2g8>qiOcqraP`| zgx#8ERvK2!oGW6L^?eBt&%thW644xgz-E{fJ3WH&tNs*^f$kH{n1{7YpC_8J^ToU* zuBZ#y$5VDl8ShbiG<)kAjmB~e1A9$O4p6=kv(y}-DFbALLm{SLUuXrd-j(m#8}r^k zUx#SS$HHSjP+DU;y^XhtX1sh(PHK!TQ=@VA8Jo`!?>Lbf9*K&6KgN*i5j&OzJZW*s zQysI|q9M;m%+*wV9ebtpJ{2l`BTvPa`74SoW>T+hryWV zG%ms7hr!q~Ny8Y))})5Vvkf6wHLh+d@~`U95Ov28xh*z_XvzQ?{Yh$Q%>6n)QZwFs zJhZt*X^lgJYeX{+4KPDzFn0OU22Kp^=z+!(?knx zFrZP2gP#}8IDX4MhA|}@V6`F`Q%dG5DPt~kbV`ZY2McMf%YJi$Waz)HL&~bo`(Y`R zvi~~f>J0GP2Wxq*&*iHo%uQ-i^+N^49F`eE`6#?*%8OPkgBZLXWC z&5E2sY>}+pYdW;4`bc-n9L%+7gO*<3i)xu&Xa)1UPg{^N?^*C7-gxt`w8&>_V`|m2 zQu!HEE0)h-Obtb&7YILhOlmkH0qc?XM9DwzogEq$$(h?cOzhZR^Duxgu)%vUJh5MR zKsG*ZX%4=|A#GX87*ks2%V6xX+GhqIjmYMD2|o)M1J}_w`C+dC26fE=b+DQ{qcCnf zOl->?sJSf3>AAn3V-5$lK^U@f5ufA7p3X7Hn75^INycF8JZc)hNj0A_cf(uM1;Lnm zB@?I*24jg&N<7()ns{|KBXo`xe6^sl&X?xz1j<%j+4mgYC^DqnPFSCx;o3Gb8;UDorpnM zA`{Foy*W!feXBDTpW_$Z_Tr0o-znNK9%JA43|#g;XpGyjJo$)8^HjpvWs%cq_zAYp zdo!MmBaocdI1VW(4%W2!c(nQ4iz?SR_|6f{X;ecM4e>z>zA%$$fMe54Sk+jAOjolS z*X#N;6E+osIPr_Mou@Cx{n*3*^$zhFhg_vw8;3kWD<%=MkmJ%Mwl^AU-#5b~;80?t zaBY4iV=JkyPCHgyt&8(fLoo?uS$g#&5;L0VABsufmmzxfBY4AdTkh8zkNsWl*Bf)& z&`#vlo+>SA zj3-TeVG_{*$Imp0>Mmy2>)Y}j%Hlb~B(6gpVi7!jfyyyng7Ii^84-;8r*cMX9CCw~ z!8l|LS}_xLiRQ*M6X-GW%nUQZ;1zFxR6FNzjFH*hxw^1ASEex)Gf|dMho0jR3RFb8 z+qsD5_~C0|CdDxuFTp!&JNAgrm>Sg)8mwQkROo$K|o8860( z6Dhuh6kAF;1#KW&0na zk$hrK%4w%peaU-}idUA!Gn8hHCvqQi#^MXlf%vflVG?f<&6x9opB++Y1+U(Tswf*; z#$4mAC?3K1ko!P;xzZYQE-^8uGd~^z_~1W@X59Ax-T{AJG-G;|=ZkK})`y(t>-t?d z^aqsIxc3;)%F4kw9Q%plvs|1fSw6>y;}5Z#(XDa(Q74-F-MlM6GSs+cklx$V|ESE;t^vk6t|?@G;TbU zufLnKy;rASmO);eNO2cZOsZ^SPCk5bm2tFhk=r=hI%pwJwDpN8&#{yT)?Y0N?YO^? zU9!}pIFaHHbM0r$U4+FSl#IqaZ^iFm8*`hxtH@{^t`Gf)!8qJt&_eEL|KFJ@ceVIC z3WA~Xdq-35c0EGF6^OnNzt*K-ek?dp`lT`3vX_f71Y>1QO31_5=1D0JItXX(#cs)sl>;% zBjbml^DCE#X3Vo6{f2`v--uZ89`Ol7e)KoJ#%zrB9cp^@v*a^&`J_R|7#vSY9XAyn zV^^uIBi;d_j!O^f(6RXO&~a7K@uw<_Ixb!ARHSA6P&aJl*NOn($K2@6yoF;h(;M?40OCNG7 z(lVYp^gR-!hB5v3vaO;ScYOyso+FxY(GfF3mJvE$Ty%U$w9uF3Qpcb{$F+!SzBYA4 zyR!|nE7jH!XJ4|-mc0I@bmSft?QY9^A|0? zNQz1syUVFa%lIMS0|tZCFkS#}=~=7stjF+m)FSa2_n_w=^(N7TrL8_;Hn{_gMx+HD}7jXvh@)QqXl#v4n11$SMN>KMEHqE3teXQn!yv$e9;;e>f*^Iqx{ z_a8A(M;ulM#?yj2+~S0rU@+#&Z|R|;8TZ|fW-iMn;{^xeZW2@#gE6P_8~;f(W0va{ zGqy}c#Tc|-KU2lAEu3gYfCcyLn4w~6v50|+;!uK^t&TyhZBVgfVm#-#RL6J@RIxh7 zY_ZoYDESrK^FXR&?DC5`D7Dc%E7d`7irHcHDIj(ixO>6G5r>Lb2FM5oIetN* z70gyz-4xAu)?!Si4-?He4Dd^$8M6$xjcr&x4XKX1{f2Cv^I%@{UP_&ap#cU* zDV}~~16t>2Q0K#iR`9^dmaXvzQ?VYqE=DYSxl6Oj*%GLG86t?L3M_K8d<9j{Es)`X;Q$xH{q0H)qI=Qu^5 z^b1TmR_3$5Vwd7X`=EmS(u*+&i(||@OAV}5u(nUaV%3-zNHHX6@rqAu#$wCsh{t7~G63sX^H|`Y8SjCZs_r2GK zZ@M5wq=&@JqrQ9CB36dh7LlBELe(6lV7_nj>|d&AW4`6#^Vp3;ta~aEfkVWg#U0h> zu3EKfR1mh4g-tHAYe-dxy(5Qt+?miR4k xjhDL0-m;DEOEy>)Ev%M}BzD++$R<|AUnO}cNAy(4q%@>tyyIR{wr_mn{{V8_x2pgE diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad deleted file mode 100644 index 0445323..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad +++ /dev/null @@ -1,271 +0,0 @@ -PAD Specification File -*************************** - -PART TYPE: LCMXO256C -Performance Grade: 3 -PACKAGE: TQFP100 -Package Status: Final Version 1.19 - -Mon Aug 16 21:32:33 2021 - -Pinout by Port Name: -+-----------+----------+--------------+------+----------------------------------+ -| Port Name | Pin/Bank | Buffer Type | Site | Properties | -+-----------+----------+--------------+------+----------------------------------+ -| CROW[0] | 32/1 | LVTTL33_IN | PB2C | SLEW:FAST | -| CROW[1] | 34/1 | LVTTL33_IN | PB2D | SLEW:FAST | -| Din[0] | 21/1 | LVTTL33_IN | PL8A | SLEW:FAST | -| Din[1] | 15/1 | LVTTL33_IN | PL6A | SLEW:FAST | -| Din[2] | 14/1 | LVTTL33_IN | PL5D | SLEW:FAST | -| Din[3] | 16/1 | LVTTL33_IN | PL6B | SLEW:FAST | -| Din[4] | 18/1 | LVTTL33_IN | PL7B | SLEW:FAST | -| Din[5] | 17/1 | LVTTL33_IN | PL7A | SLEW:FAST | -| Din[6] | 20/1 | LVTTL33_IN | PL7D | SLEW:FAST | -| Din[7] | 19/1 | LVTTL33_IN | PL7C | SLEW:FAST | -| Dout[0] | 1/1 | LVTTL33_OUT | PL2A | DRIVE:4mA SLEW:SLOW | -| Dout[1] | 7/1 | LVTTL33_OUT | PL4A | DRIVE:4mA SLEW:SLOW | -| Dout[2] | 8/1 | LVTTL33_OUT | PL4B | DRIVE:4mA SLEW:SLOW | -| Dout[3] | 6/1 | LVTTL33_OUT | PL3D | DRIVE:4mA SLEW:SLOW | -| Dout[4] | 4/1 | LVTTL33_OUT | PL3B | DRIVE:4mA SLEW:SLOW | -| Dout[5] | 5/1 | LVTTL33_OUT | PL3C | DRIVE:4mA SLEW:SLOW | -| Dout[6] | 2/1 | LVTTL33_OUT | PL2B | DRIVE:4mA SLEW:SLOW | -| Dout[7] | 3/1 | LVTTL33_OUT | PL3A | DRIVE:4mA SLEW:SLOW | -| LED | 57/0 | LVTTL33_OUT | PR7B | DRIVE:16mA SLEW:SLOW | -| MAin[0] | 23/1 | LVTTL33_IN | PL9A | SLEW:FAST | -| MAin[1] | 38/1 | LVTTL33_IN | PB3C | SLEW:FAST | -| MAin[2] | 37/1 | LVTTL33_IN | PB3B | SLEW:FAST | -| MAin[3] | 47/1 | LVTTL33_IN | PB5A | SLEW:FAST | -| MAin[4] | 46/1 | LVTTL33_IN | PB4D | SLEW:FAST | -| MAin[5] | 45/1 | LVTTL33_IN | PB4C | SLEW:FAST | -| MAin[6] | 49/1 | LVTTL33_IN | PB5C | SLEW:FAST | -| MAin[7] | 44/1 | LVTTL33_IN | PB4B | SLEW:FAST | -| MAin[8] | 50/1 | LVTTL33_IN | PB5D | SLEW:FAST | -| MAin[9] | 51/0 | LVTTL33_IN | PR9B | SLEW:FAST | -| PHI2 | 39/1 | LVTTL33_IN | PB3D | SLEW:FAST | -| RA[0] | 98/0 | LVTTL33_OUT | PT2C | DRIVE:4mA SLEW:SLOW | -| RA[10] | 87/0 | LVTTL33_OUT | PT3D | DRIVE:4mA SLEW:SLOW | -| RA[11] | 79/0 | LVTTL33_OUT | PT5A | DRIVE:4mA SLEW:SLOW | -| RA[1] | 89/0 | LVTTL33_OUT | PT3C | DRIVE:4mA SLEW:SLOW | -| RA[2] | 94/0 | LVTTL33_OUT | PT3A | DRIVE:4mA SLEW:SLOW | -| RA[3] | 97/0 | LVTTL33_OUT | PT2D | DRIVE:4mA SLEW:SLOW | -| RA[4] | 99/0 | LVTTL33_OUT | PT2B | DRIVE:4mA SLEW:SLOW | -| RA[5] | 95/0 | LVTTL33_OUT | PT2F | DRIVE:4mA SLEW:SLOW | -| RA[6] | 91/0 | LVTTL33_OUT | PT3B | DRIVE:4mA SLEW:SLOW | -| RA[7] | 100/0 | LVTTL33_OUT | PT2A | DRIVE:4mA SLEW:SLOW | -| RA[8] | 96/0 | LVTTL33_OUT | PT2E | DRIVE:4mA SLEW:SLOW | -| RA[9] | 85/0 | LVTTL33_OUT | PT4B | DRIVE:4mA SLEW:SLOW | -| RBA[0] | 63/0 | LVTTL33_OUT | PR5D | DRIVE:4mA SLEW:SLOW | -| RBA[1] | 83/0 | LVTTL33_OUT | PT4C | DRIVE:4mA SLEW:SLOW | -| RCKE | 82/0 | LVTTL33_OUT | PT4D | DRIVE:4mA SLEW:SLOW | -| RCLK | 86/0 | LVTTL33_IN | PT4A | SLEW:FAST | -| RDQMH | 76/0 | LVTTL33_OUT | PR2A | DRIVE:4mA SLEW:SLOW | -| RDQML | 61/0 | LVTTL33_OUT | PR6A | DRIVE:4mA SLEW:SLOW | -| RD[0] | 64/0 | LVTTL33_BIDI | PR5C | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[1] | 65/0 | LVTTL33_BIDI | PR5B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[2] | 66/0 | LVTTL33_BIDI | PR5A | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[3] | 67/0 | LVTTL33_BIDI | PR4B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[4] | 68/0 | LVTTL33_BIDI | PR4A | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[5] | 69/0 | LVTTL33_BIDI | PR3D | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[6] | 70/0 | LVTTL33_BIDI | PR3C | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[7] | 71/0 | LVTTL33_BIDI | PR3B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| UFMCLK | 58/0 | LVTTL33_OUT | PR7A | DRIVE:4mA SLEW:SLOW | -| UFMSDI | 56/0 | LVTTL33_OUT | PR7C | DRIVE:4mA SLEW:SLOW | -| UFMSDO | 55/0 | LVTTL33_IN | PR7D | SLEW:FAST PULL:KEEPER | -| nCCAS | 27/1 | LVTTL33_IN | PL9B | SLEW:FAST | -| nCRAS | 43/1 | LVTTL33_IN | PB4A | SLEW:FAST | -| nFWE | 22/1 | LVTTL33_IN | PL8B | SLEW:FAST | -| nRCAS | 78/0 | LVTTL33_OUT | PT5B | DRIVE:4mA SLEW:SLOW | -| nRCS | 77/0 | LVTTL33_OUT | PT5C | DRIVE:4mA SLEW:SLOW | -| nRRAS | 73/0 | LVTTL33_OUT | PR2B | DRIVE:4mA SLEW:SLOW | -| nRWE | 72/0 | LVTTL33_OUT | PR3A | DRIVE:4mA SLEW:SLOW | -| nUFMCS | 53/0 | LVTTL33_OUT | PR8B | DRIVE:4mA SLEW:SLOW | -+-----------+----------+--------------+------+----------------------------------+ - -Vccio by Bank: -+------+-------+ -| Bank | Vccio | -+------+-------+ -| 0 | 3.3V | -| 1 | 3.3V | -+------+-------+ - -Vref by Bank: -+------+-----+-----------------+---------+ -| Vref | Pin | Bank # / Vref # | Load(s) | -+------+-----+-----------------+---------+ -+------+-----+-----------------+---------+ - -Pinout by Pin Number: -+----------+---------------------+------------+--------------+------+---------------+ -| Pin/Bank | Pin Info | Preference | Buffer Type | Site | Dual Function | -+----------+---------------------+------------+--------------+------+---------------+ -| 1/1 | Dout[0] | LOCATED | LVTTL33_OUT | PL2A | | -| 2/1 | Dout[6] | LOCATED | LVTTL33_OUT | PL2B | | -| 3/1 | Dout[7] | LOCATED | LVTTL33_OUT | PL3A | | -| 4/1 | Dout[4] | LOCATED | LVTTL33_OUT | PL3B | | -| 5/1 | Dout[5] | LOCATED | LVTTL33_OUT | PL3C | | -| 6/1 | Dout[3] | LOCATED | LVTTL33_OUT | PL3D | | -| 7/1 | Dout[1] | LOCATED | LVTTL33_OUT | PL4A | | -| 8/1 | Dout[2] | LOCATED | LVTTL33_OUT | PL4B | | -| 9/1 | unused, PULL:UP | | | PL5A | | -| 11/1 | unused, PULL:UP | | | PL5B | | -| 13/1 | unused, PULL:UP | | | PL5C | | -| 14/1 | Din[2] | LOCATED | LVTTL33_IN | PL5D | GSR_PADN | -| 15/1 | Din[1] | LOCATED | LVTTL33_IN | PL6A | | -| 16/1 | Din[3] | LOCATED | LVTTL33_IN | PL6B | TSALLPAD | -| 17/1 | Din[5] | LOCATED | LVTTL33_IN | PL7A | | -| 18/1 | Din[4] | LOCATED | LVTTL33_IN | PL7B | | -| 19/1 | Din[7] | LOCATED | LVTTL33_IN | PL7C | | -| 20/1 | Din[6] | LOCATED | LVTTL33_IN | PL7D | | -| 21/1 | Din[0] | LOCATED | LVTTL33_IN | PL8A | | -| 22/1 | nFWE | LOCATED | LVTTL33_IN | PL8B | | -| 23/1 | MAin[0] | LOCATED | LVTTL33_IN | PL9A | | -| 27/1 | nCCAS | LOCATED | LVTTL33_IN | PL9B | | -| 29/1 | unused, PULL:UP | | | PB2A | | -| 30/1 | unused, PULL:UP | | | PB2B | | -| 32/1 | CROW[0] | LOCATED | LVTTL33_IN | PB2C | | -| 34/1 | CROW[1] | LOCATED | LVTTL33_IN | PB2D | | -| 36/1 | unused, PULL:UP | | | PB3A | PCLKT1_1 | -| 37/1 | MAin[2] | LOCATED | LVTTL33_IN | PB3B | | -| 38/1 | MAin[1] | LOCATED | LVTTL33_IN | PB3C | PCLKT1_0 | -| 39/1 | PHI2 | LOCATED | LVTTL33_IN | PB3D | | -| 43/1 | nCRAS | LOCATED | LVTTL33_IN | PB4A | | -| 44/1 | MAin[7] | LOCATED | LVTTL33_IN | PB4B | | -| 45/1 | MAin[5] | LOCATED | LVTTL33_IN | PB4C | | -| 46/1 | MAin[4] | LOCATED | LVTTL33_IN | PB4D | | -| 47/1 | MAin[3] | LOCATED | LVTTL33_IN | PB5A | | -| 49/1 | MAin[6] | LOCATED | LVTTL33_IN | PB5C | | -| 50/1 | MAin[8] | LOCATED | LVTTL33_IN | PB5D | | -| 51/0 | MAin[9] | LOCATED | LVTTL33_IN | PR9B | | -| 52/0 | unused, PULL:UP | | | PR9A | | -| 53/0 | nUFMCS | LOCATED | LVTTL33_OUT | PR8B | | -| 54/0 | unused, PULL:UP | | | PR8A | | -| 55/0 | UFMSDO | LOCATED | LVTTL33_IN | PR7D | | -| 56/0 | UFMSDI | LOCATED | LVTTL33_OUT | PR7C | | -| 57/0 | LED | LOCATED | LVTTL33_OUT | PR7B | | -| 58/0 | UFMCLK | LOCATED | LVTTL33_OUT | PR7A | | -| 59/0 | unused, PULL:UP | | | PR6B | | -| 61/0 | RDQML | LOCATED | LVTTL33_OUT | PR6A | | -| 63/0 | RBA[0] | LOCATED | LVTTL33_OUT | PR5D | | -| 64/0 | RD[0] | LOCATED | LVTTL33_BIDI | PR5C | | -| 65/0 | RD[1] | LOCATED | LVTTL33_BIDI | PR5B | | -| 66/0 | RD[2] | LOCATED | LVTTL33_BIDI | PR5A | | -| 67/0 | RD[3] | LOCATED | LVTTL33_BIDI | PR4B | | -| 68/0 | RD[4] | LOCATED | LVTTL33_BIDI | PR4A | | -| 69/0 | RD[5] | LOCATED | LVTTL33_BIDI | PR3D | | -| 70/0 | RD[6] | LOCATED | LVTTL33_BIDI | PR3C | | -| 71/0 | RD[7] | LOCATED | LVTTL33_BIDI | PR3B | | -| 72/0 | nRWE | LOCATED | LVTTL33_OUT | PR3A | | -| 73/0 | nRRAS | LOCATED | LVTTL33_OUT | PR2B | | -| 76/0 | RDQMH | LOCATED | LVTTL33_OUT | PR2A | | -| 77/0 | nRCS | LOCATED | LVTTL33_OUT | PT5C | | -| 78/0 | nRCAS | LOCATED | LVTTL33_OUT | PT5B | | -| 79/0 | RA[11] | LOCATED | LVTTL33_OUT | PT5A | | -| 80/0 | unused, PULL:UP | | | PT4F | | -| 81/0 | unused, PULL:UP | | | PT4E | | -| 82/0 | RCKE | LOCATED | LVTTL33_OUT | PT4D | | -| 83/0 | RBA[1] | LOCATED | LVTTL33_OUT | PT4C | | -| 85/0 | RA[9] | LOCATED | LVTTL33_OUT | PT4B | PCLKT0_1 | -| 86/0 | RCLK | LOCATED | LVTTL33_IN | PT4A | PCLKT0_0 | -| 87/0 | RA[10] | LOCATED | LVTTL33_OUT | PT3D | | -| 89/0 | RA[1] | LOCATED | LVTTL33_OUT | PT3C | | -| 91/0 | RA[6] | LOCATED | LVTTL33_OUT | PT3B | | -| 94/0 | RA[2] | LOCATED | LVTTL33_OUT | PT3A | | -| 95/0 | RA[5] | LOCATED | LVTTL33_OUT | PT2F | | -| 96/0 | RA[8] | LOCATED | LVTTL33_OUT | PT2E | | -| 97/0 | RA[3] | LOCATED | LVTTL33_OUT | PT2D | | -| 98/0 | RA[0] | LOCATED | LVTTL33_OUT | PT2C | | -| 99/0 | RA[4] | LOCATED | LVTTL33_OUT | PT2B | | -| 100/0 | RA[7] | LOCATED | LVTTL33_OUT | PT2A | | -| PB5B/0 | unused, PULL:UP | | | PB5B | | -| PT5D/0 | unused, PULL:UP | | | PT5D | | -| TCK/1 | | | | TCK | TCK | -| TDI/1 | | | | TDI | TDI | -| TDO/1 | | | | TDO | TDO | -| TMS/1 | | | | TMS | TMS | -+----------+---------------------+------------+--------------+------+---------------+ - - -List of All Pins' Locate Preferences Based on Final Placement After PAR -to Help Users Lock Down ALL the Pins Easily (by Simply Copy & Paste): - -LOCATE COMP "CROW[0]" SITE "32"; -LOCATE COMP "CROW[1]" SITE "34"; -LOCATE COMP "Din[0]" SITE "21"; -LOCATE COMP "Din[1]" SITE "15"; -LOCATE COMP "Din[2]" SITE "14"; -LOCATE COMP "Din[3]" SITE "16"; -LOCATE COMP "Din[4]" SITE "18"; -LOCATE COMP "Din[5]" SITE "17"; -LOCATE COMP "Din[6]" SITE "20"; -LOCATE COMP "Din[7]" SITE "19"; -LOCATE COMP "Dout[0]" SITE "1"; -LOCATE COMP "Dout[1]" SITE "7"; -LOCATE COMP "Dout[2]" SITE "8"; -LOCATE COMP "Dout[3]" SITE "6"; -LOCATE COMP "Dout[4]" SITE "4"; -LOCATE COMP "Dout[5]" SITE "5"; -LOCATE COMP "Dout[6]" SITE "2"; -LOCATE COMP "Dout[7]" SITE "3"; -LOCATE COMP "LED" SITE "57"; -LOCATE COMP "MAin[0]" SITE "23"; -LOCATE COMP "MAin[1]" SITE "38"; -LOCATE COMP "MAin[2]" SITE "37"; -LOCATE COMP "MAin[3]" SITE "47"; -LOCATE COMP "MAin[4]" SITE "46"; -LOCATE COMP "MAin[5]" SITE "45"; -LOCATE COMP "MAin[6]" SITE "49"; -LOCATE COMP "MAin[7]" SITE "44"; -LOCATE COMP "MAin[8]" SITE "50"; -LOCATE COMP "MAin[9]" SITE "51"; -LOCATE COMP "PHI2" SITE "39"; -LOCATE COMP "RA[0]" SITE "98"; -LOCATE COMP "RA[10]" SITE "87"; -LOCATE COMP "RA[11]" SITE "79"; -LOCATE COMP "RA[1]" SITE "89"; -LOCATE COMP "RA[2]" SITE "94"; -LOCATE COMP "RA[3]" SITE "97"; -LOCATE COMP "RA[4]" SITE "99"; -LOCATE COMP "RA[5]" SITE "95"; -LOCATE COMP "RA[6]" SITE "91"; -LOCATE COMP "RA[7]" SITE "100"; -LOCATE COMP "RA[8]" SITE "96"; -LOCATE COMP "RA[9]" SITE "85"; -LOCATE COMP "RBA[0]" SITE "63"; -LOCATE COMP "RBA[1]" SITE "83"; -LOCATE COMP "RCKE" SITE "82"; -LOCATE COMP "RCLK" SITE "86"; -LOCATE COMP "RDQMH" SITE "76"; -LOCATE COMP "RDQML" SITE "61"; -LOCATE COMP "RD[0]" SITE "64"; -LOCATE COMP "RD[1]" SITE "65"; -LOCATE COMP "RD[2]" SITE "66"; -LOCATE COMP "RD[3]" SITE "67"; -LOCATE COMP "RD[4]" SITE "68"; -LOCATE COMP "RD[5]" SITE "69"; -LOCATE COMP "RD[6]" SITE "70"; -LOCATE COMP "RD[7]" SITE "71"; -LOCATE COMP "UFMCLK" SITE "58"; -LOCATE COMP "UFMSDI" SITE "56"; -LOCATE COMP "UFMSDO" SITE "55"; -LOCATE COMP "nCCAS" SITE "27"; -LOCATE COMP "nCRAS" SITE "43"; -LOCATE COMP "nFWE" SITE "22"; -LOCATE COMP "nRCAS" SITE "78"; -LOCATE COMP "nRCS" SITE "77"; -LOCATE COMP "nRRAS" SITE "73"; -LOCATE COMP "nRWE" SITE "72"; -LOCATE COMP "nUFMCS" SITE "53"; - - - - - -PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Mon Aug 16 21:32:33 2021 - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par deleted file mode 100644 index 5d8ae58..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par +++ /dev/null @@ -1,211 +0,0 @@ - -Lattice Place and Route Report for Design "RAM2GS_LCMXO256C_impl1_map.ncd" -Mon Aug 16 21:32:27 2021 - -PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. -Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir/5_1.ncd RAM2GS_LCMXO256C_impl1.prf -Preference file: RAM2GS_LCMXO256C_impl1.prf. -Placement level-cost: 5-1. -Routing Iterations: 6 - -Loading design for application par from file RAM2GS_LCMXO256C_impl1_map.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO256C -Package: TQFP100 -Performance: 3 -Loading device for application par from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. -Package Status: Final Version 1.19. -Performance Hardware Data Status: Version 1.124. -License checked out. - - -Ignore Preference Error(s): True -Device utilization summary: - - PIO (prelim) 67/79 84% used - 67/78 85% bonded - SLICE 65/128 50% used - - - -Number of Signals: 252 -Number of Connections: 618 - -Pin Constraint Summary: - 67 out of 67 pins locked (100% locked). - -The following 4 signals are selected to use the primary clock routing resources: - RCLK_c (driver: RCLK, clk load #: 39) - PHI2_c (driver: PHI2, clk load #: 13) - nCCAS_c (driver: nCCAS, clk load #: 4) - nCRAS_c (driver: nCRAS, clk load #: 7) - -No signal is selected as secondary clock. - -No signal is selected as Global Set/Reset. -Starting Placer Phase 0. -........ -Finished Placer Phase 0. REAL time: 0 secs - -Starting Placer Phase 1. -............... -Placer score = 586066. -Finished Placer Phase 1. REAL time: 6 secs - -Starting Placer Phase 2. -. -Placer score = 584668 -Finished Placer Phase 2. REAL time: 6 secs - - ------------------- Clock Report ------------------ - -Global Clock Resources: - CLK_PIN : 1 out of 4 (25%) - General PIO: 3 out of 80 (3%) - -Global Clocks: - PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "86 (PT4A)", clk load = 39 - PRIMARY "PHI2_c" from comp "PHI2" on PIO site "39 (PB3D)", clk load = 13 - PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "27 (PL9B)", clk load = 4 - PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "43 (PB4A)", clk load = 7 - - PRIMARY : 4 out of 4 (100%) - SECONDARY: 0 out of 4 (0%) - ---------------- End of Clock Report --------------- - - -I/O Usage Summary (final): - 67 out of 79 (84.8%) PIO sites used. - 67 out of 78 (85.9%) bonded PIO sites used. - Number of PIO comps: 67; differential: 0. - Number of Vref pins used: 0. - -I/O Bank Usage Summary: -+----------+----------------+------------+------------+------------+ -| I/O Bank | Usage | Bank Vccio | Bank Vref1 | Bank Vref2 | -+----------+----------------+------------+------------+------------+ -| 0 | 36 / 41 ( 87%) | 3.3V | - | - | -| 1 | 31 / 37 ( 83%) | 3.3V | - | - | -+----------+----------------+------------+------------+------------+ - -Total placer CPU time: 6 secs - -Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. - -0 connections routed; 618 unrouted. -Starting router resource preassignment -WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. -WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. -WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. - -Completed router resource preassignment. Real time: 6 secs - -Start NBR router at 21:32:33 08/16/21 - -***************************************************************** -Info: NBR allows conflicts(one node used by more than one signal) - in the earlier iterations. In each iteration, it tries to - solve the conflicts while keeping the critical connections - routed as short as possible. The routing process is said to - be completed when no conflicts exist and all connections - are routed. -Note: NBR uses a different method to calculate timing slacks. The - worst slack and total negative slack may not be the same as - that in TRCE report. You should always run TRCE to verify - your design. -***************************************************************** - -Start NBR special constraint process at 21:32:33 08/16/21 - -Start NBR section for initial routing at 21:32:33 08/16/21 -Level 1, iteration 1 -0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.084ns/0.000ns; real time: 6 secs -Level 2, iteration 1 -0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.084ns/0.000ns; real time: 6 secs -Level 3, iteration 1 -0(0.00%) conflict; 509(82.36%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.038ns/0.000ns; real time: 6 secs -Level 4, iteration 1 -23(0.19%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs - -Info: Initial congestion level at 75% usage is 0 -Info: Initial congestion area at 75% usage is 0 (0.00%) - -Start NBR section for normal routing at 21:32:33 08/16/21 -Level 1, iteration 1 -0(0.00%) conflict; 24(3.88%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs -Level 4, iteration 1 -8(0.07%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs -Level 4, iteration 2 -4(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs -Level 4, iteration 3 -0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs - -Start NBR section for setup/hold timing optimization with effort level 3 at 21:32:33 08/16/21 - -Start NBR section for re-routing at 21:32:33 08/16/21 -Level 4, iteration 1 -0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs - -Start NBR section for post-routing at 21:32:33 08/16/21 - -End NBR router with 0 unrouted connection - -NBR Summary ------------ - Number of unrouted connections : 0 (0.00%) - Number of connections with timing violations : 0 (0.00%) - Estimated worst slack : 2.023ns - Timing score : 0 ------------ -Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. - - - -Total CPU time 6 secs -Total REAL time: 7 secs -Completely routed. -End of route. 618 routed (100.00%); 0 unrouted. - -Hold time timing score: 0, hold timing errors: 0 - -Timing score: 0 - -Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. - - -All signals are completely routed. - - -PAR_SUMMARY::Run status = Completed -PAR_SUMMARY::Number of unrouted conns = 0 -PAR_SUMMARY::Worst slack> = 2.023 -PAR_SUMMARY::Timing score> = 0.000 -PAR_SUMMARY::Worst slack> = 0.339 -PAR_SUMMARY::Timing score> = 0.000 -PAR_SUMMARY::Number of errors = 0 - -Total CPU time to completion: 6 secs -Total REAL time to completion: 7 secs - -par done! - -Note: user must run 'Trace' for timing closure signoff. - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1_par.asd b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1_par.asd deleted file mode 100644 index 6e5f093..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1_par.asd +++ /dev/null @@ -1,33 +0,0 @@ -[ActiveSupport PAR] -; Global primary clocks -GLOBAL_PRIMARY_USED = 4; -; Global primary clock #0 -GLOBAL_PRIMARY_0_SIGNALNAME = RCLK_c; -GLOBAL_PRIMARY_0_DRIVERTYPE = CLK_PIN; -GLOBAL_PRIMARY_0_LOADNUM = 39; -; Global primary clock #1 -GLOBAL_PRIMARY_1_SIGNALNAME = PHI2_c; -GLOBAL_PRIMARY_1_DRIVERTYPE = PIO; -GLOBAL_PRIMARY_1_LOADNUM = 13; -; Global primary clock #2 -GLOBAL_PRIMARY_2_SIGNALNAME = nCCAS_c; -GLOBAL_PRIMARY_2_DRIVERTYPE = PIO; -GLOBAL_PRIMARY_2_LOADNUM = 4; -; Global primary clock #3 -GLOBAL_PRIMARY_3_SIGNALNAME = nCRAS_c; -GLOBAL_PRIMARY_3_DRIVERTYPE = PIO; -GLOBAL_PRIMARY_3_LOADNUM = 7; -; # of global secondary clocks -GLOBAL_SECONDARY_USED = 0; -; I/O Bank 0 Usage -BANK_0_USED = 36; -BANK_0_AVAIL = 41; -BANK_0_VCCIO = 3.3V; -BANK_0_VREF1 = NA; -BANK_0_VREF2 = NA; -; I/O Bank 1 Usage -BANK_1_USED = 31; -BANK_1_AVAIL = 37; -BANK_1_VCCIO = 3.3V; -BANK_1_VREF1 = NA; -BANK_1_VREF2 = NA; diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par deleted file mode 100644 index feb14ae..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par +++ /dev/null @@ -1,28 +0,0 @@ -PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Mon Aug 16 21:32:27 2021 - -C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO256C_impl1.p2t -RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir -RAM2GS_LCMXO256C_impl1.prf -gui -msgset -C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml - - -Preference file: RAM2GS_LCMXO256C_impl1.prf. - -Level/ Number Worst Timing Worst Timing Run NCD -Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ----------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 2.023 0 0.339 0 07 Completed - -* : Design saved. - -Total (real) run time for 1-seed: 7 secs - -par done! - -Note: user must run 'Trace' for timing closure signoff. diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.drc b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.drc deleted file mode 100644 index ec074a2..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.drc +++ /dev/null @@ -1 +0,0 @@ -DRC detected 0 errors and 0 warnings. diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed deleted file mode 100644 index b3a7f61..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed +++ /dev/null @@ -1,977 +0,0 @@ - -* -NOTE JEDEC CREATED BY: Lattice Semiconductor Diamond Deployment Tool 3.12* -NOTE Version: Diamond (64-bit) 3.12.0.240.2* -NOTE Readback: Off* -NOTE Security: Off* -NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * -NOTE All Rights Reserved * -NOTE DATE CREATED: Mon Aug 16 21:36:26 2021 * -NOTE DESIGN NAME: RAM2GS * -NOTE DEVICE NAME: LCMXO256C-3TQFP100 * -NOTE PIN ASSIGNMENTS * -NOTE PINS RD[7] : 71 : inout * -NOTE PINS RD[6] : 70 : inout * -NOTE PINS RD[5] : 69 : inout * -NOTE PINS RD[4] : 68 : inout * -NOTE PINS RD[3] : 67 : inout * -NOTE PINS RD[2] : 66 : inout * -NOTE PINS RD[1] : 65 : inout * -NOTE PINS RD[0] : 64 : inout * -NOTE PINS Dout[7] : 3 : out * -NOTE PINS Dout[6] : 2 : out * -NOTE PINS Dout[5] : 5 : out * -NOTE PINS Dout[4] : 4 : out * -NOTE PINS Dout[3] : 6 : out * -NOTE PINS Dout[2] : 8 : out * -NOTE PINS Dout[1] : 7 : out * -NOTE PINS Dout[0] : 1 : out * -NOTE PINS LED : 57 : out * -NOTE PINS RBA[1] : 83 : out * -NOTE PINS RBA[0] : 63 : out * -NOTE PINS RA[11] : 79 : out * -NOTE PINS RA[10] : 87 : out * -NOTE PINS RA[9] : 85 : out * -NOTE PINS RA[8] : 96 : out * -NOTE PINS RA[7] : 100 : out * -NOTE PINS RA[6] : 91 : out * -NOTE PINS RA[5] : 95 : out * -NOTE PINS RA[4] : 99 : out * -NOTE PINS RA[3] : 97 : out * -NOTE PINS RA[2] : 94 : out * -NOTE PINS RA[1] : 89 : out * -NOTE PINS RA[0] : 98 : out * -NOTE PINS nRCS : 77 : out * -NOTE PINS RCKE : 82 : out * -NOTE PINS nRWE : 72 : out * -NOTE PINS nRRAS : 73 : out * -NOTE PINS nRCAS : 78 : out * -NOTE PINS RDQMH : 76 : out * -NOTE PINS RDQML : 61 : out * -NOTE PINS nUFMCS : 53 : out * -NOTE PINS UFMCLK : 58 : out * -NOTE PINS UFMSDI : 56 : out * -NOTE PINS PHI2 : 39 : in * -NOTE PINS MAin[9] : 51 : in * -NOTE PINS MAin[8] : 50 : in * -NOTE PINS MAin[7] : 44 : in * -NOTE PINS MAin[6] : 49 : in * -NOTE PINS MAin[5] : 45 : in * -NOTE PINS MAin[4] : 46 : in * -NOTE PINS MAin[3] : 47 : in * -NOTE PINS MAin[2] : 37 : in * -NOTE PINS MAin[1] : 38 : in * -NOTE PINS MAin[0] : 23 : in * -NOTE PINS CROW[1] : 34 : in * -NOTE PINS CROW[0] : 32 : in * -NOTE PINS Din[7] : 19 : in * -NOTE PINS Din[6] : 20 : in * -NOTE PINS Din[5] : 17 : in * -NOTE PINS Din[4] : 18 : in * -NOTE PINS Din[3] : 16 : in * -NOTE PINS Din[2] : 14 : in * -NOTE PINS Din[1] : 15 : in * -NOTE PINS Din[0] : 21 : in * -NOTE PINS nCCAS : 27 : in * -NOTE PINS nCRAS : 43 : in * -NOTE PINS nFWE : 22 : in * -NOTE PINS RCLK : 86 : in * -NOTE PINS UFMSDO : 55 : in * -NOTE CONFIGURATION MODE: NONE * -NOTE COMPRESSION: off * - - -QF56640* -G0* -F0* -L00000 -11111111001011111111011110111100101111111101111011110010111111110111101111001011 -11111101111011111111111111111111101111001010010011110111011100101001001111011110 -11001010010011110111101111111111 -11111111001011111111011110111100101111111101111011110010111111110111101111001011 -11111101111011111111111111111111101111001010010011110111011100101001001111011101 -11001010010011110111011111111111 -11111111111111111111111111111111111111111111111111110010111111110111101111111111 -11111111111111111111111111111111101111111111111111111111111100101001001111011101 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111110010111111110111101111111111 -11111111111111110010111111110111101111111111111111111111111100101001001111011101 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111110111111111111111111111111111111111 -11111111111110111111111111111011111111111111111111111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111011111111111111111111111111111111 -11111111111110111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111100111111011111111111111111111111111111111011111111111101111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111101111111111111111111111111111111111111111111111111111101111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111101111111111111111111111111111111111111111111111111111111 -11110111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111011111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111101111111111111111110111111111001111101111111111111111111 -11011111111111111011111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111011111111101111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111011111111101111111111111111111110111 -11111111111111111101111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111110111111111111111111111111111111111111111111111111111111111110111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111110001111111111111111111111111101111111111111111111111111111111111 -11111111111111111110111111111111 -11111111111111111111111111111111111111111111111111110111111111100101111111111111 -11111111111111111111111111111111111111111111111111111111111111111110111111111011 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111110111111111111101111111111111 -11111111111111111111111111111111111111111110111111111110111111111111111111101111 -11111111111111111011111111111111 -11111111111111111111100111111111111111111111111111111111111111001111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111101111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111110111111111111011111111101111111111111111111101111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11110101101111111110111110111011110110111111111111100111111111101111111111010101 -11111011111111111111111101111001 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111001110111110111111111011111011101111111111111101101111101101111111110111011 -01010011111111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111110110111110111111111110111111101111111111111111011111111111111111110110111 -01010111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111110111111110010111111111111111111101111111110111111111110111111 -11111111111111111111111111111010 -11111111111111111111111111111111111111111111111111111111111111110111111111111111 -11111101011010110110111100010110111111111111111011111111111111100111111011111111 -11010010111111111111111010111111 -11111111111111111111111111111111111111111111111111111111111111110111111111111111 -11111111111111111000100111000111011110111111111011111111111110101011111100110111 -11110010110111111101110111011011 -11111111111111111111111111111111111111111111111111111111111111101111111111111111 -11111111110111011100111101111111011101111111111101011101111111001111011111110111 -01110011110111111111111101011001 -11111111111111111111111111111111111111111111111111111111111110111111111111111111 -11111011111101111100111111111111011111111111111111111111111111001101111111111011 -11110011001111111101111101111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11110101111011111000111111100111111111111111111110001111111110101111111111110001 -11101111001111111111111111111001 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111101111101101000001001110111111111111111111111011111111110101111111111111111 -11100010110111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111011111111011111010101111111111111111111111110111111111111001111111111111111 -01110011111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111000111101101111111111111111111111111111111110111111111111111101 -11111111111111111101111111111011 -11111101111111111111111111111111111111111111111111111111111111111111111111111111 -11011111111111111100111111101111111111111111101111011111111111101110011101111111 -11110011111111111111111111111111 -11111011111111111111111111111111111111111111111111111111111111111111111111111110 -11011111111111101100111111110011111111111110010111011111111111101111011111111111 -11110011111111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111101 -00011111111111010111111111111111011111111110111111111111111101001011011111111111 -11011111111111111101111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11011111111111111100111111111111111111111111110010111111111111111111011011111111 -11111111111111111111111111111101 -01111111111111111111111111111111111111111111111111111111111111111011011111111111 -11111111111110011101011011111111011101111111111111111101100111001111111110111111 -11111111111110011110111111111001 -01011111111111111111111111111111111111111111111111111111111111111111111111011111 -11111111111011010101101101111111011000011111111111011100110101001111011111111111 -11111110000111011111011111111001 -11111111111111111111111111111111111111111111111111111111111111111100111111110011 -11111111111111111101111101111111111111111111111111111111111111011111111111111111 -11111111111110111111110011111111 -01110011111111111111111111111111111111111111111111111111111111111111111111100011 -11111111111111111001110111111010111111101111111011101011111110011110111111011111 -11111101110111111111111111111010 -10111111111111111111111111111111111111111111111111111111111111111111111111111011 -10011011101111111100111011010111011100111111110010110111111111001111111101111111 -11111111111011001111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111101010 -11011101110111111100000101100111111001101011111101011101111111001111111100111111 -11111111111111001111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111011 -11011111111111111100011101111111111111111111110011001101111111011111111011110111 -11111111111101110101111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111011 -01111111111111111100111101111110111111101111111111111111111110011111101111111111 -11111111111010111111111101111111 -01111111111111111111111111111111111111111111111111111111111111111111111111101111 -11111111111111111011111111100101011001100011111111111111111110011111111111111111 -11111111111111111111111111111001 -01011111111111111111111111111111111111111111111111111111111111111111111111101011 -11111111111111111100111111100011011100100011111111111111111111001111111111111111 -11111111111111101011111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111100111111111011011111111111111111111111111111101111111111111111 -11111111111111101111110011111110 -01111111111111111111111111111111111111111111111111111111111111111111111111101111 -11111111111111111111111111111010111111100011111111111111111100111111111111111111 -11111111111111100101111111111011 -10111111111111111111111111111111111111111111111111111111111111101111111111111111 -11110101111111111111011111110111011111110011111011111111100111011111111111111111 -01111111111011111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110111111111111111 -11111001111111111111111101111110111111110011111111111111111111001111111111111111 -11111100010111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111011111 -11111111111111110111111001101111111111011111111001011111111101101111111111111111 -11111110110111011111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111110011111111111111111111111111111111111110 -11111111100101011111111111111111 -11111111111111111111111111111111111111111111111111111111111111100111111111111111 -11111111111111111111111111110111111111111101011111111101111111111110111111111111 -01111111111111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111110111111111111111 -11111111111111110111111001111111111111111110110111111111111111111111011111111011 -01011011111111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111101100111111011111111111011111111111110 -11110011111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111101111111111111110 -11011111111111111011011101101111111111111111110111111111111111111111011111111111 -11100111111111111111111111111011 -11111111111111111111011111111111111111111111111111111111111111111111111111111111 -11111011111111111111010111111111111111111111111111011111111111111111010101111111 -11111110011111111111110111111111 -11111111111111111111011111111111111111111111111111111111111111111111111111111111 -11111101111111111111101111111111111111111111111111011111111111111001011001111111 -11101010111111111111110111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111110111101111111111111111111111110111111111111111111011111111111 -11110011110111111110101111111001 -11111111111111111010111111111111111111111111111111111111111111111111111111111111 -11111111111111111011111111111111111111111111111011111111111111111110111111111111 -11110111111111111111111111111101 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -11111111111111111001111111111111111111111111111110011111111111111111111111111011 -11100011101111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111100011101111111111111111111111111011111111111111111111111111101 -10100011110111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111110111111111111 -11111111111111111100111001111111111111111111111110111111111111111111111111111111 -01110110111111111111111101111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111000111111111111111111111111111111111111111111111111111111111111 -11100111110111111111111101111010 -11111111111111111111111111111111111111111111111111111111111111010111111111111111 -11111111111001111100111111111111101100111111111111110100111111111111111011111111 -11111011111111111110101111111111 -11111111111111111111111111111111111111111111111111111111111111110111111111111111 -11111111111101111100111111111111110001111111111111111101111111111111111101111111 -01111011111111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111101111111111111111 -11111111111111010100111111111111111101111111111111111011111111111111111111111011 -11011111111111111111110111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111100111111111111101111111111111111111111011111111111111111111111 -11111111111111111111011111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111001101111111111111111111111111111111111111111111111111111 -11111101011110111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111101101110111100111111111111111111111111111111111111111111010 -11111110111111011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111101111111110111111111111111111111111111111111111111111101 -11111111110111111110111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111010101111111101111111111111111111111111111111111111111111011 -11111111111111111111110111111111 -11111111111111111111111111111111111111111111111111111111111111110111111111111110 -10110101111111111111101111110111111111111110111101011111111111111111101111001111 -11111111111011111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111110 -11011001111111111111101111110001111111111110111110011111111111110011011110010111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111101111111111111111 -11011111111111111111111101111001101111111111110111011111111111110101011100111111 -11111111111111011111111101111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111110110101111111101111111011111011111111111111110010101111111 -11111111111101011111111111111111 -11111111111111111111111111111111111111111111111111111111111111110111111111111111 -11111111111111111111111111101111111111111111111110011111111111111111111111100111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111110111111111111111111111011111111111111111111111110111 -11111111111111111111111101111111 -11111111111111111111111111111111111111111111111111111111111111101111111111111111 -11111111111111111111111111111111111111111111111110111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111101111 -11111111111111111111111100111111 -11111111111111111111111111111111111111110111111111111111111111111101011111111111 -11111111111111111111111110111110111111111111111110011111111111111111101000110111 -11111101001111111111111111111111 -11111111111111111111111111111111111111111101111111111111111111111111011111111111 -11111111111111111111001101111111011111111111111111011111111111110011011101101111 -11111110110111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111110111111111111 -11111111111111111111111101110111111111111111111110111111111111110111001001011111 -11111110110111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111110011010111111111111111111111111111111111111100010111111111 -11111111110111111111111111011111 -11111111111111111111111111111110001111111011111111111111111111111111111111011011 -11101111111111111111111110110100111111111111111010011111111111111111101111111111 -11111111111110011000111111111001 -11011111111111111111111111111110111000011101111111111111111111111111111111011011 -11110111111111110100001101101011011111011011111111011111111111111111110111111111 -11111111111111001101111011111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111011 -11111101111111110100011100010011111111111011111101111111111111111111111101111111 -11111111111111101111010111111110 -11111111111111111111111111111111001111111111111111111111111111111111111111101011 -11111101111111111111110001111111011111101111111110111111111111111111111101111111 -11111111111110100101111111111011 -11111111111111111111111111111111001111111101101111111111111111111111111111110011 -00111001011011110101111110100110101110111101011011011110010111111111111111111111 -11111111111111110111111111111111 -11111111111111111111111111111110111111111111110111111111111111111111111111100000 -11011101110111001100101101100111011100111110110110011101010111111111111111111111 -11111111111111111111111111111011 -11111111111111111111111111111111111111111011110111111111111111111111111111110001 -11011011110111011100111111111111011101111111110011001110110111111111111111111111 -11111111111111111101111111111001 -11111111111111111111111111111111111111111111110111111111111111111111111111110011 -11011111110101011000110011011111110111101110100001111111101111111111111111111111 -11111111111111111111111111111111 -01111111111111111111111111111110001111111111111111111111111111110111111111101111 -11111111111111001011111110111111001111101111111110011111111111111111101111111111 -11111111111110011111111111111001 -01011111111111111111111111111110001111111111111111111111111111111111111111101110 -10011111111110011011111111110111111111111111111111011111111111111111110111111111 -11111111111110011111111111111001 -11111111111111111111111111111111001111111111111111111111111111111111111111111110 -11111111111111111111101110110111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110 -01111111111111111111111111111111111111111111111111111111111111101111111111111111 -01011111111111111111111100011111010111001111111110111111111111111111111111111111 -11111111111111111111111111111011 -10111111111111111111011111111111111111111111110111111111111111111111111111111111 -10111101111111011111111010111111111101111011111011011011111111111111111111111111 -11111110111111111111111111111111 -11111111111111111111011111111111001111111111110111111111111111111111111111110111 -11011100111110001111100101011111011110111111111101001111111111111111111111111111 -11111110000111111111111111111011 -11100111111111111111111111111110001111111111110111111111111111111111111111010111 -11111011110011010100101111111111011101011111111110111101111111111111111111111111 -11111110110111111111111111111001 -11111111111111111010111111111110111111111111101111111111111111111111111111111111 -11101111111111111111111101111110111011111111111011111101111111111111111111111111 -11111111110111111111111111111111 -01111111111111111111111111111111111111111111111111111111111111110111111111111111 -11111101111111111111110110110110111111111101111010011111111111111111101111111111 -11111111111011100101111111111001 -01011111111111111111111111111110001000011111111111111111111111101111111111011111 -11011011111111110111001110110111011111111111100101011111111111111111110101111111 -11010011111101110101111111111001 -11111111111111111111111111111111001111111111111111111111111111111111111111011111 -11111111111111111111111101100111111111111101110110111111111111111111111111111111 -11111111111111111111111111111111 -01111111111111111111111111111111111111111111111111111111111111111111111111011101 -10011111111111111011101100011111111111111111110111111111111111111111101111111111 -11101111111111111011111111111011 -10111111111111111111111111111111111111111001111111111111111111110111111111011111 -10111101111111011111011111010111101111111111111001011111111111111111110101111111 -11111110011111001111111111111111 -11111111111111111111111111111110001111111101111111111111111111100111111111111111 -11011111111111011011111110110111111111111111111111011111111111111111111101111111 -11100010111111001111111111111011 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111010111111111101100111110101111111111010011111111111111111111011111111 -11110011110111110101111111111001 -11111111111111111111111111111111001111111011111111111111111110111111111111101101 -11011011111111111101111111111111111101111111111101111111111111111111111111111111 -11110011111010111111111111111101 -01111111111111111111111111111110001111111111111111111111111111110111111111101111 -11101000011111011011111101010111111111111111111011011111110111111111101001111111 -11101111111111011111111101011001 -01011111111111111111111111111110001111111111111111111111111111101111111111100111 -11111000110111011011101101100111111111111111111010111111110111111111110101111111 -11100011111111111111111111111001 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11110110111010111110111101111111111111111111111111011110100111111111111111111111 -11111011111111111111111110111110 -01111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111110100111111010010001111111111111111111111101111111110111111111111011111111 -11101011111110111111111111111011 -10111111111111111111111111111111111111111001111110011111111111111101011111111111 -10111001010111111111111110110111111001111111111101011110110111111111101111111111 -11111111111111011110011101111111 -11111111111111111111111111111111001111111101111011011111111111111111011111111110 -11011101110111111111001101111111101101111111111011011100110111111111100101111111 -11110011111110111111111111111011 -11111111111111111111111111111110001111111111111111111111111111111110111111011101 -01111111111111110110111100110111111111111111111110011111111111111111111101111111 -11011011111111111111110111111001 -11111111111111111111111111111110111111111011111111111111111111111111111111111111 -11011011101111111110100001001111111111111111111111101111001111111111101111111111 -11111011111111111111110111111111 -11111111111111111111111111111111111111111111111111111111111111110111111111111011 -11111101111111111111011111101111101111111111111010011111111011111111101111111111 -11111110111111111111111111111111 -11111111111111111111111111111110001000011111111111111111111111101111111111011111 -11011111111111110111100111110111111110111111111111011111110111111111111111111111 -11111110011111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111101 -11111011111111110100111100110111111101111111111100011111111111111111110101111111 -11111111110111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111101111 -10011111111111111100111111111111110111111111111111011111111111111111111101111111 -11111110110111111111111111111111 -11111111111111111111111111111111111111111001111111111111111111100111111111110001 -00111111111111010100111100110110111111111111111011111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111110001111111101111111111111111111110111111111111110 -11011111111011011000101111110001011111111110010111111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111101111111111111111 -11011111111111111100111100000011111111111110111011011111111111111111101101111111 -11111111111111111111111111111111 -11111111111111111111111111111111001111111011111111111111111111111111111111101110 -11011111111110111000110110111101111111111111100101111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111110001111111011111111111111111111110111111111101011 -01111111111011111011111010111111101101111111111011111111110111111111111111110111 -11111111111011111111111101111111 -11111111111111111111111111111110001111111101111111111111111111101111111111110000 -11011111111101101011101101011111111111111111111101111101100111111111111111111111 -11111111111101111111110111111111 -11111111111111111111111101111111001111111111111111111111111111111111111111111111 -10011111111111011111110111110111111111111111111111111110111111111111111111101111 -11111111111111011111111111111111 -11111111111111111111111101111111111111111111111111111111111111111111111111101111 -11111111111111111111011101110111110011111111111111101111111111111111111111111111 -11111111111111011111110111111111 -11111011111111111111111111111111111111111001111111111111111111110111111111111111 -11110111111111111110110010010111110111111111111011011111111111111111110101111111 -11111111111111111111111111111111 -11110111111111111111111111111111001111111101111111111111111111100111111111110111 -11111101111111111111001101110111111111111111111100111111111111111111111001111111 -11111111111111111111111111111111 -11111111111111111111111111111110001111111011111111111111111111111111111111011111 -11111111111111110111011101101111111101111111111111111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111110111111111111111111111111111110111111111111111111 -11111111111111111111111101011111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110111111111111111 -11111111111111111111111010111111101111111111111011111111111011111111101111111111 -11111111111010011111111111111111 -11111111111111111111111111111110001000011111111111111111111111101111111111111110 -11011111111111100111111101111111111111111111111101111111111111111111100111111111 -11111111111101011111111111111111 -11111100111111111111111111111111001111111111111111111111111111111111111111111101 -01111101111111111111101110010111101111111111111011011110111111111111111101111111 -11111111111111011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -10011101111011111001111101111111111111111111111111111111111111111111111111111111 -11111111111110011111111111111111 -11111111111111111110011111111111111111111001111111111111111111110111111111111111 -10111100111111111111111001111111111111111111111110011111111111111111111111111111 -11111111101111111111111111111111 -11111111111111111110011111111110001111111101111111111111111111100111111111111111 -11011111111111011011100101111111111111111111111111011101111111111111111111111111 -11111111110111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111010111111011101111111111111111111111111101001111111111111111111111111 -11111111111111011111111111111111 -11111111111111111111111111111111001111111011111111111111111110111111111111111111 -11111010111111011101111101111111111111111111111110111111111111111111111111111111 -11111110110111011111111111111111 -11111111111111111111111111111110001111111011111111111111111111111101011111111111 -11101111111111011011111111111111111111111111111111011111111111111111111111111111 -11111111111111111111111101111111 -11111111111111111111111111111110001111111101111111111111111111111111011111111111 -11110111111101011011111111111111101111111111111110111111111111111111111111111111 -11111111111111111111111101111111 -11111111111111111111111111111111001111111111111111111111111111111110111111111111 -11111101111111011110111111111111110101111111111111111111111111111111111111111111 -11111111111111111111111111011111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111101111110011011111111111111101111111111111111111111111111111111111111111111 -11111111111111111111111100111111 -11111111111111111111111111111111111111111111111111111111111111101111111111111111 -11111111111111111111101110010111111101111111111011011111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111001111111101111111111111111111110111111111111111 -11111111111111111111101101100111101101111111111111011111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111110001111111111111111111111111111111111111111111111 -11111111111111110110111101111111100101111110111110111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111110111111101111111111111111111111111111111111111111 -11111111111111111111100001011111101011111111110001111111111111111111111111111111 -11111111111111111111111111011111 -01111111111111111111111111111111111111111111111111111100101111010101011111110111 -11111111111111001100100111111101001100111110111111111000110011111111101101101011 -00110011111111011111110111011011 -01011110111111111111111111111110001000011111111111110100100101110011111111010111 -11111111111110010100101111111001011000011110110111000101110001001111111011100111 -00010011111111111111110011111010 -11111111111111111111111111111111001111111111111111111100101001101011111101111111 -11111111111111110100111101111010111101111110000111101111010101001111110111111110 -01111011111110111110100101111111 -01111110111111111111111111111111111111111111111111111000111101111011001111101111 -11111111111111111100111111111011110111101111110011100111101111111111111110111101 -11101011111111111111111111111011 -10111111111111111111111111111111111111111101101111111100100111110110101110111101 -00110101101011000100111110111101111111111111111110011011011101001111110101011011 -01110011111111111010100110111101 -11111111111111111111111111111110001111111011110111111000101111111111010101111110 -11011001110100001000111001011111011101101110100111011101110111000000011001110111 -01100011111111111101110111111111 -11111111111111111111111111111111001111111111110111111100111101111111011101111111 -11011110110111011100111111110111011111110110111111111101110111000111001101110011 -01111011111011011100100101111111 -11111111111111111111111111111111001111111111110111111110111111101111001111101110 -11011111111111111100101101110111011101111111010110111101110111001111011111110000 -11101011111111111111011111111111 -01111111111111111111111111111110001111111111111111111000100111110111111101101111 -00110101111111111011111111111111100111100010111101011111111110001111111101111111 -11101110111111111100101111111001 -01011111111111111111111111111110001111111111111111111000101101101111101101111110 -11011101111111111011111111111111111111100010111110011111111111001111111011111111 -11100010000111111111111111111001 -11111111111111111111111111111111001111111111111111111100011001111111111111111110 -11111011111111111110111111111111111101110011110111111111111111001111111111111111 -11111010110111111111110111111111 -01111111111111111111111111111111111111111111111111111010111101111111111011101111 -11011111111111111111111111111111110111100011011111111101111111001111111111111111 -11111011110111111111011111111011 -10111111111111111111111111111111111111111001110111111100011111111111011111111111 -10111101110111111111101111110111111111110001001111011111111111001111101101111011 -11111101111111111101111111111111 -11111111111111111111111111111111001111111101110111111100111101111111011110111110 -01011101111111111111111101110111011101110010110111111100110111000011011111111111 -10110010111111111111111111111011 -11111111111111111111111111111110001111111111110111110100111001111111011101011111 -11111111110111110111111001111111111111010011110111111101111101001111011111111101 -01011011110111111101111111111001 -11111111111111111111111111111110111111111011101111111110111111111110111111110110 -11001011111111111111111110101110111101111010110110111011111111101100110011111111 -11111011011111111111111111111101 -01111111111111111111111111111111111111111010111111111111101111111111111101111111 -11101111111110011111111110100111111101110110101010011111111111001110111000100001 -11111011111010111111111111111001 -01011111111111111111111111111110001000011100111011010110111001110111101001010111 -11010101111111000111111101100111111100010010110100011111111101001001011101110111 -11010011111011011111111111111001 -11111111111111111111111111111111001111111111110111111111011101111111111111011110 -11111111111111111111111011010111111001110010010111111111111111001011111010000111 -00010011111111011111111111111111 -01111111111111111111111111111111111111111111011111111011111100111111111111111111 -10001111111111111011101101110111111101100011110111111111111110111111011111111111 -11010010110101111111111111111010 -10111111111111111111111111111111111111110111111110011111111011100010111100010011 -11010111111111111111011110110111111111110111101010010100111111001111111101111111 -01010011011111111111111111111111 -11111111111111111111111111111110001111111101111011011011100101110111011001100110 -10011101111111111011100111110111111111100011110110011101111110001111111001111111 -00110010111111111111111111111011 -11111111111111111111111111111111001111111111111111111111011111101111111111110001 -11011111111111111111111100100111111111110001111111011111010111001111111111111111 -11110011111111111111111111111001 -11111111111111111111111111111111001111111111111111111111111101111101111111110011 -01011111111111111010111110011111111111110011110101011011111010111111101111111110 -11110011111111111111111111111111 -01111111111111111111111111111110001111111111111110011000111111110111011101100011 -11111111111111011000111110100111111111101110001111011111111110001111101101111110 -01100011111110011111111111111001 -01011111111111111111111111111110001111111111111111001011011111101101011000100011 -11111111111110001000101110110111111111101110110111011111111110001111111101111111 -11110111111111011111111111111001 -11111111111111111111111111111111001111111111111111111111011101111011111101110011 -11111111111111111110111111100111111111111110110111111111111111111111110101111111 -11110111111110111111111111111110 -01111111111111111111111111111111111111111111111111111011111101111010111110110011 -11111111111111111010110111011111111111101011110010111111111111001111101001111111 -11110011111111111111111111111011 -10111111111111111111101101111111111111111000110111111111101110110011111111111011 -11101111110111111100111110100111111001111101111111111110011111001111101111110111 -01110011101111111110010111111111 -11111111111111111111110111111111001111111101110111111111100111110101111111111011 -11010111110111111100111111000111111100111110110111011111111111001111100101111111 -00110110110111111111110011111011 -11111111111111111111101001111110001111111111010111110111111101111011011111010001 -10111111101111110110111111110111111111011110010111111111110001111111111101101111 -11010111011111111111110011111001 -11111111111111111111111111111110111111111010100111111111111110001111111111110011 -11011110111111111110111111110111111111111111100011111111111111001111101111111110 -11110010110111111111101111111111 -11111111111111111111111111111111111111111011111111110111111011101111111101111110 -11111111111111111111011111111111101111110011001111111110101111111011111110111111 -11110011001111011111111111111111 -11111101111111111111111111111110001000011101111111110111001101110111111111011010 -11111111111111111111101111111111110110011000110111111111111111111011011111111111 -11011110110110111111111111111110 -11111111111111111111111111111111001111111111111111111111010101111111111111011011 -11011111111111111111110100110111111101011001110111111111110111111000011111111111 -11010010111011011111111111111111 -11111101111111111111111111111111111111111111111111111011111101111111111011111111 -01111111111111111111111111111111111111111011110111111111011111111111011111011111 -11010011110111111111111111111111 -11111111111111111111111111111111111111111101111111111111111111011101111111010101 -11101111111111111111111010100111111111011110111111011011111111111111101101111111 -11010110111111111111111111111111 -11111111111111111111111111111110001111111101111111111011100001110010011101100011 -11100111111111011111111100000010011111101011111111010101110111111110011101111111 -11101110110111111111111111111111 -11111111111111111111111111111111001111111111111111111110011111111010001111110011 -11011101111111111111101111110001011111111011110011001111111111111111101001111111 -11110011010111111111111111111111 -11111111111111111111111111111111001111101011111111111111111101111011111111110110 -11011111111111011111111101111010111111101010110010111111110111111111100111111111 -11110110100111111111111111111111 -11111111111111111111111111111110001111111011010111111000100111101111111101100011 -11111101010111111111111110010111011111100011110010011100110111111111101001100111 -11100011111111111111111011111111 -11111111111111111111111111111110001111111101110111111000101101110111101101100000 -00011001110111111111111111010110111111100010110110011001111011111111100101110111 -11100100100111111111110111111110 -11111111111111111111111111111111001111111111101111111100101001111111111011111101 -11011111101111111111111111111111111111110011100101111111110111111111111001101111 -11110001010111111111111111111111 -11111111111111111111111111111111111111111111111111111000111101111111111111111111 -11001111111111111111111111101111111111110010010111101111001111111111111111111111 -11110011110111111111111111111111 -11111111111111111111111111111111111111111101111111111110100011110111111111111011 -11111101111011111111111111100111111111111101111111011110110111111111111101010111 -11110011111111101111110011111111 -11111111111111111111111111111111001111111011111111111110101101110111111111111111 -11111101111111111111111111110111111111110011110111111110110111111111111101110111 -11111111111011111111110111111111 -11111111111111111111111111111110001111111111111111110110101101111111111111011111 -11111111111111111111111111111111111111010011100111111111110111111111111111111111 -11011011111111011111101111111111 -11111111111111111111111111111110111111111111111111111110111100101111111111111011 -11101011111101111111111111101111111111110010110110111110001111111111101011101111 -11110011111111110111111111111111 -11111111111111111111111111111111111111111111111111111100101110111111111111111111 -11101111111111101111111111111111111111111110111111011111111111111111111001111111 -10111111011111111111111111111111 -11111111111111111111111111111110001000011111111111110110101111011111111111111100 -01000111111111110100111111110111111111011011100111011111111111111111111001111111 -11111110110111111111111011111111 -11111111111111111111111111111111001111111111111111111100111101110111111111111110 -10011101111011111100111111110111111111111110110110111111111111111111111111111011 -11111110111111111111111111111111 -11110011111111111111111111111111111111111111111111111000110111110111111111111111 -11011111111111111011111110110111111111101111110011111111111111111111111111111111 -11111111100111111110111111111111 -11111111111111111111011111111111111111111001111111111100101111110111111111111111 -10101111100111111101111100100111111111111110101111110011111111111111101111111111 -11111110101011111011111111111111 -11111111111111111110011111111110001111111101111111111000101111100110111111111100 -11011110110111111001101101100111111111101110110111101001111111111111111111111111 -11111110010001111101111111111111 -11111111111111111111111111111111001111111111111111111100110101111011011111111110 -01001100110111111101111101110111111111111110010111101101111111111111111101111111 -11111110110111011111111101111111 -11111111111111111011111111111111001111111011111111111100111110111011111111111111 -11010110011111111101110011010111111111111111110111101111111111111111110101111111 -11111111110111111111111111111111 -11111111111111111111111111111110001111111111111111111011100011010111101101111111 -11111111010111111011111111110101010001101110101111011101110011111111111111111101 -11111111111111011110110111111111 -11111111111111111111111111111110001111111111111111111011101101110111100101111111 -11111111110011111011101111110111000101101110010110111110110011111111111111111001 -11111111111110111111111111111111 -11111111111111111111111111111111001111111111111111111111101101111111111101111111 -11111101111101111111110111101111101101111111110111111011000111111111111111111111 -01111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111011111101101111110011111111 -11111101101111111011111110111110111111101101110111111111111111111111111111111111 -11111111111111111111111100111111 -11111111111111111111111111111111111111111101111111111101101111110111111111111110 -00101111111010011111111110100111111111111101111111011101110111111111101101111111 -11111101111111110101110111111111 -11111111111111111111111111111111001111111011111111111101111001111111111111111110 -11011111111111011111111101000111110111111110111111011000110011111111011101111111 -11111110000111111101110111111111 -11111111111111111111111111111110001111111111111111110110011101111111111111111110 -11001101111111110111111110110111111101010111010111111111000111111111111011111111 -11111111110111111010101111111111 -11111111111111111111111111111110111111111111111111111111111101101111111111111111 -11010111111111111111111011111111111111111110110010101111110111111110100111111111 -11111110110111111111111111111111 -01111111111111111111111111111111111111100101111111111111101111111111111001111011 -11111111111111111100111111100110101101111011011111111010110111111111101101111101 -01111011111011111101111111111001 -01011111111111111111111111111110001111111101111111110101101101111111111101011011 -11111111111111110100111111100011011000010010110111101001010101000111111111111111 -01011111111111111101111111111001 -11111111111111111111111111111111001111110011111111110100110100110111101001111011 -11111101111111110100111111111001101101010011111111100110100111001111010111111111 -01011111111101111011111111111111 -01111111111111111111111111111111111111111111111111111110111011111111111111101010 -11011101111111111100111111111111010111011011101111101100111110001011011011111110 -01111011111111101111111111111011 -10111111111111111111111111111111111111111101111111110111110011110110101101110001 -11101111101001110100111111100111111111011111100011011111111111111111101111101111 -01010101111011111011111111111111 -11111111111111111111111111111110001111111101110111111111001101110111010100110010 -01111111110111111000111111110111011101100010110100111101110010001111011111110111 -01110010111101111101111111111011 -11111111111111111111111111111111001111111111111111111110011101111111001001110011 -11011100110111011100111111101111001111110001110011011101110111000111110101110011 -11110011110111111101111111111001 -11111111111111111111111111111111001111101011110111111110111100101111011111100011 -11110101111101111000111111111111111111110011010111111101110110001110011100111110 -11110111011111111111111111111101 -11111111111111111111111111111110001111111111111111111011110010111111111100100011 -11101111111101111010111111111011011111100001111111101111111110001010111101111111 -01101011111111111101111111111001 -11011111111111111111111111111110001111111111111111111000101101011111111001111111 -11110111111111111011111111111111111111100010111111111111110110001001011011111110 -01110011111111111111111111111001 -11111111111111111111111111111111001111111111111111111100101111111111111111111111 -11111111111111011111111111111111111111110011010111111111111111001111011111111011 -11110011111111111111111111111110 -11111111111111111111111111111111111111111111111111111111111101111111111111101111 -11111111111111111111111111111111111111100010110111111111110110101111111111111111 -11111011111111111111111111111011 -11111101111111111111111111111111111111111111111111111111111111010111001100110011 -11100101111111011110111010110111111111111010111101011110110011001111101111111011 -01111010111111111111111111111111 -11111011111111111111111111111111001111111101111111111111100101100111011101111110 -01111101110111011111100100110111111111110010111111011111110111001001011110110101 -00110010110111111111111111111011 -11111111111111111111111111111110001111111111111111110111101011111111010001011101 -11111011111010110111101111010111111111010011010110111111111101001111110101110010 -01010010000111111111111111111001 -11111111111111111111111111111110111111101111111111111111111101111110101111111111 -11010111101111111111111100101111111111011011111111111111001111001010011101101111 -11111011110111111111111111111111 -01111111111111111111111111111111111111111111111111111111011111111111111111111111 -11101111111111011100111110111111111111110001101111111111100111010111111111111011 -11110010011111111111111111111001 -01011111111111111111111111111111111000011111111011010111100001111111111111011011 -10011111111111010111111101011111111111010011110111111110110101011111011111111111 -11010010110111111111111111111001 -11111111111111111111111111111111111111111111111111110111101101111111111111010010 -11110111111010111100111111110111111111110011110111111111111101001110011111111101 -11100011110111111111111111111111 -01111111111111111111111111111111111111111111111111111100111101111111111111010111 -11011111111111111000101001110111111111101111111111111111111101001111111111111111 -11010010100111111111111111111011 -10111111111111111111111111111111111111111101111111110111100111100111111111011111 -10111001111111111100101110110110111111110011111011011011111101111011111101110011 -11010010001111011111111111111111 -11111111111111111111111111111111111111111011111111111100101001110111111111111011 -11011001111111111000101101101111011111100011111010011101111110011011011001111101 -11100010110110111111111111111011 -11111111111111111111111111111111111111111111111111111100111101111111111111110011 -11111111111111111100101000110111111111110011111111011111111111001111011111101111 -01110010110011011111111111111001 -11111111111111111111111111111111111111111111111111111100101101101111111111110111 -11111111111111111000110101011111111111101110110001111111111111101100101111111111 -10110111110111111111111111111101 -01111111111111111111111111111111111111111111111111111011100111111110011101101111 -11111111111111011000111111010111111111100010101111111011111110001111111111101111 -01101111111111111111111111111111 -01011111111111111111111111111111111111111111111111111011101111111101011111101011 -11011111111111001010111111100111111111100010110111111001111110001111011110100110 -11101111111111111111111111111110 -11111111111111111111111111111111111111111111111111111101011101111011111011111110 -11111111111110111100111111111111111111110011110111111111110111111010111100011111 -00110111111111111111111111111111 -01111111111111111111111111111111111111111111111111111111111101111011111111111111 -10011111111111111000111111111111111111100001010111101111110111001111011100110111 -11110111111111111111111111111111 -10111111111111111111111111111111111111111111111111111111101111100111111111111111 -11111111111111111100111111110110011001111010111001011111111111011100101101111011 -11110011111011111111111111111111 -11111111111111111111111111111111111111111101111111111111101101110111111111111111 -11111111111111111100111111110111001101111010011111011111111111000011010011111101 -11111111111101111111111111111111 -11111111111111111111111111111111111111111111111111110111111001111111111111011111 -11111111111111110100111111111110111111011011110010011111111101110111011111111111 -00011111111111111111111111111111 -11111111111111111111111111111111111111101111111111111111110111101111111111111111 -11111111111111111100111110101111111111111011111101111111111111011111011111111111 -11110011111111111111111111111111 -11111111111111111111111111111111111111111111111111110110110111111111011111111111 -01111111111111111111011010111011111111111111011011111111111101001011111111111111 -11111110111111111111111111111111 -11111111111111111111111111111111111111111111111111110100001101111110111111111100 -11011111110111111111101100111111011111011100111101111101111101101111011111111111 -11111110110111111111111111111111 -11111111111111111111111111111111111111111111111111111100111001111111111111111111 -11011101111111111111110101110101111111111111110111111111111111001010011101111111 -11111110010011011111111111111111 -11111111111111111111111111111111111111111111111111111000111111111111111111111110 -10011100111111111111111111011011111111110011111111101111111110001111011101111111 -11111111100111111111111111111111 -11111111111111111111111111111111111111111101111111111100111111110110111111111110 -11101111111111111111111110010111111111111010111010011001111111001111101111111111 -11111101001111111110111111111111 -11111111111111111111111111111111111111111011111111111000001111101100011111111110 -11111111111111111111111101010111111111111110110101011101111110101111111111111111 -01111110110111111111111111111111 -11111111111111111111111111111111111111111111111111111100111101111011111111111111 -01011101111111111111111111101111111111101101010110111111111111001111111101111111 -11111111110111111111111111111111 -11111111111111111111111111111111111111111111111111111100110111111011111111111111 -11110101111111111111101001111111111111110011100111101111111110001011010101111111 -01111110110111111111011111111111 -11111111111111111111111111111111111111111111111111111000100111111111101101111111 -11111111111011001111111111111111111111110011111111111111010110001111111111111011 -00111111111011101111111111111111 -11111111111111111111111111111111111111111111111111111000101111111111111100111111 -11111111111111001111111111111111111111111110111111111111100110001111111111111111 -01111111111101111111111111111111 -11111111111111111111111111111111111111111111111111111100011101111111101001111111 -11111111111101011111111111111111111111111111011111111111110111011111111111111101 -01111111111111110111111111111111 -11111111111111111111111111111111111111111111111111111000111101111111110111111111 -11111111111110111111111111111111111111110010110111111111110110001011011111111010 -11111111111111111111111111111111 -11110011111111111111111111111111111111111101111111111100101111111001111001111111 -11101111111111111111111111110111101111110001111110011110110011001111111101110011 -00111111111111111111111111111111 -11111111111111111111111111111111111111111011111111111100101111111101011101111111 -11011111111111101111111111110111111110110111111111011101110011001111011111111111 -00111111111111111111111111111111 -11111111111111111111111111111111111111111111111111110100111101111111101001111110 -11111111111111011111111111111111110101010111110110111111010101001011111111101101 -01111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111110100101111111111111111111 -10010111111111111111111110101111111111110010110111101111101101001110011011111110 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111101010111111111110110011 -11111111111111101111111111111111111111110010111011111111111111111101111111111111 -11111111111010011111110111111111 -11111111111111111111111111111111111111111111111111110111101101011111111110010011 -11111111111010111111111111111111111111010010110011111111111101111011011101111111 -11111111111010011111110111111111 -11111111111111111111111111111111111111111111111111111111100101111111101111010011 -11111111111001111111111111111111111111110010010111011111111101111111111111111111 -11111111111101011110101111111111 -11111111111111111111111111111111111111111111111111111011111101111111101111110011 -11111111111011111111111111111111111111110011100101111111111111111010001111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111001111111111111111111100111111111010001 -10101101101111111111111110110111111111110111111010010111111101001111101101011111 -11111110111011111111111111111111 -11111111111111111111111111111111111111111101111111111011111111110111111111100011 -11010110100111111111111101101111111111110011111101001111111111110011010010101011 -11111111110101111111111111111111 -11111111111111111111111111111111111111111111111111111110111111101111111111110011 -11011110110111111111111011110111111111100111111111101101111111111010011111111111 -11111110110111011111111111111111 -11111111111111111111111111111111111111111011111111111111101101111111111111110010 -11011010011111111111101101010111111111110110110110111111111111001101011110111101 -11111111100111011111111111111111 -11111111111111111111111111111111111111111111111111111000100111111111110001100011 -10101111110111111111111111111111001101111110101101011111111110110111111111111101 -11111111111011111001111101111111 -11111111111111111111111111111111111111111111111111111000101001111111101101100111 -11000111101111111111111111111111011111111110110110011111111111111011011111111001 -11111111111101111100101111111111 -11111111111111111111111111111111111111111111111111111100111101111111101101111101 -11111101111111111111111111111110111111111001110111111111111111111011011111111111 -01111111111111111111110100111111 -11111111111111111111111111111111111111111111111111111000111111111111101111110011 -11011111111111111111111111111011111111111011010111111111111111101100011111111111 -11111111111111111011011111111111 -11111111111111111111111111111111111111111001111111111110111111110111111111110011 -11101101111101111111111110110111111111110001011001001101111111111101101101111111 -11111111111011111111111111111111 -11111111111111111111111111111111111111111101111111111100101111111111111111111111 -11010111111010111111111101101111111111111110111111011011111111111011111011111111 -11111111111101111111111111111111 -11111111111111111111111111111111111111111111111111110100111111111111111111011111 -11101101111111011111111111111111111111011011110110010101111101000111111101111111 -11111111111111111111111111011111 -11111111111111111111111111111111111111111011111111111100110111101111111111110001 -10011011111111111111111011011111111111111011111101011101111111101111010101111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -11111111111111111111111111111111 -11111111111111111111111101111111111111111111111111111111111111110111111111111111 -11111111111111111111111111111111111111111111111111111111111111111101111111101111 -11111111111111011111111111111111 -11111111111111111111111110111111111111111111111111111111111111111111111111111111 -11111111111111110101110011111111111111111111111111111111111101111110111111101111 -11111111111111111111111111111111 -11111111111111111111111111111111111111110101111111111111111111011111111111111111 -11111111111111110101111111010111111111111111111101111111111111111111110101111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111011111111111111111111111111111111111111111110111111110011111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111011111111111111111111101111111111111111 -11111111111111111011111111101111111111111111111110111111111111111111111011111111 -11001111111100111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111110111111111111111111111111111111111111111111111111111110111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111110111111111111111111111011111111111111110 -10111111111111110111101011011110111111111111111101011111111111111111110111111111 -11111111111101111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111110111111110001111111110011111111001111111111111111111100111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111001111111100111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111101111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101111111111111111111 -11111111111111111111111111110111111111111111111111011111111111111111111001111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111110 -11111111111111111111111111101111111111111111111110111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111110111111111111111111111011110010100100111100111111001010 -01001111011101110011000100111101100111001100010011110010111100101001001111001111 -11001010010011110111101111111111 -11111111001011111111011110111100101001001111011110110010110011011101111011111111 -11111111111011110011000100111101100111001100010011110110101100110001001111011010 -11001010010011110111101111111111 -11111111111111111111111111111111111111111111111111110010100100111100111111111111 -11111111111111110011000100111100100111111111111111111111111100110001001111011010 -11111111111111111111111111111111 -11111111111111111111111111111111111111111111111111110011011111110111101111111111 -11111111111111110010100100111100111111111111111111111111111100110001001111011010 -11111111111111111111111111111111 -* -CA8D9* -N User Electronic Signature Data* -U00000000000000000000000000000000* -2290 diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.log b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.log deleted file mode 100644 index d0353b8..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.log +++ /dev/null @@ -1,4 +0,0 @@ ----- MParTrce Tool Log File ---- - -==== Par Standard Out ==== -==== End of Par Standard Out ==== diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lpf b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lpf deleted file mode 100644 index 2743d95..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lpf +++ /dev/null @@ -1,4 +0,0 @@ -#BLOCK ASYNCPATHS; -#BLOCK RESETPATHS; - -#FREQUENCY 200.000000 MHz; diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lsedata b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lsedata deleted file mode 100644 index f0b54bc..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lsedata +++ /dev/null @@ -1,5981 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp deleted file mode 100644 index d38f4ce..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp +++ /dev/null @@ -1,402 +0,0 @@ - - Lattice Mapping Report File for Design Module 'RAM2GS' - - -Design Information ------------------- - -Command line: map -a MachXO -p LCMXO256C -t TQFP100 -s 3 -oc Commercial - RAM2GS_LCMXO256C_impl1.ngd -o RAM2GS_LCMXO256C_impl1_map.ncd -pr - RAM2GS_LCMXO256C_impl1.prf -mp RAM2GS_LCMXO256C_impl1.mrp -lpf C:/Users/Dog - /Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1. - lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_L - CMXO256C.lpf -c 0 -gui -msgset - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml -Target Vendor: LATTICE -Target Device: LCMXO256CTQFP100 -Target Performance: 3 -Mapper: mj5g00, version: Diamond (64-bit) 3.12.0.240.2 -Mapped on: 08/16/21 21:32:26 - -Design Summary --------------- - - Number of PFU registers: 102 out of 256 (40%) - Number of SLICEs: 65 out of 128 (51%) - SLICEs as Logic/ROM: 65 out of 128 (51%) - SLICEs as RAM: 0 out of 64 (0%) - SLICEs as Carry: 9 out of 128 (7%) - Number of LUT4s: 129 out of 256 (50%) - Number used as logic LUTs: 111 - Number used as distributed RAM: 0 - Number used as ripple logic: 18 - Number used as shift registers: 0 - Number of external PIOs: 67 out of 78 (86%) - Number of GSRs: 0 out of 1 (0%) - JTAG used : No - Readback used : No - Oscillator used : No - Startup used : No - Number of TSALL: 0 out of 1 (0%) - Notes:- - 1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of - distributed RAMs) + 2*(Number of ripple logic) - 2. Number of logic LUT4s does not include count of distributed RAM and - ripple logic. - Number of clocks: 4 - Net RCLK_c: 39 loads, 39 rising, 0 falling (Driver: PIO RCLK ) - Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 ) - Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS ) - Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS ) - Number of Clock Enables: 13 - Net PHI2_N_114_enable_7: 2 loads, 2 LSLICEs - Net RCLK_c_enable_6: 1 loads, 1 LSLICEs - Net RCLK_c_enable_4: 3 loads, 3 LSLICEs - Net RCLK_c_enable_24: 2 loads, 2 LSLICEs - Net RCLK_c_enable_3: 1 loads, 1 LSLICEs - Net RCLK_c_enable_7: 1 loads, 1 LSLICEs - Net RCLK_c_enable_23: 8 loads, 8 LSLICEs - Net PHI2_N_114_enable_1: 1 loads, 1 LSLICEs - Net PHI2_N_114_enable_8: 1 loads, 1 LSLICEs - Net PHI2_N_114_enable_6: 2 loads, 2 LSLICEs - Net PHI2_N_114_enable_2: 1 loads, 1 LSLICEs - - Page 1 - - - - -Design: RAM2GS Date: 08/16/21 21:32:26 - -Design Summary (cont) ---------------------- - Net RCLK_c_enable_25: 1 loads, 1 LSLICEs - Net Ready_N_268: 1 loads, 1 LSLICEs - Number of LSRs: 9 - Net RASr2: 1 loads, 1 LSLICEs - Net C1Submitted_N_225: 2 loads, 2 LSLICEs - Net n2299: 1 loads, 1 LSLICEs - Net nRowColSel_N_35: 1 loads, 1 LSLICEs - Net nRowColSel_N_34: 1 loads, 1 LSLICEs - Net LEDEN_N_88: 1 loads, 1 LSLICEs - Net n2291: 2 loads, 2 LSLICEs - Net Ready: 7 loads, 7 LSLICEs - Net nRWE_N_173: 1 loads, 1 LSLICEs - Number of nets driven by tri-state buffers: 0 - Top 10 highest fanout non-clock nets: - Net Ready: 19 loads - Net InitReady: 17 loads - Net RASr2: 16 loads - Net nRowColSel_N_35: 14 loads - Net nRowColSel: 13 loads - Net Din_c_6: 9 loads - Net MAin_c_1: 9 loads - Net Din_c_5: 8 loads - Net FS_11: 8 loads - Net MAin_c_0: 8 loads - - - - - Number of warnings: 0 - Number of errors: 0 - - -Design Errors/Warnings ----------------------- - - No errors or warnings present. - -IO (PIO) Attributes -------------------- - -+---------------------+-----------+-----------+------------+------------+ -| IO Name | Direction | Levelmode | IO | FIXEDDELAY | -| | | IO_TYPE | Register | | -+---------------------+-----------+-----------+------------+------------+ -| RD[7] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[6] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[5] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[4] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[3] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[2] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ - - Page 2 - - - - -Design: RAM2GS Date: 08/16/21 21:32:26 - -IO (PIO) Attributes (cont) --------------------------- -| RD[1] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[0] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[7] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[6] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[5] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[4] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[3] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[2] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[1] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[0] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| LED | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RBA[1] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RBA[0] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[11] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[10] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[9] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[8] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[7] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[6] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[5] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[4] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[3] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[2] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[1] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[0] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nRCS | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RCKE | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nRWE | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ - - Page 3 - - - - -Design: RAM2GS Date: 08/16/21 21:32:26 - -IO (PIO) Attributes (cont) --------------------------- -| nRRAS | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nRCAS | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RDQMH | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RDQML | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nUFMCS | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| UFMCLK | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| UFMSDI | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| PHI2 | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[9] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[8] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[7] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[6] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[5] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[4] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[3] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[2] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[1] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[0] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| CROW[1] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| CROW[0] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[7] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[6] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[5] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[4] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[3] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[2] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[1] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[0] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ - - Page 4 - - - - -Design: RAM2GS Date: 08/16/21 21:32:26 - -IO (PIO) Attributes (cont) --------------------------- -| nCCAS | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nCRAS | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nFWE | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RCLK | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| UFMSDO | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ - -Removed logic -------------- - -Block i2 undriven or does not drive anything - clipped. -Block GSR_INST undriven or does not drive anything - clipped. -Signal PHI2_N_114 was merged into signal PHI2_c -Signal nCRAS_N_9 was merged into signal nCRAS_c -Signal nCCAS_N_3 was merged into signal nCCAS_c -Signal n2302 was merged into signal nRowColSel_N_35 -Signal nRWE_N_172 was merged into signal nRWE_N_173 -Signal n2307 was merged into signal Ready -Signal RASr2_N_63 was merged into signal RASr2 -Signal n1377 was merged into signal nRowColSel_N_34 -Signal n2306 was merged into signal nFWE_c -Signal UFMSDO_N_74 was merged into signal UFMSDO_c -Signal GND_net undriven or does not drive anything - clipped. -Signal VCC_net undriven or does not drive anything - clipped. -Signal FS_577_add_4_14/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_16/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_12/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_2/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_4/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_6/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_18/CO1 undriven or does not drive anything - clipped. -Signal FS_577_add_4_18/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_8/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_10/CO0 undriven or does not drive anything - clipped. -Block i1962 was optimized away. -Block i1961 was optimized away. -Block i1963 was optimized away. -Block i1070_1_lut_rep_25 was optimized away. -Block nRWE_I_49_1_lut was optimized away. -Block i604_1_lut_rep_30 was optimized away. -Block RASr2_I_0_1_lut was optimized away. -Block i1069_1_lut was optimized away. -Block i1_1_lut_rep_29 was optimized away. -Block UFMSDO_I_0_1_lut was optimized away. -Block i1 was optimized away. - -Run Time and Memory Usage -------------------------- - - Total CPU Time: 0 secs - Total REAL Time: 0 secs - Peak Memory Usage: 29 MB - - Page 5 - - - - -Design: RAM2GS Date: 08/16/21 21:32:26 - -Run Time and Memory Usage (cont) --------------------------------- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Page 6 - - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. - Copyright (c) 1995 AT&T Corp. All rights reserved. - Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. - Copyright (c) 2001 Agere Systems All rights reserved. - Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights - reserved. diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mt b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mt deleted file mode 100644 index 2d70ad1..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mt +++ /dev/null @@ -1,9 +0,0 @@ --v -1 - - --gt - - --mapchkpnt 0 --sethld diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.n2e b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.n2e deleted file mode 100644 index c5da0e1..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.n2e +++ /dev/null @@ -1,574 +0,0 @@ - -comp 0: SLICE_0 (FSLICE) - -comp 1: SLICE_1 (FSLICE) - -comp 2: SLICE_2 (FSLICE) - -comp 3: SLICE_3 (FSLICE) - -comp 4: SLICE_4 (FSLICE) - -comp 5: SLICE_5 (FSLICE) - -comp 6: SLICE_6 (FSLICE) - -comp 7: SLICE_7 (FSLICE) - -comp 8: SLICE_8 (FSLICE) - -comp 9: SLICE_9 (FSLICE) -n1361 = ((ADSubmitted*(~MAin_c_1+n2290))+ADSubmitted_N_234) -ADSubmitted.D = n1361 -ADSubmitted.CLK = ~PHI2_c -ADSubmitted.SP = VCC -ADSubmitted.LSR = C1Submitted_N_225 -n2080 = (~MAin_c_0*(~ADSubmitted*n2122)) - -comp 10: SLICE_14 (FSLICE) -n2386 = GND -C1Submitted.D = n2386 -C1Submitted.CLK = ~PHI2_c -C1Submitted.SP = PHI2_N_114_enable_1 -C1Submitted.LSR = C1Submitted_N_225 -n2098 = (MAin_c_0*(~C1Submitted*(MAin_c_1*n2108))) - -comp 11: SLICE_18 (FSLICE) -CmdEnable_N_236 = (ADSubmitted_N_234+C1Submitted_N_225) -CmdEnable.D = CmdEnable_N_236 -CmdEnable.CLK = ~PHI2_c -CmdEnable.SP = PHI2_N_114_enable_8 -CmdEnable.LSR = GND -XOR8MEG_N_112 = (~n2290*(CmdEnable*(MAin_c_0*~MAin_c_1))) - -comp 12: SLICE_19 (FSLICE) -n2387\000/BUF1 = VCC -CmdSubmitted.D = n2387\000/BUF1 -CmdSubmitted.CLK = ~PHI2_c -CmdSubmitted.SP = PHI2_N_114_enable_6 -CmdSubmitted.LSR = GND -n2308 = (~PHI2r2*(CmdSubmitted*PHI2r3)) - -comp 13: SLICE_23 (FSLICE) -Cmdn8MEGEN_N_248 = (~n2296*(~Din_c_5*~Din_c_0+Din_c_5*n8MEGEN)+n2296*n8MEGEN) -Cmdn8MEGEN.D = Cmdn8MEGEN_N_248 -Cmdn8MEGEN.CLK = ~PHI2_c -Cmdn8MEGEN.SP = PHI2_N_114_enable_6 -Cmdn8MEGEN.LSR = GND -n2296 = (~Din_c_4+(Din_c_6+Din_c_7)) - -comp 14: SLICE_25 (FSLICE) -n2387 = VCC -InitReady.D = n2387 -InitReady.CLK = RCLK_c -InitReady.SP = RCLK_c_enable_6 -InitReady.LSR = GND -RCLK_c_enable_24 = (~InitReady+(~PHI2r2*(CmdSubmitted*PHI2r3))) - -comp 15: SLICE_31 (FSLICE) -RA11_N_180 = (~n8MEGEN*(XOR8MEG@Din_c_6)+n8MEGEN*XOR8MEG) -RA_c.D = RA11_N_180 -RA_c.CLK = PHI2_c -RA_c.SP = VCC -RA_c.LSR = ~Ready -n2385 = (Din_c_6+Din_c_7) - -comp 16: SLICE_33 (FSLICE) -RCKEEN_N_115 = (~Ready*InitReady+Ready*RCKEEN_N_116) -RCKEEN.D = RCKEEN_N_115 -RCKEEN.CLK = RCLK_c -RCKEEN.SP = RCLK_c_enable_4 -RCKEEN.LSR = GND -RCLK_c_enable_7 = (~n2119*(InitReady*n2308)+n2119*(~InitReady*~FS_5+InitReady*n2308)) - -comp 17: SLICE_34 (FSLICE) -RCKE_N_128 = (~RASr3*(~RASr2*(RCKEEN*RASr)+RASr2*RCKEEN)+RASr3*(~RASr2+RCKEEN)) -RCKE_c.D = RCKE_N_128 -RCKE_c.CLK = RCLK_c -RCKE_c.SP = VCC -RCKE_c.LSR = GND -nRWE_N_178 = (~RCKE_c+RASr2) -CASr2.D = CASr -CASr2.CLK = RCLK_c -CASr2.SP = VCC -CASr2.LSR = GND - -comp 18: SLICE_35 (FSLICE) -n2387\001/BUF1 = VCC -Ready.D = n2387\001/BUF1 -Ready.CLK = RCLK_c -Ready.SP = Ready_N_268 -Ready.LSR = GND -RCLK_c_enable_23 = (InitReady*(RASr2*(nRowColSel_N_35*~Ready))) - -comp 19: SLICE_42 (FSLICE) -UFMCLK_N_212 = (~n2076*(~InitReady*FS_4+InitReady*CmdUFMCLK)+n2076*(InitReady*CmdUFMCLK)) -UFMCLK_c.D = UFMCLK_N_212 -UFMCLK_c.CLK = RCLK_c -UFMCLK_c.SP = RCLK_c_enable_24 -UFMCLK_c.LSR = n2291 -RCLK_c_enable_6 = (n2076*FS_10) - -comp 20: SLICE_43 (FSLICE) -UFMSDI_N_219 = (~InitReady*n1895+InitReady*CmdUFMSDI) -UFMSDI_c.D = UFMSDI_N_219 -UFMSDI_c.CLK = RCLK_c -UFMSDI_c.SP = RCLK_c_enable_24 -UFMSDI_c.LSR = n2291 -n1895 = (~FS_10*(n2103*(~n2293*FS_6))) - -comp 21: SLICE_55 (FSLICE) -n2128 = (((n2297+n2301)+nRCAS_N_161)+Ready) -n980.D = n2128 -n980.CLK = RCLK_c -n980.SP = VCC -n980.LSR = ~nRWE_N_173 -n2301 = (~InitReady+~RASr2) - -comp 22: SLICE_56 (FSLICE) -n8MEGEN_N_94 = (~n4*(~FS_10*Cmdn8MEGEN+FS_10*~UFMSDO_c)+n4*Cmdn8MEGEN) -n8MEGEN.D = n8MEGEN_N_94 -n8MEGEN.CLK = RCLK_c -n8MEGEN.SP = RCLK_c_enable_7 -n8MEGEN.LSR = GND -n4 = ((~FS_11+n2300)+InitReady) - -comp 23: SLICE_58 (FSLICE) -nRCAS_N_157 = (~nRowColSel_N_35*(~n2117+~Ready)+nRowColSel_N_35*n2287) -nRCAS_c.D = nRCAS_N_157 -nRCAS_c.CLK = RCLK_c -nRCAS_c.SP = RCLK_c_enable_4 -nRCAS_c.LSR = GND -n2287 = (~n2248*(~Ready*~RASr2+Ready*(~RASr2+~CBR))+n2248*(~Ready+(~RASr2+~CBR))) - -comp 24: SLICE_60 (FSLICE) -nRCS_N_132 = (((~RCKE_c*nRowColSel_N_35*~RASr2)+(~n2117*~nRowColSel_N_35))*Ready)+((~InitReady+nRCS_N_135+~RASr2+~nRowColSel_N_35)*~Ready) -nRCS_c.D = nRCS_N_132 -nRCS_c.CLK = RCLK_c -nRCS_c.SP = RCLK_c_enable_4 -nRCS_c.LSR = GND - -comp 25: SLICE_61 (FSLICE) -n33 = (~Ready*(n2244+n2297)+Ready*(n2244+n18)) -nRRAS_c.D = n33 -nRRAS_c.CLK = RCLK_c -nRRAS_c.SP = VCC -nRRAS_c.LSR = GND -n50 = ((nRowColSel_N_33+nRRAS_c)+nRowColSel_N_32) -RASr.D = ~nCRAS_c -RASr.CLK = RCLK_c -RASr.SP = VCC -RASr.LSR = GND - -comp 26: SLICE_62 (FSLICE) -n1369 = (~n2308*nUFMCS_c+n2308*~CmdUFMCS) -nRWE_N_173.D = n705 -nRWE_N_173.CLK = RCLK_c -nRWE_N_173.SP = RCLK_c_enable_23 -nRWE_N_173.LSR = GND -nRCS_N_135.D = Ready_N_272 -nRCS_N_135.CLK = RCLK_c -nRCS_N_135.SP = RCLK_c_enable_23 -nRCS_N_135.LSR = GND - -comp 27: SLICE_63 (FSLICE) -nRWE_N_167 = (~n2292*(~Ready*~n2164+Ready*nRWE_N_174)+n2292*(~Ready+nRWE_N_174)) -nRWE_c.D = nRWE_N_167 -nRWE_c.CLK = RCLK_c -nRWE_c.SP = RCLK_c_enable_3 -nRWE_c.LSR = GND -nRWE_N_174 = (~nRowColSel_N_35*(~n1+n1627)+nRowColSel_N_35*nRWE_N_178) - -comp 28: SLICE_64 (FSLICE) -n1368 = (~nRowColSel_N_32*(nRowColSel+n1627)+nRowColSel_N_32*(~nRowColSel_N_28+n1627)) -nRowColSel.D = n1368 -nRowColSel.CLK = RCLK_c -nRowColSel.SP = VCC -nRowColSel.LSR = n2299 -RA_c_4 = (~nRowColSel*RowA_4+nRowColSel*MAin_c_4) - -comp 29: SLICE_65 (FSLICE) -n1628 = (nRowColSel_N_32+nRowColSel_N_33) -nRowColSel_N_32.D = n1628 -nRowColSel_N_32.CLK = RCLK_c -nRowColSel_N_32.SP = VCC -nRowColSel_N_32.LSR = ~RASr2 -RCLK_c_enable_4 = (((nRowColSel_N_32+n2299)+nRowColSel_N_34)+nRowColSel_N_33) - -comp 30: SLICE_66 (FSLICE) -n1135 = (RASr2*~nRowColSel_N_32) -nRowColSel_N_33.D = n1135 -nRowColSel_N_33.CLK = RCLK_c -nRowColSel_N_33.SP = VCC -nRowColSel_N_33.LSR = ~nRowColSel_N_34 -n2117 = (~nRowColSel_N_33*(n1*~nRowColSel_N_34)+nRowColSel_N_33*(~n2304*~nRowColSel_N_34)) - -comp 31: SLICE_67 (FSLICE) -LED_N_90 = (~LEDEN+nCRAS_c) -nRowColSel_N_34.D = n1135 -nRowColSel_N_34.CLK = RCLK_c -nRowColSel_N_34.SP = VCC -nRowColSel_N_34.LSR = ~nRowColSel_N_35 -n2154 = (MAin_c_4*Bank_7) - -comp 32: SLICE_68 (FSLICE) -n2168 = (FS_3*(FS_2*(FS_0*FS_1))) -nRowColSel_N_35.D = ~RASr2 -nRowColSel_N_35.CLK = RCLK_c -nRowColSel_N_35.SP = VCC -nRowColSel_N_35.LSR = GND -n962 = (nCCAS_c+nFWE_c) -CASr3.D = CASr2 -CASr3.CLK = RCLK_c -CASr3.SP = VCC -CASr3.LSR = GND - -comp 33: SLICE_69 (FSLICE) -n1348 = (~InitReady*n2076+InitReady*n1369) -nUFMCS_c.D = n1348 -nUFMCS_c.CLK = RCLK_c -nUFMCS_c.SP = VCC -nUFMCS_c.LSR = LEDEN_N_88 -n2076 = (FS_17*(FS_11*(n12_adj_2*FS_15))) - -comp 34: i1912/SLICE_70 (FSLICE) -n2244 = (((~RCKE_c*nRowColSel_N_35*~RASr2)+(~nRowColSel_N_35*n50))*Ready)+(((~nRowColSel_N_35*n50)+~InitReady+~RASr2)*~Ready) - -comp 35: RCKEEN_I_0_419/SLICE_71 (FSLICE) -RCKEEN_N_116 = (((~FWEr*~CBR)+~RASr2)*nRowColSel_N_35)+(((FWEr*n11_adj_3*~CBR)+(nRowColSel_N_34*~CBR))*~nRowColSel_N_35) - -comp 36: SLICE_72 (FSLICE) -PHI2_N_114_enable_7 = (Din_c_5*(~n2296*(n2298*XOR8MEG_N_112))) -n702.D = n703 -n702.CLK = RCLK_c -n702.SP = RCLK_c_enable_23 -n702.LSR = GND -n2298 = (((Din_c_6+Din_c_7)+Din_c_5)+Din_c_4) -n701.D = n702 -n701.CLK = RCLK_c -n701.SP = RCLK_c_enable_23 -n701.LSR = GND - -comp 37: SLICE_73 (FSLICE) -n11 = (~n2168+((~FS_11+n2300)+FS_6)) -n706.D = n707 -n706.CLK = RCLK_c -n706.SP = RCLK_c_enable_23 -n706.LSR = GND -n2300 = ((FS_16+n10)+FS_17) -n705.D = n706 -n705.CLK = RCLK_c -n705.SP = RCLK_c_enable_23 -n705.LSR = GND - -comp 38: SLICE_74 (FSLICE) -C1Submitted_N_225 = (~n2131*(~Din_c_2*(n2295*n2122))) -n710.D = n711 -n710.CLK = RCLK_c -n710.SP = RCLK_c_enable_23 -n710.LSR = GND -n2295 = (n2114*~nFWE_c) -n709.D = n710 -n709.CLK = RCLK_c -n709.SP = RCLK_c_enable_23 -n709.LSR = GND - -comp 39: SLICE_75 (FSLICE) -n2119 = (~n12*(~n11*(FS_10*n2294))) -n708.D = n709 -n708.CLK = RCLK_c -n708.SP = RCLK_c_enable_23 -n708.LSR = GND -RCLK_c_enable_25 = (n2119*(FS_5*~InitReady)) -n707.D = n708 -n707.CLK = RCLK_c -n707.SP = RCLK_c_enable_23 -n707.LSR = GND - -comp 40: SLICE_76 (FSLICE) -n2131 = ((~MAin_c_1+n1285)+MAin_c_0) -WRD_0.D = Din_c_0 -WRD_0.CLK = ~nCCAS_c -WRD_0.SP = VCC -WRD_0.LSR = GND -n1285 = (~MAin_c_5+(~n2170+(~Bank_3+n26))) -WRD_1.D = Din_c_1 -WRD_1.CLK = ~nCCAS_c -WRD_1.SP = VCC -WRD_1.LSR = GND - -comp 41: SLICE_77 (FSLICE) -PHI2_N_114_enable_8 = (~MAin_c_1*(~n2286*(~n2290*MAin_c_0))+MAin_c_1*(~n2286*~n2290)) -RowA_2.D = MAin_c_2 -RowA_2.CLK = ~nCRAS_c -RowA_2.SP = VCC -RowA_2.LSR = ~Ready -n2286 = (n2114*(~Din_c_2*n2080+Din_c_2*n2098)) -RowA_3.D = MAin_c_3 -RowA_3.CLK = ~nCRAS_c -RowA_3.SP = VCC -RowA_3.LSR = ~Ready - -comp 42: SLICE_78 (FSLICE) -n10 = (((FS_14+FS_13)+FS_12)+FS_15) -CASr.D = ~nCCAS_c -CASr.CLK = RCLK_c -CASr.SP = VCC -CASr.LSR = GND -n2294 = (((FS_16+n10)+FS_17)+FS_11) -PHI2r2.D = PHI2r -PHI2r2.CLK = RCLK_c -PHI2r2.SP = VCC -PHI2r2.LSR = GND - -comp 43: SLICE_79 (FSLICE) -n1627 = (nRowColSel_N_34+nRowColSel_N_33) -WRD_2.D = Din_c_2 -WRD_2.CLK = ~nCCAS_c -WRD_2.SP = VCC -WRD_2.LSR = GND -RCLK_c_enable_3 = (((~Ready+nRowColSel_N_32)+n1627)+nRowColSel_N_35) -WRD_3.D = Din_c_3 -WRD_3.CLK = ~nCCAS_c -WRD_3.SP = VCC -WRD_3.LSR = GND - -comp 44: SLICE_80 (FSLICE) -ADSubmitted_N_234 = (~n2289*(n4_adj_1*(MAin_c_0*n2108))) -WRD_6.D = Din_c_6 -WRD_6.CLK = ~nCCAS_c -WRD_6.SP = VCC -WRD_6.LSR = GND -n2289 = (~MAin_c_1+n1285) -WRD_7.D = Din_c_7 -WRD_7.CLK = ~nCCAS_c -WRD_7.SP = VCC -WRD_7.LSR = GND - -comp 45: SLICE_81 (FSLICE) -n4_adj_1 = (n2114*(Din_c_2*~nFWE_c)) -RowA_8.D = MAin_c_8 -RowA_8.CLK = ~nCRAS_c -RowA_8.SP = VCC -RowA_8.LSR = ~Ready -n2114 = (Din_c_7*(~Din_c_4*(~Din_c_1*Din_c_0))) -RowA_9.D = MAin_c_9 -RowA_9.CLK = ~nCRAS_c -RowA_9.SP = VCC -RowA_9.LSR = ~Ready - -comp 46: SLICE_82 (FSLICE) -n2166 = (Bank_6*(MAin_c_2*(Bank_5*Bank_0))) -RowA_0.D = MAin_c_0 -RowA_0.CLK = ~nCRAS_c -RowA_0.SP = VCC -RowA_0.LSR = ~Ready -n26 = (~MAin_c_6+(~n2154+(~n2166+Bank_2))) -RowA_1.D = MAin_c_1 -RowA_1.CLK = ~nCRAS_c -RowA_1.SP = VCC -RowA_1.LSR = ~Ready - -comp 47: SLICE_83 (FSLICE) -n2245 = (InitReady*(Ready_N_272*(~RASr2*nRowColSel_N_32))) -CmdUFMCLK.D = Din_c_1 -CmdUFMCLK.CLK = ~PHI2_c -CmdUFMCLK.SP = PHI2_N_114_enable_7 -CmdUFMCLK.LSR = GND -Ready_N_268 = (n2245+Ready) -CmdUFMCS.D = Din_c_2 -CmdUFMCS.CLK = ~PHI2_c -CmdUFMCS.SP = PHI2_N_114_enable_7 -CmdUFMCS.LSR = GND - -comp 48: SLICE_84 (FSLICE) -nRowColSel_N_28 = ((~FWEr+CASr3)+CBR) -nRCAS_N_161.D = nRCS_N_135 -nRCAS_N_161.CLK = RCLK_c -nRCAS_N_161.SP = RCLK_c_enable_23 -nRCAS_N_161.LSR = GND -n1 = (~CASr3*(CASr2*(FWEr*~CBR))) -n703.D = nRWE_N_173 -n703.CLK = RCLK_c -n703.SP = RCLK_c_enable_23 -n703.LSR = GND - -comp 49: SLICE_85 (FSLICE) -n12 = (((~FS_4+FS_9)+FS_8)+FS_7) -PHI2r3.D = PHI2r2 -PHI2r3.CLK = RCLK_c -PHI2r3.SP = VCC -PHI2r3.LSR = GND -n2103 = (~FS_9*(FS_7*~FS_8)+FS_9*(FS_5*(~FS_7*~FS_8))) -PHI2r.D = PHI2_c -PHI2r.CLK = RCLK_c -PHI2r.SP = VCC -PHI2r.LSR = GND - -comp 50: SLICE_86 (FSLICE) -n2291 = (~InitReady*(~n2300*~FS_11)) -RowA_6.D = MAin_c_6 -RowA_6.CLK = ~nCRAS_c -RowA_6.SP = VCC -RowA_6.LSR = ~Ready -LEDEN_N_88 = (~InitReady*(~FS_10*(~n2300*~FS_11))) -RowA_7.D = MAin_c_7 -RowA_7.CLK = ~nCRAS_c -RowA_7.SP = VCC -RowA_7.LSR = ~Ready - -comp 51: SLICE_87 (FSLICE) -n2122 = (~Din_c_5*(Din_c_6*~Din_c_3)) -Ready_N_272.D = n699 -Ready_N_272.CLK = RCLK_c -Ready_N_272.SP = RCLK_c_enable_23 -Ready_N_272.LSR = GND -n2108 = (Din_c_3*(Din_c_5*~Din_c_6)) -n711.D = nRCAS_N_161 -n711.CLK = RCLK_c -n711.SP = RCLK_c_enable_23 -n711.LSR = GND - -comp 52: SLICE_88 (FSLICE) -RDQMH_c = (~nRowColSel+MAin_c_9) -CmdUFMSDI.D = Din_c_0 -CmdUFMSDI.CLK = ~PHI2_c -CmdUFMSDI.SP = PHI2_N_114_enable_7 -CmdUFMSDI.LSR = GND -RA_c_9 = (~nRowColSel*RowA_9+nRowColSel*MAin_c_9) - -comp 53: SLICE_89 (FSLICE) -n2290 = (nFWE_c+n1285) -LEDEN.D = ~UFMSDO_c -LEDEN.CLK = RCLK_c -LEDEN.SP = RCLK_c_enable_25 -LEDEN.LSR = GND -PHI2_N_114_enable_1 = (MAin_c_1*(~n1285*~nFWE_c)) - -comp 54: SLICE_90 (FSLICE) -PHI2_N_114_enable_6 = (Din_c_4*(XOR8MEG_N_112*(~Din_c_7*~Din_c_6))) -n700.D = n701 -n700.CLK = RCLK_c -n700.SP = RCLK_c_enable_23 -n700.LSR = GND -PHI2_N_114_enable_2 = (XOR8MEG_N_112*(~Din_c_5*(~Din_c_4*~n2385))) -n699.D = n700 -n699.CLK = RCLK_c -n699.SP = RCLK_c_enable_23 -n699.LSR = GND - -comp 55: SLICE_91 (FSLICE) -n2248 = (~InitReady+(nRCAS_N_161+nRCS_N_135)) -CBR.D = ~nCCAS_c -CBR.CLK = ~nCRAS_c -CBR.SP = VCC -CBR.LSR = GND -n2292 = (~RASr2+(~InitReady+(~nRowColSel_N_35+nRCS_N_135))) -FWEr.D = ~nFWE_c -FWEr.CLK = ~nCRAS_c -FWEr.SP = VCC -FWEr.LSR = GND - -comp 56: SLICE_92 (FSLICE) -RDQML_c = (~nRowColSel+~MAin_c_9) -RowA_4.D = MAin_c_4 -RowA_4.CLK = ~nCRAS_c -RowA_4.SP = VCC -RowA_4.LSR = ~Ready -RA_c_0 = (~nRowColSel*RowA_0+nRowColSel*MAin_c_0) -RowA_5.D = MAin_c_5 -RowA_5.CLK = ~nCRAS_c -RowA_5.SP = VCC -RowA_5.LSR = ~Ready - -comp 57: SLICE_93 (FSLICE) -n12_adj_2 = (FS_12*(FS_13*(FS_16*FS_14))) -RASr2.D = RASr -RASr2.CLK = RCLK_c -RASr2.SP = VCC -RASr2.LSR = GND -n2293 = (~FS_11+((FS_16+n10)+FS_17)) -RASr3.D = RASr2 -RASr3.CLK = RCLK_c -RASr3.SP = VCC -RASr3.LSR = GND - -comp 58: SLICE_94 (FSLICE) -RA_c_1 = (~nRowColSel*RowA_1+nRowColSel*MAin_c_1) -Bank_0.D = Din_c_0 -Bank_0.CLK = PHI2_c -Bank_0.SP = VCC -Bank_0.LSR = GND -RA_c_3 = (~nRowColSel*RowA_3+nRowColSel*MAin_c_3) -Bank_1.D = Din_c_1 -Bank_1.CLK = PHI2_c -Bank_1.SP = VCC -Bank_1.LSR = GND - -comp 59: SLICE_95 (FSLICE) -RA_c_8 = (~nRowColSel*RowA_8+nRowColSel*MAin_c_8) -Bank_6.D = Din_c_6 -Bank_6.CLK = PHI2_c -Bank_6.SP = VCC -Bank_6.LSR = GND -RA_c_2 = (~nRowColSel*RowA_2+nRowColSel*MAin_c_2) -Bank_7.D = Din_c_7 -Bank_7.CLK = PHI2_c -Bank_7.SP = VCC -Bank_7.LSR = GND - -comp 60: SLICE_96 (FSLICE) -n2299 = (~Ready+nRowColSel_N_35) -XOR8MEG.D = Din_c_0 -XOR8MEG.CLK = ~PHI2_c -XOR8MEG.SP = PHI2_N_114_enable_2 -XOR8MEG.LSR = GND -n2297 = (~nRowColSel_N_35+nRCS_N_135) - -comp 61: SLICE_97 (FSLICE) -RA_c_7 = (~nRowColSel*RowA_7+nRowColSel*MAin_c_7) -Bank_4.D = Din_c_4 -Bank_4.CLK = PHI2_c -Bank_4.SP = VCC -Bank_4.LSR = GND -n2170 = (Bank_1*(Bank_4*(MAin_c_3*MAin_c_7))) -Bank_5.D = Din_c_5 -Bank_5.CLK = PHI2_c -Bank_5.SP = VCC -Bank_5.LSR = GND - -comp 62: SLICE_98 (FSLICE) -RA_c_6 = (~nRowColSel*RowA_6+nRowColSel*MAin_c_6) -Bank_2.D = Din_c_2 -Bank_2.CLK = PHI2_c -Bank_2.SP = VCC -Bank_2.LSR = GND -RA_c_5 = (~nRowColSel*RowA_5+nRowColSel*MAin_c_5) -Bank_3.D = Din_c_3 -Bank_3.CLK = PHI2_c -Bank_3.SP = VCC -Bank_3.LSR = GND - -comp 63: SLICE_99 (FSLICE) -n2164 = (nRCAS_N_161+nRWE_N_173) -RBA_c_0.D = CROW_c_0 -RBA_c_0.CLK = ~nCRAS_c -RBA_c_0.SP = VCC -RBA_c_0.LSR = ~Ready -n18 = (nRowColSel_N_34*~nRowColSel_N_35) -RBA_c_1.D = CROW_c_1 -RBA_c_1.CLK = ~nCRAS_c -RBA_c_1.SP = VCC -RBA_c_1.LSR = ~Ready - -comp 64: SLICE_100 (FSLICE) -n11_adj_3 = (~CASr2+nRowColSel_N_33) -WRD_4.D = Din_c_4 -WRD_4.CLK = ~nCCAS_c -WRD_4.SP = VCC -WRD_4.LSR = GND -n2304 = (FWEr+CBR) -WRD_5.D = Din_c_5 -WRD_5.CLK = ~nCCAS_c -WRD_5.SP = VCC -WRD_5.LSR = GND diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ncd b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ncd deleted file mode 100644 index ea7b083f50e6de0377ea862e7ae988bd2b157371..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 154201 zcmeFa37nl(kv4uq$evDs1Va**hK6)Pg7m$;CkE5$?m(N)LMLG{VjCf11R6mYbPx>U z?kFAxCgNPe0gAAghjH086j-sDBir~zk9|OTrMzwz#H{EEOfBfwC z_dEC2ty5>KQ&p$V@}4_y-eZqVsC?$~(!|olfv$CxlQ)&NEj;+c6G{^&b`X0&9@|N**4G;>)t#Cn ztrRx6A`Q+rq9Qru8&Q!4=NnOx2Im`5kp|}*QIQ7c8&Q!4=b2uS25Sd-(+YXsEZBSo z%~G*^4>wE2X5^UDEESuc$4bTWlG7{|%d@vxdO{iL)h!f;7U_vRcUz<<^4x8ap2%~z zMH-yvZi|$b=WdHMIM19GX>gu7Ez;n;G_**AtLiHa*7|yb3+2338k}!Lt28*@h*oKE zz7eg3J=_}guvZ~cDn~{-<;X~-92qofQl@?DyVmsv8?vrz$I#-=t5o8uX~9X#_lCvIJ=j4H88d7 zFTBmAkGQARugN{Ni&F+k;&T8s)|X~B9T-hTs!sNnW|>Fc4}ejl!2Z?X;(X4Ggerd#6}!d zL9WSBwcttOsLg80YsLycYsLyYYsN}XC$UkbRlCwkN71TWHKSFxYDNpYau)rd{!P7u z-5WOzuIt)3xTdeam)_UGFMa7t2hV@W_Q99zICp691v}2)E~CSo4KLn)@wQ8L44qrw zec{kKJ1*IA;SkBEcW+p?u6Lljud83^rfuw7FQ!lj$&IJ7kiUP?dvp(hS)6tr&jJTHKz`K)T7}+w$=u zULN0?kC&eG_?CRUbfw2P=i{X>J-(8Um(KL~z<`YP+J0woM=re%L!Lg*A03Bg(vBB1 zNC%>bAkQK7ts{awi`31I2=Y8qk2@mBGfAEAh#=1;^}{2Ayqu^@9uZXWLHImrsJpvs zQ!2zFK#`2*C8$}17%2xr%1nBjMS2e$T621@Wa$yoYZLo>dvbUcR*ZVZ(yqhaj4VFi z2%RC!96)l_mOLAD#3}&LC!F@p*C6xrE0DW@X|k+7>Z0FHAcAdHSk& zVX4RGWlbj?9-kLAoql+HUea_7^!U7>>6FCd^9P%gh^4$LZ@c&!mB7g^lBC_EQ{hpw=#b7nHzhT3u6xGTc4FGSYe7`*>1%q#Ez~( zE&Qv_M3`5N7RFVhg=y7jVOTX z%@iG33h*^VpxDajU{aln4kZQn8Y0kE!xrcmQjkv#CbT8h%sMZzg&8(6g&8)n0y8%C zoh-A$javpdYn*agclY4X_De3l-RD@{=(-CGM%tWX|n1wJK;UI*A5e`9^gD@B2Pz3JX%tJT~VLrkFgoOx) zBOHNnB*IY$^$15J9D~q+a4f=c2#XPxARLdd6k!>{2?$R|AS0R($_Nz%ZWgs5v?8=2 zv?FvNbRsNAScz~F!ZQ(8A#@?EM(9T9LFh$TgK#p!DF}TC&q7#>(2uYVVLie|gi{d) z5H=xfM%aRI8p7!aXCR!3@N9&w2+u({3*os4g9y(<*oN?YgtHOOLD-J)0)%rBUWmXB zdJ)2T2V_dOA%g%@Ye{JBfK2p3WO^WUV*R^;VOhz zB3zB|Duh=fyawU52(LqUJ;ECh-iYuw2ya4oGr~0pZ$Y>g;cpS%itsjswcEIKn3oK8bJ}!lw{Ejqn+S&m#OI!tDs3L%0LspAhau_&ma02wy<>BEml-d_B76_weuVEM`~czq zAp8*FM+iSg_;-Y#Ap8{J0fe6+Jc#fR!p{-@1K~dr{tMw@gkK>165; zhOi6aafDwX{13vf5q^X4TZI2b_#MLU5&nSi1j25FJqW|9-V-L^`v8QA2nQleLYRy& z1z{?}G=%90GZ1DX)FI45n2m4{!odiKAk0CSi*P8y(-7t%9ELC-VFAKIgu@YzKsXZN zD1>^1qY;ilXh1j?;W&gv2#pAf5tbkvkFXSB8Nvw&Pe(Wrp$VakP(f%$XhCR2XhUd6 z=s@U1SdOp);TZ@k5l%vQCc-L&E`-$x-3UDhy$EX%PDVHdp%39%2x}4g5!NBBN7#U{ z5#dyX0fbEmn-R7koQ7~Z!Wjr>B0L*mE5dUS&O&%D!XU!)5Vj#aAK`3-a}c&8ya3@` zgcp`zU)O9JY;A8J9NdA{sLJv?!lQ)|es-YWcFsA2ErVsSD2nvVz@kDt*cRbuC7Goa zn2}YioMsk9q`Bp)mS*QwLoY^Ea6Ec8!lzYBYv5@h8BrR?Ls}wynpdszYq1g%STnD* z{D?DYW>G|%TaINlvrFq7WtTE7mtD%WC{@jJQoiM~ODl2kj&f6L>tOTX`IlY-NyKN$ zryZ3Lx9yx44W>1j-PN<{(&t~Wx^9N>z?icQhANx~}_zb9#riJ^%degU#)!{7xIHGvXPsfrZWODSHngUTab*l8adx7bt%z*QQF^=C-tM)3EAwF*KkxmHbSK zr1PayC@&biGI_yZhKE=TXBl?IPW+I^dqg#&TJ@%d-e^yIW7>{NrGO_>t!>PGrxbyY z>AIW-?W|I$N^5%o&neulqUDqu%nd7WgUy|(t{<9dgeR?}B!@t(J4^;C)|IsDC>l-Z z(6mz^0WHn#1+Dd-6vM^l?&Jrb8Kz;)ovCilR)#)bhTOcNJ9MZ*Vap;53Y~@}+OAEg zWSZ@y9p%nuX^j-mE{E4qI`HJK&G1r6>v!Tqo~c~og?6P~F&iD{Y%8aEyRD(bR?-E<`XT8QLjme9Q{AUB;8Lh@?a@#YG5~;>9}!jgMJrFA}|z zAAH<0iOnhMof(<@=X5WMNI5=hM~hm{g7(ig36-(Cl6JYPRLWz=r%an_#+Xgn$qzn< zW+E-_K}SIkdxo_tkP0+e=sH*(r`}<;sr7PFt#f=jZu+37Int=5te6I8pn$EDPao(R z+>sh0#ic?_Whiv3W)D@GI+|)2rYW!=U4uK)UJl5Vfm6}-)k2@^k)i=>DzyvBmE#l7 zjfj7dHjQI~I%?!lIjzHjEK-@M9ami|2B5U}y(S`TMY*M2tRqkf~tJ&G`;+%iGdqkg!Tit4LV& zJcToo%oR%+HZw$FAn!<}gX%vLtJJ3M6vKcISG=_Pku6JWJr(w%5a6eCC0wJ(#kZ#x?Xz%D;e2B`)=wa?2~Z$TVfd zskAqowW)M+fW#cFy3glVIWP2ZtEOf_G{mp&Nb5qUxjBsBIu}eeH)nS+wW`W}8V=fU zrqr2My2$fMyX~MI9WB;t)dCW6DXmfzks6XnfuxpDpq!c?o!K>!l4MPyKt`u@uO5Ii zofbb8=Z#=y+tSw3EOa&(bWyc`S;WI2VQG5;GL?~8rcGkTQiGN2tU@bA&mB77nl@@0 z(bTuJ2Gx}>G8ffUMd~+Y^|qn&@&jx@rdnQ|U(xzRH5KY#C7hvvoIYz+Qca*ArvoBo z0~6qCbxZkM==jb!W5WPfUtnoRYE(x-bty(|SmmhIjiS#YC z=$lEOa**`RwdgCPPdk+Km0I*=(x(h1eYqBW6X{cClfEgXpYHrm_&i0A&(9!E( zIPW>9mC&4XY`xf7@CQ{o)MojC0qCC+i%iPMf(;vB1;IQ6}hILGHH7_cek z36tfxY%JZ=sGL-WwD`$#JT~o%j5IA=NONUlpwUe8x$;nLKG#5+&lRM(T(KS{x#m@{ zYinnkrxB*(<|G`r?I~ZnCb#w6`dSMjq?i& zmwCcTvYwgb7?xZMC^4T-Ddik$mFB>b@px)dI#K<_l-N3s#t>V~$`^0vR}C ze41}qM=zq~3D-E^shm|+RrWw)6T0a#+dz(ksh&;I_ajmTa^h6ilsKntPMqqS66Z9| ziBp|Z;+)nwajJJpoYOofPIXU-bK2*`ss1T(P6M4dWvLS9w9tuDJyhbHCOUDdi%Oi+ z#_4>MM=WJ7XMMvb*Mk{xE@vUm9VbN!Z)rrjo_xn9diQ`QP;uFo>kl(9mZ=c~LjQ>C2YO`2GXr^zca zMUWegSjAmziEI#Q$4etd1j4HW)&$H%G*?lR*0EL!Pg&cv zmbKMila&jBO!yEYw6Xf*zzu882)R#MbA~5YHaSfwc&c$MWV_DGo7UC8r82l~OaEq# zn+RqqG+Fr>ekez?A71?f$yc(25-?d#ozAj1E&FHFzvaz|YyG#J6h2f%H899UwYf*?{}(8#lU!5}92ZqN7F{H& za*Df#sOp=##iFV&tEeWVqWU%$O%c_%`fm}{!&Ou(s#q?nt!`C67EIGqZ&jaKb2`;! zbjrAl%5i;_(by>JJ0dD+d4t(5uYS1r#_8JS)d!W{R6=*SM2du->%T=pdsIT3^Ag(J z{g-M?XSkqF9~V@4m!!a$mPMLe8k2FqETUCxOgrUmoFZfT4i`pHcuR0Zps=P;5OH?zf+EnG-R`gniB3XTx%cjWcJN-9hb;4AY)z&Im z^@0Bjf7-w=Qw&U<_R+LTvKwh)g)`)>fBMAgV3*p1#-&zX$}5uEY)CIx3#Q(KQS2w^ z8?r^dK!*#d2<^N5w+QWA723AE(6;==8rZoms&mFgRo)pb5Y?u=`2tNk-pf)-eu1E( zx2Y5v*tfWBO75@s-y*AFX4PILtADYJ0P|c{pEfS5@=kNLtXA|Mf?~_ro{6fO+<1o7 zkvS1fE{U>Sb*cXrNqv$37D+u)t!hV=r2fUuuok$a&L5XldE>rXQgOjDPs95pDxP6g z!rim7dYWG-=*(7K%PxwN*=zi_i0Z5Sw}|RdDyp5SsJ3=Do7al&lDC~E!X=rEs|OCm z)dSOT?Z6C76YFr@z-(MKa4%ZZWKE z=v#kUexCu$km{oCB zlXX;FaghBM>1^}gBAv}aI&;H3Y^M$L^N~WWr&`WQqp+PH7{PXS9lsdT*?s(#J&|}W z?q1rn56q@Z<_P<t4FJ2mWFf|W)BK0ac9^T1IX`5c)2Io8<(;2Pwr-t-- zIr-h>zh!LS8RR*)n%~ylYKu+uJZVNTUlVzrGK#VN?IXx@*V5p6Vjh$U^^>-yVGguU zP3Y52Y)>c@+Y`sdHX6)hvF)fimbbddi3wfkzeNOxR0MGkO=40j-N{(asm}poPH9$0 zp8R}x?;^OG({G!U)0+0I5Nwqnq7_c<=^OpG2=g-kE#=e&Vb1vnZLxoVBbTtI6J?Ew9>U1N zeAC{AS*P*cOO|57g!QH?yO#DG56kNEz54hb#6jYbPdLarss*R9u&^yA$<2k5+&V7F zH6*#Bw-=mPTW_6;GGa3?H{g1su{1*+xECWyPuJo|R$EiU+m*eD;XzRe8HT!b*S~JYK%8 zTtAv6fp(Mewjkrs_f7$2VYOpi#zn@bR-5GP9BZ{n*6X*69OHJq!#J4unbAq?EW5lN zzrdwkq(6!m>3^EX%kJ2Wpba@cxz%4H;DUp$f{or!e%s!)0ec0q3U=jw=O(W-0e?oJ z5kF~Mz#}&~aYw>c=>^lf&YB+doi2dBwQ$J`-#aa*Gw&K|=Zgwpf9emqulJKQ)3NcP+gV3%s^mv3N0EU^vQ4ZPoXW*sjgHzmVlI zShiSWV%=LP)+djPbuB9#{&1&Y*`iqlQSEakthBcV=LMRIynsgOaDkP1;<5f)Z2$HCTLio*2y||>t~p+<<4PUXhVBZ5dSrpFx??HlvdB^$ z7dFIe&L)exf(M6MmYS6)TWd~j{r_)!+Gm@Kl=xZM046WFbV0(;iDz(&S67RquE%4%;y{|>xZl=ZTOYEeGg zMOQ?5j{g=>J}iiGZne3&XxDk4iSlB+WWlwI#POyi|B?G8vHXs~67!&m@^cGC`FZ1_ zTtk$bYl?E42w$-%cZi@?i}Hmoxz_*)2-S0q0EzziQop8 zVUg)0{I|%oUV1l(f16B}_Habb_fz!f{F8YZWQ3(Xo`cI|80&dvm$NzFUcBJibG|V) z(%Vg-&nXn>7mN#Z4F|cBtzT5{ZgP z4BU$ZlvTVPJ;!)gE+fcDX}-MeZb*V3FwO`friw_5NE1fSEzUbFYWuttL0j zb_!p&xkt0-@{tiFobS@(h2{8ldhQy+o94U_iT{*sz}P_VtD7FqHz_`^&?;XrF2#{m zPFLOJg}b6vw-ymV^)nK0VnS}RH{oCxS`p$F`G?UHRdn%}xQS1JeHQnV?SRqf7nAjJ z^W1_PmMrK3V~>z8k-ASo5ytj4Hwn$a)`xAq5qCJ^h29IRrAnj!vM(GL9&n>96_3mP zx7fIi{#(RjMf$(8CMVVVasDE*zGlP|mE4gV&89<-+*MyCCp^n=WmhI4vbjvb5&8Mk zgyf=eAt_DPtNvBv_5Q9PBzh1bF+I(h=}G11Q!X!*p9zQfZ;_wVgJH?-QsK>Yf1igt zRNTZJy}*p%^>HnUukCO-DHEUc;+cKm{#9QTTJw0qAHRhKw!Fl~%Zr210(Yr^;`LoJ zK3>Xr$zy}Le@iH5=e^YJizT|e*W(M>B2^;oFlgCtv6gH7w@CYY{kIIr?+MbM+tR|E z$EDwnjq%7BS5v2sc>mYkd-n(-{IHVA(7JRn&xVOUh)ejV&U~IK(Z9?@|F2y1U*@9! z(s9uj(XT$XP}U_WI)p`{A6?v#e?7U98Tx!oTZqZ+>z0mkp%Rz2_UuiV$*ABM9oEj<6U}1x-aqHBHbVI-y+=~4APz3JcG%1>9)7z zMn9AKm%U3jd>h$UaBoLWyJKO1ivWLK68;L4@GD)yuW||BIWFNfZGN_DTV(U2Ya;WO zU9a#hT36_(Ve>04^`aGj<-bKMKH$GaD{c&0k=uuBjhDv!u$sOFGP2FTZ|_9nfot0b{D?27a{Xn)kDf!8e+$zrkhwO)l$yGcN0q&lI227r`tx`fVbl<`l(= zqParelj@KRt6%Uw!)0ImrHlNxq?qo%rPzreryX#0wXZoJiW<8NIz-tM~bwsGC4sT;X5BUKy`(PG^ww}g{Zs#Ea< zqdg28dEMCVvMv}u0}VEjPcy!ou)nSaP4`wYtOsJwWrqHB7QxL&Qqd2de@$6 zPdc}Fp$obU5{LS4QG`$WZ&8F#1VzYgJL8OO_1uD+Zlk-e;Xn7T2y!ojt~n*Av1FqG z3$#z|XfehraGj~Z-?<9B$5r6($5kLwf!NmP|H?PjfM}B<)qvNzgo_5`FHW81@uC5@ z2Mx$=J>&IY--obWw$ZOOjo>En6`ssXl4FTsfobe#5My)p;(aFN?{z7^!KM8AaVf7M z<(-+ARB(d4mXJ?~?mrbFpXWj?`CaM1MaZuXLY~`7#_1v#a{mV_z5zd)t>h6l+qks` z=SDZ&T->-DHaJAyN zK}T2(${!|bcPZxxPwKCThbSdmO`&E;I={xbh9a{qV! zTjc(xAosaFXWZlI!vz;dIb@7p?r$BzX~K(6xNjEQXxZrtnJ6O_-^~jrO`qHg)Yap` zsAYY`x2Z7f-ew~H$spqLW{5hpi2l^Lh>M7G=`gp3*_54_%Ug9lR$&{;v2=HeY!h2B1> z$9w*D@1|C#q;hL=GA#|WRYo0a=-P`EQ)S{`(574A)Yo_y(u!(?P7$hkM|6ux==dDe~X#^d;cw_{<@$W zxqVt3@A2&^x*(hZkKPUW`QCK{3p09kI1l;6M?rz-(&aw!!3#}#wX^bM*KnBaleq5o zPE(qHa;3S;mFDx~N)vhLu_sc_mUF5PDU`Egq16|HmhHml{KWKcT=GSC{>gufW*qFl zMKcZxnvvVMZH_NDdh!#~ku)Q_E+cZ#qdRV)SO2e^T==4C#ur>OzT}$m&*PdAX+|=4 z^(!_ChLe^|Z>n{p;^%(SE!}6h?28Ar$bXA&e8zu^ZhSiEMs73Hn!U}dXMTW zBa9k&fhOE28jTt1PlXdd9AWplH}zyki2Bh?YwtGc|FTQ}S6ur4WnB6r>5o_Wvz7en z-P|^bvlE|jqFUR$r7jn285FkqZxQ^d{#yipS`hr)Hg6?;V^~*hMt>pc7e*5N>Qx(D zes2F8^k*XR_n5?g)g}JxF7aO*m-t%Kht^1ZtER>FkA&4;Qv7~Qeg&vAOds;cJg;zh zmx16o|1J8k(tnFSd@1NdZtE9!O&3icxOqLAH)lrD2fRQgx1swgX682i`HTSHFn#z} z*N1yuAHF%R54H3`MZU&#x>e*jQ)L@k#1k-t7NVX_M*)Gx~6}hiT<~O=%;J?-yRoz5&h~l z{fh2P6$^0U{lru0j%bA`@%tytaN!ll0ILTA9>|; zgg=|pLv6g~H*)jC{|oG!w<4pH`}w~C-IphM{>{YvJ8t2>=NA6E<6<89_^~lA`(@Py zGh98BP{YFOpMz3CQb~^vC5xm#-Qz{lzu>>cjNcU`Kes)L)gRx^Qn{Z9sUPij)<}*G zwr1hhM<NteH#WhpSiJj zW&dfLH}^L;FY6iTJFRzR%d$=Vy{8X!ZSGyUsei-i^*vpiyLhC5d2OiQJkV!9HZw~g zH?M5i)ORvt`qrPeTnXy?*7x-Gld^9;3db@$PQprU^kU&jQYW;s^k8Ws@Pr;JO$lWw z&1B8We;|APG1YR{pVQa$ozdHa^19Ac1n2a$Dl;06L*B=2>|5X0LzbQh>hT6j5pA$oWnKAVLjyXe{3m7WH zRmRXt!q99&^5?|RM>2-~_n0%ZrGTL_-e(Lgrwsjbl+Z^rhCVjt3~eo7s5nd+Lz_~D z{yC2FyE2A8KIRNkKH%N z*%M>Vvia34YnP+&5z7*vuRq&e-=A2vJ7d|NF=yF=0+vNivW%FCSJxj+E(EOLW|Wr^R`pKbo_M^ZKcrww&AYXbgdcZ^rF4liI?S{|^9BlV_ z!;7DcPgZVw^6P=4cFHE;kLLovaQa6BhXXNJ(4V)hHYhb^$_;@Qe@N3bS^J{ql zzalq(Xkef#R>*<<(g|zK7`AxK8MdN;VUe2;1C}ssb9Q8Vf6~Y$8N-erbA~;mfMKzl z-*f7^QzDZ;uwTx+aYR3;-ZOs|STE?7XT?HJAJpGNQ^y$@S>bb6t_CUJOtF_UT3H zv}PP=8*>hn3OEq??(4dC45j-T1D$S|#*zv6?}4B=9b?Xf2~|v}G(`@%Fd^BiSRL)S zjwKU1GbSt_b0+Zmg4}(xO_7%^Oi1=0R!2KrW66XS855o{=1kzfl;)WbdCtOwWKUsr zH2WV*Cg9egpbjUEITH$Q9&U=fVPQhDPp~>VvN4uScxJ|gRb$SCNd=M+dAP!aWbJ=- zw5~ChOu&6@K@wJvITH%5UulZGR$)T2p1(ReSTUAN=+2nXGv-XF{=cb8Q{;#W6OuLh z)zLoVSTdnEW5Sv-XF~Nqs#KaH-%^;69I;s)t-FsU6Hd;UaLSl7VOoJrh}=bCLb8_L z-|2Ibv1CGD#)M~$ITNNAFd_07y9YL$o*w919qsXrB@@LF6xz2Z_7bAFb4kB@Z@bJlH(uJeXa;gUDSZ4-#*&KROsb zmOQ|97QtIQZOnOaPyr7jZ;?DmoW=gOr)DYP^o$2*j5!YuF5p4rERqL_uh{<-tt6b8 z@!;8G&Vxe=co6xD9^P!u4jioI(JLAAPW6pu23OEq?hy&?9*5;>32DWD$c)^%+pre2Tk%uTd zQyY?E&snjUA`_uan%}+QkF_596LPx*iQvjTK-*kpCMhPN#%3dbBBi?Jel$x z9=y_e#J7frANp8?Lo3;wgbpu(2wi(bcc9`PyeqP6i)XZcH~taY?yT11>IO zmeys@gHag=Dczp)BHY2H((Kix(#%I9+##gP;pS+5k8O+S<_6pkBHW>xw&k`6_cSec z_pc({Jf&-08sQFOex*{9cD~{kOo`|gXxdp@BHTiyYkYTvJ6zk=vLeDAp=leBj&Mh6 z+QqMra7Ss{y6q9JUfZ$j)ChMp(+&?OZ97)WNa}o?rcL;_h<%Rx-c@VAY?Lj{0f&8LT>$(n%ieQGS3`&#LJjyvykbi=G9XwS)Otxdy8~ zruj)5Lt2AXN2}?qy`&>dI>TAH5*ONGu+RmZ(JjA!czDl8wG4yFmpu>W%I$W#WdUY> zd(KPgW<$>EAVYi%Ry&|LD|cD9(;2L`A*EY>AMEr86lXB&_t>@^9omu61z6~QkkT!K zOtsvmID=WP;j;SmLH9l%=mO04?*3JEeyvMAKf=trlfSs`mhOGuQ-EQ?yM~-9n0d#!^dFeXE>|hY}C7Dh0+6E%DT1JV74RQUiN*~ zjsQzLUQu1H<;OY@7XICn(y{I)R|c~kdAT~`)6QpuY1ejNp618;EnKcRgIT|Y7p8RV zE32Qu(%w)%^`3B<{!MWDPSUgnn~dNeCg|nO-qC$5yB@J< z#c3HR>uu=#V0;WN-#a|~#LY@)Fcqe*E04qfpI~6*V`*2tb$Iv}aDw<4yyTU@!C~ZM z@cbb-tZ!AE!B$UVtvo8ImCHer<*^gA+%q-|bgb(=d2sz;xh#zAb4H@^N5Z?Sdvs04 zB6C){yD}D;FK|mW>Pybc{41-LIM&eDh$g|x&mjYw`tD>$SPoTMGXKd*E!lV|zlgj~2Dm6%`6m@PdSVP!S!r-dw>=G7sJ3fb(P zVqMp_I;-K7P|Ee7L``6|OAF3aoWV=$OQoZJqd0?wNzFMrxY-$9fTbmUN@r=bR*qYM zcxj!`VSRA$>_L%%er+GBReBi|?C{~?N57fOv#g2F?9SR_P25n8+U9DGnv3zf)_G&7 zn_XZ{tncm4gdd7Cdo{eHBYXn7N)5|`n;mr|8)r2JbuZw4;*feNQ(G>Iqna+b*|H(d z(@gy0@bG`1t#k%Y1#=gCO>qVv_Bm+DrHV6H7#=h%#x2;8(k_=wvvu3W2h2@Y-K6W3+oy^6E8O<6cRd_T+;AA={uQhn@QMST*y@(S?L*t1fByDC zasAi1F)-Uywn^ig9M`hW zvI)45X3>k>7#Q5Jq*Q7?TImd?$tz!@ID^?{=F2Bbi;t-~!yR z0`iDF1s7oEJ3ZuQFw0}cf~BVi?|1(ptgSpW$L+(@*mS7sCbti0 z9S7DuXZA>z%=S2?dhBC9EF7;?yKZrdR8gwk_c)cI9`L+6HR0n+yu^7d!>Wt#|3bAP zw}K0>%oFFNxP~)fP48En!KB->DWz+A=kV|YeTp-<{41pWgW?Qc{%z>qu;L7+tFb2x zCK(-YyTV8tm%IBOO`G5zsK!0^u@u*KBwV1+D$d}}??>x+0cKquU!ruz4|d)|#+T4` z?NFt&`fHDRTGoMdRu|H-P2$&PUIct*w25@qHkP{^Z4z8)o7IbHwSGgDX8g4Xo((FG zbbTp9kjKYf;={WM-#V8ele8OscsCjPk`IT5`k~9v^w2gb0!3YoqE-c5fEzAC-64K_ z3>J9_dSz*dd+f$)y4_zY!2M8hmXGk>@(cM0pF@7aKjb6)3;78S`3O$(>l@l}$-wq) z=e&%WZ&V&00ubIl{q;SMo|;)jn*g-CP9LOM?YZ6c&`|fe9wI*)R!g7ny__>muMXsnG9Rk{Iz$a8J1Q@9m5jgwtd1;javI%CwTT|`ET{^40X9)P#G-zSrKrk^B%y$ zE5XV7P%~o(v~1>B)*N?z*T;gH%A~rJ-L~2A(Cs5-5NX+MMDtHL3?}BM%-rF&H~6BA z!R0SO)n2W129rf~P!m3mgSd4o&f>{JqZ6@2yojaH;S|Niq~Gj~o6ms`9{f@6ydKO6 zC$edE#&&8hyQ){ZSjaBui^yfr8_f_IV@#X>Q>~!EtKN;N6Ko0}gO|J#xM1@Qo_sD` z^WgRv%q8i%R!wX9RVINh$eY2HNnkX3jgP@}GauQdID@V3#9H3ka$?9VjCA~~E7@fR zTT0*q2d`KZj9W{5q&;{U+N2%<`>-QRECOH;~F%tg=@WH<|^Fa?QRbxZg(mZ zoL~8Rhp3nF5#JabO)F?v8XK)G(h4ymyDqhgD^5D@S3&6T&ByXt^%iKOe%q?0!JKCv zd!f=9Y`h@W+Sd7tXs!}q;|1xGa&I8UaSbqbPu!t6gBu?7^%H{|zT)dA1~;sO^Kn~9 z8(P0AMkY|v>wzMEl`duwi@*7WNAcCoV5$%;{c3EW=2-XSo+( zHJs3X$s`U#$mNy^%D|&# z;QK`wj!|*J1$gpSxM4v;3_k30=%#y>&fuk|xpgyGtPIME^W(~_X}iI+Jf`giQyLfi zK+_tImcmxP4W@)H_=?h58cMLGwRlRf(vi_3!DlL?Cn9k@7y=J9-bFO7Ml3YcPkij2 z5=xfDuz{0ZJPclRj!)_gZa5R1K3mfoEVU0UNpL?2WsoC?m(~S3NrQO73EeOdbX>;Yzi?fN|!Gc14Xv9oY&JQ)J#Ec;33;u&a-&Mt(XDaB99|hv&46Z!#WHX zt)*#OwQ!VDf-o(F1AXV>WK#zNCl|nz17_3p5KeMv3VD;R?#Iyqq?uZ`BrQZ-81dVP z&e|9s^g2=LlEYQdRq?JOUkB3|W|y21Tdq|}&Y`VPQ<0okdxoY>&YZ0z9keMv>voc+ zP0pM(D!XM*yc^|x8ch%VW3aLo;TR30l>=ndWDM7NeKK0G62Jj6x&Dtf8MNrzb&g`54UamCy~t z5pKhP(gV}T$6(91RN_0+*y$D>ey&y&rmu7f_<)`6@BUqpQLKyRpTQ=^yHCL+&RXe1 z5e_L+o$8|HUwEjr0DEqAFKn3_oCSCI%nzdiw%QX}HEuVRXJ*%P_e7Ls+?ID$Zc`dfjb`OUk`9P0K?(zYW&fV9r{9 z8+J4eo;)8K`&&(GF!{J3XhBAY4zRk=p(JelW@<~z??p&&IKpC0g0(hYilq-cHNJ_A zY|^yGBht+Zyt1~dco`k#%H&INR)0#9wZrNl7ES6haN%WLstbdzw3I=5x(~b3nhgHV zMLhJoQX<8_BSq*ZgQuP1Cmal(jCL$~r`FM6sn_qk-i?5zj)K#pDbkJ4(@IVO>6I$} zKl@aouyr(jFpa&w2xF@Fde^8E$SSbqTyKr}7lTe^?#2C%GEd@8M~Ns|T~yT`l&OOn zsk+w(OMq`aGB^%uEnD!-huz$Azt)N0d}J`YQ|TJ$bVpK~6c+)m`LT z2leTH7fki-MMGsjdTsiLjY3P(3`(WGvrDCE4=B!H`Mxy50bYw9nf_kI8EpCDh{ox? zGIu{)D>)f-?OMsjOMDXCpaQH|Z~>Nn5OY>48GP&%IE%r|8+YyE?`B^@Ki}GW=m{#l8y?TJ;=eRjG!8pRDcb;~6>l@w5nqWkSBMo(` zFPNBML~fCxzT-a7s!*Y5r6rb?BOoiUi)1ChA|L;$Z8f+7cGzUa;D-0OtQgG2*+s!) zHJJQrePb@a73d$diw_S*5f;AQQGokSz=iT?f9=?EpidcuzUm;^0WGjT!eNA4)qsYXb01>JdqWAmr6tB<~I0BrP6k{b<^~G z^UPoQ`UgIIQE9H(mPt3wB&`$@X)w z4pXVLlJH!u$AJJBX+7%BtE$J$Uqu^0(^(E&;@?HM8Cv$NrS55fjE|hOJ`dk8-*u=u zA67}4@GbpT9J92ng)B=W=jAR^oWYg{v6lDTA0v-Or89WW<$fO1V0xD6475k ziZxoc!kAYNl}blbqA=Sscrh2P9av!u1s*DM_@49Z>NeHgtduYQ4aHEknK9^IAzNOjx>HXHU|EjXS&&hPukt zp`M&EZs7YIG_GDy89WV~GVk1A>5Jf#S{n9~9YZ#lbgiLp6Wj$_MvQB@AuX5Z8yXK) zoWWA=RRy>mY1+fyfjWO$aR$?j*cM-mv#r3S42)2GjE3%QUUQBFixj{19B=i_`(-sQ_wPtC!#+v(xxM=zVDR6%sQM)Zx8Ykh?L8m|gCeDh2R7V*R=p6}+| zbadSsaX3h~6)QVnaPexR#2jZ7Bu5cc@^$z|ktYSSXfVH5-XG`!JfquJ8x6L6^^|)P z6I`IRoB|NT3HP@i{JIZ1MBzBegMV;KGKW4C))hYOc6+X(c6GWgVwsr<_nhbn;SI>@ z??Tu9ilTPk>v_%7R%k^K{iEpodVo0yJc!QbWATe#y509G&iJN1syO3^cB$ibp-pn& zE40n(ubt}o?Lr;2R~^Ulh|_Y1a6M`pBZu5cIGVF{FeEzbXJQq-7GbEb_?U%+tks_A zMBr2{So1@^FGj)F2V8*5kKl02!-_Ll8vd1(jyRqc zD%0v^A@+ifu;W5E4`?W>A0Ow($S$dW?Qi28=#|*>Yl~K#rbaFYBbQYH7vM6E5bh4W zXs}ced59gra9%3C*gFTmMEf?m86b*g;A+=q7&4+BpXZ~rp-%Mv3Z0|*A;nH)vW(?9 zEK(VU9*b|d0_}kP;$txB9uL+b!JVjdmXB7{aZIB%jc`aKI7y2)euO_4SMy-dmy?iM zvcAr3l{I*VTQoy8xIYu~02BIUuK^G0LtO$ab-ymf@f3jFK4Y-(V208qxQ39HmJ#`A zMIHAz(nxzlTFD1#1Se^S${p?POz;vH%Ly1yzcW1i^TXD3Z_vEtbJ;c&(zB+!&u~F7 zl@@6*rTaO|0w05q!3NJyFH)Sr(&#S58LW8|#|nvLUg8x3S_nQKRfr=LEA&rMg-~%m zN^9}N4B!vgcf+`s-d=1iK<+gqjYmg9kJ_c82z)eEm@G+QcKXyPdqXUcQ{~^!?Sk{+7 z%g4%-J`UwtKH3jTXK7?jO49OQ5h-NN6;iy+g$z9?TgJC-SaXK>UW^aj+VWua@{$rG z5oc-7BQg3LMYKGq{mySb7H@I+;YPgCzt(yj2zqd5+4b;So822d2q?O1SNL!vW)pl{ z=_sq~-HyVXnvdp(@2iooqGYbV2hyS$E0U7Xx{^5kCRVC_cW4s6`B**;m!X&LSDe8O zmtjC#YdD2zKUfwYOUuJw_17rQU>^Up*9!)G)0^6K8b z%ZJV9VPE2gDrKCc*-8uqUnvwPJ${$6 zEo~+e9q_WHfr0~GR01CGq7v|%4~<#NB2|zK2P1;Z`m#8bjY|n+P`yISo`{ssK;K*s z`FNi%YFgP{(E{cXDjtoO7Yw0HjcD|2w$Q~YvS?Nj-+U~dFALjj-{AQ>feSXx;91ZR zqcd2Vp39FC(0I8NA?xOZDanc(Dl_IvZ!dJ5?OkxK>)ApT^TufDf_!vw{u}N}_Tl}EXSv<{`(GkaZ;*@Tv+*WC4f>&tk zXhpzxifdz|_olUO*vBL5;McpGh=fwwrS3@0(8_3j$QQpGgJm&T_CzjJI)kP1&}cqJ z$2U%nzEW`pFL@Mv{@No>6gzcoy7|d_2HA%4|TE4?s9I+m#i22hWccEBslbq;6fisJCU~-olpjG3&C^Z_{~Rf;JM%e?3G2G`3Vj8WL;WS>WMI5iR=pgW_>efXA;X&*y z`1N1+W#t9hf3t4%fh1f1L#r@0uE2H4iy8c%Y;d-?<9z7!Km%n!5nxp;r*h_q_H8-Gs>8Px0 z!KPm$laHXGzt>8zTONCfEW`4{_ZzVC z^DhAxU@kd5Bz5ulxi_J0=P8}RjZa{G?X8M4nC;kwp`DN6me%`NY%n|hv0&$|JZ+Gs zwR|Y@mY=23CXo*7j_v$@Z8fjH;_HrqS3jTV?lLAC%;oWe-2*aIbQ2K9UOvM6ui*O~ z5w8L)%X7hIS^Q$O_eU3KT7$WZ@hF6zkHKuu<50FL+!DoE-sH>ALm8GvYp-;ILmI(J zS}y&G5WP+ra{vgD0oNBFx;|R^BWH;FNcGa6(po$VN%W!k9QaXc4w=ig5oR*uee2lIFsk4g%45r5I{-M%Y zn`ER9ZL_*+|0$i7M_g7e>cH}dvvMtO?JlO}045^zH!h*8Xv5Rlz6r;pZqp`f(MpLF za1d;2Z}6&j;3P1{K0XF>);i}2#Tm@CszpChoWat}M-^wVG+A(|4m6YOO|Ao|EvvQo z?QW-o>$V`eD$&&9LLY$*^;&PHwfx6!v`huga%;Xy`5eDy656d5L4`hqZn&Nmb+~*F z#0WaZ$6&T~!8XNNn#CAZ>ml-d3>MM2umJa3#aY_Uha$&2z{1ZTE1ks?*LarVlDgcX zII9=?!0Kmp5b+Fk5gh6uxKI}>Px>#EYvqY}hH|A0lqY3KxkHt5Sw@yOYwyB%@~#MB z>UHj7%+vB(U*=tTm}V2-M@939M-$`wBTB7OYGV{4c8%VbRhHb&QRR_$1+*@6h4Cb+!x)am<<^nhK1jQN5 z^7ot>a3LS2oz(-&CzuI?tq(|P`LQmxHez*|i!yC(#Nb2cM0^e~ z?=H5r41?u((gdxG@mxjKaLQkmRl_NNNoRaEUQ$7fr^Yu)8~A5DQhBxfv`wV5wpqR8 z*p$={bJAY9S(J(1;v?P+I+rLkdL0WWsav}*W^)RFM=9}rK2n74Gx+eoaR(Vp&1uxZrIK3fQVqLfJSKfY9H@Y1=_v?stag}GU)ZLmyd1UFQcn!eR5 z!;(>%$IkW2G;+*A{ThAD;pl`%De=v-AN>IrU>3FuN%&#Yl=XD3#u+ zjbfi1p^a)hq1rvYz=v&X=M{b=hY8_OG)9i6p`ydXCLMRX5kO_x(~S|;iJDj4O`iRH zZ4TMkh#_*>PJ9P1-(apA9fvac7`*B&@J?T;ID?nqcm0s!4CYe4`BnxC>#k5bD?>cU zvr-(F-0en0gN5#@luqi@pg4m?cJHpn@w6)+E4KmNY3C>mW*Ktv0O%|~&SZ{0UXseJ zhA`WB^wSh)aQPAB2fgECFzd450>v53o-v&9V>U&}_<}mnGuN)ywAOaPg?3mwMW;i1 ziDNsp_dPA!#I)MBq2^{rz036uqChu!L3GhJP*T(O$mmSe9Hk`~)ihpl+;yD)IB;xn z82vO$WF6wD{kULB4X_`e1jqzL;6LBYAamx)#q@EGr)|iclrC2!0Hg zfainhd<^CRo5s)_gL_uN@&B}tl?>)9o~HK(uRRxK{iD(uY~>ScWl(_TZBaUdg*{<% zVX&}KaM2q=*u|_g%S`?6oKeeQtzU&kMdlHTBI9p##UGj`!|!oEY);WyXkujYIb?#& z_!vCravbRi95Hw<#L5oR8!V=|T9W*w(Ei`QB?j`3P8;`q9*NXd0Bgx0!u`VwN7 z_ULgpBz=xH^C8cV`&*+>oU{;ppZ%{<3j@seO@EE1I_?IgBktI5EACj>Og@4;G~nO_7><__vc<9e3FIiaYGJj^osbbTiu= zH)B9?GlKnP8Pm}<+5)Asy7JP&pN0GkZus%=@Yf(Zd<<^*;_&c~-xP2GZny*D63Q?b z|B79zKTqil77@8naR!Tspx%58Hl7ieq=ka=k+gtGf9$_2&S2v$u}Rv{Hc1Ou=#P%l z0=7ON*4oL%R=b|VVCHKJv<5R@{`(0ZgIUIW$TA;;S%zIOnWPP7Qqlq@{b4Wlw1kx8EZ3&qN!rjhNeh^1 zr+-GvFqmm6b9|DtkZV4Y7BJ~&yhw2dGc9G9W}{u|^d7gBD6fB~*@(b+WCzW|3~AAu zskNcGI9#LoDt(Y2L4kS&sPWRHrcyfAsS)5pgk#n#PGQWeFd!TaD?oFpFs62}E4q?s zFw7ZNi57iQ=@hoIh{GvFcmX(6)G9;3d%aonXbmwTF1!Cv)N_H_TJ49>D{9?p@yr?U z|6ss|hFYB9u4k4WXEi`WOQrW!m)`Dv$T`rX2dWN2298P$hBc*NW+>x&l!az3!)7My z!N*`q{0XmCoWW23I(()h6=yIVgRUDDXE4jK6UYWz`D~A#(rOciUhhpp$I%OmPc8x_ z?%_Sv3}s=B_gK7EUV+tY(St5SKrtkGrUQ&KO6zW|N+7~6NGfA_hDlXb; z6pE87eNffu*h3XZ)gjF9hMOHnm}4P2Td@T&ScjeN@p<3FikMn%GdW4de_sqlv|nZL*A%^ z*m&5ei=3ZC@u0?a)w-ahh{qDs?r&%nxPr6iI!hC9A>QJw3XHv}y70@~wm_CS@2iVm z@elGQWnYGJa1t*oJHR{+Y}W`GJbx#KH_W2=7|f}qz2k4N7Dp<|TZO{gw}Gc zbJkYp54uVG_~>npMFADv!xn@<6C;yXq2w@78+`azz19ZHOreXF@HB^iGolNy(A}nV zmPTt8;Seu4q2nT}^#0A>0jRgEB{<-i(N+l|L zjc?wZr1I4mB}5%KbZcF7xSsh@t&W(?UMov+v>SETSk8*0EH#3TvczxZM;CzkOos*K z3)g%M78cz=OhgBF-rTY20iL`8vffBK#wmQ*zr)S=vEmGt73jMaXJs^g%F8u))mwpk zztS1Jjx3lke~5VR^|gwt*)fg zI>S~p%L4f=u1$+|OpdR4F=>ug7{iFpp|b8gq=36%+GKF!mFSY75e7?DZ_?%&EY%iV z_rQkJ?Yha2c#ZxF-trHsc2TikBZnW}=gKoxGge~AG5*K!t3OqooR@f?B~hHkPr(7g zFK<(v!BZFD5KmZvGFXnVD_O~4zLL8;m==S10__hN0{9qg)H9E`Jt-$rQyIOnYTZ$_ei6 zN@r#8sz0+=2D4nfTF}}7JNz8H-~TqIb$B8E5bKxU(EJR3+P9GwZXO?lX`^g6#$e+k z>8vhuVV7q7oEX@;0Mh`>dQSoF(~67hybyfgljR3r&G^EVJi@#y*p7D?JPU@({=CUx z){lM4$NE7eC-jH$mt(W>S#aR5-~ykGmrQHdHCmfgUbPItp-qAdZIg1*CY4yyslK%n z{Fv$+%^BV+q`v+4sfGF${V|FuS9F_^DOa==Wy%%(5iA6g6^qYPnI=X5&SILh#hs8N zSyiiEkR}WVd_)3n4w{5-!?kV+nuPC6lVJ9Ka~jUQg4-g20bck7B=F_LK~nF49|v6+`3>d^c&14PTN|0$>c?}u_8&?H z&%Fs!8%6_zDG_$`Dz1zD^M$p8-p!nU()t-p?`FS z7Sr6(O5o!@Mnc5tZ?r@=)|jM5Z<_~Wsgy_o*0;bR<703IhG@mDiZi(RIP9N`2x7Vv z;6`MB!pD@(VD2Z{tw{z8JHD%Q1`BHhha=U}l22$$CV>tPgB*e0;QJv!(N}xujlL~* z5E%l+y30|Gii%I9qw7ia_z_N3r&ZA+P!tC32j3lV0j7WW&>K_S+}m)XI`o{ujo*iM zLR$G4Ec0PZ|6?4N)*ppk=VP#(ihyIoC(iF-_+fmQA7RoxeuUzzUdju_S$WC~$MF<9 zaq($(=A-N&9sJv}sQf3D_h2#?nK%i5>?b}KHbzHNGh=jgebD4kvLr?(-$N#^3Ag|^ zY=;YXsNxKk+TWeh31_5Y$cHNrh?mwG9dNwuMp`Fy>B|~2O5Em}!3LmOd#>;qFvdd3 zaZ=SPoKosad$t6@NXH59L!@m5UA{qF0d;u=D6_~v8rQZ2#BKizWIyS+k!&$^Ugn3bia zZO#{%YMRbjuW(1>L`Bs_i#fr_Yhq;b224NCXXYS1nW-%o#aTSZLHi3)gN>e)hFdz< z$9scGR~H5igIQC>rTSSB|KT6Cp%mvsP@n73b5PAd zoBJT##9;mnZaf9<+ND~C!NQ-w=L83y@riNDm+bBru~E0HP;xM%1YQr$Uf^EHVPS^z zsGm49L5k+%7=GyGEk4udXuX9p8K`zG1tn zCUFMyb!5Be-e7S-A0<_kb_w>DA5mOGfGHF6wkghF;cF<@^5ZMiivpd&EMxJRnl`~* zR9(igq3>7*VV1$aYv5yb6?Zw*+45HAI^AOAEx1sIEyH$(hy)1nP-`~?QqcPq|ds)YX`u)>-(>4wT;>F@B$l-cVbyVu7W ztIW|ZMcD{QO7tO)PCxcjE!g0t&q8Hjw)hw}jwxTDHYoTxmk-0L0AReEMMDrorwF>o;v4+|+mSgbDIj zW8BDUvFrE1pHk^guV8Da;G5i|vkF#wbW*=Jp)S8h{hqCLkvhOblQ!X-kHyPH*WfQ7 zgN;792b=_-_evSphJCoUO0DxXz0iqLsbMr@y)(_=WALv@f0)7COC^J)0_zlKuvA`f zxEQ^qgOPW6bPi)*LnWtVXdga{3}+wgu`IV z18N6n+-`yTqE>Sv(i@+mZZqfOTDS8UU;E!RJp8~{lI7;mN&PQC%s(21)=3zJ4qovh z?_h%)uS7lXS2}}fxo5ssaR$?p&AK+^7xF3p4q9KQID>oe@0CBgS#bu_?|<+b#TmTz zT-5W+iZj^iPOOzj%cC70mVKVo>T1@lMj2)Pb=t4|DIW? zKn>5aG>Wr$_7~%7S_jNp^(uldc^@{@9?%P_wg)Hs#D7^>a*O^rgo}uHG%>#4;zO>% zb8o;T=_N{MuxKDUhmXyevX77dmv+^2K~OLYW?)fpK$@79uOypSjJ zd#KyZ98gU1&`VrjLhr^m0lR^K@OZL*g;Wz?5ZWce}t(DjOEDhz^(pnm=KIy<0cz&hQS6l|kU-0F@=n__- zn00&!YrQgI*SmdKpTdkRF;YB^6cAND29pU7UY+9jk7#z|s=)-5IV@49*p^_%Nu%%N)k`eOx~gexNLAEC93~xpB))I_hHE3S}odOk-NLR zihBUFiu}(0wxE;nJz697-+8)*@^7~$YbYl$B^0OZ6J!W54O+a{W~gX8018HpYy6?V zCxZ6!;Y!QD-Kr}s-~dEU3ZEulzq*`jvaM9svBK?xSiuH zR-s>&jKqQ8+@Nj7TtX>A`H}%Lx&j$33b+9Cf&zQ>!C)R@v)l0v<_2){RhrgdD+``Q zs~Cf?yD^y0F%lv&-v=bD!Eu(d@D?|JhPubyL)djbni$^)V*vS&fD3RdGPdm&gDdD$ zF3<2WxbkXT;0UioZ~-nq02u+y$6(H@7T%*cE05<1P38<1x?7aaU~N%^Lq39&{Me`c z+Na;}KBcC;3e}A+l|--6yL#fwNuP$nnI6g$pEe3DOEW-5pF>7#0xrN)EASw|BR&St zKL8%reTp-o48!9WlMC75EY`Z z&pOKO%Vf=ycC`hT?#T7{eqO)@_)y65ijOPK;6qUt+lw)ny2!sk(Y}QeVGgzxY z97eD*zwdRSofHP4=n8G1WUPp;(5??7*qdCA;}L8SDgGCu70CD)EF&0gi$S2+F%)>-W1Yu1VcVrE5%v7j9@~C##I>iEpHr#CK;Zize)f~vWfmGp*}S3 zt=>y<<6vPqNqg{oE!bdb+#gb0Bm5Wh$qnYF$D@JHV5$6DHLc~NwNjkLOXGwNjcaDy zebtS--`A=_;~tvh{%?I~-241Z-neo1qj9)mD{EYUS*eHSXk{#(v(g8;6=!fmD;js6 z;tZC`zfW*pc)sjO}Mnig4RoSbZ|pMIdS(JA>=3imqd!_`Q_%BVhE?Nusn zSJhWB0j6^G8DeuomXaT4GX3bj_ljoBF6P74Ok49I_@*nwXFPg$c3)#E=L5rP+OE>2 zowtNneoVu7ifTURBk{?{(I<8tf2fL~p}?%zDD?sgB&MksP)k);Q(w)kbl26b>FQip z)m;}RRc`{cdp=7=>Am=c8(}b}=w5l5wwi5Uda7}#eXG*eG~a*GrhN}){G|BeEI#0< zoobyNSF}VjN1Aimqw9=au~EFpzgtOew=@qGC$g zs2HRPi;1)tyU%~?g@352*MF;WI95PMcI^7;#$u)0b7uBp`bGqC;uk)0>X9I|@i-=y z-rqH*7``Olm?DWrBVNl)9-QfCs%ftMOf}85gw@vlQdP>-mvXu07X5rqC)}ddeKNJ5 zIUlO^p+y%J)e5HQoYyiqeiZ8%&xMUc#n*Q7jbq2i4}FZet^CB7#b+G;;Y*^KkNNHT z{7l;JVbLrXtJLyYhN$Kui}McOQ_67OlgT2F{K=dX$AdlorDQ@vS(7vcAWL zZG=1>BL1uv{yq`n4&LI5pgJNA6y-b`ZF>;&mQ}{V~-0cA*u_ zS>>KPL^D=4q|JQVhYf;8<7YDkslH!>zlm2RcIKNI;hT#fm*5v;f(3~;4|X~UbI%p&;{b85!=#Nu3V}2~P@Fu22 zy%p?wB+a_Z7)TL~x|8NQu%5B6T=cb`vWHB|J-eWVzT3CpOX#;T_J3rQ@S%-s)as8u z*^V=Ht$G zchnow794&39;K~mgJ%_5DKD1GWsr4#L4!^V?X;hRPus1Fa9cUm()>Gsy+_Lo=@L7&fW8V;D}14r%;>baewF z4OSL9BvSnp2i}Sahd!DKm#Z4~Tv83wN0gM+k+|*wJrx@^iMWZ)!!oPtMLmj!$A8O=lq40)1K3? zq6!Va<{SE`X#8b}!5Cv@4955s4c@4SH2sps?W3L@);!q47N@VVnxc`9w>?Qs(KzoQ zpL8l|ua^8v-U|Nm)WUe;IjjXgEMFBYFMw<4eWu4u;WGkgA3G^dR* zz4!}bdRgC{U|D!E$5DT;w8p%c-F+ zgE8yC{-<3o<`RB-)hd|BYx@ffW2W^JI@eYIC*WUqstn^pzLTS(v2A2;Sz5)nT9!WO z>T-?aY2{fe!#I3Xk7#a>{!d_(g)J}`^Ft!PUv6wWBwt6nmC@b0Mcc=yNIuvEFztYL z$YA-p2H|f%CYo{Y@#&Y1ZP(Y#Zw^M);FGWBUN|xy`w+>bE$J$>+ZBenB_Y?jNNOgg1LFb7Q^9j!CmUlSVt1 z+fF{-$sM#}&$ii^NftY>Es|!N4BB<_O|~nR(SvpkSxWo5E=g0JV%;(hLuoXg(0H{U zARIpT@`o<2FKzT#QJoyn-f$o<()=AdSn1ftJT%;oT8%@gO;Xx86bxGXeG zieHwJbgKcnU(7WX3SwOk%}vr=m8ryVKTyZD#@gU(AFK`jGO)>pkVe^v<}_ z5PubZQCkdQlBYXOp_O>YdC54&=%-cs{(x6%M*PCz9kX+cq0u)^$>}o^Mtk3slO~fs zlXH$^%fMSD#GK6Y?iUW%ygJ{lgc7H?Eb?ibCoRTr{CY0VG+gcd`f<_{4{xhZfGe1n zb}d4qGZ^zyF3)w1*?=sI!TAiFi?rv6X3WF9eQB3*7&?rCp@a4r(VVvDH!zN<19YH* z!B;&V4nJ%$~7k6t}-!n zc~VGx&PN(0jcG_z+U=vO7_}>_3L3|r?mo`9{#-t=alPE03s86l=DU05<>bl_`MwuD z=njcu+`1R*zFS2zraDtaUGs&yw|Ax`ZTCvioQ754v`!Q1mb6YIQJI$ehieITs}ksL zInSSj!B=;YEs)a~uRbOjPFE6PL^F<{2U(xVenyFm+Ze(9dKO62*!q+uHFZ? z!dr7cu{|o26DhF0X_sZpt5do^DPfF5fUTk#hcH27lfYv(8ox?$U|wNIKh9UQu-c8L z9ZRQLiL>j+NbxCH!y_ujc->EO``tJ!B}zn=>{!6cnrXLh;yHkCBit;34gh=)vc?YL z_wVl*4i?c&kijQYrV*J*&)dsmdFJE(b6Ku(j}TjHam99|ocrK=DjIB+H8nDkNskw) zgY9q@gUQG!-l{^S9`gWvYV`HQ%%cK`U_{C;9fL<499;YTWgkqhSq~u@2Cv_+?_Ddjc1&{>C~;8PQj-^uiJQP zlQE;!cf`-6lRX$+1%vW*^(BWVuylVXj7%OxCXoyyG|u;`=Q|J*%?m zNLOh2Q@<6vOf#)|?+hI)Hl(UcB$1Hwv3g)EZN@bcKdj~J<$h7txDWN){bkC4E>SS2 zcU)F7n6Dpsc->&!hi6tVd`W!9u@&-7(VUj~`2b+&O;Our@YxsANTZUrq>)Ob&3p#7 zn&jVPvw6LCO^#NaQ=kc2)k&i4F$ip6e%-nPe!+_~woD6sO-X{S9Y2gJ_i;hSoHZ;# z9t_6L1FbtAPx?n0``eV#4O|_ke`FbPzMYQ|%9t8W2irSzCz@_Ib4nb$Mc)?9m^1gm zLNksLB4~VqxsC~*OL18C`;n-+&fVgCEoU5@pCO17zxN^q*n(DY6!*14qZq(ZbkJ~{ zNz_J+_s?hX$8&}O=IYe?VL6|n6S6i+@!~`ZI2spk%uYUpaf-p19bPo_v^CMwrnBhd zG`Xp7))`XKt)FMn#av=mhNk8_ZPUM}VvOmVd}od^eUs1AF{W6ppDV3#4D&(b{3|Sa zPl~u!wKFoD7Htu$i@b}iuy#gJdF%fY#avoMEO_0gbCqWtB0wYt;}9okn49XD%okIf zKGoRNlPL~JF-ljT;I#>$2?y-#^&oQ2uMP{xTd|ImQ! zwc{t|S5+>)x_DZ>g5h;neL9!Zk%V0eAL5$^pEt>J@cQKZKL^R_Nwpy^0)~6 zVshk8O0UloHI#ATEAjl0b6FWhjOj$jpYPrSY zjq-)+AsE{Z4K-LDyXGdSo-||L#-I$*bt_FPd>wQz^q~mteK*OXZB0d>s2<-AZfBX95FvlrjpooTQp>>Fe?f z7UMOCq51AtTH|QGGQly9W(gXvJdJMhvh1j={z+8UJ?Z62i%eAa8WyK3PNeuLQe2>- zjpXFsdz}F@fwaxpDOrn>(W|CpV2LNwAI{z9wgV(5C6m{n9Pu#w z!%iZ}9KWs`udQqs&3M(fL3^WU#(g_r+v`L#cD^yCT?G%)XwFHoQNa{@*FJU;R^;wh zyF$syc^SlhM8z0$?ez4`q8W!XTp^lqIL)BFaqAg8P6^S?15zN)3MbLSt0UgM;<-LY z{&qc*6Di=Y+S^J6^T-!(+8Wcp%>M%kWIX!I^l`@Y8{^koaAEoo(b^{-#a(#Kxhecg z315Hdfw?(tsD3KZu1$D=%MlIp^amR>J3e|)5((gVnyKIFGB!Wne7N}XjqycPvn%B} zO4GugXY#w6W8~@UZM!m!;smni8Kn4M{KA1T7|(_`Xs_Z6=Ecgs6~UMe-g|1s99Tp< zgJ(lb-Os}1BWPK4cVwRdiVk!gnp+@7_`Jx%~cf&(TBjyoAn;|}f zvGeuQj*zokaHvvw&(qS%2~9ib0`>f9!fhOc;9nheDT>&lP@t3fBntRmDZStRr@3d zW4;04gTIX}6H}Xy<@=5_^I6WCkNlok7+ZGoIUiLdDZHW`4z(t z!wuT_OI*>822(sUEnf$n$$Vd)`MMilmEC4g_1s%M!m7LRRfv8Z%>hGZFph3|iD<^G zj$U+S24gm%XxlgWqP6BLBoH?Wu14>})3z^o* z2f4x`6HC^;`CwHii^liQh{H1Gbn+e8LNzWD%~(2h@*TMeI(_j`HPkM?Czuk4{Ej-1_Z!j$>n*|SR= zXiG6g*n@UZ9J$cLaOTQ)_sclaxKDZCo{S?+wv}rdd8He;igNRs~#udZO zzLb(8aa_JAw>wYQr{qsRN@uWD`Qe#{06*-0`g`Umg+&I)=!@y0jQf9*j@@|pA}HBX zTH^_P#q-47q8al8^QqfJGv){8Pa{tTW6Oj|%BE9JD3`ooL$J|Yn2K_91TJXs+4`*) z^ab|IJ=HzQr9s4tG=GjX=R|RBJo+dqAGO3_%txtyiQ1T5f%h9T7^^r^;=}kDar#rr z4QvjinwKL(@teIzmd*FpSU3(TK7ijB}>>iwtw$Kk7bDM6CDnXg{{pitUdNc1W4OwUqso>lKl3r2 z-`zLnuOCeqGws9;O6z>0x=VhJR~3;jOd_;D#caii6zC$p zWz2Z)yD^`J#WNVselv8zT#3OrWG$Z7IOGl*cT+>ep%jtwK*ajBEsMAzo4)J~iW4b* zgcKOW8H}Si^nsw!LfIc^p+Sr4!StZfJT%L8^=!%>V>kQFe1E^Lo=wQ}f2-;#cs9yg z2Di#!%-`;^z%-_eJ>L|cF=Z4D)iW`U$mU@wqJ3=jeZH$_Q;Jwu&*c#DUS#=-QcS^7 zJrJM4II1UT*hG$}S~*C9o7af%5;h-Wf~r>UW-soi*WDM^u0obYC- zxPeDhwlVk0e5J1OFouFw>l- zCU-$kSZa<^RAj(03#;9pLMxcG4G$!Zc;x(C?HW5@EUeb73n5!6B>V@39J($ma*Tc- z9sPf)kdu1S9bWMC0Uzt`)MbP|1H|HX|3`n6LO5m2NrDoj?K?)^_g4^*&oSR#&#^w)cI9N58 zr!=SymN8fvqot|7gc~{%^=D&@+&@~R!7qd3quxp?py{aNugb>_>f?mXvXFbX~q!OJR+q*%SGK(7e-r}BhtQV zX>LLatgrpdB63G(3~5TIbbKrpJ}zmD%^%XBBR85yrZnhXv2%MxTDw>Km9@`Nj^ad$ z4`A+lOrfD}Xzi4SzYLCFhRs!f+iX1iFb-HdPkhG1m>B)=g+eQsr_lJm5o2niGSCBH zP>tq_nVP&adjOTg40`H@ee?j3--+m}@w-JOa~3>xgOe0miRXt1e&^EI{86uKDa}zS zO$+%z`>9=7ua@T8eY7$;k>YXu7B3RHUt6TXFN5RbRh=hAGd6!nb2Q?bD`!emjbRiJ zb+c>MzU$^OMC0w5TAE#$1SpA0c6>+x=^2bE4f#Wwb@*wnN@%ALo_HHaD}F|4jh!#09t{sWI#cTE&>Sej zaiPAxu=HCFusxSR>er>z^xxdRRFWcfi4RGyvNX^D*!&?4yicRKI;DYEVHx!S=a%OB ztiwv0URe8Ke*+1ynaL2jUtgrbFN5O``OjQz7@HsahPuiwBpOSJF2g8>qiOcqraP`| zgx#8ERvK2!oGW6L^?eBt&%thW644xgz-E{fJ3WH&tNs*^f$kH{n1{7YpC_8J^ToU* zuBZ#y$5VDl8ShbiG<)kAjmB~e1A9$O4p6=kv(y}-DFbALLm{SLUuXrd-j(m#8}r^k zUx#SS$HHSjP+DU;y^XhtX1sh(PHK!TQ=@VA8Jo`!?>Lbf9*K&6KgN*i5j&OzJZW*s zQysI|q9M;m%+*wV9ebtpJ{2l`BTvPa`74SoW>T+hryWV zG%ms7hr!q~Ny8Y))})5Vvkf6wHLh+d@~`U95Ov28xh*z_XvzQ?{Yh$Q%>6n)QZwFs zJhZt*X^lgJYeX{+4KPDzFn0OU22Kp^=z+!(?knx zFrZP2gP#}8IDX4MhA|}@V6`F`Q%dG5DPt~kbV`ZY2McMf%YJi$Waz)HL&~bo`(Y`R zvi~~f>J0GP2Wxq*&*iHo%uQ-i^+N^49F`eE`6#?*%8OPkgBZLXWC z&5E2sY>}+pYdW;4`bc-n9L%+7gO*<3i)xu&Xa)1UPg{^N?^*C7-gxt`w8&>_V`|m2 zQu!HEE0)h-Obtb&7YILhOlmkH0qc?XM9DwzogEq$$(h?cOzhZR^Duxgu)%vUJh5MR zKsG*ZX%4=|A#GX87*ks2%V6xX+GhqIjmYMD2|o)M1J}_w`C+dC26fE=b+DQ{qcCnf zOl->?sJSf3>AAn3V-5$lK^U@f5ufA7p3X7Hn75^INycF8JZc)hNj0A_cf(uM1;Lnm zB@?I*24jg&N<7()ns{|KBXo`xe6^sl&X?xz1j<%j+4mgYC^DqnPFSCx;o3Gb8;UDorpnM zA`{Foy*W!feXBDTpW_$Z_Tr0o-znNK9%JA43|#g;XpGyjJo$)8^HjpvWs%cq_zAYp zdo!MmBaocdI1VW(4%W2!c(nQ4iz?SR_|6f{X;ecM4e>z>zA%$$fMe54Sk+jAOjolS z*X#N;6E+osIPr_Mou@Cx{n*3*^$zhFhg_vw8;3kWD<%=MkmJ%Mwl^AU-#5b~;80?t zaBY4iV=JkyPCHgyt&8(fLoo?uS$g#&5;L0VABsufmmzxfBY4AdTkh8zkNsWl*Bf)& z&`#vlo+>SA zj3-TeVG_{*$Imp0>Mmy2>)Y}j%Hlb~B(6gpVi7!jfyyyng7Ii^84-;8r*cMX9CCw~ z!8l|LS}_xLiRQ*M6X-GW%nUQZ;1zFxR6FNzjFH*hxw^1ASEex)Gf|dMho0jR3RFb8 z+qsD5_~C0|CdDxuFTp!&JNAgrm>Sg)8mwQkROo$K|o8860( z6Dhuh6kAF;1#KW&0na zk$hrK%4w%peaU-}idUA!Gn8hHCvqQi#^MXlf%vflVG?f<&6x9opB++Y1+U(Tswf*; z#$4mAC?3K1ko!P;xzZYQE-^8uGd~^z_~1W@X59Ax-T{AJG-G;|=ZkK})`y(t>-t?d z^aqsIxc3;)%F4kw9Q%plvs|1fSw6>y;}5Z#(XDa(Q74-F-MlM6GSs+cklx$V|ESE;t^vk6t|?@G;TbU zufLnKy;rASmO);eNO2cZOsZ^SPCk5bm2tFhk=r=hI%pwJwDpN8&#{yT)?Y0N?YO^? zU9!}pIFaHHbM0r$U4+FSl#IqaZ^iFm8*`hxtH@{^t`Gf)!8qJt&_eEL|KFJ@ceVIC z3WA~Xdq-35c0EGF6^OnNzt*K-ek?dp`lT`3vX_f71Y>1QO31_5=1D0JItXX(#cs)sl>;% zBjbml^DCE#X3Vo6{f2`v--uZ89`Ol7e)KoJ#%zrB9cp^@v*a^&`J_R|7#vSY9XAyn zV^^uIBi;d_j!O^f(6RXO&~a7K@uw<_Ixb!ARHSA6P&aJl*NOn($K2@6yoF;h(;M?40OCNG7 z(lVYp^gR-!hB5v3vaO;ScYOyso+FxY(GfF3mJvE$Ty%U$w9uF3Qpcb{$F+!SzBYA4 zyR!|nE7jH!XJ4|-mc0I@bmSft?QY9^A|0? zNQz1syUVFa%lIMS0|tZCFkS#}=~=7stjF+m)FSa2_n_w=^(N7TrL8_;Hn{_gMx+HD}7jXvh@)QqXl#v4n11$SMN>KMEHqE3teXQn!yv$e9;;e>f*^Iqx{ z_a8A(M;ulM#?yj2+~S0rU@+#&Z|R|;8TZ|fW-iMn;{^xeZW2@#gE6P_8~;f(W0va{ zGqy}c#Tc|-KU2lAEu3gYfCcyLn4w~6v50|+;!uK^t&TyhZBVgfVm#-#RL6J@RIxh7 zY_ZoYDESrK^FXR&?DC5`D7Dc%E7d`7irHcHDIj(ixO>6G5r>Lb2FM5oIetN* z70gyz-4xAu)?!Si4-?He4Dd^$8M6$xjcr&x4XKX1{f2Cv^I%@{UP_&ap#cU* zDV}~~16t>2Q0K#iR`9^dmaXvzQ?VYqE=DYSxl6Oj*%GLG86t?L3M_K8d<9j{Es)`X;Q$xH{q0H)qI=Qu^5 z^b1TmR_3$5Vwd7X`=EmS(u*+&i(||@OAV}5u(nUaV%3-zNHHX6@rqAu#$wCsh{t7~G63sX^H|`Y8SjCZs_r2GK zZ@M5wq=&@JqrQ9CB36dh7LlBELe(6lV7_nj>|d&AW4`6#^Vp3;ta~aEfkVWg#U0h> zu3EKfR1mh4g-tHAYe-dxy(5Qt+?miR4k xjhDL0-m;DEOEy>)Ev%M}BzD++$R<|AUnO}cNAy(4q%@>tyyIR{wr_mn{{V8_x2pgE diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ngd b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ngd deleted file mode 100644 index 28c0d67b4f4bd8451ee63933dbbcf94bd9b2a800..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 153868 zcmbS!37i~7_5bYlBpg|E1XP3-8eKGiFul9k9Gso(CS-FCxqu|>cE|zY2t&AnBDNRG zJs9K)Fb&LdgCqA%6Cwx(7%mayXbwRRaX>_tL;l}yy{?{~>5cq8|NVSA>GyrFUcFcE zRaGC=HGUTJmS!GPQC(iDj-9K;QjGmdZs6jDiji27J&uo$o`%J_?-IKxSw^<@&)Cy#;bb&VuK5ycz z*}XGoK>9$UT3V^;p57x4ZQ8`@7j5&kYYceqvVD0Hu+edHm4m}I=o8zCCmtPYq6rP9 zV6AFP);Hl7ZC)xhY_yTR7geX4=1uNJeGm9NU*6H**?;)4$MlclzkpW&&3pC^>PloA^196L5;^gZCzpj~t0*+}OBffQ`$pV8kwdJLeqJ%Nrq zzWd0-XD>Y*&_Dfp2(s|T$o|_-;GLbo{{xs>wF=00jspH!ki@&F-%H}m>Mt7c&M_4K z%ocGmdJHw6=S0wAw8yPA32BW%H{!$3F*N?S^-u?*^KGk63a%6%vLmRsf7H1Cb`{oi z%lFGM-0C0IF*b_$`A)>@90ND{)|wg!Tm2OqK=X?3?(ytux08)LVBoWNctXrltW(HB zLxMusjpB_#jTXn4PguJI?FHx>7LCXcfusA*^eOVy`@Hq+EZ!(i=fpl z!;Ok`jz<3W-S221bnDdsK=X=8Oz7gxX2^x<)R}H+4Vw&Jt7L0u-29pp49Q;L)@W4R z#e}@Tu3?>HSn5s_2wRMe)VJ1)_+m)Wa})wY!m4{K5rA6y?y@zhEhm70u+`Ho!}5wv zO11iBAkoH(mgafFuOTz~*%)`#WI~q!NyVOG$}E5`ow)E&On?2rdx)G z0v6B9qNN?@U#qI~P`Gm(u~C&6hP+F=LXXCIlOyL$i;sLc=dfy&|*`4T&)zUd@FBBlJ>1 zh;~*oFC<{#h*v_XcC^5V*UMoMa{d-dS+H@$YgWiXP)59+2?;jwKZF=Pj@7(V4LQ{I z_k2jO&P76WS^WnsSt27+fBQIP&ScRLXQ9AB8pZieRi$ZE|Dq*__OqY8Xe$8C!3Hn5 zAvKn1QZzM1-am!M(Z6_%l}t_1 zmNR`#F{#=jN!l_dqL_&LMie8nZzU`@mMyW9=AmO7#d93ZLw&_l%#a#2HWo#>o=CUs zqf3rrTc2rb*)Ap9Ay*y6f*;|iZAxBK?2Ox0g=!y7e?G7^#g24PB*{T0?}a=}Ea{e} zjFx2k{l*QcPPY4h!*cCi)Om40-tw+!N_2#XMVB}!j#nM+D)47| zA=S>|>l`zrI$%!r-MLGhm77vJgCm)K8;~4ylP-HBx?TSCQLmmfSYZwT)2-VEBk3FGA zcd(+}3n35Zo9J_One(w5a@b78i#<&-hI?5;jplV&@yE7MoR`xrb1NYQN08aR=CNV2 zc~Z;AjvCXiQYDJee}U6P$T&)71uj_G&o8xw(TN_FZdq6sszZ$t49fd~AnGC^d$}L- zA-h8;+pc7ht=SLEP!`-hEICK}6b}!0euNb!uLH8lif&mvTXDlHuMr<*NG>03jgpJ8 z{*_Df{hd^E78vA&PNiG=L^RApWd@ztvY_NT!tqg!c5KG8e1&($aO7ROWzVw0M@4$~ z5TGl()TaR*^zUDGC|G|B@S(5ZP%jjYmh$n6+S!(uLkoMA!_Etw5SAXL+P5RLDc$mevf_pfu3E62J$TDZ z66cV2PaxVLvb)q*SCpo1t&7$wY#$Q^^dm2>81|hB8h&UsdLsFj6~ZwsV|7y&e;6dV z9aiL2S2@9L*WJ!2{@G1(8PGomNnH0K3BA~66i>60lI^;|v+JEYt`3h=Z&a;UaG1q7 zER7)Es*L5_uya0%vvX}zuT05v7?vx4G^XgOH~Zot3=7jtxBAF>y<((zU=&X<>x@xV zASFKWlW-L7cilJ>mUk3FKn<8`bnIZCU6>Jk?AURkO~)LvbVaBq-7+m?kK;>xN>! zINrAxj}1bK(|7S$UsD_$b9tf0j?+^LJ3#xWFk0!BB^52u8Rbt;WXtFX+piqTMfNS_ zvn<_k`&Ko(HV$vCRgcy2P@Rw6Yah+(46{}>L_0&?uQhc=_w2>}pqzbV>}cG}hqw6Y zOo)x?ij2(VG!}N1a0&>^jQC9RM~#ZgqzYk~F5N|N4W@N>)#Q5epBwnT)Xl#&-~lt{?>Tbh zgq^#0-1(&OJ0kom9e`*mYjpUi3)oSEZd;m=p9>23{Ac7})0MTRwQ5ML2 z6UatD#)Bx3&}C4=gdNeOeMYaMPPH%Uc4Yt+^b~g*nzr$@TL~+WW z42D(*!%iC6EgYk7mS4}Iru4RNxN#JE1H*c|EYR{K%OouF_0PNC1R)YcqUR11x(VI`}o5~rnf z%`Ai?h|6kDSWB!V4awK(l`a5dbRo9gyMA09IHdq(3+pxb?mrpRi~Q)O|jF%&Dk?NcULn_w$L=e@AV3h0W?RNKSj95t1+g%(l5r$s6R%q0=PqL`0T#YK*z8RHZ z+Djd^5@;r!KyZC~6T-?K%IbWffOKF)H0r@kalLv>Q0mkOp}Xw?AH{W2PH{wVXw5gq zHAnrtzLiFT1`u%w2JJm8+VcSIWXL9&?qe^-B`4uQ~YyisrpvZ{dKwtl`7pNl3^S49SAsXJa7qct;wX(;i&3{ z;S7vgr~=NR2}Zn7rk4F?_4F_2UEex~gsq(TN8m=@EaeP)1q7`?=Ra3CX*`S0idUuPHxtHFG&1 zn7rmiB(E`RMVIp~?Nu}4Qq?Opl9!;bMwMMG6b*XS z(-dkf1`&@n921Z?Z`w>~eAndptnmxl+Ybc!vaiS^k00N^9JXceBHhe4chjbVyvGd5 z**z?LuMM(kUUzr@ilxVZKHVh^X|VZTAp4jJ;Mln{=FXmoH*&?IALGl5lR4EGG@~Cc zywWYRl+U4>-v03N38UXSvnCwAL%7=mL<48{^rEO)E82@p>EM&LV%dp`^~y_=5&tQ~ zAiQ)O@kzX3=Q#M@_drmSk=lx7JA}|2>cz+J%@`ma_2ps^O<*`K2x4F-AB^@r;MJhe znG0P&Tg`zy2Z5%Y=S-Y6y?4g=X=ur-Y>uRJ4#-V}m)#Y}HO=myG<$mga@At0&Ja)! z0{oZ+Z)K*%C6EN|SDTRdCSI0z;~}1b^VG80In?|Y7pF~ly@?E9S_Ls0W?M}*_}k2e z3M(C`Q|9nX4o<^TOigk$&BsqKcr}{0n$Mdxnmy!syGAobpZ97s6Zko^Mx#Bmx0ByI zOS1A*M=_T!T5RzmowB}{VhSuU4+KB(C=2cC=^m)mS zxODCLAR^g5&(*jz^e;}tW!z6S6{$>%-dX@Z%Nqj6+lyX&rEhHz@k6i5 zL^ht)GZH~VD_bd3NvM&qf9Z-v2OW+F8nFIMJBhR3Txv$RRQQh|0v2lpoa8>SlXwR; zpW`MOeCY9wN)pF?wvi2;2nXxzsrC?Z=(K(JdzumYD)aZO378g{$13-J8}J72thQHg=7LiIB0>_EX2#`iDAm}3;f zE(97zs}b~eN?^pD$`w85_)1(^#`Ysm85(Bjy#j>AIf%U=^{NVZarL4Y2fCjZP}$Xv zQlV%L*su8^y?|Y|PhE#Jsepuirg)D?gcfqrP`wD(GRkI-&G&dpi2$62?haxA#`f&r zMFN3lU(5bY_&V-tG`Sl^;G7Z()8lm?P&1&BNDuUd;yofG6*1ZG@_=YlIK|xV#{fcT}!AeoA{J2441$vbukELL+?IM9XuqQJdiM|HF_YIka6aX+$R#K)OP0q3#b`7wDV z?nHbx&K+Jv(&9Vph-6>7CJ=G~hso>}W=M@Y5Sg|~StukA<8W8V1W8YC3nDJvdfC$? zc;S2!rd{9NzVH@|(<9@RW{8YY)w&@ymPw&oeZkmJy6+w*k`6qj8-0*1809lY2W>f_ldR)iMhiDJdyy9-E^z zk57<~c9^}gzq5;Bc*_?67yfF9Q)ArKULB}r<}2#4v8;O_sFPFnTxr)~ymZK3TaT$} z{TjbcPF)aO=hR{9y5ahIIW^f2cv?<%*Bb&&)`saD{d$c3Gy6NY4wQZ!VU}*^-vyzV znt1OsBPxu;ldd4MSK{$-7jirMe4r6hPu3{#d10QdXnse3?M?>oEyJ!!O*8t{E4?Qj zEecIf*_vRiUUG$MZ-;RESdOQkTM^XT-_;pCMt%t};|$TxF7)cGJa7bHVbX$;?RPkk zShg>hm#(xaoSQqVzjwy$IS_sTKvT!QU>)Uw)eIKU-#a+($o00w5OrHxwinWnPu{mYF9YiH$V!GCi0A4I`;=R5mLx|If3R|rr zPLeXxa#&N!;cM}CP!%(W&RtWli!;jAPMs9zva8)Xh||zZUIZ=f=bg|YsMWRlx5*DmF|GWbL}Ao#%h6V0DkBqueGqgC=&zN2+z4O zfaA)CUJRgXo*w~mEA;C$qxjQWiMT-Rb<+-(3k zTJNYV)*l8yl1rSke^BMs?8`|!d%G&CXkLb7Z*{1uHan{s?UtI5nzGe&c#~N#spisK zy?Rhhx__|iK{Y$y4=i4hnkId}9#nJeI=dcJljnm@J*Z~En}LNo^;J~%jvB_RrtGaX znpa_cH``H}G5V_IW;eq0wN+LoP}MN%PW!%EId!jnvmcewS6_X%01EBV*H~G(JyUwr z9rrDEB%`mo`eN;^udT8V)^w@Mg+Dk^IdxNUiyxyUS^8qWMwbOQ+K}6n^^Zg>c|Ebt z1#%2V(EdqtXLQezk2R*60K2En>z+PyHg7-MeLIvwkKNtH`8TJ{o`uqHdN}_&)2w$! z@0|X5m900O&c>33#8L6Bgzf3<9NSk9dTFZ><${Tg3ymmm zBS$1;*(*j=8f9tOX8A^xx8h&RHK1JF-I7V@#kkk9S+zkHOQenL3vMF{(Je9!;8>db z)j}gmhqtUWqHG+~YCy4EG2OClxdG*a|CVp&8&SIU&4gYy&enxSSq>S0bsABKemkLn zt+0J#WmsdGZuwrhK>=1x!ih!=YB}c)36u`+Xf*)G(SC zG0*u8vW&z|jiUIJ0jpRujiOZB)rhL6l)E%)P|H2XR2nqkD;}&JOUTl-u6&~`n{1D2 zBgzi6XRZ-tGjx|5Q6}UQb^}Vavl}bxB08n9vMydQJ!Lj1V0dOE6wtFNR)Z)m;nOWM z6SCAWv(P9@A7uqA z(Vbi)%62%c(1_BDWtB#h4<;`48c|*{m7GSDvHVp+mcD&tHpr?4ukSa?sz-{CLbPs} zW@U2C7rSmyUy7X(G>YUW+TeXIXi*U;j8&It5#{GoZh|-G-oko;b*+(a2ac^j3--m2nb^NHJxH4!&`Lv>V zw4H!y+y{Om%sU1*A&g`-ia&&GeTAb~<8gih=3US#Hv!X`RbB$-eZq-O0;VM=*a?_7 z5kK}5FmG#q2DHIIG*t2DZUTny#j_Kz`a zse~<9@DkE={@f%?cYYfrq#2#_fz~^(*1ccnH^Tg0r+AUu2zRheie3VyC2N8NOuH}e z6ENFk4bXZ^u*MVy+6kDJT;e2PHkFr@rk9sOxIs4~f0>zp*;H3KjW8B@8pV>EfN9BP zK>~)|*+IJzrXiO*jc7Z4A4tOVrf4Um*??C7t@j4Yzwv3SlYk+7rJq3QqdZ=Wjh*ts ze85gfGr(6TV18Lsyc)vwhTtnKqj;^8fSC^R1TtQwMQ?6MO4Ik>C1Kiqy`Rv{*jxv+ z!4fw2^-cnYJ60+QL--N5LAYJ5O}6?$Zm2H(#(fjyabHkSZOl> zL-@7?irWZ$U=G=OL-<8`@isRBv!!lNNb|#|;@wU{nptvB5~h=Py9sHw)Lls!5zfAs zgehz%bg)J4HXC8}(boNL0%j0yw-Yd%v-#0){u)HxkkmeiE|v zkr_oFpA8Z)8|t~FG+X-xJ0VSHo=-~C?3dhxG?Vy+AOW+X0y_cY{&>U-nMRnq8Wdj( z5-<&X#Yw<)C-4(6Dp3nL-pM6kI{#KR0aN$eNtiA8 zc0M6ZFWvn2_+EzIM;}(Jp=xo(1ELeG+-qmP2c~M zlx8UZo0MkEKB*>@(3_9T37AdtnU#P!&cEm%Hvyg8J9EO^Nuc)dKy~-d?4QH6z(^|6!_iVWe~;&z^v;+vv3pKC z-Yw!*%#9=Lf1d!LOz#{{O;Z9;%Sd78syWp6g|GQ2ZwUxQPgL+4rtr-$r=mmm1})WUfa&ciha)-YQEGkU^B z^`{;LZsCg-?eiNZkS2D(CwN9I4gPqGF1| zHE~YU1qiEltig26brP`pfO>z*v)OCn>h}ESL&#J8FCgA1k3?+QO1nZm=$1_WY9=PD zmXDA4upVOH`gf&HigU=n3w01X??3CM*aJVv*GZutwX{|1AntH6;=OVm#*X@4y)xRr zL!nNJ6JN^?4cgdKKdje=0AUbrkV6$M%?%hk>lY1W*-6f_b-fUHw?P2>iyX~Y?aJ!< zDGfVnsI6}nYVGR#--S8NW6ir)HN`M2C~Jx{*SmqHl=XQ{!K&SD5X&ZEw8_52 zQ&SnzyFcjjJkT2}dJ5@(nxLD-&;$5D!v#GYbgpwe=V;`m9a=1-3BfgCe&-?=i@thGTdn>b_q1ew0pd7x`8h(^uI z)4r%tk@%z44gI5jo#<%d7$A!4?0Ou~l>&teQ`o~EaO#9CMv8YCkC=6M2XWpZtgpvA ziL=K`@FL?z5$8bs2d7RCRX^a>LA)aOfyBIJMfW>(5bJo@tApBE2TQMY>v6QzpB)XU z1(Ml6+YueD%`f`C233|a2cK~@w17(jitB13Tz`IhM1mbI!+6H772%3QwIbp;6|8QH1KQ? z(P|OH^JYY=MGSY?F*;mCaEBXV(ISTDya-dPNd8iz)uM(w{D>bdYPb_nXkWCb;Z-NX z)FOsE?HC=_f2SK^(ISRQ0BmC>UU;Edyut_4gey-KRrZ`PX@M+O%ebyI;}XoaE9wwt z>3|oPVVYg;#t|lWsZNHY&zTUBy17&t61Ju}4l={eb~LhBZT<478PXDdl@qUPOs!hS z)-9P@84mX|INB%=)k?K&A*j(Dj5jURXb$@in<1@|I(xRS*%(9ATKQ2OTnxaZ2 z{Zn3@oXYvz0I4>K)<9<~k(kQNr+h7;5?-E0Q?bhT+icB^*6hfWQc6VGUV)I*qLTYI zzea{RdV3@hrS{Viq%!+{6&)x_9a}&HK<4}tC`5=J&f;f zggI;Gekx(Gu+9Omsr!f}6V>-CNQsSTIT3#II2%A`CInW5JNfnYkFD*}u}dQtUtOu~ z+3pXZ<}KV{Sq9Eht9m%gwlqq2j^)+DX_R1{VHM0wEh%3%_IIiUFWc9nG`A2IRpvsB z6MbJb2I9L-d;?alLmu zCj*YPAyygV^167Hr%*O^*rSV=i=c*h2lQ95O9H|OJros-eR=bcqjky0Ah+5vI-Fe| z^dn3S+kZ48TCELNi`{N@BQQ#shkRho-7q2in8O<80NJD)%V{wVSxug%IFfza6bhW; zHX(;o?zbGlDKpZRbGWnCN7Hoh{1)zth4;G8xb#T6UH}06MW}KlibyKwk;bq+u zr%l6k&ngl|_r#gAdV6?Z_Oc1Ot(!}o-|%tT)IDJqZjhKi?|}rXdoD-TH|>y#^S_$v zTo(Ofu+CoN5g5B$?~L5sIlH09>W2=0BEDh*>|B)N>4Zfq4pUzMTg^7;&AS<#7kH}r z7Kj&JP0)nD`kLaZZ6?=?07RHp{oPSidz5KRAGM(3a=Ve!sdf;)6fnxyN}y$*a*2s; ztm97($i4XiYuW>6t8RwnSr@0F5T}->%o@!J>(LmW)0K>VqLiV0+^NZP%6lv(&sMk^ z?9@gR_*g=%ho3PC%c@&2XX#pLM8%Fs-gAAHk4WAleNk&;-f%WmA}$Sl%!^3cBNKqK zkLEmH;*oE%mmzW7k9*2YU2sKDc>Q$=_V6U*lKogy3GV;sp%;c zHCV%O29$PxSD{!}4%Jg=<#4Vshu3eJAezSaL;(c$Ye03F8WYf_**D!rPUl#t_Y4pC zCgYhgU)VU^W;{^KkW*OYsljrS@l2Wf94L3jT&Z~T~IzmpY`)99Jg*tR?wXeLg*Qzq?J+NfA z=u61#jZlSZYDH>a;r!Yr3kEG)Li^6}K@KCiY$?e5K&8IHq$=`E$t_z3@*+1P4?VSk*i&RVQKL8n z6-*KC>H-%dmMg3!^Dec9s@y z$Nsp;M=vakrT}**&4oKqRq(YcIENL*RwxV~s460rszW`^Wus=#Cb@~)8D~fAPtvkm z1~giRTVsvHPAs^W%$`P>VH(2@MSv+gnzBt1zJ0{7t2HItYb~ex0Mn4(K5X=h9RR90 zHfmwnowrvTNww^e*Nh1!SV}K=I5)#8(4B3_Y^EXz0T{aT_KYTE#J24Vq5~NDqNFCI z>oaRScv?Khl~NF|udW)@sS`V-%9wgK)`ygA9=Sc61si0cN^I~$$H-hyrXkz9D3b{m zY=CG7ZGPm*kVax_%1m5d!VxniYNB6z2|3k@s-;nzG&%#hYc3{9lPAR5B{D}hK9*YN zWAah#5@pyj5{(6gx&l!|+4{=fs1j+X|C&R~>kLrhI;a>5KPkuMwF5dSRMVf~#6-fl zK#;8tJ2k-8SX6~1(p}=Ay~gT3Gp0dOQL+-{*(K_Y!nU%Gl9xSvA`zz9`EHD(%d+a( z(oQOQ!v05i-<*<lqCHfj-@RO@_XL%2z@Hp=`u z?^JE$S(_GPc*E9VeP;#@JTwWb-69{uDIca+R5abPcT5B+0|7~cPdr^T77JyS&SADY z>R3>X338vesJT@^sWWCR9<^ss!cY!NUk|PADaUbTM)7oCYlmL8PSi0~>}bGT3nCj) z9h((4oD5kVcJH1sycri)hUe?YXjtW zWV7fzxDeB+gQ6Q~NcAV6KxBm)WF?Y4E$|!6jWa<=jx>CFhv;rfPG{Bkd4h#oLmh{t}^wBAD&#}=@ZSm zR-fFM3R&0s^FQ2N)n`QYHZ78`Y>mgUWz1NgV~=D%g9!rzr4_FUW_4;DLX<3CbZaUoc9? zl@0Z+8YmN#jmIF0cVyWp9a{m+@G_t*P#&qnJ)^YJH?mJF-r*Lg94MQ-WzcdMc+a?sazTOjXGc-c za%h0h-%V0Wq2V z>bq7^b5QO8)OVdwCMeW*gG0&LWuvq|>bpiL8x-pMosyhY0fqX?J|$;4Mrl6}AKBZa z_N{`lWS=5Xu2GujgHl@d&49`o*_&0lX|_?yq1-JgmYWKlIh4CiNltZ)(oB@QO-W9H z&Y388yGh9@u2Gs1$fjDLpmRnZR6t&@2P!2$=mg3#O4AGSOFl+vvJc9XpR56uGfLCS zpj?*gsTiewpz}^uUylO{I`2-A*Ifk#op&i-w`-IpLFe6`;$=WV=RGE=37%1!2%Y!Z zin2i2=wn{030?a>sJ}0@&t98-Y?StZlJP#4jyQ_tr^s4*`Zs?C7Br5}$$l9|X?JL} zQE+V#)3La8%o$)oy$vHD2w2%}J}9VJ=O`*?l=g;^k0{=_0F)`ex?z;Y<&Dx_Fmk;~ zNxV@k?Pbe;1V(9W!6@xnk$1K*r3%WCpJFjeciTqE_hgNaQM$u3O6OJaVX{pv7oon3 z!FwVFYTzpwqjY%=lpphM=DHEP83SLffQ@2LIu!h>1Im@{BaG6WD0U~P=L4#|!!k;@ zrQ|7|QCc4urR!nXi>#n@T@?o;Uvx>`V1wt#wi8C_cP5as+C-t&>eI>#I*d{o!oMq^ zn9XA407qUncri-9_i^OVxm5)>dPeC+OSU#MN;jdpn^4{BCd=JYHcB^FWP?St2+X|3 zm)}J(O84cA(!J36hLS8n%e{d-<1|XwLUIjwZwAzICA3_ZQTsK}Oh3g8IP8XzI~%3T z0;6 zB#{NZsKGEP`2fm|fR>b^)}g+uP_EghEiJxL`U6_+zlz$-f+VSc<<3W5ea!@wqU6^K zkj}}EeLyM?TOc{;QgWSXli0F+HhqW~%;zrtb+G?#&xvh9K~&{P3p%ex|DAXNq8fO1(b zmBHcSi5#_*zCc@l;ej$q{TEUBuaEEC0#cvnaRi_g?=!Ug=PCK|IivJx*(iNx%1^}^ zrB5nAZ28$Cqcm6r;$YivRczl zHD{Fmna2^xk8&BM_X?m=pp?#kl!2JCYnV}bw_=q3VS`d0y;B9^$WIR$rFSwoT-@yk ztnY0LhbKSBW0c;?;qc`po>BUH9!G#jGfMK!0;rU{G&V|al#SAxro3b}N`I>WvE?V8 zjMD2>Adal?0hPhwLegV>uUR-eP&TPob2xn2)YK@wlE)FqyE>y36hNgwIh1_448%0D zrz+~D3J@EV((+=}D81w$em*5%%;0e4XP1o93lAr-_*ko?$~~1gN>2r{a>*z?SpbDQwxtGm3i1}kC_Q51D1%a39(Ih< z!xdRZWt9Ht;;4eEu-ro)sEquqk5PKi2V%+lPmE;&kQ^vg!%eX4vOE^|De4v*Qx2@= zQa{X!d5oVXr~;|mVdrguESJLkSp@>C7naGptAYdN<|!@rn3%-N^3z|Kn83fMAS=93 zJ9OTMa&uU2U<)4yY?)UjwWWu{0#zXObr(kll8W~Y2UJyl=*SrOrfm#-qar`IWejX( z0x8SSZ5adKN&zXz=0%_a9C=ytU<_>SHNEwof`aY3@{P37D@cjTsUVcH(7#Qi} z$faBO`rR1V(ZgZMuVxtoJGnSAvP+XOu(JcID!>0`4E(?bQjwo1GX{1sft2OPoQ#28 zQ$Pyxn|YuD9C`ehMU^#VeH=OYNi<`?@^D!4BU#2kyNe@(mln#F4hK|KHX=3#I&EX1 zqar^KX$*`qft2N^IE{g>6p#XTkjSxyt^h|KD>f^nM*BE&va_WzFvi1SVZRI3ujNN=+^no9B6&z!q^>h@6l8loW8t+njQoJLp zKwSA5OJhyGg2TZAa>YBUY^*uTmS2)I)~qbxFu_w=j?Novj!wz19vW+o$$<*wXAg}v z$67#qe9~dDzT+}LJbbdD${kk);>s^98f%WP;Bc@;UP-Ph8*5hCpsFl)LIH<~4_eBk zewfFRl3#W-*8C_3DoCqea5UDOXaVu@SxteGKh6O0WQhi-Dh?MPCsoKhse;3i&B={5 zKPls|Wjk_Xja$HB$~NZ4nxE!z;NjD&9?ShK2P%-Q%8fNYw}ANgR>>jn7a1U)?AisY zio=zinT<8Stl)5DdoE+m$z>ciD4XSeRls4&US7tUU*~b8WP@j8%_%uhf$ZXCtU1*J z;>!lk#+uVIKs?!O3se<{E4w)xYfi7=aAY%QWAz&-cZMzR^o`YTW`Lkv#YgR{->l+5 zxyR_=>c3ZTpxon%daG=#ehcLub;x_WfWripBK1xlM+(b9ZBp;%Kn3z!r^f1kSU`OF zNmFC>dl?{}{PZcPDh^lHTN%7F@GqfTS>hZYcD_USZM|2qT3lbtz1RdKkWl${?{jMX1G@_T*8>i?8+*zyaH z#_Ep?I840D^y$%H9!E-kInY@BNe)yXzZ__+{?r2E%P;#Gt3S&C@#L2^K~-_M^6QMo z>dz}U;9Dxyl3UwMy6t_mVaX9&q*Ke*)J zd?=~@r=7k(gk<`d^+#MIl1D{4?@tXOY2;o%?1oS>(z(taLb5r%^|#*&CHbFfr9TWM z+4Pn7HaS@&^93#WpfiNd)ZC9-mWGn1mVC&IBp>p1jGC7GY|nQ^^2oB5{G&O9WGZ)V zc6KOfN0NRd`3UA7iTg&Q&Yd||Bv(|l^pDa_d>~xmc)RfGr6X-dDy8VL*EbgwB%FX z5Ry&1R5~9Jorham^68-@Qzt#YEGv@BB9ET2Bb}8XYR^?I6ZvQu{b-rX|C^DYe;!J5 zc+;t0yOR=-R31_CSriESW=-d?yb!9%$xXkt@p9!ao}R1! zDLGK9y{2=MV^&PXU`3A>@;{~X3U7$oH=dW7eoKX%8W}QQP{_$BE?JKucad zl+NLs_`9AIN=9~G;}4;8*hy`Ze-cXaKV|2&L)D&cyFtCGgPn6CJFjzw&}npTx?+Xs zoMUR8*AG>D^On0Ea)?OIE^Emff+2J^t^CXJXNHotmi(PNgk);-!lZEIo>kG3Wiyg2 z*ZTVWd0YHJbk1`4e;U#JeQF5F;d^en_+KKqZ#9zihL9Y#&_Cq9P}0?sH`VN{)t+8* z?>=@YnbDFr+e7Fy#=hM=StO^a614Lca|p@i>C>!PA~{w0t|WsYB%5a3z5OSlq{IIy zdFxP;spYw>@D zc|0RF+2{Yn?lgI(upIwan9DO_Jr(}1FrQ}%bND~8yLo~?zIoyQ#P0HWrZAWPD>;v6 z3d`_+V)vLlBR0X~|4lgMCe6b!uwP~4)W*qLV(P>JVW-r0Tt;yV$KdzLM$rildA;LX zC~X*n6aDZdEXv&k58+2L3e9%c+~QwSYLK*aHJp1ju*$H!UnUh7W|n_+BD-fM}gySaR? z1wCPGuN25yJh4BA@UDy?(W3Xb`P5`>{xLuZJC8?<)E@VO55D| zI}{{scXy+1&o&~;Iq%k#@@b(cdRRxUo#9Z_6N5rK)%9^QqB3@9YTlXvw+n`HNy7hz0Q|6bs z)c(SrFGAzS#^6z2qf4We+1jPOQgN5|3iy7M#>8EMg`<^)%%dnud%v;m#p2RlETBRt zgUg+W(p~|v4Z9T7yY!s@WiEYnryX8~#!ZYtJll~)E9jdtUhZCz*SZp=y>oGwY>O{> z`B!rZ?}3q@6#-)|?Kywh*Tkj0bDAkG-C?C$ZHw3jUHYq8@6unLFLP=C%hY_msWG@` z(CE^hX84ZYXJ4|txJ%<*zNr=iqbXnyxU{D!V9cd$M$Y(_xMX{pDK4!K(yilNVjFhJ zuXpJM_sd+``^0^DF)+dyJTWmm?3I@n>=LE%)woL&Dtz5eV`5RFg?r@%jJfn}ci~EL zX?#^P#ii@2>DCFVVK(T}iw#kF@k{TDZ3_VBDp5zxtTC)NN~~xO9V^ZtXFNZP2BcQc;v%ve74A^7xfHPSzp=*V;o| zd+hr3t`;rv9J-DS(4Pq{ie7u?;%?YD4nAj!6ISx$WpJH+u^jziJFl)lNsF<|JQMnTXR90~v56 zwv($|b3$Wc{qdGE!V-cpH}_n2(A!walR+Bqs2Mr71R-%FvjYOZVk4VmJcETpIbw_x>Z1>y4P= zQVF4+Vza;oU3#OIn#!d&+%I$K>r0N`9~!@A4E{1PYVPC3CxR(qYKlu!3taC*V`45b z5c_xn#$1|rV$Vk6(v-4hic8lbN>dBOHt5ouE-sJc+F13rH<5U6I6&S+xc>K8Gp#&w zJXCLP3}!3g@ELWx$*!zWl5kB+$)Y6bcGCh`lq8-`_qixZ?2g8oE6YePSHPI3V=tV& zluMk_t-P$0e3Wi?i3=>yn0P+`Y`RZugWkV2#GS$09AMu@WY?KEdB_HlitY^T8(Q~( z!YzzJEGd+075IFg!%=gpDGRFP&Y-s<3##PKU|L=lRLPye437({Xj$wgs92#20rj21 zRc5-iw-R9vht+{9T+M4Nx-*zEeDA3Q^&iJ8xih%ZPPfkRh^sq;cirUO-@9Ju>OX3( zE?zhCZIsy(7tiI|>?p3z%*Yxradn0zYrw?0nN_XOZ29m#Oc3`xMRK|1~eKK=aM45>sBjXGSZk--A!t_gsEEjFT^XemaSh zPoP(wyyx+kgvf%-=f0X%qktcA)lR+8`E^_)IAJSnCLeU7I#<@W88*Y8tElyD>a)&t z>%QfX^2nQ2khO2ap(@=vJ1=YBnjKPea*9_pmE>GYs2u|G=4FKXu9BQrRjcIGhuP`Y z{owF^j(m=tZr#5uYv$6b+yS@~*c@x-nrv#BpXZvn6#klUWuU%B<>EX#AfS8}%O!er zLt|+V>87xK%NjERjm-)b8tRi)F6g6UT8^$s**Uogvo$u?iZD}S^D+^J6D|pa`&Eq% z)7i26g&Q4BkpA<3bZzz7I#&&{6*R_n;M&1->j4Fgu{F4YkS!t@3a6cD090eT9sseg z?w1YjOGa<@DfD~;SNVD{Ko%iaVch|yTA3JLcg#bk*m=3vMZ|rsQ=|p4!M*6Pkbtov zZ?hY>dsD6-=ee5sfK5Lxwy25NhK4uGhz55n<-#=jb{{$c_F~3CWUYh49^yiB!QZ#} z2ULI47@X$T20HO=e_K{o8W2Fx1GTa;^mLXP_cZ5lohAQb*HjN6DEr$2B71OxrIZCK z3bERq=z@>-X%X*dnVPA*#|loQszYo;-e*N=W{B2oVgO^|wSHrDii2}K&6FAK zdoFfd&$o<0#}8eNp6xBPWX-FL z?)xIzo5Nw&6u)j0pDoAmc{C-nDoI37Nf-TOP=JrIhvHU`hi z*Fr0^*@0DAaxVTJ=*n7M@pmBu4e!Onz6w|Fib4A6rFwLAUDImqapmeKe$v%XywKIpQjx1W`^SHdGTRt~lM9WZ zbS<9q%tkn8PsgVPL6L4HVi?A41sMX3!W(ydF7B%9c>$waz!sUy?0OySFHp=1g_*c9r!4z(NtH#f$l z{cTfG0g$|&!k7E92%b|{y7f>`E$%kd?rV(g03}GWLp_Z(*~Erh>DI$s!O(|3@{-T@KeEv=J~Crh z@&ERt9_sU3ZDa7*L=RbpJ~7OfbWXP(jQnWH=^nB?CkyHC4^)IKSxC?1MZ;CO zkRDp&J!Due-MXyS8^Gij1yn17q8MuOa6G3ms^>a=IBsc7dPsAb6%kV-!iRoxMEEcW zM}&XZMua_nIALRy+142B(t}?#*dGL+n$fdl@LO!j;}RMCmSp4u0P%NeMLqx!e;4HV z0!Yk@hQg)_GA;6hDxuCp=ZXBFVt!cwKQdBS<`RBifR0qrnDSS>7+Rq8DS!WECj48g>(5YBRo^75^N zI1Wwt)u&TyXpz$dMMxMOJ#PR>;(yd37i7Ch5 zuRVBBS1|8;Uv?=o<-*Y{Z5o5NS)1=9kVn|^ea2d!iMyf@zmz!=zJ8w4SE_j=$1q5R zNiFt%o`-F=fU!%N?Os3bUveq4qM(^_Df3w&-Fjr?-~%YiFI3X4`5fgocq#L~n~Zjq z+5JB3i=tgc{KGkZ3`k$83yr#ya^**VfF$k&AP(@o^93JHnQ_-&S zm6be``KO7KHxX@Kw&VAyxC<#x);c)sAuih|e{jNuQ1cyQaNkte1P~X;j`|8B5~WyXOO6S~ZBmZN}g-oy5@-rsH78y@5_*%w2d50m@+nk16wsp}tT(4$bhH z2|Y1aOC>MedK@v8dNN4yEyS^f2y^Hv9~&N1))-uEO7TK<)XE($AgKR{s{B=Xeq2CY z`Mf5Ge7?Yks~5sZo?t(3qliabTrv>_y7!_C=i(iV!5{gxf$Bn=J{^}2Kl5@)9q-F$ zUebkEdGg`#8Wc{qp5XGMFaD|gWpREbMim0W3YXYNc`DMFw81PV-MY#nmWE4I$gjw# zl$dhq0$X><`a5a-kldHuNq@tde-+ex&lo(!t2rq7xyn*sr>UD@q*670C;dYw-a&t4 z^UF2bqjFdB@53?-w*-veNw2JiSnW=F+vj)0qkJwH`JtnkY9z)tap~3{*~B*3Y0r&G3NaT zRfI@;8a_w(AOkETg0UfM)V3#WCElNCYNmMqK3e3*gf{H`>e}Rc9`Y8yD9F8`ak9Zt zc!wX1coP~&;^x|F4Ec}B@+qOX`NN91d9Kea{&6557Rs1>lFttd`4@A#nnSe80>&Kd zZr*lu7~YCzQYSRzsaIp-^m~PL>q+4L52t@?M-NZVb>Qo{a9W*g&}rlL?nj_;M|9+b z(?8CuuOh=ppIC_J`A@ufq+OR^OwzQN)Bh;LhrEFCJU_7zVzoR!^5dOvmWKF=rk`{wo}c3-C#Q2#@Sc-X!H>qQgBNbaPaAeJ1~Kl)TY%_#+O5h*o-#_El#`D< zWsE)^)Z3n(kAGsxN1pdOjQvk5d@Dlpl;igSMA0g2t_m1VPWWyV!OKOMl6%|2s1{)| zIJ}ihxBetls0V7kCi!WFW!0#*+KGa!E-P2VO?9%tAg2%iVH-;CYz*$0Y0T`OI`WyS zWcJU>@mH-sFT`K9{vyv$Sos$V^565Y%@Ht`*~8xb=AH6(>t|)nl*>xKU;cSPV-n;y z3+dKh@n+QlVK z+4A|VMEmEae10nt{YAjfZ&5NH(KpI0B%qGy-zsp#j4%iCoNxOcS$+>e{YMm`4Vf!; zZPyI`Pn6a2t6wxhKupEB;3VBG*l^&ixVvYiB6oNC#ymc<-NhJ0uWd-~laaEoyZQp; zm%e<*F3J7NoIEgM_91IC@_n&1#jilU>O*V1DPGT{TTiwG)J?J0Nw@wo6a?nbAwDYl zWlqaUa=&J=3XO^5ujbONzfzcT{4_V|_-Tyu89^-0XZVrhoBVOiM$ovcF}P9>U{SCB zwIeqL66ar4<$13-{wr6W7>MI1DY;g>>sFHZkS+8ACXJhC|2gnB%9Lk>iuw_Spr6vq*5WG2*8d zWHsF z0-aKp!ZKQNmk=~sMPuUl%jI#LK~bMkGw3~pyw@j2_v48L@z8L{E)r3!2##;zG&oxE60x1OF0vD%z9ai@(hk~!-P zOEYCSdoh!4Ju^dWgTvV=K{CxxMN;GUpJUNJIf$a&^_vXutlHsoVmLc3kmYs~?bCg= zpGKICEzkwzW}$-stBl?f{A>V6Ja>xUKv!bF{+1ZV80-wnnN7t z5LYM@6UU=FknH8`%x`+(LXFE@{`3ftwP=C0_7D#RrY_u(*WjJTV4quS0&xse0ry@A zuguOa$leR*`fP&V)C}6%Th6(a=TQnF{sB)8y2;H^W+nskmVh#bDAmr;4fv?NNj`s;8I`Tp~=NL+ebY# zxfCb=`*OYQ`L3g(dXzD^&<@)t8U=n6$c_`z2Dn5}?{Vn{Eb?Uk32Bkvy4-&PdL$_% zu+LWDX&_+iqGr_|%f2o>{%lh-sgrwJP_M?s`#)#Wt-p1NZP0tqPF}ex?|J1~Ohujl zq-}d{gq|*Au#gH}#m7*R)xSaY&v>jx582W;54~vqpNZ-}qUbTg9CN6%STp#)Vmcx7 z8!ZR!)yPD6n7Izx1Q?=81{7a z80ojw2m_aUm!w;9^N2rsED6D<;b(Xn6Mvt|r(4gni79_C4wC*}%nnX}FLEP)m*2b7 zp(r!P7~G{$>(+8zdOkX`M-L&8ZpCdnwm8xLo>x}OR>G%(=i>3%CXf_=FUWJ8L!r38 z7Z#wRAYkY(c1@w$2y^H!mz11W)|m2Fy;C~3qA~H8qum90V#?o(YIh;`+eW(OFbpy{ z`5yz?VTbQ+qx4v;JkuQ(;u60LV91lE>T59P!d!f!USn}P7nFo|(NoSR%CLr*ic&Nf z^&c|zN76+v%xR`{$4VvLy2c{5f$oUCWO9um#I7+F6+-SP9fi=C)_fiGj57v*r9&7! z>|5i-ZzO-4k>{F{vKIvLBt=eOUm6+|OH$rCuJHuaK^t%}kYpmvVbGY67X%tZ8%po| zNzaIT2&qKcbPl?NjW1 zA`VV!?mlL*YVwr3cu~{v?G$zgDuj_;R*UScj>!{HW_M%oRHw0X7ESIBq`kbP9A0xd z^zss0uDK+c(e2fqV$uR0!nHn6Q(|#`G?#8IngZ$;;8ocr#3OY6V7sCK>nwWl?M|JS1WCo|o8X^xmgH5WYyQA1Ea zvhIHmLG7Q+*$SoiGzNc@7=pYYzWn+!OBUM8Rl?;N?)SusV?z*^UweUov8#kBcQ0Cx z$0i8KWtL{j5cG(hZoNE1Y=c8ke>EAnMb$7-7u5pS_4HSN0zG>fgFn}Si)PKstK5!C zC+g)cM^DxEFzG^{n^Wmg_fk?(S1nce!j$I4g8Hxno2vrqpmHd^+>J1YN%=>Y$~7j5 z`jCfkMVO33Us&nZQiXCVgibj*4y_L`4mr8lI23!)vha<~4@2R-jlpx=Fk_>!cK{Es zn&~P8(yaqF_uA5qmz?<6dqsf{@gNp+Rb31Wlm*nTKIl_XggJDTV{Zv(l9|z9wD0p9 zZ$?o6)zX&F^sXonS3b{AjJ?>(s{o%DAQbD&FxXhMq#tE%dfC(|C~RYaTdr|bx-tka z5+IOny)w@o#k9{?!r1ewI@nj3piqO1N>}-q`SY|X7HnP~Tp0+cTi_1?)kc`Z7T~j; zD+!^nsK<3n8BUrSlVIPJNw;3*6H|`&W&Ur;J$cHAXHaH5k~`Dr?=>0jizddUTd(%G zFPirEYK!}#X@9TEabGm;?=@BKi$+so{@!AzTd&CosQtYyPqh)|(BIp0z$}ePa^G2n zZ#j*LzjsxjuS!h$yD-z3+}z?Efu2{61^U2z6zIcxnr=nm3C7?KeTu!%MXA7tu=TviTCBhs!&ME0yoW%qE z02|HKm^glqnQpz_B&HnSFVU;hE&EmA_34)@wOWg)jL5c$J=glVve`ngeJPDK$~I_XXaiPwuW~6#Y`G%MNkwg$Dv$f z5^*?=Dl{gJ-N62)9v8x80N1Sd&fc z>uwrNBN#5kXXTS)1imJ~W{ZVbSDBlOy2@4;?YcEePc#M>7s9U69A1dG-Gdf+B?yPS zwmV#I)X17*9RLq=Ats>e0OG@N_qdA`8va8xx?0=y)O`Kg;! zaF9wad8%*Bs$H$&ZB@EuCLH|VudNnLZsH@1Nyeb7uh#Svp0+#D*FT4=>IqNVZNA(v zzHF+zM>Bt;5TWeg3ItkiPsmn&D1Iz}U6hNvAIwqi!FeQ8THN`&^XSa@gE=K7=3TW~4EKE_~P_k4YQ({@h~Tl>~_ zyBAyg)^;m+oa@>2>9+eU?!!sTVxh-sH>eO$k9}C}21OC(z%9=1P^Ymd(3|{XcH&M< z0=<>V8d*REI+shP^u9UzoR9l#MJavIMF)I}!jrKAtlBX8xA|_|XCLE~@5vyII?)1G zIC}KI*N?Zr{SKe<(WZtL@Ld7pE#PM&%wY@Q0Wg$nOfrV8a<8v3TzkXn*~xT7gwrnYr`}M{pEtqwzakhieeOmzxP)(ru^mAaGPJ%m<0M;LAvdM0x{+9 zv}*nR*G$Csf8T#?`qK8ZQF@9o_*5x%*^;ho70!_p)eh=MWrxqG>m%p2Z+?`R&UH(X3l)ER5+;kxn zPBjL9>NLjkK`Zu}x$O_g&o^ux%Uj^>UZBMHbr?;y>I!P=@=m(9T#a@d~=_K}*0e zme@EN4*3!0Fn6)DO4}`_#w3+B|%}{t%3ey;h&G z>c{^^nQ7R`A`|+H2;S!~f)BaIz&4f`2V*_C|C0Rtqr*%_$(T~wPcDkOR{-YysPUfHk?7if6B;-b3s%-zGLs&94lQLlLRp^gXtMx0|Cfncm z8N{X=gR69Ersc;gVI#U)D|UmOg5*iJJ#6!V4la5;QjeJ2o>+(OPYC3QZp(>pz&J8T>y= z!4fYu*89X%3Qiv4CU~DR9N;7|2`AzDmDI_{8Yq*)8&IopV0jD!#G}6U@=-6mL2{Un zk6Q6tqV>7>Ezx5tk7-J5V8Nrvw#PC8>K5Q+_ox?P4hI&t%A=OX)WE_|z1r62G$xY- zv;DCuG3D>1!vAex`RdQQwnLeHjlm;z|BIsfxFZXKC903P@`6*MTCtIq1u-FtDwg=R zJ?;poeZuR@w#VEE(^37(uFLNwsQ>Ds`t_6dx=Azme-zaLGnHsSnv7(x77FJkh8pHcUAwF+BVaDf;N|_&U=y~JuN_^gUGS3~)X;Un`oHw2b z+ebGA=Zz;!5d`Kiyu0P#P+4OtyaSv!9O%OUBUEn^2Y<<%dD^W`jcl=3=thFifX^WeciDgmL>FQHC0pqSd z8AO-^SCv~&5>oMms|@R7=Dg4LdRw94KT)ttO3%R+U!mqS8yOb++?iev!p~&lcQVhQ!*YP;V480GbA^K&^hkUqM%yDsPx%7I zhUEDZ7GEMm@-rFDlsg%Y;m=exCc`vG!9Q1sZE%?0D{*l<^aaAVd$#%x^vpE|ck)A5 zqkjCf7h4J6_KX`_``-2}`tko`?LEMxDDwC5fo?H?Iv7x37hBj~GYkd&_U zh}a-v0C7x!DA;Dqqj;XWp4;lIS#QpC>Ur8`&wyjbgl!sB45MNgO#k;&)t~B`US^ly z_xaEB!0f!;RrS7~P*q)>%#bK(!CUZQcwd6-QC#Paq6%{(@0~XKXgDYS)a3DrsbMqY zP1Hm*4Qeyt+IJk}lD!qZ)-dXwove!D)p~9JB+87#(*n77R#CjzqL&MB6u(o9 zV%jAazLb;GKG}D@i@f_9xURXaKQu44B*>a6vfn8x%%y~-iQY>&##9uaa?Y7Q5Xc`T zl`czddRM?g>WET%sl(7giGgSsG)Du`?hl`|5T(W&)lq&NyK=_&Zm2u-U5IPRfwN0e z7w7fC_dP`UfHbw9aPPaJ1X(J%_gy7R_DwYOEY92z zx^pqvNky}IJOO|^shU}Rudcg1fx?Fx)!p4lbJWY2O&_GS73ik-eYxf=HNT&cYtAyW z-^tMPr&j}`cOH)TRK4>qdFAXAo;hp<3Lge%^RT4i`omJR*p3~{rVm|`!_NM|6N`({ z^23rM9j0S9T`HFTnm#N^Fp`S5YfwcB!(Vbq?*or9Jcde^6bToa zhp%Kr8j*u$+(j8{9+6g>XPEZQC_KTaj`Whw4pTIrmvqghkMi{T57zt<^1M0ELoo(` zwuTBjJ49lvaEVjwY%!M)2?NL$Xttr5M#9>T0o9^^#3PZw# z@Eum->>=drAsJ2hP^5U2*?phc|6>$B98q3L3jYL=EY7T82eawpKzH`XS?O#U5&2C!-g1E4_p7?m;J(CmK~u&1$caZu-oP`Y!B%$}3U7=89hk?z=s9^e4W& zflKQB9B%IsQC1TX>cc)mQO(hG8yBio7`CEPX#3P-OzOq0T}_|(jLEF-nKzq0cL)=D zhi2M$XSfy~FQO?aN7o#*kFLx=1ci@)a3>P3#^le7@;YcaxBjf8T?gG%M!c^_&1%kU zTP+JRMG3MqX(jZtlEPdO;ESx|Zk=us$R7%OsHCq&)|S`rQ05KvtrD7U%0$zI3Ax*5 z+q)NX<&{<1(!>yNok1uw$*7LtFPuXTX+J2@&klx^Cg4z6xPNc&5}|xH!eLO-2*0xp=Sb zPrn3(CmYqBctBDv{>qc-DnoY}(zRDfw$8neNYJl532H7raN-Q=?bWBo#XWmX-bii1 zA2lxCZt8yeHnCAErL!W-MEgSN;B@;K9*EEtQ_6vP<=Hh3&c1>grx;aCI1?T5Hx^wM zC*5E+eO;t0IoY#cJKCLCP0L(*jTU?MTO{bldE%*g7FWGBePc;5@@xUuZK7I*x#Zce zGQb?hC~)X4UC@TD(il=NEtG#tko4@JdY&B!T55Wwd3N2cciaqxkAiSF60SV^OtcK1TT!pIMM-nnr2kD50jIOAB%EYK`g<#B#RU zA-5@UE^CVnT;ZVWdJ2L1l(UkB^}$1q*%Y-NV^nu6#(L$Tv)rO}!7_Nca>sBtI>(28^D4fIy@FV((E<|KbxYyl3atiX5C~_Gm74 z=Dkz<@BThYA7@mDhVVDJvtz&x`fsBo}CCtyVRK^cZNw)QAsDh-VU(t0ALF-F!A6??9 z#p$2`RpccY#VI|q4%I5mr8uRd@Lwy8$-Xp${eB7=lYJ>3a?td9jxgD|WRimj9#2bg zYJpZHyyk(mlU|>H0}9VDs@t(ERZ9MmrMG#q@ZTYBaD|2cp3<*@_%lQA_LR)j>~OGW zHvN&6AWPjAvVIR0=28bkr+|JZNaUhQNq6*d{RA5Q7pLTQj@k5QhG3z&e>SNZFCfiB z^QJka89%bh+1u{YG!=zUFshAC(%E>%D_-run*U16_cP0G=ucli;q^yAuNR1%ZR6kp zZyF~~nzPr1+P^#rMi#&;pMj+n=8^?yw)Lmam~b{;Q4i|{j7ev=x+WSTObXAdTiUL1 z(c3Qd#Vvn$scNaS8(T-Dr`?QdX2R{6$OO>{0d^JfYGirKpWVd~uWXn3YvP&<9<=6K(BIWA5U zm_7OxmD_99Cem-7xE(4y(Wq|g$Gz4QE+z_g3jLHin`?I|YHJg=k)zWl#3gnu-b_w~ zB*?*ysRW8D%oXvx$l7=8Sx*zl9|~j@yoY*bJzbqvm3jcuOxhgc4+xJEYM4ItpWzTRF$c z;}zP1PmRj63MV`$VflZo68)iV280TgebUKXj@HlnfT-%fE(=sHAA42q-za>NQ620h za@p>N>{Tm^_G-SivS_cC(N-31GpnsE+TAL2+b?m7O+hP*s8E7z3al)mT7|ik%a|^q za>iszU}X_aFeY>PgAD4c5GI9}+8@v!Rf?uiM-`RcaeHpJAvvF{u6l>iMAKAA zsO%dLPfwx8Y8~ntgYR)Mg-*d6mQs;Ssss+fQS4KKRO>#$E?r0V z!OOUWwdGd2O&Cqlln$4?_RjBlbC0|z82#4;$=;)ProF9k9f({!*aJq7CIvIy`PZP_ zsYZ2U?j*63>@e0q7tuEprINjRNt>YBYv$>pF4STiKeQZXuThjB#}5wtQB+}U>oXo6 z`y+w;sj>C21+H31`Y_NP+4F#lk|3jwGlm3INP=3I-(~EWFJza$R*pByE*~eT?Cx2@>UQ}X z=90aGIL<$Mktf{)33Be>i6wSd;Q{grRfTGh=WEe!!c(f>-{AGZ?G{T! z$ACASQ;SqUxN-eTvGGe=o8ds5BAz=xdI|J_sGbT z$x`z=E-fGsi#Uc0I5}*u?MaX|W2q7qDa?iDw*Dj`GMF~jZF5Z{<&*lvp^Fs;dmWcH z2+bSh+xt0P!i;VM-OuaCezq4)&7trdquNl6H1qV`UKg3VmL=($_PXc?H_J)qpv@A! zKVDEI85Mbzue3lqPqSa*6kC8Esf!9F7+IigvyiG)mmiP#7OOIi>9#u#%n##6e)eaR!7|n{&=V74PtZAcz#Iq^v4Lsf``n8Go zgj73Wb^EoRl{)HsW;;_qJskBBsy+h`uXf^Wmu}qv`5oe(8Jb9=6AI}WORT<^qxaw& zS#(;1IBM!Yg8B{866A?Io}q+_A}m(lWbM4J?A3cYyius9vm5qC7GZVOBTx8ornQGL z=}c$pw|RT-2T=8yxXRm2s^74rAI5A@F(%%VWAz&&D%G-ty>Unf@x)P6kHZ*y!;%Ce z^%L)b88?V_)W)U8*%?$bHu)(+j{{j zoQGLS5NnpRl3qn);@x@f>(D{Ab#=I}+bFNyS8ex3lHXM%W^wN}@ovksdqp58d6yF6 zJtKFNRiT;+oSJ70!A6P~Sx0Pr!eIpRNA>R$?{ZAENVv%Mb?RmNTBXiBzUIK$7owW^ z7}3L6y^ME^@e}V<+1|&;oF4TdMd7x-o}TRuF5SIKd}5DJywe5A2;_Jlnm6q}zT8ps z__j^^zbdo6ug4qZECx5R+6^vYbv?dTx=y^Wo$E~fw!b!ifvV5KCD!fK_eHkHeci@6 zU45gYtM7+wA6y}hv3fc+Y4=S_P*=ZkF2dq$-`VUoS*mYzc%#gAEbrL;EW+xl?@>>E z_e^K%`+t_D$oDYVwafN~5}j_9#37_Y*Qc`YH%aO0Hwoz(W%P;Fx8X#p-B6NXq`u99 zEBi)f(tN+;o@?O2A2(y;CQ&U>wiocIGJBJd!20U5b$s6)kt%w>r(Cq`C=j zz#C=cZF#KUmyrW{;n+1%m z=F;xy_$RHm5go0=HkLSlIs#|M^KTn3lZi|XIs}xO$HbbPu1B9K2S5f=ZV1d}cfx#^$;-jSr z&L^P?2}WsBpaEht_E_9S9{T1E=gBm|2_sQU)JaUd-KW|KtD7c9;)INm?d-^X!lIL2 zM~&wh)y=&qA+S;*MK|Xlg*ughgyH0{nJ#St|YVFflYLd*UV_MoC`vAn08Z<2oh;J9s-tyGou~Fyhes{mv6TaCzokw z`Va~`%8e%-*stA2c{p=xh|VuV1;hlWYtnA^nGbd%2> z+}hGTh+`f+dx9F)Ja}skvlhXIc*F77hGUdzCm(m1^x)Q(EWsXpv|`%Z5LDNL-Tx}3 z>fO+GT>mv6M$rqgCaK2d=!Q0XEBLB4jBaSNx5?49+0hMc_CO@yEdhIO5LCA1i38Y1 zH?&Q>6(PG-F#_ccZEXWQkj+Ufx}lA34?=YjCYEiwF8df(7?dGQ_#~BYzv?%+60Q18 zE~>~o{PnRPqRaxkB9|jittAZd>FGQid0Xev2n_s^OPSTb(skK8E@#~~Vb^7g9oCld zx-NUyLaorI4yj)R=Rv*%WtCl*(J|N7d4;*)zU(cZDrbyB0$2t%z+Y6MYy^#$OgrNd zMtZydRjgV6>;7k_F?jrvqrOJzi;U_e?Rt?+8hW5y_9BB_JqEXR(2=^-u!=#*&4W!^ zf?5oY8MXOMG6n}nB{&9Yk$hW+3e@XGy8oU{y3iQ(wJ%&~4EhG~87oPp3)gBl~P!_`@do74lE`k4L z|1d0j+63B>KZL5zW9{`uE#?jM9XswlM9+2>VZw2LWRgdOX7?3E!|eWhM)j3u_doJV z?y%$M(R%SExEwWz`VO_qxjp)ar54NGE>Ei*_~lZ>Zi{*1=J-Wjm}%o$n!>$B)Dw%x zT~S4BAWYDeJtr+_Y?oJFTa>vJZ#8DmDycgJ+Sx682XrnUdMtJOoPIK7h>sWusBz_IoC*V@9U_qZ z{9zhcR_-XP5~r)}?Q@JN_l}vm+RFs;hovH-a;81RCtOHfk&EUw@M;EOxzv|uZM(0i zM95Pv62@7{AwX`-8rPwk%ka{kV&rJ_CUTG%lA%-Za#~{AJ9_j!He=977P%IuS@4hs zPTpZi*Ld+`C&WCT?BWNtw&4uBJtTvs$nEkRF1>vX)kc`87?(l9W>Vo#a}oYxL1bu&F%=VM ze!aFyAb&U}jFuD04tc^wO#Iw#dL%B%HoO0d^i+%dhh$aC_quPtf1>aeMs=T3q?s@8 zv6bKWagYX2xpjhm<7}F)YtI|iap_1Zc9%gvJOxvF9M~hW zdSLIIq1Ak9SdB{9xdJwX35F_Q(?1VCO9t$SEN_%^TUxZ=IYU_8fc>ddJJ9~rsicva zVOyfcD~;;(TsukVh9Anaq@8_T(k>pY(-WCk61}h19v(_i6vH-5_EsOgmwdpCy|d37 zr6gL8-^C-WzNCDuq#sM2d8zl%GoC|@R~gk^Q%Om~FwU%(V@bPIbV<9G=p+SwVo7c3 zqG=B+N>G#JUcXj%>7`vNyirP`vlF|P2&*e;c{(Af*qNmLZr-{9H7+!&hjPE70uKXi zTQ{F2IgT!AH;c}+5E)HUw~T3z2qdUU>SIkEC?z=#ZxoW~!MOHr7GZTIeU}KlA2OXu zn&WQVh8nNNt3TU$X_vHkp=30}+byq4+C4|-(1?sCY4tSXC@n!v(jKQCC+`Qgcgyoe zDTz*=?Vcm7uB0DwwO;xm-I=73>m2kkYW$l~-LGBuu&YaJEbOJ-ky-J$H!`609+cE1 zO}qn=c6BAFN!oef2J($&_U<8Xlz~U5yG90t)s<991m1U@2HuqBjqgK^*BI5y!(=XD z;HO2T$V;Qp$HkKNNYm06ePS<7yu&kXrzk;9(&`V-z}~|%radad8>J*#gW4laSY1iq z7HhrqeWzX;c=A|u|Ea$l)oX&Jq}|bp=cxA&Xs)sc`nXuqo-RE%l*q)AT5=`C5n%u| zNfX^Y7fMNcc)U?cdfqqfJzc`;OG@O@SGmpt@8lOwxEnQIi&x$FNl7EKM$1Vm@b)a} zlJ+XngHef$Ch4Ri;wURYP15{ZyKN;U?OEcDQql`>>t02|>Pq@L>7`6(l6I>ccs**o z&Zy34*FB8#jh2%w_R?rem$Y|^o&zfh@-kA($%vy-z63Q%M}>19l#)hUyirQR17K}B zZ0kr;MFk!f%gyfJLQ==8=Er`u?N+Gqdb}r)JMoAiLak!7oD$7%(R5CGgNMCORu{N$ zhTio-)Wjr;CLbu8qbejAO_B>AR;Z!~qlku&F&K~gbHp}M^gdbMC`HrJ<-Qrh>iY4U z*pEW=SC!60A241El@L?64s6uL6*26Qo*C?A#R zeG$B;1}u7?Ko`AVNf$k~Nai7Gnx{|8z)8LYbp3g&t61|^mw4CO%=rNuydVlym?q#z%G7nMHM9)f_ z_I|DebMKnTsB8oUD4%4cz>Sm%+vd3j@kk>-e^?M@sgh2 z-!fWec`SOYql-QutBXD`L*^lBy6B2&?{7&^7d_UAuvqk_=WN(tiasFA8>MKn+<_Uw z>WW^PtM&93#m+=;vHZ9xsIk?k;* zphn-Q9^Wp!4@6GScG=Sh)a3NRH936JyeCDEi%b_S)V7f2#^B;@qm zkf>>*&&-(i;jRRA(G$D~i$x!>(;53oPal!zjZ!pOZc>i0x}Fa6wVqzmsi%kT(t8PN zycOp({iLUlKu(|Ov*?L6IelbJPP@qIGXkQfiJq4>?ISD+>Y^t)5f+PH`|Z@*QuL8o z-Y7+r<=hNmbwz)a5dBf5v+y4H;;Db3#@jGtWs{;OVVuVOH_ct1JK8>MKn+~f*jbwz)euJ!asoqBreH3!hb z!|hmtZx`OKFHXcnqK^#p$;p(OoIVQU^mau_m&fVChv4h_64XT>8AMp@>0zf%HKgb% zCEh4R3(FlPYOXIjr$jHo4U^s9&vf>vy}|eGOFhsXSb1s}-cyj%^E}}YOs*z-+PiM; zsmSRfOiI*D`)K6!d{@$C(Jk{TradJBHLsrf`uJ_cyKYgVEFsVEpuW&Vy^Kju&o5E! zgw+-Oeo2YOBD~rCgLG$01Z&^0*|VtePNO=LCnsuAbgCsEqbL`0j&krIoGilX+x%n?sGl2sr*Ihc^mI^u~63T9&Z7l0GQbO8O0!?)U`5h7Ya( zDQdjSs2-V)GFD023nJ+BsgyJg!5d3D27}dE4w2C$(FxPNB2bfL-PiE2lr$|s0g64_SpfgE(g}MHy@t;O@U@=vg`Tv7Q7qNt?es_6I3x25l2jDJ7kY0y9Fw>Pq_9s`b*xZfBC_ zKb}4xH5QHPF1e(n<4WR+^C<96Kpz+9(#(v}a;}e(nj~?J`Eex)YLYftGJRJm>4YqA zl#J(N1T>_3W9)UWyv;GpbYAk4p53X`|&lPw<7z69Rp>#yY+x8cX0U z=L>4F=$7*;(2yp+HIuNdd(6dovvo^UBX9i3V$ml#j7ibwW51KJwFA*hT;*vYdI>1g zAN4&wWB1X|pvL?0(tt`7yh?PgWVBoWvq?{%SkXmeSzHs1_2QNb1vO3d1w}ZiM0{&R zcfEM_%Tn}-72X(&K7}ArK#IOFZ`yLXwXUZ>@M}eX5OyYdmtWVQjC}xe6dwJQ=#$ZR zE=aNHlQ834r^1)XV1-x{jiu9;1)-$N;oY(z2PfqvC@MjBh4uXd7jHX5iax2x8)MPD zw8{%87iCTRG@tgXD|&Gvr$2z7I?m~N4{iMbYJ3oH?#(AXeQMTdxhP=KrywXv^fdwH z^ej&ojRm!qi+$9i<#fx%8Ph&BD?v@PbLjD1r07#}ypifeeaLBEWn|Hpq)l6{N7WVm zo>lAV_u!|F!+Wb00~*ovLq>HIFDZH!W;SB z)3|su5P@=i@4fyCZ$<={CBp?~E+*t?9k@ZXPvHik_R}jj`x? z9%DkZxL^2|Jr_&3)!*12sNsR8Pr9qSZuy9&)Lrj3ug)B+yaho%YZ zil41-he^5O6^lmeo4%53_Lz`Ua=R?ve_s@S4A&;|@QbjMa?ggqTLQ`3w9iJ5^r0uN zF@!0+yu3yi6!Gh99vd&hrQp!rw^b>>F-pIeSvBUtg4d98Y9ym$T=k^(B<^T|H+nK+Z09s9`N< z%SF>ZFD*eWXHS`X%21iJ=exX7<}BR|ae+fv-EQcOc)$_e&}(65lICAOX(QD51lAk0 zq$L}IT}59)xd4&+&%7M{O#4C%MjsOwT@o#!oL7;cCaKrdUcIHH3yQo^O8N*kxG+yx zT}iJby!49SnWS;!rvHH&1EV^v68A$=()^OXgn}8eCTT%vEG+v(Ciaq8LYZHZpeAX{ zYd2q8N?JhMP$HTZEL@7rTo4jgSJJC)?O^e$)0w1(HBP1*44yQq7xDXI(b7z>wrL`IWDk1aXBy`;gHyD$e078hk@2_>mw+81XCt1GGG*GejRok<$H zV&6+p<5Na;KrZQ}3oU&Kc7g`e3ywtG9pyg81#XfJOz(Wls z)4s$btgfU*iNIUrb|z_`A>Cd@jZfo^XWTI=FD=OFODLBhv*KL3v}7#&(jhXjB(a3D zASXdh(w>)Za*LF7Nrg8`NnawDE-evOSJEqSSAQM#=Px4g#2+Jkqjg^kqu>F)>gKH* zhs{G}&lu&A>BvDiyu99_p5dZ^)`aoPqhFUf^t^ZO^e>0=Faa1um)M*36)^T!7XFml zs5pv(>P3MBWhJN}M-?&l682UJY^a*HrCB`ZW_d8(8?q7#2P9=3&&S2~i^$J&Wj zc%OwNKPl<5BCQFtq)T00(iNdm7#Fald|)hGCKw2JNlCOAd|8oD>I%p%QqrX^W052e z^h3g8Ny{KT9}p%b`D#EGl3w;Y@Y3o-&e#_PpEJt1NLHO@mR`CdM{B|?>GHfT=}I4x zvMlK;B-OHz7-*7cG587$9Y*9b@6w0Wr`d(PHqG842oM%4ZpqUK-<= z_ClAiSkgBc)4tjvOh|e$DG7$In@b~K*!~F=e8DIm+s;c@RcK9^C0&))CH>8UB$Z3o zAeX-JiGe1G7K5*QC1Zti~v3cqNS(Z9)j zQg<9(lhdCh`8VX#cOkVD&(+11QrF}pDDs1PgGJVcg#px;st=(rxhO~*hdj0I_i79N zAQZC|xpAXD8M&Ak?LnxV&3>1IL-Pa+rBAy`sR+YogASZ`RIh$lq2NnK`7|rpYg~c| zyc30`^R7id5vSO79-OCojq6=w;dd_T0oTa)cui3TBWqfMy7R8}8I#W22adYVBP@2_ zcO}!l-X%;(dPc=#>vImqrROaCqd!(QT#dY@H%(+;hNNQbIN1?iM@a?4MKPB(3e&UH zig?VT=)Vg;3nC9gLzSqukUkc3O%wjwm{z zQ8+FyTSmViVrL0j))}_-zFVT;YesoeI%%C7@-zl8 zof`wtv8WZzS=6iF5OGv=V6htm+ELJX%1cVVFEz0SBC1z!gpKS}2wIqJWePOEPe zj*SeVtn+OJmdH`l)OX3uIw~~nR-Z8Gte}=o;CDdhtQ%9Oq2L=v`N&L?&W%~>k(iF} zfsRA1Xx5=V=Ekf96`h=E`yOG^S$={}N7k8oao-bB@J-MOl5|=#^pp;!bCYWnCMT>j z@m8`#hMJD8Gbv-*H@SofI!`3%Jn3~{ozds?A?v(ll-+ESj-RG$aG1``4(K@4N^G4L zx|qgKOOUN|1VZ^{hcH3s@vzo9Poz4abHZ~I#-QNapwq73@he8*izp;a`xeV6xFu>O zrc+p&2c3!p6`d^YOqiha-$d*@>~uiq@cD;yL&0~9^5k67IyaS!!oSqmR!D)4ORZ>h z{sl|i6meua6XC!D?H5@mRcoDxJF?D*r;ff51s5CTDXAo#n~RuUDC-nLqi|$o2+pj+ zx3JF5MQS>ZoryWn2?-O{c`V_q$E*&lbLyG7VJP^nQJ&=_>D-d1`^GtT+5*t=sFm0{ z;%4t#@)A_mNtt$AK$vuvUu&KJbmXklmQ2Z_;Cn`Sj+dlUK)+LQn9i*}=%lHYn9jmV z5thhFkm(!_i{0uICg~)c^_bg%b+$>3ItT^dH_F4?^*e3ocfPln&TXDiINYLEG&~@zhLFdt+)>;4U$U5sjI=(jweu#s%bdt_(Y0wGTI(Imr<4`La zogcHXL|TH14r1pHv7?~#Si(Awb!45Lf3_x}wk2>@(2frJof|7m=T6Hg99EJotIWF1 z0i8-MorB@PJ1xQlore?FdD!p3S!<0Ow=W8QWRy=1lXPw`84G_5n9f}(&~d31%{t37 za7@IJt#hzt+IP`@5goVIS^w_HIup;^{vs3%jq=b+lFl7PW8rdN(Fu*hp^+ijItzbF z!!bo_I_`H4DuPZ(n6S=6VS0q6 z9sw+ol_1mE$20AFJ;DT?`@LFc-S2i_onvks{tOC!W|X&W=d61&cnY$`bpGjr4mG0D zDf}Y?OJpR-boTa5`=2ghGIpF=IuH6C&^h?U6Q4!F&yDiDe9}7irj5e)DwKUU!C|wxve-_*|0CKTAeoxx>!7FJ%Stn*-k&I29A&T98gJ^}^5G|Ge1N$dP8Zxmk2vvuwdKqp77XwG`c zf@AU$RCHX^zCR#L(D|2N>#Toy9av}J*v+P+;8#X@dMZihzMN5bTg47k751V=bUJUR zVTp(%(-~PY?FW3?QPN4oPBH9&&cvCAzleg%jPk;E-QfLMW8u#(TjxQ~DC`*-f@5dl z&v4BBS!y~SBu09s{h&vfpi^{fty4^OKxfoW2Of-qUmN9X!lZQ`$k4-`n9f5k=vdT> za+Z7|(*qd^D(k>v54nU1I+&-fh9$z=9iw%J0IN*@gtP8U)md(`er~uE1-~)Mm$%ET z2h&Djp2Kwh?a;X%YDLj83Uhr}A}v9tL#N*U?GPsD+#5Sf(7DI$fX?vTy2qj5w?-K! zToSXv2P;P5%o5Xi*rE$xsTG}026QSCWIA+->%$gdg3dio!a5z%Nx!h=XcYX;C|}mj zSr3&!N5#$~I30AJhkbQArw4FMNrDj_aq;OR1W7t~C#-XKssrnoH}Cc%3RaBrOgCwr ze;19y8EQ88NJtlpQY)HuPS3(IMF}#U3yP-wNJyBpPFQQ5dx8$=^zYT>O%(hdi*eZ` zorm+F`)QC=JP6)^3CCGH>f=$fV@ey?H@@nba)seGCFWPTM6#T&`U)9b! zkK{ln&2;|b(*>5)ie{ZTX!?IK_^9U1k?o2rA&W@ZlbkEs0qTq6)JfoPT z^Ph}Sn5_m093SDLL~2E+1MB=JBSE&#B^A^DuS=Mqb9aKy-5t^C^4yw3QSc|De2Jf= z^H>^mEVj<$xai~^(TYw7eavHNYMOgxd12t=4q<}M9f{bv!|5QD_jq&7^-=I=qdYg$ zj!wlW%qlXSCoH-G4EyTV$>l+(BEiTy;>xclEW#umw>Ea}NOeGGm(c@uN5Nl=^3~}i zo&T0V$6-1_iry7Vt!UQCS#V5Af)Sm-~lwd?h+%gx0gbC~1?$^?}t)tj!I&=2tC|EVh=LShS zPvk+zWjap=Seg^9=yV*@ej-mzbL?Ozg!hvHVS>)BK`k9D%+w!3yO(yRb>$UCdHYKv1;kun(Bbgo;Q#0j)K1%3Fb2T7nTBacR}F4q?(+3G1{v9atwj$6Xr*|1`=6w5L-6oea}? z4*gF5$Phd^Eu4@BoeDLrLRs8d_MAnSpi@X#r{Hx!=k$Abvr+Ibqr68pX`N?EM&aau z={%pJOPi<_%{nuDIHn}Q$U5SZrsoNgbZ+r#W9OERoMpS4J|v`-4|I}ro-Kk-R?!LR zvLxBEvd)Z*X+K+(U_?h;j`Vy;n6!>lOXudW1M5tCX3bAPr;AbEE0v`4TplN5m33YS z=;a>Nie{Z@2<7MU5@hQT8HTf$m8H+1Bz&8HlO$!V9aMtM*rN$2^TQ8=c= z*7={0JKaPp8l9t%MbGD`X%#z#5eV=9`Gg5NH>Yap+-!AVozwE;hoLURC}+GRofop8 z<1!swHG>DjQ!5&sDS22TD?xVFZGmaO=n*F9_--v7-|2vkdHm5M(SQl-xJf$y%NT_z z7SnmjHQM@SWXmdcCLxsn7l9ES@lL>(Tt(+5tCr49sSfCDedCFTfX-@0d0;6?=fyM@ zm~u?#WrrS-lAyCs8kR^)Frp(K7x1z}n6OT3P)i3VG3$?6y)WKx5$JR?%Gq|I{9?r@ z>``PouUL5hN=U6}&e|Q;d9flvrt@*mv|q6Zlg?6f1%n5pk=2dz{<+9PxESLJ7Z+C8i&AtOA77dG3eMr2hw8`|ru|Y$e=hK%kWQ$8 z1n5RrbE9a9s*s?p1Qk?3H?J@^m6Fc|eyPORlcC6rMbpC`ZpDG@=)#yc&p?oA?Gun0CpJ ze);t4HBbDCUYPQ_OOIxhZxu1^Hyp7odSS|&mi%RlTHZ>@UjtmKwQr5c0k`Ph22jw@45|mXM;%VxyDQQ%( ze46^J*=Rqyg%4Mc;pWm=Kcu_K$C|t=bW;dXYiJ2U@Sdm`0W|m#mx{(8X`ht@##;fx zpar#Xuc_axblRzV_XPXyuu3Mf3c6O=YnI^rhKlr}X}=5`-4gKY0~S||ww@NXo^XCC zBSCil(+(9?n2Y@>C7j}3Ul7P2Lg5R!ar~WIH1G!cCRB#QwJxHtLYR>I_mIadl?Q_^ z@hJ~bg5?gnj0_<2V3U_mu~1(RqddlsgFwpt06wuXZoP!sP5b>ky+IkjT=C12FB_2I z@*2dGVThAye-IjlN`OMTDVi;8AWV>_Dp6fX)hf&-Y z(0q;4-q~L{Q24h{X|9nDUEr{@mt^!ALFm#o z4a6eW+;Sl_f8XLk@x8|Bf(q>n#E?EYQ#U!P*QZOgOpPb@u!Kg$}0Z!O7;XMN(8&!6Tb zD5c7m41G$FOly}?nBK1NiN%=m@l5kLI&Y*t%EyIop!u^bZ4jETfFtDj7VgB%9+NA= z$M~bDe7x5+M~*_fJ>g?F>Eq9RylF{E{XAn7{^PRL&jNa15BvC2^j1#<+;^2dm?%wD zG#@uX(C5AcBOe$3Qv#Mzm`lM;ukiUSU`+b>*_>&A8Zst*>_czaBTVSMyuFWGFQqOz z@5erF%_GXAPJ4WD&gvkzo>AVrloYYHJ`Ut018VwuceIP&IV*;jv+GYaof z+nT~QdM*8zc?q&>p9_Fl3Ueu@yOd1(3x_ck)B6uRa9slV6UX$sdDH$XN4SuRCf0~z z`r8y7d6^d<$+TWgnpdQNxcK8+-x%~qfIt4iK7Rz5-5%g8*UwK4`2+$sKzdt|Db$h7 zSMbDZ4j;)Z%Zj6p=t$=4O!UjAUhbQ;_!S+=d{d#vm-CU#we?=HT z{YYk6ma+Iq=Iab&Mo7+~qnmHi3UeqV>1pcUR2YkoWWFsajP?^{zbh)tVyu!^82*s^ zeV=1&HJ4~&+SYB+A-fPr`so`JQF$aI4t;*iFlL5C>b0V2FHbYp#Q`Rs6TiG7G5Gq5 zpnXnUnnE-@?-;FD$ANJ4+#_3Hs|~SsmQVHp6=cB!CC-WO(a+yWzg$5xkT<$glA899 zzI>p7%n*cM+m?c!)(6mvTE!xMq?o2es1C;co*;?2WFUH`*bf0?q7M+u?mzmBiO#QW zOV6~wbqN!RafRPr?gmj%%w8Y(sIuc7Htq>j}4jYp5J z=RWT{N58rJJ;zvBB_@!eM!6LT#ASg5BfW)3(^Rd(T=FG7x&9lEF{zhsF8|J9Oz2%$ z&EX(LU_$TZPCLDWu7q33zk{xd{X2Nv;9lUhkx@RJ`#kIt59KX6wp2Y>`&X(hnx;^GTQsBwdD(H3;rNfsGN8Y4F9u3 z+l0!Ctad6}7qC|^N-3|-ePMk%+S=GCPvF@~MB21}L1z<>WWQLtSAUMCa59R2D$)DQ zcnJSBM^iYWqIs1@vS0EN)V=ykw4)3cId}QF$ooaaUddv{ffNWkk+QqxM^3jM%#`U>YfVd*NTjO;Qd>g z-U2E)!h%%XXzS&IBw^$zQ3)#WsiFvr53-vM-7tFG^@3&MB;GYG>IPhfWLcEr0N)yF6w#+{cs_*#ww5rj%~)cI>DpI0T5xf%Ur73NaB8vWDufG< zpI=Y&dGPo%R+P}1<_)&pXbWiW3(cwIBjyzoh2hJjRsf><7cYC6GU{X1e3~VSe1COw*R-6Ph)GOy*NXgQhg8le$zKCp`ehc;%V63fCNa&c<;~{RtY{L`+zmZR zH8kWTrg`7-yStl zyuTXt@g^kU;45YP{%$R^UH*>Ew%<1}erJrE%s z&Gr9+r*ER9@nJN3g)e?ZX|g5``fywvrOBF6V#qXED@qKRCf$)3sHJs)OQ!FUHx{l4 zI8D~hnayj%&%MRAbuccipXD@JH)FI7!7rI6X|y*`1dx^{4?4&~6eaIyX#yFHnLas; z=JkIOB=%Ej0!a#UXg?gEH?Nsytg9Q9ZCDqnUJb+M`>3h($cv zpeOyI3JJ*se_T zuAhuu0j8T8<%22-&Gw%bE$gx7Zj|FbYwqc4$Arz@9iy<=Ar`Ub0xj76X-QC4Vl4a} zbh{BGgpv8glh&Gh;xCCQ%@dt*j}gcp^m@_hn|DekS|nU(KHW-cHhYYs1<%tRrP=JU zM@dbj_qnS1W;E8{C?DcSn)xWPdF@axUeno z;-RR*+{lZoAAd?e0{KIVl-#p=?2cvY_@m^uZ3ZH}eA*)9&TSvo@=1boQ60NjKRIVU zy6*3wJOFajNx2)Pb-C;M^3i&9v}QJMRG~9j)NVrVMrjE~a_RAT&FlIKW4Q{gNa&gWJO%o>Mp4>j7TTiEhqB*`McaEdHyLq>RZa{raM)_bb5z);X zqt|`glf2F5ja~GfCH!)QT+b*xS>Y`1jUM?opIB((aZ?DYkYFT!;_W_Fq%i!2)4nbw zc#J6*AG}lZb_DWAX%=bGTbNpCp6w+gI=X6QRuF5}4-F^$x#o9ZY9ou?q~<<(dS)wY z?qkVky-Ll!9lhu3i;?EXfY`;FTP{Vi^~p;x(%gbeuABQ<3Uf&_^<2Fj#+2q6Z*H@c zK>oya&zBMVJ>U#OOOa(rFqk9h94@>=)YJq?q+Om z%n&Rz&uXVRdY;b7q0(IQJe_r>j7Oi?WlN)+<5b4AVo$gfzm2|j+O4^tXB7Sr?Q6QI zuWIxOB}(Yx=xdR+=JM4~6uUzUlBlpe9h4m%|`l3k6$?|Bm(Xq(Jp zhnKcmPx3KN9US7n1ALXVy%x-z2|8Or7LQd7(ZN=m(QP0-69X1n%2``p4Z&IQM`biI)&6~IwpXirM=ImE77XINz zYf8=i(sFe{28~su6DE=huc0hl3g2#0l3)}x3x6jJ)sYZcF)A%l<&43UDJ)}TfTbCe z7JM!+o2?>Y!h$&`sn=*d)srhGtz$x^x7V2QYofVraEYIj)Y~6J_!rP52e(b}(8+f# z*1M^NHM@}YTA1!E0A;DSe@K>*^pxH&prb!wQX9T8Cjz!`Cn|CB(24oxri=t5|B8-qK%g)ezNAN1HV?=$CiE`+5@q}6 z7?XM_4(v2xLhp<)sn-}(b|e2DA7{#83uublWe^_rQ;GcBMe#<`zZc48q!DC-_x)tb z3xx7V1f%rZBFi2-_hQ~a-!<94bDySm8&&r2+O5BzEGe`V-pfIoN85z9X;#V*zBGI6 zTd7U_f#Zf2&}Ihisi-(k+KMV7P*j5IEUHLivMRB9jp`ySnqoc;hiz^V)-{D5_P=2y0G5W2^`2|e zHM3f>W{0SL2|q zIFV(=go!>MOI@CT@Lw1FxT|B* zlOr6)R=M?o`K|Xr>pK{;U(6cS!!52rZ@t1`-1>;3j>*<{&NH^kt#5ey*e}ug5VRf| zRhl^PM`<6TYK>dph4&JXEnD9uWN?*R-@4EI4bb|I#_X4JMs;?HE6}tLS76-wu36rZ zFxk43VQiIKpTF(MyV3ekWA@7_qq=j*6=<#RY%v(O?)W+;Ti?xNY?WI-t}^!wv_1^2 z=Z)%_N|M(4E;+6>Zhg0kj>*<{FEO^tt&cvh>mg`;Cu8<2mQg)eRjReVYrwU}t&enc zOtwDCVvJi~txn&GzU>Z6b)e4J`B#9#a8M{3)$;$@1UUv-V@Sr%8S zMau3jgK_J7*I=^seH`9zm0R!rSf8iS`mSibg4eHSxdN^Ay?h4a*7qsum~4IDJY(GY zs>SJn$BR2$9AkFLGpd71T#FVE7-gbQOkoT|)I~-CF(zb?_LH>s3m79>+Th>s4dlv7 zhtL%vblJO`9ecmt0@^y^) zD`h!&+fkV4b=e&(eGF_xu0R|7_fInzvmBeL!Cd|&ziGevEcdVQ-oz4TjcccI13;Cg zaoB*P~~0}2D50tacK=}9pDPAqGNr1!p4^v!)bxm%^kuHEozuN zJ<@PkUdQOPfY~r17h@>ADpRO#OQviMD~^H{0}Q2Eu0TuE!z>2LhjI!v&eJiO4+jT~ zahi70K|Yac%I=#%>w6fp-^d!(F{)CC;gRG}hifGt8u$S}gc=qxI?&QF$tdeG#*9|2 z<5+JZ4d7k&1g+4hZWVGZ8m)sA78~UdpFvTSgzK`rH*57 zu*@6P(Rr>wW9eE9#w?F4)?hBNJkrrIY0F92B0sS$Co?2g30A3lTjPQTDC)A0G5c-H zs5UE^sg&y8Ttyu%Mcv!vkeedR^oiw^K*wbFb`-YA5AA0-wBJ-uB4`#^SC_D(@xS~C z%pq(V{+AztS%e*f|K$f*)V&?ckQhj1_jYW^VBEbO7id`2y&doCnC#xBdofl!;Fi5Q zzRqUmZVNg4!iq(sdYUqr=Id#-enk^dqjrQExlGz{T$WoW?8%V!J3gahGH<3<7;6`i z^|E{Li1j`I%Q436cO0X-LyjxZSWc;FU1s-DHLbto5~HbUE*!Ir7a0hXEDu-pC0XJO z{K}^Vn=Macy`#&1U|GV;^@`k(#&Sl9L18!iFdJsLH5h)G4bv++CT%%6r(=?3+z7Fx z0d3VfaBI$n=Yr~3WAx_U~HpPN;Q~EHaaoXF=?ZdYiucPbehHcC0O=u^XqtWyM}e{2g?J&5?#tP zmn+a%&Qe&+GVXf>hGJ&JsYTwAFk-2H2$L*lsV%~jyIF`b3NxdX{uHa7Vv%!;)M+pD zoD6aYnT|N&gj3I2~D-aq^_ZVB{kjg%` z&R{S)7>v+s9IGltvz&@^GF&SdMN_& zhq*pipfNgKZ#`mkdYX46yU7mYOiRZkqj?O;GXksFXFZWR>(9MrfYvzBLJ#38n`pGo zD01B~g}EgSi~6j2p@v11&iMgjt7xdQn==P?1EcX~W5Gi(t&GvU9M>AV?##S~MTR=d z*D+}*&tr@jX~)l-Y~Yb6`WP@bQy!CVpYHJI!n z&dTWfJudb;yTlmVZPkXL!S^2g8>k)zs=iU(BF(jE?mQa}$WQFfbBY=kx%1q-hDGi? zFUMHYc-@>j#v5OnxDgmlFdOll@9HeokY>Dd9IiDs-nmu{=JGH3P5agFxXufC?IOD;Y?t7Fo|URuYbi!W4IlBHFTM5zAFtf*AKjuN}a0lG%8B z&ZthcxB@LxE>Rd5Mg8%mSq6!v?2j+Y=$PzgE>AO-v|F=RCkA)vaoT^t=t#5ijuaRb zxdP2@mxK()cDppF!Cd|&ziB_RoBkn8+U;_W@g&Q-o#By>9{4w~bip!jRF4a|0*&Pr zB?e=bSGYA8ewhuIS9DBz_dJHQDP>-ng1w7tC0Ba3HPv;+99KVW@I>m2d*{>iZnD{U zr)5+pd8&S#`HarH<{bvXH}dn9c@2wt#H(@|7WsZ*R>!38ug);Wd{?FOej+s}=c&UZr+Uu&jS_+wQa84`^yCSeA_Hl|@wpvoss7 zQ5*y#>|r+it-?DpOS55Npkp#5FY|RwCeGg-#u6+y8SdAyrQtWYV0pCJc(-d*x6zEL zR^hKzV9fGbi(86W{#{8VOtQSDr0*wLUYBEx1F@51v9i0`tPPvNavE4xaQ4FC3ec@` zx?HC)YF)B5SevH|8kB7I%=D7kDZ)QV_&!Dge ze!!FI8q6i^x=_dJ$J-4a@0Vm*x1-+w#neY&d92xpXZBYQw73F|9Nz!CbQCjgF4Vc>4#o z$Pbm3@#Zrm4np*~H6Eq7a*vPOrzoO~D&ghuTid)i*B|W*HRmVo#Uv+98 zt+3uFn-{p1h^PU~HpXyc*0UPhKDBnDpdLHJJ2dp~U+oSoUu7>##iNp-E%F z@&vF9jq24NSD>+MD>4|fY;$Tbmsl3?zx;IU$y@WhUxMW((WO}JFEN_cf9U_fa;Dk% zuN+RYWVr&3HJD2*Z(~U1uGw&_#UPcHw!A%HEXlI2$IrTW#~Z-%M6>a} z6g-~h3N)5?DllewhtE3-%q5n0WOPh={PwJlNtSn37)!F85Z3W{mksv40xWZ2nK!C- zkt@(x-t90Lv%ITRgSo`=F8nV)w4Y>or>A3*!~#Oa#l5%*OjIqq=E^ zE6`ag>WXF4eID%c4;|#^VaK z6EFAV83ZGF;-%?Js*FrUn!HJ(8}$EZXmp ziiWiga0z>~q+@-3!v0fatShGM;=FRxV|fkBm?7==-<*zhaR_@n8)LOAH52Ofln1}i z{YO~w6tnRG$EY5q8j5}0^k1&@|DXA4(<2^NNWNb6lLhOzCk+GDQ$e+4R6U1lf%0gg z)%2*#Acde9Qkwo9XqcSrH$B9VkR*ngrUx7blZkR{P$!+6<{$eY7@cM|KIj_NoW~XD z#tRvY6XjvQ26M@E4~05LiK2g~tW1=8=f?vblPsTf8B4Ov)nmDJw}E$n6m00DA}bRfZB#c?m7+Yy;L{e@N-fD4 zd?u%3GTxreGM2QFW!3ZKV~5l5aXMP}jq2GhSD@MGxeSA`jh+o^FqdrfprvEdM$a%L zvO;?D`80z`mUY)J_xfNe4tToEH5(t!;EGpOfyVNAk86!tKIhk9F8`9>v>&n5KZHq^ zFSv{o%hny-lz}gGb@>jiyez48568MSyD7+>0dj#+om1g*xJo~|th?!X4UAT%oBrp- zJ4Pp(n_jeZOcIHY<^_?_UhVZ!qY?ed%iLE#b$$~VooO~ck~OORvs|ebQvWM5NRp$F z3Uy3|)JqCWI_pfoPDt%Ert9@+eI8m5(f@Ou_*QB6VxH01Sud1oFqePH?~a}Ia=?2h zS)T3GVR`sE-7W;n`DWvzIV_=NxB`vkqBMgs%UApw%%#A8MHOb2X4A`AeLv~RMHR-9 zEb9jTJ}>Na8d#oXHvT7NR8LeDXe?g^2lbY%@=v_Rp%;U6Lnk&#)zLsY&X8D>`gSlkOQc=fbC-Q1Z$0WXsNyd81{8p}7b48|;9S33&KC6=%AZtAE*0r-RVlPurNFitF2eO_WNnKA|S zoCB&wj9huH1#R<~@J7HOH767Jt+a+k^OCnJ8YUNon%*ukmNZ`7Ks@5@)4l_vbIr#8 zI!1NNfGg09_omNaY`nL;8qDQi@|*Un-vhtn@ZL$5^uWuNE?v$2b>7Qhc^+7naDPvR zE6`Xjwit|AzEiBhTypVCIUTFd@?GAo-EzyqpbpEP5ACxvSe|b-KJFUTQNF4n?jYX{ z8H`!JTf^ujmsl>&>-*Jb`Ch>LC2eWdv*l(B)?E&k7l38OsP2yD_@lb<57G?9EZ_HQ zFqc@qpV2Xy)bC|=Os2~R6~>Y*7kYJUIqv73uLR2r&BiA@*isSFJpN&cYmHfc=+buG)sV(ANL4&^8^iiHc!Q2&? zrDM{TOB@}OwhTkYk}Pki$Fkpfd;bKM7lEY@k5{+?jpZj924h=(9MoVgvHUozW0GZ< z(=o~N(==lVmi@l2$8v+2$Ndc~FE$&W%ox?(N?d`)@-vsgnB}LH8Vq}wO`m!?CRu*s z>zHKuxx-k34Z%7PL6lGx&dndT`o5ppU#10nk&#)ex<iWsU0WEe`GNDYWcQ5ck7Ll?y7hz$WDf^^Zbu4~(@&;DE;-HGeEmaJ=A>sWSO z%et|<)>%s|SfXMHw%@sTa^~JV9TI=@$IF?|JLi1AbMMS#GRey$m#p81HYJivWWhvm z=I@suq|`irzx=33thvk3u{{2ZNzb6m-?8{(5h#tZsDbJ-faFpp{eQ-CN1p1NyTtpd zzx+IY@~DHpN2v_D%s^>$u$hX>Pju=^E?K{ia}~_w$N0yF%KWk1fxqz=Uh9wLr-Xj? zJRUlheO^6iAiDfLi~mDMmuApGK97HrAxJK1oj(d@s>EmE$M~lnWj7zoJMECM9NfR{ zQfzxMy39doR4^IJSQeAim0YrZpScyxi(;TuhJ^>U;clcBG!D2?lwa9w@F`Yx*W&oGE^_3dLX2X(bq0@m1FdhS;26P z_4|rYm1n+hY)Y(ojOvc*c`&-XoW=iTL1|=$8YpA*O_HD-qi-#h`D0WviPfy0u=lr7 z{R$R;B8G2=xYR&V|29TYs+aOA^VN6dh*i!IEcwg|nW4Uiow^0puS9hlx1H+oF}Sk- zE<;q#(06(TGx-dCTU42UtbOIF%s=oS+~tq9%KWkX!Bv?U%S6N^R`dQgEO*ci=<;e7e=3Dz8EhuX(*1;=iqsWd`k%i_ z)ENr%&k~8Kt}?L?CVf#wb(Kf9z|JdClMXdQ4g+9Z_UfJ>us{fJ2|6@RDpP+#JDU<~cDcvB-M&Sa4!X=kse7>LipxDM>MC9C8LMC>ciAqfvhe+_ zJ!bn$rAt_f-?jV8uXI-Bx3@xBy7QCCA{zN5W$Vl_MJ>P_p7j{b~}Y<#!b zqy~zkE_s5|QRkw<%8qc4C@*~0d`I0pVwL;WZm#n>4qtvEI=YF)pHJc$*QEx^zST8H zkSmFMqlr$*3TE%`E;x3g6`QsDa|BM~t9!)Ge*BvZJ`6GT)J=6RX+L ziU$wEyC`+Hpd$~@5+*fJ9QDi-l#Y576;^iCi;y@=_>Ovc1Z#G5LTual=;&4!e=&_` zSDhLtj-rtySA^% z*}ksIdf1%x$DjTZe8eoVpQSMWBW8&Krpoy13zis&KmDcVc^&$7mrHOIb2y3_C=Ch* z2iuij&m{IMQdho({1pm1PbsWCuZfJx9yNGg-#oFJ9ksc5>#6AIuPpwu4yFDUHBhd| z>eB?#QCWRJpv0N4-X}(RTwVPDcj$eo*>|1X8P#t`^&GxCo1_NHIkaDnAXoCwq5B0& zf|-=zKXUf4_jBeyKlctqY96C~x{vONF8{{juNY9eG!R$DsC&?rt3TrM*%+jg2hRL4 z8cayM;@sV*b0T+b=!2qnplBXnc9>KsKb?~pn3Ir(W^lTKVHrydNvX^qhM|Gs=94um z?B!arW$PvA=uQ^@uZiEG7Bo;MYpAU%`I9v$Q^8E`s83O4e6m<#F#hxxK3u-bq(!Xe z$x3#FhtTC+=&}f<^MeM;WF=$NRZiA0Q)T{S4L6828zT*`L4NtNc&El_G z_=RpkQ)P^XxYU)a`(q?uRSKq*@m$Wrcj*0fp2L?)m#}m~B*bOi)QJyZ+k062wHUsA z=89_ZgKqvLMg&Z{+&@E*T(ZRQoXWyo%6rb0E?KYB!(8tD$cg;r+TYQojbBA)l8`c% z2e<^K%L7ss%;fvlh_uSWU5>OVkM_5k|5&hKP8rb_KU7h7FN?pP#BcBj28R3f|5z|F zGD-c>Z~5eYprtVX!!LJPH`n`tPm3hb-u9(9%K2iq$1)yG-HYF6KDt>ZKF5R1PR zfzo)Y$4C13Z(?+gs8k^+P+iJsZ_sRL?-^uoN{=)a7YSsv8C+%8{R- z6RTPMgtx~HLG_1O+|%*vxTqcx{{Sj2pL1|VX zq^OTKsjE~!#8a8CeyB^VX7wJcV^dK5Q5OH7fnQ)8G*#5)sZXj;Op+33zWSuVdd=$H zukU^jsy~M6c_>{L*jLmKAt7-N@Q=7j7D1^#*(6r8`r6?=e?;|vu=v|1enEy!4HWf5 zQv~IFG6WG)#GEZ*G;sEO7*F_%6#={g#63UsaEyR zX7?JoZV;+J&f@P__)SN_X5te8J-baRQd?9nKf6s!E3AC}n;sN%m%gJJNy@8vJmAJ; z3v~1^7JoMerIQ08#nCj6x=Ke=GYTs^ni*4>?`UR`Sj~>6-pJols(S(**|>Kmse$5X zhD}g9n(ivB>}XbAWxk`?IbtB(a(ub)P=-40QA~ zix*N*(qq&>ag_21N=JdXa-NwV6k}d_&z@hT+L|4$K5P3fbo6g@njZ)$juv~=RXSQsNZF5;#t2GBON+#6c64IfJuXK_&$9T3I+WzWsyJF=Q&;Ke zFjryaF*-c2GJn>V<%rensOQcV{FUc(=qQKRZ5}mH935^Fl#Z5K3M)H0BBL_jQA3(o z&5qK=&Un+d?s*pf$iSOBHZ@Qj9ibDHj+Pk;D?2(er83`QgF|{P8%>r9`=t9B&h=Sv@tT`%F~-FRI)4Ut$bupr{`kFuCn3 z0-I8OMUfI~R^Jer)eY5OW${mwP`c2i2CBM8P^zC`s>~nxl?Jhz)jKUcZ6&I|hUzYq zmS(7dqJDgmpj2O(Q<<;6Dk!d5ef%-$FH!w<7XK^-r6EC6MSX>(D*5xinvghQ`0A@Y zf;Fqpj?QU^>TjUB2c>aoYM`i}kS8d&{lt{YeDyU+Vx(TniQu8g)}4p$ix%Ew@nRak z2PQ^cloP=!ouIU^##UJQM6lLUSouVdHi`WjTLp9MuI+zDTW_)W=Mg9^Pg57g*4n_U zv~{9OiO9;fPBIi$wzWtt79<M2GwLYz|vaOAl!pgQbnZ$mLt%5o7xjlQMt#?@bO9M*1g3gMq zjWKF1ZEZ*@tZZwup|G;8EjqDZXKTQxTV|lGchOcJzi>O~qS)G$r`FQe#-hT?wzd*d z_N}cR!Cz-bP}WzEGVrH z#Fdlz_84`Qj!sFc%pa7~3}Reez8wrpZ#P5Ums)wA@g=H%z~W!WptLw>s@(P8UZk#E z{V7~wiPh-}X40Df$n(PAo3M1su5`(IE%8EJF40eX6qZ{M!^>GzOclsu!uNR6i%DGGF~S8Dcf7uh1iB zq53DN?%}tx2SSSasd?%u)z2lQoEOja2-d7VL7Vpxs(;Gjr8It9BGuz#a5wqvUr_&T ziZZz)f7{PX602E#uaCA4LiNvBd{+cYebdxHQU8rjP;UEqw#s~U`4)f8>O-b(n2hR0 zRL|fypP1BCQ9n1ZB-PI^Qli}U3-ZK-`UD+^kAF1a=ihT5J$25VDEc{zf2Tv?&=}R> z(NzAP`^0Zuf?VJ#iyvqg8Y=Toco*r!@PzJv&wb)|g#3eVLb#DleWqWEM!rBJIW%Gi zh0@4{IfBy21*Xb;Bfs;ANh2ATShW$EJ!%ubh4&?ke{VoRzC)yp$nVnBOd7c;qcY#f z?`>kz$i)`1YDPNt?DaAl*@;H-P#6>RQjGjQNzJ5@OiE?Gkw%l4G;)bSteTOr^|OYf zk*`?%2NMb_0!_up#YJi+ja(d4nQ!D$otQLo8KJ8CNY__3ZA2qqqmd#MCZ~f!xsP0u zBPfkD=2hk!xy&Obja=>$<0B%k4OsXm0_wZZ>VuBHVeua=D9DQob znEreYeq>rS4TXjn)hSzWb%vl6xW*+`O+b6I@B1k56Vvd!!wL;H)hPmhNE4I-*9M8z z1ooXbdL1^4pg;x+M<%J4B5+NLpcJqzV$}rJ^<0CmAER|lV>$}BRHq1B8>mWw>r6_l zCa~(;0e`|~Q548Q!3@kQ0(Oj=NrCGPV$}qeO=pksW=v~gpnykpsz8yT6!@b~teU`S zudntqStWX`}6!);0x&bsp6z0ypLfN`b!+s(KtueeRT}u-Tp{;6Y(jU{(?MbB?+x0v@qy zTaXxj+D>fNo@slep>UE*b&9}E8G=&a<{+_}K6567t`8Bps=q+y%d4JqzOua zTWn(01ddQET&Qk^1jOOl`z zxXmP1P2iL>#=e2gI-)=h3fnVOrwH5{BPa!O2C-@a(}#E4fXzBFt-S$-M4swYfg(XE z@K>E!HG$(U>x(zKqn%M84~3O!s#64R3sj}R?Ll5OfeE)xACAqsFl{dr3KzIRp}a22 zlbU&}dPeBJj5qK`C%&kXTJ%`Jw^*Y`PB$*ig94 zqh5-@9Z7;x;4YKc?gb{BOS%v2jLo_;tz!}j7X_oC2;3Q?W>Vm8gIG0z=y}=}Y}Nw> zTqwx99;!f*nn{6sbYj&6*b111&3ZDeQwj7K}EnLR&5LVe>CuE6wsK~IgKx&QdFmG!95v*Qs7>fST%upYv%5Y z&3ZAdO9ToRn^dO={5?%j3fyNCt0pk^=^0C~nT`S(C>)rlIz`~#V6&va{T3xw6Ig!S z+AFYGZ>DwCp|Cnfb&9}!NrF<~0h3rYf%+T!orcZ&pg;}^=i5}L2;3hdCF6HNlQ`AffJZcfE zCa|jel;g44ekfo=VWduViohdDf>PiylUOx@jpy`lh0O*qtw$0Ca#W`XJQ^b?1^!_W zt18fa@`c!JAPTrpnEQ(YMQSDm{;3nICUEepojyl_K}_qJg2JQ})hS!>Se~F1c$`qx z<6!Kot76z}Fba53m}dlq@;LZMj-Vpo5v#TZqh`nWi@+gFi>IM5h05?TxNP}nhNu+y zmrJagK&PeS@55$8nWjacFtkW@iooM(f>PiKn^-l0MeUYlu~`xYGEhibRHq31D@9NW zJQ*Zb6FBUc;dR(-7}I*`xCJKlQUsnz5|jc@1&P%J+Rf8mz-GfyAP0pZdFrJIJQ*V> z1)esDRTCJet;E}!(fygG8&C)a1IvR+ezHi-q`<#*Vq8GE6CeH;gv&1Jiyu{pjzFb6 z6y~InE#z2D45CFQ+btz--&<5qde}irY|fQ`sNk`T^_)+-X;`g z2My%^#7R68SVu?YFD&?vOG=zEo4d^8Pk-SIJkFlA%80**kOYIX=S-DFag8OO$KUu1 z&x;zIy`cL9Z?STQYb^01Arv52FXq6W%bzmOq_F3WTMV!DEvT>a&w%J^KP z%b3a@6nW3(iHTi)sulSae}g;XkkeYC-vgP}$AZEvms)YZ<(YdvN05&`;0n&B>ZAOL zn*XGfyz=J`{u_AX+xn=%YhMleRUSdR`JBs~J{wL)m!p{0H-;{gB&3XLK7evmpAM7+ zGi4c%I14|5UMW&`rAyXJ*F)yM<>n#yBo-ZwE^R2xw5fsO^7Vj8m#<|Al1r9&O;?#e z_s<57c%DD^uX~i&+-0K`;&Si@tLCE1F-+^1M3*sYptyX)B`96Kk*Z)OpUKzLDhnUW zH*Lym<}&=8x#Zmi2cpZd=+cG442v2lF5e2Eboo}WIdUxDOj0pt;VwOs@+w_I!x52? zvF!U~D}D>;Af_c!P?%n%28zr7$;Z;mtIVJAw}OVe)}QgWGn7~9ayMVR zwC(M2Ezsq`=+cA2)WDG9@|`qwl`h{QB#spR%*_&S>jZZ{mhYx0ubInUv%-!Z^N{|( zMVI54R-eYPL^)c5&tJV~6Ou09OI9$GyL{JGnLn2Acq;S9vS1ObbO{Z|hRyht4~E@{ zF2^%%-v|_@<*9)(mhT5px_sZHM8R;4B??8A`7R4FmH93|$PsJqa-JKqU(PsV&;{ty zK$jVGX;1^j<%b!9(&dMG1v9zJ4-A!sA3YzXDUZ9X>58Lwe&*rmast!(>$qR))Iho7 zz!%iz1znb}ICi8|#%%8LfZl`ePlHLw$J)cO61iRdy9g~Njeip#G| zRms0n|H!LgL55FhEGdUSa^}1I+8|!(5*oII&3K>9lWcT3iD?5&C@jrU1I6Vx!EPYO z@*ABJ+Ue?Mgk(*{~lSdyUz%DC;c2#PVn6_)tc zt6(N)C0AwPXT|S|lvlIMgHO>sbUBr2gJS5C>hUpn9{fH}RJ#1$tYEmt65m-W3wQZL zj`Fz6n$F#udXD-7x}1hCZ73X;rUuHn`v-#{pWT+Y!V*7bROX+%e+r6uo;Y{cM^b7Y z7+70hmsFWJch^T_6-?wsixo`gwUAesf9|elIhBcXcYTWtvEbZY-!iQ*|J+^QDy1@U z?yhg0l&r>MWbXm9#^NYWXWHN-6c&5b04>u_k6J2w6f;t0Ef`STi!Nmk?0G5MOA zN8l*VWZIAv6c)MEKpDmM0hFWIPNzi9#3N|S`dEUdpnol_s&vW%Li}GqdU(db$v>(ysY^DuO zLt%cA8c3IkA9aG#WlKVe%MK>N-MiewpuA=-dxd`8`3j?91G=2Uv}6Pd3vFs3UDogE z5tJ_5SQX5qHUDwmgU%ht5mghA!taZI}*)1(uYfXXyHFd4keqH?xA_8mr&iQd#(ZxlfMr zDqZg8=$Vx7axc1^hc0utU!oi>fy?e0Legb-y@HwC=AQ(nz34?U>&N$7Gu z(}o-PUQV7GC^OzOMNqoz;Z-n`yX--z%8bX8lo-WZ{nu{r@X1#E1!8o%i(#LKd49z>d?CXuYY0LpIn8OPbiSxE7+oFq^^$3 zxy|JCpUXveE^k7){`j^;CPdE3ks59-zi8vrGXt)XY_+K2$j_$Y<(dA)GJ0OOC$WWxFflCR>`=AOirm<8pXWXT_a8`C{5$7&< z_w~iy-NYSeZV8&RaR_aylV4w4-%o*bu~To#L}a+e>i0EN<{Rm+6XPenhQC>SHM*2( zBa;=!erta0->joqXRFO~_)-^XC5l|W5;Wy zEXsrxo#crmrO4nQdKA;f=%GbFQ?f+SaxBV)7V#9ZkUf{LMUQ6MSRrIqg-^C zSdSiqMfuPoy?K$rLG)Or9b|?Tt<5zrvP98wSX2xxGMX2;qUd<09c+aat;shlx?8MA zS1@f{EVRgMUSx0(JpqgCu%Z)-&5JBiw32D#lc7Z-hv$`t{BD=8L|0*v8(I|OIeaM> z-6K|_tC?n`!irYgB1tJSIEbEzMP6u8lIQS+lq|jyUBk2q>9C^Xnh(T1t|(f|v_m4H zMHbKDOVmHH{M}zkV^JowC>RJTGDL@yn0BZhR&=b*bNE6wk1IEZdw+9Wfq=xC4U@P&#jv9b}1ilIem zp2HU^a>dFfrcJg&i+GAy%6r6pVkNqnX;WfhMawhg8rqHSGdPHD!6G}XsKMqrd?6)^ zuSB;pZE7;KsQEnI=Zd0jSmcHk9U*c=o3%~LZO0-ntZ13X zbNEso^7}2Zaw^lNr$dXH&(r;`C_0U4Ga{iyJVh*Jr3d^K3yU&gMTZCTL`5dAik{B2 znR-~!LXYS0g^Fykat0RVLW`Pj(*vF;I+JO$jL;&UB9^k!gF0V}o`psEu%ZRcw~1f- zVA0u3n{9>`={$!oq-2YgbFiowRy04ybNE6=(})8<&AMF!8|OS$MFU96nTv{Wpt zNWLVdB2yIo7K`lAB9X)Mn7@}lWb>8ic}$y|3@e&jX zI$w!iz_fX((4rX6;R_X+d?k7z7I|SsGYy`@7b>#(O7tS8%}<9F&B*f{zEF|JSE9dT z+JZ=Ek;!xTQZ9N}=PS_+7G=VUrZ*qGhfPuRd!{YaLyIh)!_4 z`DE$8{D?L(ZIKaHG)*)X&HX*%5nZfYf<^hzq9o7ZOWEEdrdYX@X^YLUqNy&=;R_Yn zV&yU{Dux!Ncn)8v$P+7%H zywBvngp1S-oVY+d7l5+by9Q224B%`lDtOXm zlNe%#*ivwZjVoV6+yZe-!7Z*|^d91t;A}?Wz~Hu)v6m6I0%uc3!2@<3JsNRq#JYmJ zC&$JSw*hBkPQl$`vrk3b7O|n=hW0J*L%at#8}bU?`1Il@5Vu2YD!5~D_+E(j1n1##9hHz>B%P1tv$sQ?~ORE z;LXX|XJU3Y#1RFLK6l_&#QT7ALPo(Yo|}&Mza!ld>k97F?G*g@W26T-D{>0%HQ=bB zh?N@AHgSZbkrXm?_<1BmuabLuWWVFxsOP)pC51b>5(n&PZb(@Dcf!I>; zUhlHOi0cu@6bvm-JuR%N%oW-`> z9M)>{f?0@@h?5E)F|YRxh=+l*$W`#{o9DJeJREUK!6PPb!gD}me{fQ2@4l<2FGV~8 zvD$l`*Lor11HhT1_O5R~w*%slh?U;a{{07?hWJ2mW_wbyuH6fh`1xcM;w?jO4v@dU(*lR7h1HyrUH;7rQlVgS>PO; z7tw5tOcBii$F)V20_XCgh~|Rx2cOLY=Z`7D=0mo<|Jwx^S%NJD=UzWr1de|LC$bov zTYQbfz`5D~?Gj|Bs8|ZltvL}L4$f^E5iJ8Jmln|x;QTcuq6TnoPm1VBaQqvf5fhv{ z@`4=&&P}d}mV@)4Uwbr0o?yp-)8w;b(LqeGU9n&J(2+my@5p4qJioA$6gL9QGqAif!!xPa~aBlSTwt@2xfg-2iZ~bUH zWIO00JQcF-QX)DHHT>UN;5-}?;psT5u87XS?XX02CS=?CozKF&qF`r(^GIGq=iqO3 z5&Z_TZ442e3)$ADh<*#%R+fm)gKSG%MCU`cg)5>9Aj>=vU5JqgA{RjxbP@gzvQa}s z8OYX|BKkdKBbJCR2InVRM2+D5=!)nPaDMPabSXw6h+GEF_qqr#2j@FOL|1^b%M{U- z;FK&8T?Nj!wuqX*`NkE|)!=;XiRcd)i6C+fIA7@^ycV3DhKOu%zBEO29XMZDBDx-& z&utO?5uBncA_tt$JP~Cv5<%n!a6Z*V_$P2aF+_ADI3JrL`ZG8?ED_xV&PTS0{sPX2 zu83|1=L1hfw_qfK$gSYKuZ!?Da0-Tqa^SpYis-N4ylaW*c5vRYMf5jt-gZTF2RQ%p zM06)cB8c1tj;D+8ZgAc*M05{0Z<-?dJ2-DxBDxox*KHBq2hMA*i0%jHRZm0@U?hUb zgW&vE7vV$TykdyR1?Oc`L=S`Wk|m-?z^bd?g5cwxK&*>t3 z9Gqtj5&a9CyeXn5!1<3Qq9?(5#um|2;QZSa(bM2Oofgr*!FehpqG!N)GAE+{fb&FN zM0s%jRTR;)7{x^N95|0BMf5y4|4fPK1$^r^Eut6kjAV-FB|Lt05xtCOLQh1mfOC&4 zqW^+(pDChO!MQ&tqSwHAAS0sJ!Fk9P(HoHMloHXKknNll(OZ!15)+Y!QBg$ygKXEl zh~9?m-Z>Gy1KDmF5xoo9ebOR&53=1;A}T<(M^Z%ZL$+s3L?2*O6w!x}jps%55oEQT zh;~4>S4Ko1Lsn0V=o85HPKoGK$o5H!=rhRnjftp;QBg#nL$+UDL|;HQkrUCEkgd;% zXeVU%O^fI&$o5Z(=xfOCmlV-AkR1>c(YF{CMO1?9z`TfdL3U71MBhPna7IMmLv~17 zL_a`wXi7vsLN=Kc(NB;a7UL1V(U%=o6j2>yhv!8Uh3x)05dmaJWJJUudq7%5Eg(BG zC8Cy)JuoSvR&!Lpn3BH9y{Wpxp? zhh>ic+r2O{1dGA4Kl*tcFfs+}2+OW7il`GtmWVoI6cbSwSazK)qOP#)T2DlKqeezV z-J(1Eqq}aO=nntLtLq-!;UA54J)%4OBebq3vYe=hM|ZrI5|I|&;UCj=y^y(r>Cqkj zv0v9ay2C$P)b)X;HMaO|UuaruiKrhmrA-kfpy?z-MD@_LP8ZR>(6pWh{38=h>pc;mPej9@X`3se z;m~x7Eu#IQX}cw&5zut1DWU_Q=`=$`BcaLCMRXuEoz8@jq6yISTUSJfK+}1)hz^CO z^DPlggr*Bj5lw=o3k?xXhQ@*|qAAe$z9pim(D;EVqG{0hp&_E_(D;!qq8ZS*g9nki znHYH@ngxv?yCRwmji1;engflWS|Un8<7cLb=0ao95Yaqn{9G5&d}#cF2a&o37{K(74ML zQ3Eu7XN%}aX#Cz1kqM1Im?C{O+%wE7F|7b*$fghKR0b{qEO!)Z!ETAJ|DA mwR#T!xHQG1)+<=zb5BH%v-%dgh+bj!d-5RC`aM?PN&i184Oeym diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t deleted file mode 100644 index 16daf53..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t +++ /dev/null @@ -1,9 +0,0 @@ --w --l 5 --i 6 --n 1 --t 1 --s 1 --c 0 --e 0 --exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t.tmp0 b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t.tmp0 deleted file mode 100644 index eb789dd..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t.tmp0 +++ /dev/null @@ -1,9 +0,0 @@ --w --l 5 --i 6 --n 1 --t 1 --s 1 --c 0 --e 0 --exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p3t b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p3t deleted file mode 100644 index e625c68..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p3t +++ /dev/null @@ -1,5 +0,0 @@ --rem --distrce --log "RAM2GS_LCMXO256C_impl1.log" --o "RAM2GS_LCMXO256C_impl1.csv" --pr "RAM2GS_LCMXO256C_impl1.prf" diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad deleted file mode 100644 index 0445323..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad +++ /dev/null @@ -1,271 +0,0 @@ -PAD Specification File -*************************** - -PART TYPE: LCMXO256C -Performance Grade: 3 -PACKAGE: TQFP100 -Package Status: Final Version 1.19 - -Mon Aug 16 21:32:33 2021 - -Pinout by Port Name: -+-----------+----------+--------------+------+----------------------------------+ -| Port Name | Pin/Bank | Buffer Type | Site | Properties | -+-----------+----------+--------------+------+----------------------------------+ -| CROW[0] | 32/1 | LVTTL33_IN | PB2C | SLEW:FAST | -| CROW[1] | 34/1 | LVTTL33_IN | PB2D | SLEW:FAST | -| Din[0] | 21/1 | LVTTL33_IN | PL8A | SLEW:FAST | -| Din[1] | 15/1 | LVTTL33_IN | PL6A | SLEW:FAST | -| Din[2] | 14/1 | LVTTL33_IN | PL5D | SLEW:FAST | -| Din[3] | 16/1 | LVTTL33_IN | PL6B | SLEW:FAST | -| Din[4] | 18/1 | LVTTL33_IN | PL7B | SLEW:FAST | -| Din[5] | 17/1 | LVTTL33_IN | PL7A | SLEW:FAST | -| Din[6] | 20/1 | LVTTL33_IN | PL7D | SLEW:FAST | -| Din[7] | 19/1 | LVTTL33_IN | PL7C | SLEW:FAST | -| Dout[0] | 1/1 | LVTTL33_OUT | PL2A | DRIVE:4mA SLEW:SLOW | -| Dout[1] | 7/1 | LVTTL33_OUT | PL4A | DRIVE:4mA SLEW:SLOW | -| Dout[2] | 8/1 | LVTTL33_OUT | PL4B | DRIVE:4mA SLEW:SLOW | -| Dout[3] | 6/1 | LVTTL33_OUT | PL3D | DRIVE:4mA SLEW:SLOW | -| Dout[4] | 4/1 | LVTTL33_OUT | PL3B | DRIVE:4mA SLEW:SLOW | -| Dout[5] | 5/1 | LVTTL33_OUT | PL3C | DRIVE:4mA SLEW:SLOW | -| Dout[6] | 2/1 | LVTTL33_OUT | PL2B | DRIVE:4mA SLEW:SLOW | -| Dout[7] | 3/1 | LVTTL33_OUT | PL3A | DRIVE:4mA SLEW:SLOW | -| LED | 57/0 | LVTTL33_OUT | PR7B | DRIVE:16mA SLEW:SLOW | -| MAin[0] | 23/1 | LVTTL33_IN | PL9A | SLEW:FAST | -| MAin[1] | 38/1 | LVTTL33_IN | PB3C | SLEW:FAST | -| MAin[2] | 37/1 | LVTTL33_IN | PB3B | SLEW:FAST | -| MAin[3] | 47/1 | LVTTL33_IN | PB5A | SLEW:FAST | -| MAin[4] | 46/1 | LVTTL33_IN | PB4D | SLEW:FAST | -| MAin[5] | 45/1 | LVTTL33_IN | PB4C | SLEW:FAST | -| MAin[6] | 49/1 | LVTTL33_IN | PB5C | SLEW:FAST | -| MAin[7] | 44/1 | LVTTL33_IN | PB4B | SLEW:FAST | -| MAin[8] | 50/1 | LVTTL33_IN | PB5D | SLEW:FAST | -| MAin[9] | 51/0 | LVTTL33_IN | PR9B | SLEW:FAST | -| PHI2 | 39/1 | LVTTL33_IN | PB3D | SLEW:FAST | -| RA[0] | 98/0 | LVTTL33_OUT | PT2C | DRIVE:4mA SLEW:SLOW | -| RA[10] | 87/0 | LVTTL33_OUT | PT3D | DRIVE:4mA SLEW:SLOW | -| RA[11] | 79/0 | LVTTL33_OUT | PT5A | DRIVE:4mA SLEW:SLOW | -| RA[1] | 89/0 | LVTTL33_OUT | PT3C | DRIVE:4mA SLEW:SLOW | -| RA[2] | 94/0 | LVTTL33_OUT | PT3A | DRIVE:4mA SLEW:SLOW | -| RA[3] | 97/0 | LVTTL33_OUT | PT2D | DRIVE:4mA SLEW:SLOW | -| RA[4] | 99/0 | LVTTL33_OUT | PT2B | DRIVE:4mA SLEW:SLOW | -| RA[5] | 95/0 | LVTTL33_OUT | PT2F | DRIVE:4mA SLEW:SLOW | -| RA[6] | 91/0 | LVTTL33_OUT | PT3B | DRIVE:4mA SLEW:SLOW | -| RA[7] | 100/0 | LVTTL33_OUT | PT2A | DRIVE:4mA SLEW:SLOW | -| RA[8] | 96/0 | LVTTL33_OUT | PT2E | DRIVE:4mA SLEW:SLOW | -| RA[9] | 85/0 | LVTTL33_OUT | PT4B | DRIVE:4mA SLEW:SLOW | -| RBA[0] | 63/0 | LVTTL33_OUT | PR5D | DRIVE:4mA SLEW:SLOW | -| RBA[1] | 83/0 | LVTTL33_OUT | PT4C | DRIVE:4mA SLEW:SLOW | -| RCKE | 82/0 | LVTTL33_OUT | PT4D | DRIVE:4mA SLEW:SLOW | -| RCLK | 86/0 | LVTTL33_IN | PT4A | SLEW:FAST | -| RDQMH | 76/0 | LVTTL33_OUT | PR2A | DRIVE:4mA SLEW:SLOW | -| RDQML | 61/0 | LVTTL33_OUT | PR6A | DRIVE:4mA SLEW:SLOW | -| RD[0] | 64/0 | LVTTL33_BIDI | PR5C | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[1] | 65/0 | LVTTL33_BIDI | PR5B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[2] | 66/0 | LVTTL33_BIDI | PR5A | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[3] | 67/0 | LVTTL33_BIDI | PR4B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[4] | 68/0 | LVTTL33_BIDI | PR4A | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[5] | 69/0 | LVTTL33_BIDI | PR3D | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[6] | 70/0 | LVTTL33_BIDI | PR3C | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| RD[7] | 71/0 | LVTTL33_BIDI | PR3B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | -| UFMCLK | 58/0 | LVTTL33_OUT | PR7A | DRIVE:4mA SLEW:SLOW | -| UFMSDI | 56/0 | LVTTL33_OUT | PR7C | DRIVE:4mA SLEW:SLOW | -| UFMSDO | 55/0 | LVTTL33_IN | PR7D | SLEW:FAST PULL:KEEPER | -| nCCAS | 27/1 | LVTTL33_IN | PL9B | SLEW:FAST | -| nCRAS | 43/1 | LVTTL33_IN | PB4A | SLEW:FAST | -| nFWE | 22/1 | LVTTL33_IN | PL8B | SLEW:FAST | -| nRCAS | 78/0 | LVTTL33_OUT | PT5B | DRIVE:4mA SLEW:SLOW | -| nRCS | 77/0 | LVTTL33_OUT | PT5C | DRIVE:4mA SLEW:SLOW | -| nRRAS | 73/0 | LVTTL33_OUT | PR2B | DRIVE:4mA SLEW:SLOW | -| nRWE | 72/0 | LVTTL33_OUT | PR3A | DRIVE:4mA SLEW:SLOW | -| nUFMCS | 53/0 | LVTTL33_OUT | PR8B | DRIVE:4mA SLEW:SLOW | -+-----------+----------+--------------+------+----------------------------------+ - -Vccio by Bank: -+------+-------+ -| Bank | Vccio | -+------+-------+ -| 0 | 3.3V | -| 1 | 3.3V | -+------+-------+ - -Vref by Bank: -+------+-----+-----------------+---------+ -| Vref | Pin | Bank # / Vref # | Load(s) | -+------+-----+-----------------+---------+ -+------+-----+-----------------+---------+ - -Pinout by Pin Number: -+----------+---------------------+------------+--------------+------+---------------+ -| Pin/Bank | Pin Info | Preference | Buffer Type | Site | Dual Function | -+----------+---------------------+------------+--------------+------+---------------+ -| 1/1 | Dout[0] | LOCATED | LVTTL33_OUT | PL2A | | -| 2/1 | Dout[6] | LOCATED | LVTTL33_OUT | PL2B | | -| 3/1 | Dout[7] | LOCATED | LVTTL33_OUT | PL3A | | -| 4/1 | Dout[4] | LOCATED | LVTTL33_OUT | PL3B | | -| 5/1 | Dout[5] | LOCATED | LVTTL33_OUT | PL3C | | -| 6/1 | Dout[3] | LOCATED | LVTTL33_OUT | PL3D | | -| 7/1 | Dout[1] | LOCATED | LVTTL33_OUT | PL4A | | -| 8/1 | Dout[2] | LOCATED | LVTTL33_OUT | PL4B | | -| 9/1 | unused, PULL:UP | | | PL5A | | -| 11/1 | unused, PULL:UP | | | PL5B | | -| 13/1 | unused, PULL:UP | | | PL5C | | -| 14/1 | Din[2] | LOCATED | LVTTL33_IN | PL5D | GSR_PADN | -| 15/1 | Din[1] | LOCATED | LVTTL33_IN | PL6A | | -| 16/1 | Din[3] | LOCATED | LVTTL33_IN | PL6B | TSALLPAD | -| 17/1 | Din[5] | LOCATED | LVTTL33_IN | PL7A | | -| 18/1 | Din[4] | LOCATED | LVTTL33_IN | PL7B | | -| 19/1 | Din[7] | LOCATED | LVTTL33_IN | PL7C | | -| 20/1 | Din[6] | LOCATED | LVTTL33_IN | PL7D | | -| 21/1 | Din[0] | LOCATED | LVTTL33_IN | PL8A | | -| 22/1 | nFWE | LOCATED | LVTTL33_IN | PL8B | | -| 23/1 | MAin[0] | LOCATED | LVTTL33_IN | PL9A | | -| 27/1 | nCCAS | LOCATED | LVTTL33_IN | PL9B | | -| 29/1 | unused, PULL:UP | | | PB2A | | -| 30/1 | unused, PULL:UP | | | PB2B | | -| 32/1 | CROW[0] | LOCATED | LVTTL33_IN | PB2C | | -| 34/1 | CROW[1] | LOCATED | LVTTL33_IN | PB2D | | -| 36/1 | unused, PULL:UP | | | PB3A | PCLKT1_1 | -| 37/1 | MAin[2] | LOCATED | LVTTL33_IN | PB3B | | -| 38/1 | MAin[1] | LOCATED | LVTTL33_IN | PB3C | PCLKT1_0 | -| 39/1 | PHI2 | LOCATED | LVTTL33_IN | PB3D | | -| 43/1 | nCRAS | LOCATED | LVTTL33_IN | PB4A | | -| 44/1 | MAin[7] | LOCATED | LVTTL33_IN | PB4B | | -| 45/1 | MAin[5] | LOCATED | LVTTL33_IN | PB4C | | -| 46/1 | MAin[4] | LOCATED | LVTTL33_IN | PB4D | | -| 47/1 | MAin[3] | LOCATED | LVTTL33_IN | PB5A | | -| 49/1 | MAin[6] | LOCATED | LVTTL33_IN | PB5C | | -| 50/1 | MAin[8] | LOCATED | LVTTL33_IN | PB5D | | -| 51/0 | MAin[9] | LOCATED | LVTTL33_IN | PR9B | | -| 52/0 | unused, PULL:UP | | | PR9A | | -| 53/0 | nUFMCS | LOCATED | LVTTL33_OUT | PR8B | | -| 54/0 | unused, PULL:UP | | | PR8A | | -| 55/0 | UFMSDO | LOCATED | LVTTL33_IN | PR7D | | -| 56/0 | UFMSDI | LOCATED | LVTTL33_OUT | PR7C | | -| 57/0 | LED | LOCATED | LVTTL33_OUT | PR7B | | -| 58/0 | UFMCLK | LOCATED | LVTTL33_OUT | PR7A | | -| 59/0 | unused, PULL:UP | | | PR6B | | -| 61/0 | RDQML | LOCATED | LVTTL33_OUT | PR6A | | -| 63/0 | RBA[0] | LOCATED | LVTTL33_OUT | PR5D | | -| 64/0 | RD[0] | LOCATED | LVTTL33_BIDI | PR5C | | -| 65/0 | RD[1] | LOCATED | LVTTL33_BIDI | PR5B | | -| 66/0 | RD[2] | LOCATED | LVTTL33_BIDI | PR5A | | -| 67/0 | RD[3] | LOCATED | LVTTL33_BIDI | PR4B | | -| 68/0 | RD[4] | LOCATED | LVTTL33_BIDI | PR4A | | -| 69/0 | RD[5] | LOCATED | LVTTL33_BIDI | PR3D | | -| 70/0 | RD[6] | LOCATED | LVTTL33_BIDI | PR3C | | -| 71/0 | RD[7] | LOCATED | LVTTL33_BIDI | PR3B | | -| 72/0 | nRWE | LOCATED | LVTTL33_OUT | PR3A | | -| 73/0 | nRRAS | LOCATED | LVTTL33_OUT | PR2B | | -| 76/0 | RDQMH | LOCATED | LVTTL33_OUT | PR2A | | -| 77/0 | nRCS | LOCATED | LVTTL33_OUT | PT5C | | -| 78/0 | nRCAS | LOCATED | LVTTL33_OUT | PT5B | | -| 79/0 | RA[11] | LOCATED | LVTTL33_OUT | PT5A | | -| 80/0 | unused, PULL:UP | | | PT4F | | -| 81/0 | unused, PULL:UP | | | PT4E | | -| 82/0 | RCKE | LOCATED | LVTTL33_OUT | PT4D | | -| 83/0 | RBA[1] | LOCATED | LVTTL33_OUT | PT4C | | -| 85/0 | RA[9] | LOCATED | LVTTL33_OUT | PT4B | PCLKT0_1 | -| 86/0 | RCLK | LOCATED | LVTTL33_IN | PT4A | PCLKT0_0 | -| 87/0 | RA[10] | LOCATED | LVTTL33_OUT | PT3D | | -| 89/0 | RA[1] | LOCATED | LVTTL33_OUT | PT3C | | -| 91/0 | RA[6] | LOCATED | LVTTL33_OUT | PT3B | | -| 94/0 | RA[2] | LOCATED | LVTTL33_OUT | PT3A | | -| 95/0 | RA[5] | LOCATED | LVTTL33_OUT | PT2F | | -| 96/0 | RA[8] | LOCATED | LVTTL33_OUT | PT2E | | -| 97/0 | RA[3] | LOCATED | LVTTL33_OUT | PT2D | | -| 98/0 | RA[0] | LOCATED | LVTTL33_OUT | PT2C | | -| 99/0 | RA[4] | LOCATED | LVTTL33_OUT | PT2B | | -| 100/0 | RA[7] | LOCATED | LVTTL33_OUT | PT2A | | -| PB5B/0 | unused, PULL:UP | | | PB5B | | -| PT5D/0 | unused, PULL:UP | | | PT5D | | -| TCK/1 | | | | TCK | TCK | -| TDI/1 | | | | TDI | TDI | -| TDO/1 | | | | TDO | TDO | -| TMS/1 | | | | TMS | TMS | -+----------+---------------------+------------+--------------+------+---------------+ - - -List of All Pins' Locate Preferences Based on Final Placement After PAR -to Help Users Lock Down ALL the Pins Easily (by Simply Copy & Paste): - -LOCATE COMP "CROW[0]" SITE "32"; -LOCATE COMP "CROW[1]" SITE "34"; -LOCATE COMP "Din[0]" SITE "21"; -LOCATE COMP "Din[1]" SITE "15"; -LOCATE COMP "Din[2]" SITE "14"; -LOCATE COMP "Din[3]" SITE "16"; -LOCATE COMP "Din[4]" SITE "18"; -LOCATE COMP "Din[5]" SITE "17"; -LOCATE COMP "Din[6]" SITE "20"; -LOCATE COMP "Din[7]" SITE "19"; -LOCATE COMP "Dout[0]" SITE "1"; -LOCATE COMP "Dout[1]" SITE "7"; -LOCATE COMP "Dout[2]" SITE "8"; -LOCATE COMP "Dout[3]" SITE "6"; -LOCATE COMP "Dout[4]" SITE "4"; -LOCATE COMP "Dout[5]" SITE "5"; -LOCATE COMP "Dout[6]" SITE "2"; -LOCATE COMP "Dout[7]" SITE "3"; -LOCATE COMP "LED" SITE "57"; -LOCATE COMP "MAin[0]" SITE "23"; -LOCATE COMP "MAin[1]" SITE "38"; -LOCATE COMP "MAin[2]" SITE "37"; -LOCATE COMP "MAin[3]" SITE "47"; -LOCATE COMP "MAin[4]" SITE "46"; -LOCATE COMP "MAin[5]" SITE "45"; -LOCATE COMP "MAin[6]" SITE "49"; -LOCATE COMP "MAin[7]" SITE "44"; -LOCATE COMP "MAin[8]" SITE "50"; -LOCATE COMP "MAin[9]" SITE "51"; -LOCATE COMP "PHI2" SITE "39"; -LOCATE COMP "RA[0]" SITE "98"; -LOCATE COMP "RA[10]" SITE "87"; -LOCATE COMP "RA[11]" SITE "79"; -LOCATE COMP "RA[1]" SITE "89"; -LOCATE COMP "RA[2]" SITE "94"; -LOCATE COMP "RA[3]" SITE "97"; -LOCATE COMP "RA[4]" SITE "99"; -LOCATE COMP "RA[5]" SITE "95"; -LOCATE COMP "RA[6]" SITE "91"; -LOCATE COMP "RA[7]" SITE "100"; -LOCATE COMP "RA[8]" SITE "96"; -LOCATE COMP "RA[9]" SITE "85"; -LOCATE COMP "RBA[0]" SITE "63"; -LOCATE COMP "RBA[1]" SITE "83"; -LOCATE COMP "RCKE" SITE "82"; -LOCATE COMP "RCLK" SITE "86"; -LOCATE COMP "RDQMH" SITE "76"; -LOCATE COMP "RDQML" SITE "61"; -LOCATE COMP "RD[0]" SITE "64"; -LOCATE COMP "RD[1]" SITE "65"; -LOCATE COMP "RD[2]" SITE "66"; -LOCATE COMP "RD[3]" SITE "67"; -LOCATE COMP "RD[4]" SITE "68"; -LOCATE COMP "RD[5]" SITE "69"; -LOCATE COMP "RD[6]" SITE "70"; -LOCATE COMP "RD[7]" SITE "71"; -LOCATE COMP "UFMCLK" SITE "58"; -LOCATE COMP "UFMSDI" SITE "56"; -LOCATE COMP "UFMSDO" SITE "55"; -LOCATE COMP "nCCAS" SITE "27"; -LOCATE COMP "nCRAS" SITE "43"; -LOCATE COMP "nFWE" SITE "22"; -LOCATE COMP "nRCAS" SITE "78"; -LOCATE COMP "nRCS" SITE "77"; -LOCATE COMP "nRRAS" SITE "73"; -LOCATE COMP "nRWE" SITE "72"; -LOCATE COMP "nUFMCS" SITE "53"; - - - - - -PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Mon Aug 16 21:32:33 2021 - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par deleted file mode 100644 index 6275f58..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par +++ /dev/null @@ -1,239 +0,0 @@ -PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Mon Aug 16 21:32:27 2021 - -C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO256C_impl1.p2t -RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir -RAM2GS_LCMXO256C_impl1.prf -gui -msgset -C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml - - -Preference file: RAM2GS_LCMXO256C_impl1.prf. - -Level/ Number Worst Timing Worst Timing Run NCD -Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ----------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 2.023 0 0.339 0 07 Completed - -* : Design saved. - -Total (real) run time for 1-seed: 7 secs - -par done! - -Note: user must run 'Trace' for timing closure signoff. - -Lattice Place and Route Report for Design "RAM2GS_LCMXO256C_impl1_map.ncd" -Mon Aug 16 21:32:27 2021 - -PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. -Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir/5_1.ncd RAM2GS_LCMXO256C_impl1.prf -Preference file: RAM2GS_LCMXO256C_impl1.prf. -Placement level-cost: 5-1. -Routing Iterations: 6 - -Loading design for application par from file RAM2GS_LCMXO256C_impl1_map.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO256C -Package: TQFP100 -Performance: 3 -Loading device for application par from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. -Package Status: Final Version 1.19. -Performance Hardware Data Status: Version 1.124. -License checked out. - - -Ignore Preference Error(s): True -Device utilization summary: - - PIO (prelim) 67/79 84% used - 67/78 85% bonded - SLICE 65/128 50% used - - - -Number of Signals: 252 -Number of Connections: 618 - -Pin Constraint Summary: - 67 out of 67 pins locked (100% locked). - -The following 4 signals are selected to use the primary clock routing resources: - RCLK_c (driver: RCLK, clk load #: 39) - PHI2_c (driver: PHI2, clk load #: 13) - nCCAS_c (driver: nCCAS, clk load #: 4) - nCRAS_c (driver: nCRAS, clk load #: 7) - -No signal is selected as secondary clock. - -No signal is selected as Global Set/Reset. -Starting Placer Phase 0. -........ -Finished Placer Phase 0. REAL time: 0 secs - -Starting Placer Phase 1. -............... -Placer score = 586066. -Finished Placer Phase 1. REAL time: 6 secs - -Starting Placer Phase 2. -. -Placer score = 584668 -Finished Placer Phase 2. REAL time: 6 secs - - ------------------- Clock Report ------------------ - -Global Clock Resources: - CLK_PIN : 1 out of 4 (25%) - General PIO: 3 out of 80 (3%) - -Global Clocks: - PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "86 (PT4A)", clk load = 39 - PRIMARY "PHI2_c" from comp "PHI2" on PIO site "39 (PB3D)", clk load = 13 - PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "27 (PL9B)", clk load = 4 - PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "43 (PB4A)", clk load = 7 - - PRIMARY : 4 out of 4 (100%) - SECONDARY: 0 out of 4 (0%) - ---------------- End of Clock Report --------------- - - -I/O Usage Summary (final): - 67 out of 79 (84.8%) PIO sites used. - 67 out of 78 (85.9%) bonded PIO sites used. - Number of PIO comps: 67; differential: 0. - Number of Vref pins used: 0. - -I/O Bank Usage Summary: -+----------+----------------+------------+------------+------------+ -| I/O Bank | Usage | Bank Vccio | Bank Vref1 | Bank Vref2 | -+----------+----------------+------------+------------+------------+ -| 0 | 36 / 41 ( 87%) | 3.3V | - | - | -| 1 | 31 / 37 ( 83%) | 3.3V | - | - | -+----------+----------------+------------+------------+------------+ - -Total placer CPU time: 6 secs - -Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. - -0 connections routed; 618 unrouted. -Starting router resource preassignment -WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. -WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. -WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. - -Completed router resource preassignment. Real time: 6 secs - -Start NBR router at 21:32:33 08/16/21 - -***************************************************************** -Info: NBR allows conflicts(one node used by more than one signal) - in the earlier iterations. In each iteration, it tries to - solve the conflicts while keeping the critical connections - routed as short as possible. The routing process is said to - be completed when no conflicts exist and all connections - are routed. -Note: NBR uses a different method to calculate timing slacks. The - worst slack and total negative slack may not be the same as - that in TRCE report. You should always run TRCE to verify - your design. -***************************************************************** - -Start NBR special constraint process at 21:32:33 08/16/21 - -Start NBR section for initial routing at 21:32:33 08/16/21 -Level 1, iteration 1 -0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.084ns/0.000ns; real time: 6 secs -Level 2, iteration 1 -0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.084ns/0.000ns; real time: 6 secs -Level 3, iteration 1 -0(0.00%) conflict; 509(82.36%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.038ns/0.000ns; real time: 6 secs -Level 4, iteration 1 -23(0.19%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs - -Info: Initial congestion level at 75% usage is 0 -Info: Initial congestion area at 75% usage is 0 (0.00%) - -Start NBR section for normal routing at 21:32:33 08/16/21 -Level 1, iteration 1 -0(0.00%) conflict; 24(3.88%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs -Level 4, iteration 1 -8(0.07%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs -Level 4, iteration 2 -4(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs -Level 4, iteration 3 -0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs - -Start NBR section for setup/hold timing optimization with effort level 3 at 21:32:33 08/16/21 - -Start NBR section for re-routing at 21:32:33 08/16/21 -Level 4, iteration 1 -0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs - -Start NBR section for post-routing at 21:32:33 08/16/21 - -End NBR router with 0 unrouted connection - -NBR Summary ------------ - Number of unrouted connections : 0 (0.00%) - Number of connections with timing violations : 0 (0.00%) - Estimated worst slack : 2.023ns - Timing score : 0 ------------ -Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. - - - -Total CPU time 6 secs -Total REAL time: 7 secs -Completely routed. -End of route. 618 routed (100.00%); 0 unrouted. - -Hold time timing score: 0, hold timing errors: 0 - -Timing score: 0 - -Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. - - -All signals are completely routed. - - -PAR_SUMMARY::Run status = Completed -PAR_SUMMARY::Number of unrouted conns = 0 -PAR_SUMMARY::Worst slack> = 2.023 -PAR_SUMMARY::Timing score> = 0.000 -PAR_SUMMARY::Worst slack> = 0.339 -PAR_SUMMARY::Timing score> = 0.000 -PAR_SUMMARY::Number of errors = 0 - -Total CPU time to completion: 6 secs -Total REAL time to completion: 7 secs - -par done! - -Note: user must run 'Trace' for timing closure signoff. - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf deleted file mode 100644 index 4d03744..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf +++ /dev/null @@ -1,165 +0,0 @@ -SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Mon Aug 16 21:32:26 2021 - -SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; -LOCATE COMP "RD[7]" SITE "71" ; -LOCATE COMP "RD[6]" SITE "70" ; -LOCATE COMP "RD[5]" SITE "69" ; -LOCATE COMP "RD[4]" SITE "68" ; -LOCATE COMP "RD[3]" SITE "67" ; -LOCATE COMP "RD[2]" SITE "66" ; -LOCATE COMP "RD[1]" SITE "65" ; -LOCATE COMP "RD[0]" SITE "64" ; -LOCATE COMP "Dout[7]" SITE "3" ; -LOCATE COMP "Dout[6]" SITE "2" ; -LOCATE COMP "Dout[5]" SITE "5" ; -LOCATE COMP "Dout[4]" SITE "4" ; -LOCATE COMP "Dout[3]" SITE "6" ; -LOCATE COMP "Dout[2]" SITE "8" ; -LOCATE COMP "Dout[1]" SITE "7" ; -LOCATE COMP "Dout[0]" SITE "1" ; -LOCATE COMP "LED" SITE "57" ; -LOCATE COMP "RBA[1]" SITE "83" ; -LOCATE COMP "RBA[0]" SITE "63" ; -LOCATE COMP "RA[11]" SITE "79" ; -LOCATE COMP "RA[10]" SITE "87" ; -LOCATE COMP "RA[9]" SITE "85" ; -LOCATE COMP "RA[8]" SITE "96" ; -LOCATE COMP "RA[7]" SITE "100" ; -LOCATE COMP "RA[6]" SITE "91" ; -LOCATE COMP "RA[5]" SITE "95" ; -LOCATE COMP "RA[4]" SITE "99" ; -LOCATE COMP "RA[3]" SITE "97" ; -LOCATE COMP "RA[2]" SITE "94" ; -LOCATE COMP "RA[1]" SITE "89" ; -LOCATE COMP "RA[0]" SITE "98" ; -LOCATE COMP "nRCS" SITE "77" ; -LOCATE COMP "RCKE" SITE "82" ; -LOCATE COMP "nRWE" SITE "72" ; -LOCATE COMP "nRRAS" SITE "73" ; -LOCATE COMP "nRCAS" SITE "78" ; -LOCATE COMP "RDQMH" SITE "76" ; -LOCATE COMP "RDQML" SITE "61" ; -LOCATE COMP "nUFMCS" SITE "53" ; -LOCATE COMP "UFMCLK" SITE "58" ; -LOCATE COMP "UFMSDI" SITE "56" ; -LOCATE COMP "PHI2" SITE "39" ; -LOCATE COMP "MAin[9]" SITE "51" ; -LOCATE COMP "MAin[8]" SITE "50" ; -LOCATE COMP "MAin[7]" SITE "44" ; -LOCATE COMP "MAin[6]" SITE "49" ; -LOCATE COMP "MAin[5]" SITE "45" ; -LOCATE COMP "MAin[4]" SITE "46" ; -LOCATE COMP "MAin[3]" SITE "47" ; -LOCATE COMP "MAin[2]" SITE "37" ; -LOCATE COMP "MAin[1]" SITE "38" ; -LOCATE COMP "MAin[0]" SITE "23" ; -LOCATE COMP "CROW[1]" SITE "34" ; -LOCATE COMP "CROW[0]" SITE "32" ; -LOCATE COMP "Din[7]" SITE "19" ; -LOCATE COMP "Din[6]" SITE "20" ; -LOCATE COMP "Din[5]" SITE "17" ; -LOCATE COMP "Din[4]" SITE "18" ; -LOCATE COMP "Din[3]" SITE "16" ; -LOCATE COMP "Din[2]" SITE "14" ; -LOCATE COMP "Din[1]" SITE "15" ; -LOCATE COMP "Din[0]" SITE "21" ; -LOCATE COMP "nCCAS" SITE "27" ; -LOCATE COMP "nCRAS" SITE "43" ; -LOCATE COMP "nFWE" SITE "22" ; -LOCATE COMP "RCLK" SITE "86" ; -LOCATE COMP "UFMSDO" SITE "55" ; -PERIOD NET "PHI2_c" 350.000000 ns ; -USE PRIMARY NET "RCLK_c" ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -USE PRIMARY NET "PHI2_c" ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -USE PRIMARY NET "nCCAS_c" ; -PERIOD NET "RCLK_c" 16.000000 ns ; -USE PRIMARY NET "nCRAS_c" ; -SCHEMATIC END ; -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -VOLTAGE 3.300 V; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; -COMMERCIAL ; diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pt b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pt deleted file mode 100644 index 916dbc3..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pt +++ /dev/null @@ -1,10 +0,0 @@ --v -10 - - - - --gt --sethld --sp 3 --sphld m diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.t2b b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.t2b deleted file mode 100644 index aa05f83..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.t2b +++ /dev/null @@ -1,2 +0,0 @@ - --g ES:No diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 deleted file mode 100644 index f728d52..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 +++ /dev/null @@ -1,2507 +0,0 @@ - -Loading design for application trce from file ram2gs_lcmxo256c_impl1_map.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO256C -Package: TQFP100 -Performance: 3 -Loading device for application trce from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. -Package Status: Final Version 1.19. -Performance Hardware Data Status: Version 1.124. -Setup and Hold Report - --------------------------------------------------------------------------------- -Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:32:27 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO256C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.prf -Design file: ram2gs_lcmxo256c_impl1_map.ncd -Preference file: ram2gs_lcmxo256c_impl1.prf -Device,speed: LCMXO256C,3 -Report level: verbose report, limited to 1 item per preference --------------------------------------------------------------------------------- - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 161.862ns (weighted slack = 323.724ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 12.873ns (21.6% logic, 78.4% route), 7 logic levels. - - Constraint Details: - - 12.873ns physical path delay SLICE_95 to SLICE_19 meets - 175.000ns delay constraint less - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.862ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_95.CLK to SLICE_95.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 e 1.441 SLICE_95.Q1 to SLICE_67.A1 Bank_7 -CTOF_DEL --- 0.371 SLICE_67.A1 to SLICE_67.F1 SLICE_67 -ROUTE 1 e 1.441 SLICE_67.F1 to SLICE_82.C1 n2154 -CTOF_DEL --- 0.371 SLICE_82.C1 to SLICE_82.F1 SLICE_82 -ROUTE 1 e 1.441 SLICE_82.F1 to SLICE_76.B1 n26 -CTOF_DEL --- 0.371 SLICE_76.B1 to SLICE_76.F1 SLICE_76 -ROUTE 4 e 1.441 SLICE_76.F1 to SLICE_89.B0 n1285 -CTOF_DEL --- 0.371 SLICE_89.B0 to SLICE_89.F0 SLICE_89 -ROUTE 3 e 1.441 SLICE_89.F0 to SLICE_18.D1 n2290 -CTOF_DEL --- 0.371 SLICE_18.D1 to SLICE_18.F1 SLICE_18 -ROUTE 3 e 1.441 SLICE_18.F1 to SLICE_90.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 SLICE_90.C0 to SLICE_90.F0 SLICE_90 -ROUTE 2 e 1.441 SLICE_90.F0 to SLICE_19.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.873 (21.6% logic, 78.4% route), 7 logic levels. - -Report: 26.276ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_76 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_77 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 5.575ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i14 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 10.181ns (23.7% logic, 76.3% route), 6 logic levels. - - Constraint Details: - - 10.181ns physical path delay SLICE_7 to SLICE_56 meets - 16.000ns delay constraint less - 0.244ns CE_SET requirement (totaling 15.756ns) by 5.575ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_7.CLK to SLICE_7.Q0 SLICE_7 (from RCLK_c) -ROUTE 3 e 1.441 SLICE_7.Q0 to SLICE_78.A0 FS_14 -CTOF_DEL --- 0.371 SLICE_78.A0 to SLICE_78.F0 SLICE_78 -ROUTE 3 e 1.441 SLICE_78.F0 to SLICE_73.B1 n10 -CTOF_DEL --- 0.371 SLICE_73.B1 to SLICE_73.F1 SLICE_73 -ROUTE 4 e 0.561 SLICE_73.F1 to SLICE_73.B0 n2300 -CTOF_DEL --- 0.371 SLICE_73.B0 to SLICE_73.F0 SLICE_73 -ROUTE 1 e 1.441 SLICE_73.F0 to SLICE_75.C0 n11 -CTOF_DEL --- 0.371 SLICE_75.C0 to SLICE_75.F0 SLICE_75 -ROUTE 2 e 1.441 SLICE_75.F0 to SLICE_33.D1 n2119 -CTOF_DEL --- 0.371 SLICE_33.D1 to SLICE_33.F1 SLICE_33 -ROUTE 1 e 1.441 SLICE_33.F1 to SLICE_56.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 10.181 (23.7% logic, 76.3% route), 6 logic levels. - -Report: 10.425ns is the minimum period for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_55 and - 5.637ns delay SLICE_55 to RA[10] (totaling 8.141ns) meets - 12.500ns offset RCLK to RA[10] by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_55.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_55.Q0 to 87.PADDO n980 -DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[9] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[9] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_88.C1 to SLICE_88.F1 SLICE_88 -ROUTE 1 e 1.441 SLICE_88.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[8] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[8] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_95.C0 to SLICE_95.F0 SLICE_95 -ROUTE 1 e 1.441 SLICE_95.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[7] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[7] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_97.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_97.C0 to SLICE_97.F0 SLICE_97 -ROUTE 1 e 1.441 SLICE_97.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[6] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[6] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_98.C0 to SLICE_98.F0 SLICE_98 -ROUTE 1 e 1.441 SLICE_98.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[5] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[5] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_98.C1 to SLICE_98.F1 SLICE_98 -ROUTE 1 e 1.441 SLICE_98.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.427ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 6.569ns (69.5% logic, 30.5% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 6.569ns delay SLICE_64 to RA[4] (totaling 9.073ns) meets - 12.500ns offset RCLK to RA[4] by 3.427ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.561 SLICE_64.Q0 to SLICE_64.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_64.C1 to SLICE_64.F1 SLICE_64 -ROUTE 1 e 1.441 SLICE_64.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] - -------- - 6.569 (69.5% logic, 30.5% route), 3 logic levels. - -Report: 9.073ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[3] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[3] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_94.C1 to SLICE_94.F1 SLICE_94 -ROUTE 1 e 1.441 SLICE_94.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[2] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[2] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_95.C1 to SLICE_95.F1 SLICE_95 -ROUTE 1 e 1.441 SLICE_95.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[1] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[1] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_94.C0 to SLICE_94.F0 SLICE_94 -ROUTE 1 e 1.441 SLICE_94.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[0] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[0] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_92.C1 to SLICE_92.F1 SLICE_92 -ROUTE 1 e 1.441 SLICE_92.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_60 and - 5.637ns delay SLICE_60 to nRCS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRCS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_60.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_60.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_34 and - 5.637ns delay SLICE_34 to RCKE (totaling 8.141ns) meets - 12.500ns offset RCLK to RCKE by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_34.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 e 1.441 SLICE_34.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_63 and - 5.637ns delay SLICE_63 to nRWE (totaling 8.141ns) meets - 12.500ns offset RCLK to nRWE by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_63.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_63.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_61 and - 5.637ns delay SLICE_61 to nRRAS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRRAS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_61.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 e 1.441 SLICE_61.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_58 and - 5.637ns delay SLICE_58 to nRCAS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRCAS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_58.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_58.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RDQMH (totaling 9.953ns) meets - 12.500ns offset RCLK to RDQMH by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.B0 nRowColSel -CTOF_DEL --- 0.371 SLICE_88.B0 to SLICE_88.F0 SLICE_88 -ROUTE 1 e 1.441 SLICE_88.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RDQML (totaling 9.953ns) meets - 12.500ns offset RCLK to RDQML by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.B0 nRowColSel -CTOF_DEL --- 0.371 SLICE_92.B0 to SLICE_92.F0 SLICE_92 -ROUTE 1 e 1.441 SLICE_92.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.276 ns| 7 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 10.425 ns| 6 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.073 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Setup): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) - --------------------------------------------------------------------------------- -Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:32:27 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO256C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.prf -Design file: ram2gs_lcmxo256c_impl1_map.ncd -Preference file: ram2gs_lcmxo256c_impl1.prf -Device,speed: LCMXO256C,M -Report level: verbose report, limited to 1 item per preference --------------------------------------------------------------------------------- - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.485ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in ADSubmitted_380 (to PHI2_c -) - - Delay: 0.462ns (56.7% logic, 43.3% route), 2 logic levels. - - Constraint Details: - - 0.462ns physical path delay SLICE_9 to SLICE_9 meets - -0.023ns DIN_HLD and - 0.000ns delay constraint requirement (totaling -0.023ns) by 0.485ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 SLICE_9.CLK to SLICE_9.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 e 0.199 SLICE_9.Q0 to SLICE_9.C0 ADSubmitted -CTOF_DEL --- 0.092 SLICE_9.C0 to SLICE_9.F0 SLICE_9 -ROUTE 1 e 0.001 SLICE_9.F0 to SLICE_9.DI0 n1361 (to PHI2_c) - -------- - 0.462 (56.7% logic, 43.3% route), 2 logic levels. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.377ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i11 (from RCLK_c +) - Destination: FF Data in IS_FSM__i12 (to RCLK_c +) - - Delay: 0.356ns (44.1% logic, 55.9% route), 1 logic levels. - - Constraint Details: - - 0.356ns physical path delay SLICE_72 to SLICE_72 meets - -0.021ns M_HLD and - 0.000ns delay constraint requirement (totaling -0.021ns) by 0.377ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_72: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_72.CLK to SLICE_72.Q0 SLICE_72 (from RCLK_c) -ROUTE 1 e 0.199 SLICE_72.Q0 to SLICE_72.M1 n702 (to RCLK_c) - -------- - 0.356 (44.1% logic, 55.9% route), 1 logic levels. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_55 and - 1.780ns delay SLICE_55 to RA[10] (totaling 2.559ns) meets - 0.000ns hold offset RCLK to RA[10] by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_55.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_55.Q0 to 87.PADDO n980 -DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[9] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[9] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_88.C1 to SLICE_88.F1 SLICE_88 -ROUTE 1 e 0.515 SLICE_88.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[8] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[8] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_95.C0 to SLICE_95.F0 SLICE_95 -ROUTE 1 e 0.515 SLICE_95.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[7] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[7] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_97.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_97.C0 to SLICE_97.F0 SLICE_97 -ROUTE 1 e 0.515 SLICE_97.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[6] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[6] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_98.C0 to SLICE_98.F0 SLICE_98 -ROUTE 1 e 0.515 SLICE_98.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[5] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[5] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_98.C1 to SLICE_98.F1 SLICE_98 -ROUTE 1 e 0.515 SLICE_98.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.850ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 2.071ns (65.5% logic, 34.5% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.071ns delay SLICE_64 to RA[4] (totaling 2.850ns) meets - 0.000ns hold offset RCLK to RA[4] by 2.850ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.199 SLICE_64.Q0 to SLICE_64.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_64.C1 to SLICE_64.F1 SLICE_64 -ROUTE 1 e 0.515 SLICE_64.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] - -------- - 2.071 (65.5% logic, 34.5% route), 3 logic levels. - -Report: 2.850ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[3] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[3] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_94.C1 to SLICE_94.F1 SLICE_94 -ROUTE 1 e 0.515 SLICE_94.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[2] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[2] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_95.C1 to SLICE_95.F1 SLICE_95 -ROUTE 1 e 0.515 SLICE_95.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[1] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[1] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_94.C0 to SLICE_94.F0 SLICE_94 -ROUTE 1 e 0.515 SLICE_94.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[0] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[0] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_92.C1 to SLICE_92.F1 SLICE_92 -ROUTE 1 e 0.515 SLICE_92.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_60 and - 1.780ns delay SLICE_60 to nRCS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRCS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_60.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_60.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_34 and - 1.780ns delay SLICE_34 to RCKE (totaling 2.559ns) meets - 0.000ns hold offset RCLK to RCKE by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_34.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 e 0.515 SLICE_34.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_63 and - 1.780ns delay SLICE_63 to nRWE (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRWE by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_63.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_63.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_61 and - 1.780ns delay SLICE_61 to nRRAS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRRAS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_61.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 e 0.515 SLICE_61.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_58 and - 1.780ns delay SLICE_58 to nRCAS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRCAS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_58.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_58.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RDQMH (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RDQMH by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.B0 nRowColSel -CTOF_DEL --- 0.092 SLICE_88.B0 to SLICE_88.F0 SLICE_88 -ROUTE 1 e 0.515 SLICE_88.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RDQML (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RDQML by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.B0 nRowColSel -CTOF_DEL --- 0.092 SLICE_92.B0 to SLICE_92.F0 SLICE_92 -ROUTE 1 e 0.515 SLICE_92.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference(MIN Delays) | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.850 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Hold): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) - - - -Timing summary (Setup and Hold): ---------------- - -Timing errors: 0 (setup), 0 (hold) -Score: 0 (setup), 0 (hold) -Cumulative negative slack: 0 (0+0) --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr deleted file mode 100644 index b0d4521..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr +++ /dev/null @@ -1,4355 +0,0 @@ - -Loading design for application trce from file ram2gs_lcmxo256c_impl1.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO256C -Package: TQFP100 -Performance: 3 -Loading device for application trce from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. -Package Status: Final Version 1.19. -Performance Hardware Data Status: Version 1.124. -Setup and Hold Report - --------------------------------------------------------------------------------- -Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:32:34 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO256C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -Design file: ram2gs_lcmxo256c_impl1.ncd -Preference file: ram2gs_lcmxo256c_impl1.prf -Device,speed: LCMXO256C,3 -Report level: verbose report, limited to 10 items per preference --------------------------------------------------------------------------------- - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 161.925ns (weighted slack = 323.850ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i0 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 12.810ns (21.7% logic, 78.3% route), 7 logic levels. - - Constraint Details: - - 12.810ns physical path delay SLICE_94 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.925ns - - Physical Path Details: - - Data path SLICE_94 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) -ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 -CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.810 (21.7% logic, 78.3% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_94: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.080ns (weighted slack = 324.160ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i6 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 12.655ns (22.0% logic, 78.0% route), 7 logic levels. - - Constraint Details: - - 12.655ns physical path delay SLICE_95 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.080ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) -ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 -CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.655 (22.0% logic, 78.0% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.409ns (weighted slack = 324.818ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i0 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 12.326ns (22.6% logic, 77.4% route), 7 logic levels. - - Constraint Details: - - 12.326ns physical path delay SLICE_94 to SLICE_19 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.409ns - - Physical Path Details: - - Data path SLICE_94 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) -ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 -CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4D.A0 to R4C4D.F0 SLICE_90 -ROUTE 2 1.550 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.326 (22.6% logic, 77.4% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_94: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C5A.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.416ns (weighted slack = 324.832ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i0 (from PHI2_c +) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 12.319ns (22.6% logic, 77.4% route), 7 logic levels. - - Constraint Details: - - 12.319ns physical path delay SLICE_94 to SLICE_88 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.416ns - - Physical Path Details: - - Data path SLICE_94 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) -ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 -CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 1.543 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.319 (22.6% logic, 77.4% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_94: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C4A.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.564ns (weighted slack = 325.128ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i6 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 12.171ns (22.9% logic, 77.1% route), 7 logic levels. - - Constraint Details: - - 12.171ns physical path delay SLICE_95 to SLICE_19 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.564ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) -ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 -CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4D.A0 to R4C4D.F0 SLICE_90 -ROUTE 2 1.550 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.171 (22.9% logic, 77.1% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C5A.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.571ns (weighted slack = 325.142ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i6 (from PHI2_c +) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 12.164ns (22.9% logic, 77.1% route), 7 logic levels. - - Constraint Details: - - 12.164ns physical path delay SLICE_95 to SLICE_88 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.571ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) -ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 -CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 1.543 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.164 (22.9% logic, 77.1% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C4A.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.606ns (weighted slack = 325.212ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 12.129ns (23.0% logic, 77.0% route), 7 logic levels. - - Constraint Details: - - 12.129ns physical path delay SLICE_95 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.606ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 1.155 R2C2C.Q1 to R5C2B.D1 Bank_7 -CTOF_DEL --- 0.371 R5C2B.D1 to R5C2B.F1 SLICE_67 -ROUTE 1 0.304 R5C2B.F1 to R5C2C.D1 n2154 -CTOF_DEL --- 0.371 R5C2C.D1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.129 (23.0% logic, 77.0% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.635ns (weighted slack = 325.270ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i1 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 12.100ns (20.0% logic, 80.0% route), 6 logic levels. - - Constraint Details: - - 12.100ns physical path delay SLICE_94 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.635ns - - Physical Path Details: - - Data path SLICE_94 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q1 SLICE_94 (from PHI2_c) -ROUTE 1 1.905 R2C3B.Q1 to R6C2B.C1 Bank_1 -CTOF_DEL --- 0.371 R6C2B.C1 to R6C2B.F1 SLICE_97 -ROUTE 1 1.444 R6C2B.F1 to R5C5B.C1 n2170 -CTOF_DEL --- 0.371 R5C5B.C1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.100 (20.0% logic, 80.0% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_94: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.868ns (weighted slack = 325.736ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i5 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 11.867ns (23.5% logic, 76.5% route), 7 logic levels. - - Constraint Details: - - 11.867ns physical path delay SLICE_97 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.868ns - - Physical Path Details: - - Data path SLICE_97 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R6C2B.CLK to R6C2B.Q1 SLICE_97 (from PHI2_c) -ROUTE 1 0.700 R6C2B.Q1 to R5C2C.D0 Bank_5 -CTOF_DEL --- 0.371 R5C2C.D0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 11.867 (23.5% logic, 76.5% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_97: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R6C2B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.886ns (weighted slack = 325.772ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i0 (from PHI2_c +) - Destination: FF Data in XOR8MEG_381 (to PHI2_c -) - - Delay: 11.849ns (23.5% logic, 76.5% route), 7 logic levels. - - Constraint Details: - - 11.849ns physical path delay SLICE_94 to SLICE_96 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.886ns - - Physical Path Details: - - Data path SLICE_94 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) -ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 -CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4D.A1 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4D.A1 to R4C4D.F1 SLICE_90 -ROUTE 1 1.073 R4C4D.F1 to R3C4B.CE PHI2_N_114_enable_2 (to PHI2_c) - -------- - 11.849 (23.5% logic, 76.5% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_94: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R3C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - -Report: 26.150ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_76 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_77 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 7.566ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i15 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 8.190ns (29.5% logic, 70.5% route), 6 logic levels. - - Constraint Details: - - 8.190ns physical path delay SLICE_7 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.566ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) -ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 -CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 -CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 -ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 8.190 (29.5% logic, 70.5% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.590ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i15 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 8.166ns (29.6% logic, 70.4% route), 6 logic levels. - - Constraint Details: - - 8.166ns physical path delay SLICE_7 to SLICE_56 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.590ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) -ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 -CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 -CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 -ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 8.166 (29.6% logic, 70.4% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_56: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.984ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i13 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 7.772ns (31.1% logic, 68.9% route), 6 logic levels. - - Constraint Details: - - 7.772ns physical path delay SLICE_8 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.984ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q1 SLICE_8 (from RCLK_c) -ROUTE 3 1.511 R8C4C.Q1 to R7C5C.A0 FS_13 -CTOF_DEL --- 0.371 R7C5C.A0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 -CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 -ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 7.772 (31.1% logic, 68.9% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.008ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i13 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 7.748ns (31.2% logic, 68.8% route), 6 logic levels. - - Constraint Details: - - 7.748ns physical path delay SLICE_8 to SLICE_56 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 8.008ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q1 SLICE_8 (from RCLK_c) -ROUTE 3 1.511 R8C4C.Q1 to R7C5C.A0 FS_13 -CTOF_DEL --- 0.371 R7C5C.A0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 -CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 -ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 7.748 (31.2% logic, 68.8% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_56: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.123ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i12 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 7.633ns (31.6% logic, 68.4% route), 6 logic levels. - - Constraint Details: - - 7.633ns physical path delay SLICE_8 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 8.123ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q0 SLICE_8 (from RCLK_c) -ROUTE 3 1.372 R8C4C.Q0 to R7C5C.C0 FS_12 -CTOF_DEL --- 0.371 R7C5C.C0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 -CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 -ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 7.633 (31.6% logic, 68.4% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.147ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i12 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 7.609ns (31.7% logic, 68.3% route), 6 logic levels. - - Constraint Details: - - 7.609ns physical path delay SLICE_8 to SLICE_56 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 8.147ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q0 SLICE_8 (from RCLK_c) -ROUTE 3 1.372 R8C4C.Q0 to R7C5C.C0 FS_12 -CTOF_DEL --- 0.371 R7C5C.C0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 -CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 -ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 7.609 (31.7% logic, 68.3% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_56: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.262ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i15 (from RCLK_c +) - Destination: FF Data in UFMCLK_389 (to RCLK_c +) - - Delay: 7.112ns (23.5% logic, 76.5% route), 4 logic levels. - - Constraint Details: - - 7.112ns physical path delay SLICE_7 to SLICE_42 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.626ns LSR_SET requirement (totaling 15.374ns) by 8.262ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_42: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) -ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 -CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.915 R7C4A.F1 to R6C4A.C0 n2300 -CTOF_DEL --- 0.371 R6C4A.C0 to R6C4A.F0 SLICE_86 -ROUTE 2 1.538 R6C4A.F0 to R7C5A.LSR n2291 (to RCLK_c) - -------- - 7.112 (23.5% logic, 76.5% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_42: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R7C5A.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.262ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i15 (from RCLK_c +) - Destination: FF Data in UFMSDI_390 (to RCLK_c +) - - Delay: 7.112ns (23.5% logic, 76.5% route), 4 logic levels. - - Constraint Details: - - 7.112ns physical path delay SLICE_7 to SLICE_43 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.626ns LSR_SET requirement (totaling 15.374ns) by 8.262ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_43: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) -ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 -CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.915 R7C4A.F1 to R6C4A.C0 n2300 -CTOF_DEL --- 0.371 R6C4A.C0 to R6C4A.F0 SLICE_86 -ROUTE 2 1.538 R6C4A.F0 to R7C5B.LSR n2291 (to RCLK_c) - -------- - 7.112 (23.5% logic, 76.5% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_43: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R7C5B.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.316ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i14 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 7.440ns (32.5% logic, 67.5% route), 6 logic levels. - - Constraint Details: - - 7.440ns physical path delay SLICE_7 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 8.316ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q0 SLICE_7 (from RCLK_c) -ROUTE 3 1.179 R8C4D.Q0 to R7C5C.D0 FS_14 -CTOF_DEL --- 0.371 R7C5C.D0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 -CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 -ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 7.440 (32.5% logic, 67.5% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.340ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i14 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 7.416ns (32.6% logic, 67.4% route), 6 logic levels. - - Constraint Details: - - 7.416ns physical path delay SLICE_7 to SLICE_56 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 8.340ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q0 SLICE_7 (from RCLK_c) -ROUTE 3 1.179 R8C4D.Q0 to R7C5C.D0 FS_14 -CTOF_DEL --- 0.371 R7C5C.D0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 -CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 -ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 7.416 (32.6% logic, 67.4% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_56: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - -Report: 8.434ns is the minimum period for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.904ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 6.180ns (67.9% logic, 32.1% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_55 and - 6.180ns delay SLICE_55 to RA[10] (totaling 8.596ns) meets - 12.500ns offset RCLK to RA[10] by 3.904ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C4B.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C4B.CLK to R2C4B.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 1.984 R2C4B.Q0 to 87.PADDO n980 -DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] - -------- - 6.180 (67.9% logic, 32.1% route), 2 logic levels. - -Report: 8.596ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.734ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 6.350ns (71.9% logic, 28.1% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 6.350ns delay SLICE_64 to RA[9] (totaling 8.766ns) meets - 12.500ns offset RCLK to RA[9] by 3.734ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R2C4A.C1 nRowColSel -CTOF_DEL --- 0.371 R2C4A.C1 to R2C4A.F1 SLICE_88 -ROUTE 1 0.817 R2C4A.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] - -------- - 6.350 (71.9% logic, 28.1% route), 3 logic levels. - -Report: 8.766ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.604ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 6.480ns (70.5% logic, 29.5% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 6.480ns delay SLICE_64 to RA[8] (totaling 8.896ns) meets - 12.500ns offset RCLK to RA[8] by 3.604ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.096 R2C2A.Q0 to R2C2C.B0 nRowColSel -CTOF_DEL --- 0.371 R2C2C.B0 to R2C2C.F0 SLICE_95 -ROUTE 1 0.817 R2C2C.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] - -------- - 6.480 (70.5% logic, 29.5% route), 3 logic levels. - -Report: 8.896ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.245ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 7.839ns (58.3% logic, 41.7% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.839ns delay SLICE_64 to RA[7] (totaling 10.255ns) meets - 12.500ns offset RCLK to RA[7] by 2.245ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.234 R2C2A.Q0 to R6C2B.D0 nRowColSel -CTOF_DEL --- 0.371 R6C2B.D0 to R6C2B.F0 SLICE_97 -ROUTE 1 2.038 R6C2B.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] - -------- - 7.839 (58.3% logic, 41.7% route), 3 logic levels. - -Report: 10.255ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.499ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 7.585ns (60.2% logic, 39.8% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.585ns delay SLICE_64 to RA[6] (totaling 10.001ns) meets - 12.500ns offset RCLK to RA[6] by 2.499ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R3C2A.C0 nRowColSel -CTOF_DEL --- 0.371 R3C2A.C0 to R3C2A.F0 SLICE_98 -ROUTE 1 2.052 R3C2A.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] - -------- - 7.585 (60.2% logic, 39.8% route), 3 logic levels. - -Report: 10.001ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.891ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 7.193ns (63.5% logic, 36.5% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.193ns delay SLICE_64 to RA[5] (totaling 9.609ns) meets - 12.500ns offset RCLK to RA[5] by 2.891ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R3C2A.C1 nRowColSel -CTOF_DEL --- 0.371 R3C2A.C1 to R3C2A.F1 SLICE_98 -ROUTE 1 1.660 R3C2A.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] - -------- - 7.193 (63.5% logic, 36.5% route), 3 logic levels. - -Report: 9.609ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.996ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 6.088ns (75.0% logic, 25.0% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 6.088ns delay SLICE_64 to RA[4] (totaling 8.504ns) meets - 12.500ns offset RCLK to RA[4] by 3.996ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.704 R2C2A.Q0 to R2C2A.D1 nRowColSel -CTOF_DEL --- 0.371 R2C2A.D1 to R2C2A.F1 SLICE_64 -ROUTE 1 0.817 R2C2A.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] - -------- - 6.088 (75.0% logic, 25.0% route), 3 logic levels. - -Report: 8.504ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.567ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 7.517ns (60.8% logic, 39.2% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.517ns delay SLICE_64 to RA[3] (totaling 9.933ns) meets - 12.500ns offset RCLK to RA[3] by 2.567ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R2C3B.C1 nRowColSel -CTOF_DEL --- 0.371 R2C3B.C1 to R2C3B.F1 SLICE_94 -ROUTE 1 1.984 R2C3B.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] - -------- - 7.517 (60.8% logic, 39.2% route), 3 logic levels. - -Report: 9.933ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.438ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 7.646ns (59.7% logic, 40.3% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.646ns delay SLICE_64 to RA[2] (totaling 10.062ns) meets - 12.500ns offset RCLK to RA[2] by 2.438ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.096 R2C2A.Q0 to R2C2C.B1 nRowColSel -CTOF_DEL --- 0.371 R2C2C.B1 to R2C2C.F1 SLICE_95 -ROUTE 1 1.983 R2C2C.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] - -------- - 7.646 (59.7% logic, 40.3% route), 3 logic levels. - -Report: 10.062ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.734ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 6.350ns (71.9% logic, 28.1% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 6.350ns delay SLICE_64 to RA[1] (totaling 8.766ns) meets - 12.500ns offset RCLK to RA[1] by 3.734ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R2C3B.C0 nRowColSel -CTOF_DEL --- 0.371 R2C3B.C0 to R2C3B.F0 SLICE_94 -ROUTE 1 0.817 R2C3B.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] - -------- - 6.350 (71.9% logic, 28.1% route), 3 logic levels. - -Report: 8.766ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.826ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 7.258ns (62.9% logic, 37.1% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.258ns delay SLICE_64 to RA[0] (totaling 9.674ns) meets - 12.500ns offset RCLK to RA[0] by 2.826ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.165 R2C2A.Q0 to R3C2B.B1 nRowColSel -CTOF_DEL --- 0.371 R3C2B.B1 to R3C2B.F1 SLICE_92 -ROUTE 1 1.526 R3C2B.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] - -------- - 7.258 (62.9% logic, 37.1% route), 3 logic levels. - -Report: 9.674ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 5.071ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_60 and - 5.013ns delay SLICE_60 to nRCS (totaling 7.429ns) meets - 12.500ns offset RCLK to nRCS by 5.071ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C5B.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C5B.CLK to R2C5B.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 0.817 R2C5B.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.429ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.420ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 6.664ns (63.0% logic, 37.0% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_34 and - 6.664ns delay SLICE_34 to RCKE (totaling 9.080ns) meets - 12.500ns offset RCLK to RCKE by 3.420ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R5C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C2A.CLK to R5C2A.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 2.468 R5C2A.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE - -------- - 6.664 (63.0% logic, 37.0% route), 2 logic levels. - -Report: 9.080ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 5.071ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_63 and - 5.013ns delay SLICE_63 to nRWE (totaling 7.429ns) meets - 12.500ns offset RCLK to nRWE by 5.071ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R3C5B.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C5B.CLK to R3C5B.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 0.817 R3C5B.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.429ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.885ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 6.199ns (67.7% logic, 32.3% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_61 and - 6.199ns delay SLICE_61 to nRRAS (totaling 8.615ns) meets - 12.500ns offset RCLK to nRRAS by 3.885ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R4C5A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R4C5A.CLK to R4C5A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 2.003 R4C5A.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS - -------- - 6.199 (67.7% logic, 32.3% route), 2 logic levels. - -Report: 8.615ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.905ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 6.179ns (67.9% logic, 32.1% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_58 and - 6.179ns delay SLICE_58 to nRCAS (totaling 8.595ns) meets - 12.500ns offset RCLK to nRCAS by 3.905ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C4C.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C4C.CLK to R2C4C.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 1.983 R2C4C.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS - -------- - 6.179 (67.9% logic, 32.1% route), 2 logic levels. - -Report: 8.595ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.025ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 7.059ns (64.7% logic, 35.3% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.059ns delay SLICE_64 to RDQMH (totaling 9.475ns) meets - 12.500ns offset RCLK to RDQMH by 3.025ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R2C4A.C0 nRowColSel -CTOF_DEL --- 0.371 R2C4A.C0 to R2C4A.F0 SLICE_88 -ROUTE 1 1.526 R2C4A.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH - -------- - 7.059 (64.7% logic, 35.3% route), 3 logic levels. - -Report: 9.475ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.023ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 8.061ns (56.7% logic, 43.3% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 8.061ns delay SLICE_64 to RDQML (totaling 10.477ns) meets - 12.500ns offset RCLK to RDQML by 2.023ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.954 R2C2A.Q0 to R3C2B.C0 nRowColSel -CTOF_DEL --- 0.371 R3C2B.C0 to R3C2B.F0 SLICE_92 -ROUTE 1 2.540 R3C2B.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML - -------- - 8.061 (56.7% logic, 43.3% route), 3 logic levels. - -Report: 10.477ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.150 ns| 7 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 8.434 ns| 6 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.596 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.766 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.896 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.255 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.001 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.609 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.504 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.933 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.062 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.766 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.674 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.429 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.080 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.429 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.615 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.595 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.475 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.477 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Setup): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 440 connections (71.20% coverage) - --------------------------------------------------------------------------------- -Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:32:34 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO256C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -Design file: ram2gs_lcmxo256c_impl1.ncd -Preference file: ram2gs_lcmxo256c_impl1.prf -Device,speed: LCMXO256C,m -Report level: verbose report, limited to 10 items per preference --------------------------------------------------------------------------------- - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.444ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in ADSubmitted_380 (to PHI2_c -) - - Delay: 0.421ns (62.2% logic, 37.8% route), 2 logic levels. - - Constraint Details: - - 0.421ns physical path delay SLICE_9 to SLICE_9 meets - -0.023ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.023ns) by 0.444ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3A.CLK to R5C3A.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 0.159 R5C3A.Q0 to R5C3A.D0 ADSubmitted -CTOF_DEL --- 0.092 R5C3A.D0 to R5C3A.F0 SLICE_9 -ROUTE 1 0.000 R5C3A.F0 to R5C3A.DI0 n1361 (to PHI2_c) - -------- - 0.421 (62.2% logic, 37.8% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.186ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) - - Delay: 1.157ns (30.6% logic, 69.4% route), 3 logic levels. - - Constraint Details: - - 1.157ns physical path delay SLICE_18 to SLICE_23 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.186ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable -CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 -ROUTE 3 0.383 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R4C4D.A0 to R4C4D.F0 SLICE_90 -ROUTE 2 0.167 R4C4D.F0 to R4C4C.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 1.157 (30.6% logic, 69.4% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R4C4C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.193ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q C1Submitted_379 (from PHI2_c -) - Destination: FF Data in CmdEnable_378 (to PHI2_c -) - - Delay: 1.164ns (38.3% logic, 61.7% route), 4 logic levels. - - Constraint Details: - - 1.164ns physical path delay SLICE_14 to SLICE_18 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.193ns - - Physical Path Details: - - Data path SLICE_14 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R6C3B.CLK to R6C3B.Q0 SLICE_14 (from PHI2_c) -ROUTE 1 0.253 R6C3B.Q0 to R6C3B.B1 C1Submitted -CTOF_DEL --- 0.092 R6C3B.B1 to R6C3B.F1 SLICE_14 -ROUTE 1 0.075 R6C3B.F1 to R6C3C.D1 n2098 -CTOF_DEL --- 0.092 R6C3C.D1 to R6C3C.F1 SLICE_77 -ROUTE 1 0.123 R6C3C.F1 to R6C3C.C0 n2286 -CTOF_DEL --- 0.092 R6C3C.C0 to R6C3C.F0 SLICE_77 -ROUTE 1 0.267 R6C3C.F0 to R5C3C.CE PHI2_N_114_enable_8 (to PHI2_c) - -------- - 1.164 (38.3% logic, 61.7% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R6C3B.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.280ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in CmdEnable_378 (to PHI2_c -) - - Delay: 1.251ns (35.7% logic, 64.3% route), 4 logic levels. - - Constraint Details: - - 1.251ns physical path delay SLICE_9 to SLICE_18 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.280ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3A.CLK to R5C3A.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 0.159 R5C3A.Q0 to R5C3A.D1 ADSubmitted -CTOF_DEL --- 0.092 R5C3A.D1 to R5C3A.F1 SLICE_9 -ROUTE 1 0.256 R5C3A.F1 to R6C3C.A1 n2080 -CTOF_DEL --- 0.092 R6C3C.A1 to R6C3C.F1 SLICE_77 -ROUTE 1 0.123 R6C3C.F1 to R6C3C.C0 n2286 -CTOF_DEL --- 0.092 R6C3C.C0 to R6C3C.F0 SLICE_77 -ROUTE 1 0.267 R6C3C.F0 to R5C3C.CE PHI2_N_114_enable_8 (to PHI2_c) - -------- - 1.251 (35.7% logic, 64.3% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.286ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in XOR8MEG_381 (to PHI2_c -) - - Delay: 1.257ns (28.2% logic, 71.8% route), 3 logic levels. - - Constraint Details: - - 1.257ns physical path delay SLICE_18 to SLICE_96 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.286ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable -CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 -ROUTE 3 0.383 R5C3C.F1 to R4C4D.A1 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R4C4D.A1 to R4C4D.F1 SLICE_90 -ROUTE 1 0.267 R4C4D.F1 to R3C4B.CE PHI2_N_114_enable_2 (to PHI2_c) - -------- - 1.257 (28.2% logic, 71.8% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R3C4B.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.400ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 1.371ns (25.8% logic, 74.2% route), 3 logic levels. - - Constraint Details: - - 1.371ns physical path delay SLICE_18 to SLICE_88 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.400ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable -CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 -ROUTE 3 0.383 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 0.381 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 1.371 (25.8% logic, 74.2% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R2C4A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.414ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 1.385ns (25.6% logic, 74.4% route), 3 logic levels. - - Constraint Details: - - 1.385ns physical path delay SLICE_18 to SLICE_19 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.414ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable -CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 -ROUTE 3 0.383 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R4C4D.A0 to R4C4D.F0 SLICE_90 -ROUTE 2 0.395 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 1.385 (25.6% logic, 74.4% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.537ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 1.508ns (23.5% logic, 76.5% route), 3 logic levels. - - Constraint Details: - - 1.508ns physical path delay SLICE_18 to SLICE_83 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.537ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable -CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 -ROUTE 3 0.383 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 0.518 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 1.508 (23.5% logic, 76.5% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C4B.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 175.681ns (weighted slack = 351.362ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q XOR8MEG_381 (from PHI2_c -) - Destination: FF Data in RA11_358 (to PHI2_c +) - - Delay: 0.670ns (39.1% logic, 60.9% route), 2 logic levels. - - Constraint Details: - - 0.670ns physical path delay SLICE_96 to SLICE_31 meets - -0.011ns DIN_HLD and - -175.000ns delay constraint less - 0.000ns skew requirement (totaling -175.011ns) by 175.681ns - - Physical Path Details: - - Data path SLICE_96 to SLICE_31: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R3C4B.CLK to R3C4B.Q0 SLICE_96 (from PHI2_c) -ROUTE 1 0.408 R3C4B.Q0 to R2C5A.D0 XOR8MEG -CTOF_DEL --- 0.092 R2C5A.D0 to R2C5A.F0 SLICE_31 -ROUTE 1 0.000 R2C5A.F0 to R2C5A.DI0 RA11_N_180 (to PHI2_c) - -------- - 0.670 (39.1% logic, 60.9% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R3C4B.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_31: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R2C5A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 176.485ns (weighted slack = 352.970ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i3 (from PHI2_c +) - Destination: FF Data in C1Submitted_379 (to PHI2_c -) - - Delay: 1.456ns (23.4% logic, 76.6% route), 3 logic levels. - - Constraint Details: - - 1.456ns physical path delay SLICE_98 to SLICE_14 meets - -0.029ns CE_HLD and - -175.000ns delay constraint less - 0.000ns skew requirement (totaling -175.029ns) by 176.485ns - - Physical Path Details: - - Data path SLICE_98 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R3C2A.CLK to R3C2A.Q1 SLICE_98 (from PHI2_c) -ROUTE 1 0.495 R3C2A.Q1 to R5C5B.A1 Bank_3 -CTOF_DEL --- 0.092 R5C5B.A1 to R5C5B.F1 SLICE_76 -ROUTE 4 0.459 R5C5B.F1 to R6C3A.D1 n1285 -CTOF_DEL --- 0.092 R6C3A.D1 to R6C3A.F1 SLICE_89 -ROUTE 1 0.161 R6C3A.F1 to R6C3B.CE PHI2_N_114_enable_1 (to PHI2_c) - -------- - 1.456 (23.4% logic, 76.6% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_98: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R3C2A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R6C3B.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i11 (from RCLK_c +) - Destination: FF Data in IS_FSM__i12 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_72 to SLICE_72 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_72: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R4C4A.CLK to R4C4A.Q0 SLICE_72 (from RCLK_c) -ROUTE 1 0.161 R4C4A.Q0 to R4C4A.M1 n702 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i12 (from RCLK_c +) - Destination: FF Data in IS_FSM__i13 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_72 to SLICE_90 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_90: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R4C4A.CLK to R4C4A.Q1 SLICE_72 (from RCLK_c) -ROUTE 1 0.161 R4C4A.Q1 to R4C4D.M0 n701 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i7 (from RCLK_c +) - Destination: FF Data in IS_FSM__i8 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_73 to SLICE_73 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_73 to SLICE_73: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R7C4A.CLK to R7C4A.Q0 SLICE_73 (from RCLK_c) -ROUTE 1 0.161 R7C4A.Q0 to R7C4A.M1 n706 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i3 (from RCLK_c +) - Destination: FF Data in IS_FSM__i4 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_74 to SLICE_74 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_74 to SLICE_74: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C3B.CLK to R5C3B.Q0 SLICE_74 (from RCLK_c) -ROUTE 1 0.161 R5C3B.Q0 to R5C3B.M1 n710 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i6 (from RCLK_c +) - Destination: FF Data in IS_FSM__i7 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_75 to SLICE_73 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_75 to SLICE_73: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R7C4B.CLK to R7C4B.Q1 SLICE_75 (from RCLK_c) -ROUTE 1 0.161 R7C4B.Q1 to R7C4A.M0 n707 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i5 (from RCLK_c +) - Destination: FF Data in IS_FSM__i6 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_75 to SLICE_75 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_75 to SLICE_75: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R7C4B.CLK to R7C4B.Q0 SLICE_75 (from RCLK_c) -ROUTE 1 0.161 R7C4B.Q0 to R7C4B.M1 n708 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i10 (from RCLK_c +) - Destination: FF Data in IS_FSM__i11 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_84 to SLICE_72 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_84 to SLICE_72: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R4C4B.CLK to R4C4B.Q1 SLICE_84 (from RCLK_c) -ROUTE 1 0.161 R4C4B.Q1 to R4C4A.M0 n703 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_84: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i2 (from RCLK_c +) - Destination: FF Data in IS_FSM__i3 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_87 to SLICE_74 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_87 to SLICE_74: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C3D.CLK to R5C3D.Q1 SLICE_87 (from RCLK_c) -ROUTE 1 0.161 R5C3D.Q1 to R5C3B.M0 n711 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_87: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R5C3D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i13 (from RCLK_c +) - Destination: FF Data in IS_FSM__i14 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_90 to SLICE_90 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_90 to SLICE_90: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R4C4D.CLK to R4C4D.Q0 SLICE_90 (from RCLK_c) -ROUTE 1 0.161 R4C4D.Q0 to R4C4D.M1 n700 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.345ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RASr2_353 (from RCLK_c +) - Destination: FF Data in RASr3_354 (to RCLK_c +) - - Delay: 0.324ns (48.5% logic, 51.5% route), 1 logic levels. - - Constraint Details: - - 0.324ns physical path delay SLICE_93 to SLICE_93 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.345ns - - Physical Path Details: - - Data path SLICE_93 to SLICE_93: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R7C5D.CLK to R7C5D.Q0 SLICE_93 (from RCLK_c) -ROUTE 16 0.167 R7C5D.Q0 to R7C5D.M1 RASr2 (to RCLK_c) - -------- - 0.324 (48.5% logic, 51.5% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_93: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C5D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_93: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C5D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.220ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 1.733ns (73.0% logic, 27.0% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_55 and - 1.733ns delay SLICE_55 to RA[10] (totaling 2.220ns) meets - 0.000ns hold offset RCLK to RA[10] by 2.220ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C4B.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C4B.CLK to R2C4B.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 0.468 R2C4B.Q0 to 87.PADDO n980 -DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] - -------- - 1.733 (73.0% logic, 27.0% route), 2 logic levels. - -Report: 2.220ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.284ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 1.797ns (75.5% logic, 24.5% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.797ns delay SLICE_64 to RA[9] (totaling 2.284ns) meets - 0.000ns hold offset RCLK to RA[9] by 2.284ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R2C4A.C1 nRowColSel -CTOF_DEL --- 0.092 R2C4A.C1 to R2C4A.F1 SLICE_88 -ROUTE 1 0.197 R2C4A.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] - -------- - 1.797 (75.5% logic, 24.5% route), 3 logic levels. - -Report: 2.284ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.316ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 1.829ns (74.2% logic, 25.8% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.829ns delay SLICE_64 to RA[8] (totaling 2.316ns) meets - 0.000ns hold offset RCLK to RA[8] by 2.316ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.275 R2C2A.Q0 to R2C2C.B0 nRowColSel -CTOF_DEL --- 0.092 R2C2C.B0 to R2C2C.F0 SLICE_95 -ROUTE 1 0.197 R2C2C.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] - -------- - 1.829 (74.2% logic, 25.8% route), 3 logic levels. - -Report: 2.316ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.652ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.165ns delay SLICE_64 to RA[7] (totaling 2.652ns) meets - 0.000ns hold offset RCLK to RA[7] by 2.652ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.319 R2C2A.Q0 to R6C2B.D0 nRowColSel -CTOF_DEL --- 0.092 R6C2B.D0 to R6C2B.F0 SLICE_97 -ROUTE 1 0.489 R6C2B.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] - -------- - 2.165 (62.7% logic, 37.3% route), 3 logic levels. - -Report: 2.652ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.579ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 2.092ns (64.9% logic, 35.1% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.092ns delay SLICE_64 to RA[6] (totaling 2.579ns) meets - 0.000ns hold offset RCLK to RA[6] by 2.579ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R3C2A.C0 nRowColSel -CTOF_DEL --- 0.092 R3C2A.C0 to R3C2A.F0 SLICE_98 -ROUTE 1 0.492 R3C2A.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] - -------- - 2.092 (64.9% logic, 35.1% route), 3 logic levels. - -Report: 2.579ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.481ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 1.994ns (68.1% logic, 31.9% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.994ns delay SLICE_64 to RA[5] (totaling 2.481ns) meets - 0.000ns hold offset RCLK to RA[5] by 2.481ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R3C2A.C1 nRowColSel -CTOF_DEL --- 0.092 R3C2A.C1 to R3C2A.F1 SLICE_98 -ROUTE 1 0.394 R3C2A.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] - -------- - 1.994 (68.1% logic, 31.9% route), 3 logic levels. - -Report: 2.481ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.219ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 1.732ns (78.3% logic, 21.7% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.732ns delay SLICE_64 to RA[4] (totaling 2.219ns) meets - 0.000ns hold offset RCLK to RA[4] by 2.219ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.178 R2C2A.Q0 to R2C2A.D1 nRowColSel -CTOF_DEL --- 0.092 R2C2A.D1 to R2C2A.F1 SLICE_64 -ROUTE 1 0.197 R2C2A.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] - -------- - 1.732 (78.3% logic, 21.7% route), 3 logic levels. - -Report: 2.219ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.555ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 2.068ns (65.6% logic, 34.4% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.068ns delay SLICE_64 to RA[3] (totaling 2.555ns) meets - 0.000ns hold offset RCLK to RA[3] by 2.555ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R2C3B.C1 nRowColSel -CTOF_DEL --- 0.092 R2C3B.C1 to R2C3B.F1 SLICE_94 -ROUTE 1 0.468 R2C3B.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] - -------- - 2.068 (65.6% logic, 34.4% route), 3 logic levels. - -Report: 2.555ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.599ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 2.112ns (64.3% logic, 35.7% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.112ns delay SLICE_64 to RA[2] (totaling 2.599ns) meets - 0.000ns hold offset RCLK to RA[2] by 2.599ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.275 R2C2A.Q0 to R2C2C.B1 nRowColSel -CTOF_DEL --- 0.092 R2C2C.B1 to R2C2C.F1 SLICE_95 -ROUTE 1 0.480 R2C2C.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] - -------- - 2.112 (64.3% logic, 35.7% route), 3 logic levels. - -Report: 2.599ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.284ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 1.797ns (75.5% logic, 24.5% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.797ns delay SLICE_64 to RA[1] (totaling 2.284ns) meets - 0.000ns hold offset RCLK to RA[1] by 2.284ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R2C3B.C0 nRowColSel -CTOF_DEL --- 0.092 R2C3B.C0 to R2C3B.F0 SLICE_94 -ROUTE 1 0.197 R2C3B.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] - -------- - 1.797 (75.5% logic, 24.5% route), 3 logic levels. - -Report: 2.284ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.492ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 2.005ns (67.7% logic, 32.3% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.005ns delay SLICE_64 to RA[0] (totaling 2.492ns) meets - 0.000ns hold offset RCLK to RA[0] by 2.492ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.292 R2C2A.Q0 to R3C2B.B1 nRowColSel -CTOF_DEL --- 0.092 R3C2B.B1 to R3C2B.F1 SLICE_92 -ROUTE 1 0.356 R3C2B.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] - -------- - 2.005 (67.7% logic, 32.3% route), 3 logic levels. - -Report: 2.492ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_60 and - 1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets - 0.000ns hold offset RCLK to nRCS by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C5B.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C5B.CLK to R2C5B.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 0.197 R2C5B.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.363ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 1.876ns (67.4% logic, 32.6% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_34 and - 1.876ns delay SLICE_34 to RCKE (totaling 2.363ns) meets - 0.000ns hold offset RCLK to RCKE by 2.363ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C2A.CLK to R5C2A.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 0.611 R5C2A.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE - -------- - 1.876 (67.4% logic, 32.6% route), 2 logic levels. - -Report: 2.363ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_63 and - 1.462ns delay SLICE_63 to nRWE (totaling 1.949ns) meets - 0.000ns hold offset RCLK to nRWE by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R3C5B.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R3C5B.CLK to R3C5B.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 0.197 R3C5B.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.236ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 1.749ns (72.3% logic, 27.7% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_61 and - 1.749ns delay SLICE_61 to nRRAS (totaling 2.236ns) meets - 0.000ns hold offset RCLK to nRRAS by 2.236ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R4C5A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R4C5A.CLK to R4C5A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 0.484 R4C5A.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS - -------- - 1.749 (72.3% logic, 27.7% route), 2 logic levels. - -Report: 2.236ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.232ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 1.745ns (72.5% logic, 27.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_58 and - 1.745ns delay SLICE_58 to nRCAS (totaling 2.232ns) meets - 0.000ns hold offset RCLK to nRCAS by 2.232ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C4C.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C4C.CLK to R2C4C.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 0.480 R2C4C.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS - -------- - 1.745 (72.5% logic, 27.5% route), 2 logic levels. - -Report: 2.232ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.443ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 1.956ns (69.4% logic, 30.6% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.956ns delay SLICE_64 to RDQMH (totaling 2.443ns) meets - 0.000ns hold offset RCLK to RDQMH by 2.443ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R2C4A.C0 nRowColSel -CTOF_DEL --- 0.092 R2C4A.C0 to R2C4A.F0 SLICE_88 -ROUTE 1 0.356 R2C4A.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH - -------- - 1.956 (69.4% logic, 30.6% route), 3 logic levels. - -Report: 2.443ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.713ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 2.226ns (61.0% logic, 39.0% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.226ns delay SLICE_64 to RDQML (totaling 2.713ns) meets - 0.000ns hold offset RCLK to RDQML by 2.713ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.238 R2C2A.Q0 to R3C2B.C0 nRowColSel -CTOF_DEL --- 0.092 R3C2B.C0 to R3C2B.F0 SLICE_92 -ROUTE 1 0.631 R3C2B.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML - -------- - 2.226 (61.0% logic, 39.0% route), 3 logic levels. - -Report: 2.713ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference(MIN Delays) | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.220 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.284 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.316 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.579 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.481 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.219 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.555 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.599 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.284 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.492 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.363 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.236 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.232 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.443 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.713 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Hold): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 440 connections (71.20% coverage) - - - -Timing summary (Setup and Hold): ---------------- - -Timing errors: 0 (setup), 0 (hold) -Score: 0 (setup), 0 (hold) -Cumulative negative slack: 0 (0+0) --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html deleted file mode 100644 index 0fd44c9..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html +++ /dev/null @@ -1,111 +0,0 @@ - -Bitgen Report - - - - - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html deleted file mode 100644 index 61370c6..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html +++ /dev/null @@ -1,202 +0,0 @@ - -I/O Timing Report - - -
I/O Timing Report
-Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
-Design name: RAM2GS
-NCD version: 3.3
-Vendor:      LATTICE
-Device:      LCMXO256C
-Package:     TQFP100
-Performance: 4
-Package Status:                     Final          Version 1.19.
-Performance Hardware Data Status: Version 1.124.
-Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
-Design name: RAM2GS
-NCD version: 3.3
-Vendor:      LATTICE
-Device:      LCMXO256C
-Package:     TQFP100
-Performance: 5
-Package Status:                     Final          Version 1.19.
-Performance Hardware Data Status: Version 1.124.
-Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
-Design name: RAM2GS
-NCD version: 3.3
-Vendor:      LATTICE
-Device:      LCMXO256C
-Package:     TQFP100
-Performance: M
-Package Status:                     Final          Version 1.19.
-Performance Hardware Data Status: Version 1.124.
-// Design: RAM2GS
-// Package: TQFP100
-// ncd File: ram2gs_lcmxo256c_impl1.ncd
-// Version: Diamond (64-bit) 3.12.0.240.2
-// Written on Mon Aug 16 21:32:34 2021
-// M: Minimum Performance Grade
-// iotiming RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml
-
-I/O Timing Report (All units are in ns)
-
-Worst Case Results across Performance Grades (M, 5, 4, 3):
-
-// Input Setup and Hold Times
-
-Port    Clock Edge  Setup Performance_Grade  Hold Performance_Grade
-----------------------------------------------------------------------
-CROW[0] nCRAS F     0.215      3       1.805     3
-CROW[1] nCRAS F    -0.050      M       2.105     3
-Din[0]  PHI2  F     5.083      3       2.097     3
-Din[0]  nCCAS F    -0.020      M       2.133     3
-Din[1]  PHI2  F     3.519      3       2.454     3
-Din[1]  nCCAS F    -0.146      M       2.462     3
-Din[2]  PHI2  F     4.416      3       2.660     3
-Din[2]  nCCAS F     0.272      3       1.853     3
-Din[3]  PHI2  F     5.627      3       2.084     3
-Din[3]  nCCAS F    -0.024      M       2.144     3
-Din[4]  PHI2  F     4.808      3       2.117     3
-Din[4]  nCCAS F     0.350      3       1.766     3
-Din[5]  PHI2  F     5.446      3       2.212     3
-Din[5]  nCCAS F     0.435      3       1.708     3
-Din[6]  PHI2  F     5.339      3       1.487     3
-Din[6]  nCCAS F    -0.140      M       2.452     3
-Din[7]  PHI2  F     4.546      3       1.555     3
-Din[7]  nCCAS F    -0.016      M       2.122     3
-MAin[0] PHI2  F     4.027      3       0.711     3
-MAin[0] nCRAS F     1.132      3       0.987     3
-MAin[1] PHI2  F     4.032      3       1.734     3
-MAin[1] nCRAS F     0.704      3       1.373     3
-MAin[2] PHI2  F    10.358      3      -0.773     M
-MAin[2] nCRAS F    -0.202      M       2.529     3
-MAin[3] PHI2  F    10.442      3      -0.829     M
-MAin[3] nCRAS F     0.186      3       1.819     3
-MAin[4] PHI2  F    10.311      3      -0.765     M
-MAin[4] nCRAS F     0.569      3       1.506     3
-MAin[5] PHI2  F     7.007      3       0.178     3
-MAin[5] nCRAS F     0.186      3       1.819     3
-MAin[6] PHI2  F     9.786      3      -0.641     M
-MAin[6] nCRAS F     0.177      3       1.829     3
-MAin[7] PHI2  F    10.008      3      -0.718     M
-MAin[7] nCRAS F    -0.092      M       2.222     3
-MAin[8] nCRAS F    -0.202      M       2.532     3
-MAin[9] nCRAS F     0.228      3       1.797     3
-PHI2    RCLK  R     5.091      3      -0.759     M
-UFMSDO  RCLK  R     2.219      3      -0.104     M
-nCCAS   RCLK  R     3.820      3      -0.611     M
-nCCAS   nCRAS F     1.538      3       0.708     3
-nCRAS   RCLK  R     4.749      3      -0.670     M
-nFWE    PHI2  F     5.301      3       1.647     3
-nFWE    nCRAS F     1.049      3       1.128     3
-
-
-// Clock to Output Delay
-
-Port   Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
-------------------------------------------------------------------------
-LED    RCLK  R    11.669         3        3.051          M
-RA[0]  RCLK  R     9.674         3        2.492          M
-RA[0]  nCRAS F    12.127         3        3.067          M
-RA[10] RCLK  R     8.596         3        2.220          M
-RA[11] PHI2  R     9.987         3        2.559          M
-RA[1]  RCLK  R     8.766         3        2.284          M
-RA[1]  nCRAS F    11.652         3        2.982          M
-RA[2]  RCLK  R    10.062         3        2.599          M
-RA[2]  nCRAS F    12.947         3        3.306          M
-RA[3]  RCLK  R     9.933         3        2.555          M
-RA[3]  nCRAS F    12.783         3        3.240          M
-RA[4]  RCLK  R     8.504         3        2.219          M
-RA[4]  nCRAS F    11.513         3        2.948          M
-RA[5]  RCLK  R     9.609         3        2.481          M
-RA[5]  nCRAS F    11.870         3        3.010          M
-RA[6]  RCLK  R    10.001         3        2.579          M
-RA[6]  nCRAS F    12.947         3        3.292          M
-RA[7]  RCLK  R    10.255         3        2.652          M
-RA[7]  nCRAS F    12.177         3        3.089          M
-RA[8]  RCLK  R     8.896         3        2.316          M
-RA[8]  nCRAS F    11.417         3        2.920          M
-RA[9]  RCLK  R     8.766         3        2.284          M
-RA[9]  nCRAS F    11.617         3        2.957          M
-RBA[0] nCRAS F     9.698         3        2.483          M
-RBA[1] nCRAS F    11.425         3        2.916          M
-RCKE   RCLK  R     9.080         3        2.363          M
-RDQMH  RCLK  R     9.475         3        2.443          M
-RDQML  RCLK  R    10.477         3        2.713          M
-RD[0]  nCCAS F    11.252         3        2.942          M
-RD[1]  nCCAS F    11.963         3        3.100          M
-RD[2]  nCCAS F    12.880         3        3.336          M
-RD[3]  nCCAS F    12.422         3        3.224          M
-RD[4]  nCCAS F    11.252         3        2.942          M
-RD[5]  nCCAS F    12.423         3        3.212          M
-RD[6]  nCCAS F    12.979         3        3.375          M
-RD[7]  nCCAS F    12.914         3        3.350          M
-UFMCLK RCLK  R     8.007         3        2.126          M
-UFMSDI RCLK  R     8.007         3        2.126          M
-nRCAS  RCLK  R     8.595         3        2.232          M
-nRCS   RCLK  R     7.429         3        1.949          M
-nRRAS  RCLK  R     8.615         3        2.236          M
-nRWE   RCLK  R     7.429         3        1.949          M
-nUFMCS RCLK  R     9.193         3        2.413          M
-WARNING: you must also run trce with hold speed: 3
-WARNING: you must also run trce with setup speed: M
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_lattice.synproj b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_lattice.synproj deleted file mode 100644 index a0fe340..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_lattice.synproj +++ /dev/null @@ -1,41 +0,0 @@ --a "MachXO" --d LCMXO256C --t TQFP100 --s 3 --frequency 200 --optimization_goal Balanced --bram_utilization 100 --ramstyle Auto --romstyle auto --dsp_utilization 100 --use_dsp 1 --use_carry_chain 1 --carry_chain_length 0 --force_gsr Auto --resource_sharing 1 --propagate_constants 1 --remove_duplicate_regs 1 --mux_style Auto --max_fanout 1000 --fsm_encoding_style Auto --twr_paths 3 --fix_gated_clocks 1 --loop_limit 1950 - - - --use_io_insertion 1 --resolve_mixed_drivers 0 --use_io_reg auto - - --lpf 1 --p "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C" --ver "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v" --top RAM2GS - - --p "C:/lscc/diamond/3.12/ispfpga/mj5g00/data" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C" - --ngd "RAM2GS_LCMXO256C_impl1.ngd" - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.asd b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.asd deleted file mode 100644 index c70636c..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.asd +++ /dev/null @@ -1,13 +0,0 @@ -[ActiveSupport MAP] -Device = LCMXO256C; -Package = TQFP100; -Performance = 3; -LUTS_avail = 256; -LUTS_used = 129; -FF_avail = 256; -FF_used = 102; -INPUT_LVTTL33 = 26; -OUTPUT_LVTTL33 = 33; -BIDI_LVTTL33 = 8; -IO_avail = 78; -IO_used = 67; diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam deleted file mode 100644 index 816529f..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam +++ /dev/null @@ -1,108 +0,0 @@ -[ START MERGED ] -nCRAS_N_9 nCRAS_c -nCCAS_N_3 nCCAS_c -n2307 Ready -n2306 nFWE_c -PHI2_N_114 PHI2_c -n2302 nRowColSel_N_35 -nRWE_N_172 nRWE_N_173 -UFMSDO_N_74 UFMSDO_c -n1377 nRowColSel_N_34 -RASr2_N_63 RASr2 -[ END MERGED ] -[ START CLIPPED ] -GND_net -VCC_net -FS_577_add_4_14/CO0 -FS_577_add_4_16/CO0 -FS_577_add_4_12/CO0 -FS_577_add_4_2/CO0 -FS_577_add_4_4/CO0 -FS_577_add_4_6/CO0 -FS_577_add_4_18/CO1 -FS_577_add_4_18/CO0 -FS_577_add_4_8/CO0 -FS_577_add_4_10/CO0 -[ END CLIPPED ] -[ START DESIGN PREFS ] -SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Mon Aug 16 21:32:26 2021 - -SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; -LOCATE COMP "RD[7]" SITE "71" ; -LOCATE COMP "RD[6]" SITE "70" ; -LOCATE COMP "RD[5]" SITE "69" ; -LOCATE COMP "RD[4]" SITE "68" ; -LOCATE COMP "RD[3]" SITE "67" ; -LOCATE COMP "RD[2]" SITE "66" ; -LOCATE COMP "RD[1]" SITE "65" ; -LOCATE COMP "RD[0]" SITE "64" ; -LOCATE COMP "Dout[7]" SITE "3" ; -LOCATE COMP "Dout[6]" SITE "2" ; -LOCATE COMP "Dout[5]" SITE "5" ; -LOCATE COMP "Dout[4]" SITE "4" ; -LOCATE COMP "Dout[3]" SITE "6" ; -LOCATE COMP "Dout[2]" SITE "8" ; -LOCATE COMP "Dout[1]" SITE "7" ; -LOCATE COMP "Dout[0]" SITE "1" ; -LOCATE COMP "LED" SITE "57" ; -LOCATE COMP "RBA[1]" SITE "83" ; -LOCATE COMP "RBA[0]" SITE "63" ; -LOCATE COMP "RA[11]" SITE "79" ; -LOCATE COMP "RA[10]" SITE "87" ; -LOCATE COMP "RA[9]" SITE "85" ; -LOCATE COMP "RA[8]" SITE "96" ; -LOCATE COMP "RA[7]" SITE "100" ; -LOCATE COMP "RA[6]" SITE "91" ; -LOCATE COMP "RA[5]" SITE "95" ; -LOCATE COMP "RA[4]" SITE "99" ; -LOCATE COMP "RA[3]" SITE "97" ; -LOCATE COMP "RA[2]" SITE "94" ; -LOCATE COMP "RA[1]" SITE "89" ; -LOCATE COMP "RA[0]" SITE "98" ; -LOCATE COMP "nRCS" SITE "77" ; -LOCATE COMP "RCKE" SITE "82" ; -LOCATE COMP "nRWE" SITE "72" ; -LOCATE COMP "nRRAS" SITE "73" ; -LOCATE COMP "nRCAS" SITE "78" ; -LOCATE COMP "RDQMH" SITE "76" ; -LOCATE COMP "RDQML" SITE "61" ; -LOCATE COMP "nUFMCS" SITE "53" ; -LOCATE COMP "UFMCLK" SITE "58" ; -LOCATE COMP "UFMSDI" SITE "56" ; -LOCATE COMP "PHI2" SITE "39" ; -LOCATE COMP "MAin[9]" SITE "51" ; -LOCATE COMP "MAin[8]" SITE "50" ; -LOCATE COMP "MAin[7]" SITE "44" ; -LOCATE COMP "MAin[6]" SITE "49" ; -LOCATE COMP "MAin[5]" SITE "45" ; -LOCATE COMP "MAin[4]" SITE "46" ; -LOCATE COMP "MAin[3]" SITE "47" ; -LOCATE COMP "MAin[2]" SITE "37" ; -LOCATE COMP "MAin[1]" SITE "38" ; -LOCATE COMP "MAin[0]" SITE "23" ; -LOCATE COMP "CROW[1]" SITE "34" ; -LOCATE COMP "CROW[0]" SITE "32" ; -LOCATE COMP "Din[7]" SITE "19" ; -LOCATE COMP "Din[6]" SITE "20" ; -LOCATE COMP "Din[5]" SITE "17" ; -LOCATE COMP "Din[4]" SITE "18" ; -LOCATE COMP "Din[3]" SITE "16" ; -LOCATE COMP "Din[2]" SITE "14" ; -LOCATE COMP "Din[1]" SITE "15" ; -LOCATE COMP "Din[0]" SITE "21" ; -LOCATE COMP "nCCAS" SITE "27" ; -LOCATE COMP "nCRAS" SITE "43" ; -LOCATE COMP "nFWE" SITE "22" ; -LOCATE COMP "RCLK" SITE "86" ; -LOCATE COMP "UFMSDO" SITE "55" ; -PERIOD NET "PHI2_c" 350.000000 ns ; -USE PRIMARY NET "RCLK_c" ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -USE PRIMARY NET "PHI2_c" ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -USE PRIMARY NET "nCCAS_c" ; -PERIOD NET "RCLK_c" 16.000000 ns ; -USE PRIMARY NET "nCRAS_c" ; -SCHEMATIC END ; -[ END DESIGN PREFS ] diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.hrr b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.hrr deleted file mode 100644 index 5a900d5..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.hrr +++ /dev/null @@ -1,10 +0,0 @@ ---------------------------------------------------- -Report for cell RAM2GS - Instance path: RAM2GS - Cell usage: - cell count Res Usage(%) - SLIC 65.00 100.0 - LUT4 111.00 100.0 - IOBUF 67 100.0 - PFUREG 102 100.0 - RIPPLE 9 100.0 diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.ncd b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.ncd deleted file mode 100644 index 81a1185f6f37cb7a88c7f60990b2114a5c7fe912..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 104196 zcmeHw2Yg+{mHv~fWE-1eim9TzP+pau1O}uh$rhF@`$;Zf;9;-<0hS3d0YXBY-g_gx zH`06W$tFuS*<_RKZnh`c>}EGhlFe>5Te9o_nRD)(Z)WaX$p$}u;?MJsBXrN5Id|rp zZ_b=4_v-lLfBoyW;wSFO&CSiN_l*|UjpufrbkzNAxwbtwS8mMbb0f9U?PJACS1mWO zd1zCCG^HH|o_$DDy^}3$>iKEz}mHX-h`C zFicxE+6AGuVzl!^ZI{u`3$@)wO9;_Vr@U38ofB$%j8@8v3UhJWgQUf1g^OI_uo6YK z5LTkd6%H#=gy@#JB|>z|+!7(WWv+0DZkeAJqFd$)hv1aC z!XY?iu5iePGFLcNUaqi|7Zr~3yuuX@D^cMJhn1*sg~LizqAgr;S{OxeIu(>opMuiq zQcxy6YQFZqjeVnoi4Pg=o19wN)0 zbN$-~=<(gL>b6*@xcKI3GAism26h9L^@r&*C{=O*b#6f1? z7S_}$bBwa$GTSq63u`iO3u`iO3v0aFPQ9gBGV3i4HnV8rX|r!LC$n!$SM-YJP*R+5 zcJApx6}Oz7n?YSzABeJD{B-7BhSa<3ASy)8L{vPum)WVac=F70Ft&rEg{4X1D^6e&opbV57EayfNChsWCJ>GH9Z&qwc!vuEwp8-`#lp zvO6@u&4l}1;eDnT?pMP5+>*Fo4)1eQ;(jT-&uxkO#qd5iChjKy zDD+Z)KwJ&-%P@reAwDt=EiryP4v-8)PJ$32iLIRkAw&{pI|)LJB;s}wgdj=q?IZ|M zk~rK+5b{JqawkCw2gZ5+RIS!G?vt1aD%QIqOMIWp9buJ8x=e+aR074Q{o$ zBZC8hUdT$LUYzYR>@C*&VI^dSu%u4erMHAI$jIj;NLdiNs)ew}$_!$$-Vf;}a|zr} zz*4$$Z*#y5$t2?+@~7@Iq_`h)O(q?g`nRnWTCf;jGBZpU zMaIU6J_7<73M1(=AdrzSlAa+@z49PVNEzQWwGu%lb`gCB3du5B3LP20B6c!Rknt*# zUb;d*JPq?w#-}+cwa_3@D+KY*C!;)ETvx!6ijK8Cuey z$jB1WXHX#SWn?f(Talq8qR*f}SB5W;F(hIq1B5QGnA&)rFI27P3RUZQfyLv)>v&eU zX-nPA8W(P@)f!W~_uYQho1b;zg`{|x|Jo+Wz}$(kO$X?ovDLQI{2-Y_VzwUUk(gD^ z1tbee+DSS{7LhC_SweCI$x@PKBuA1QMRGLBF(k`LjwLaBH^-BlK(d15M3R$8P9`~p z!m&L&w&vWjFi$r_ULNX{p@fW!ciCn=B=Nz7(ZnWRF}Mbb@D zCFvpQC0R>y3CX1-myz_5^pn&`21o`;hDg?ttS1>JxtwGJ$q30P$wrb*B%4X< zO0tJ!isUwu+esczvXA5rk|&VdNpcs--6T&WxrgLQBu^%}m*goV`$?Wk@-&jClRSgu znIz95c{a&&NS;gbJd)>=yny6|BrhU)G096vUP|&Zl9!Xbg5;GXuOfLh$$ccRA$cvy z>quTt@&=MOlDvuJ%_MIjc`M1=NZwBJ4w840yo==BB<~@)pX9wH?<09X$p=V2Nb(_) z50iX^%NNWMt&C6X_be1+tzBwr)>I>{f9 ze1qhhB!5Wq0LiyV{)pt;B;O(VW0LQZe2?T$NWM?<1Ck$-{3*$wk^DKyk4XN4?k|!yU6iG@XWs(X>7fCls zm86HHm*gUni%Hg!Ttadw$z>#cB>f~ck^z!Ik|C0HBqxFA*+sIO_Aho?6z?`$h9hfIP$uClB<*7Dw;f%6fhll*GVHTmJJMWPD@J z4c}S-S*AI^%#Jx9w7{H?nv*N@IX-TIIlmA`O&0Q%N~6@c^^SeSMEdFDG+9jU?c8;9 z!!OCQzJc*OuG=%YZ{O}+jZ)ReYQdg-)EGaaVT=WJt`!_(-F|ObOoc3!8^x5x_Q_JD z&_~qv>>8ZfdEKqM8>Mcaza3M>QnmZ4Je^Uo|H!rG$d%>h^=}z6%}TC~{uwPfH`SiA zpDy^{GKJ*!-M2MLJ`;|lM?3YX`d}YRcc-eOgX;!2HijG3a-{4hOctu8qExkE9#H6! z1~AXw&jp|#OC3X{s^8oT_7qY$EuSnTCKr*yjZ#_3smm{02d#SDPCcOFGhbQ6jn9o@_(Fr1@`VO7`e(E> zoT>Jr{dB?q&?3?!(p|43X^n2bH997X#Rw+twrFxF@Vu2Jgo`}(okBapS|CpnsEU9}uEv@ZHhXQ+{<2K5_-7*H;CM{0|fWXENy zyWjjU{}$UGR_gKl<}v~FIv#REM|bR0(U47VMjqNhOSFCCR7l_K{K-O3iEG1;H%(4a zM{eG_z6pv_avS&45B}F~mUyXm`AxBm8V;}(eB1CfhOtHeCY6VlbE!N&)CA@D?L=9> z?->``mH2W~n*CfP`mtzuyfHLBN|B3SF6e#pN1N5h=p}n$oGiNx0wqF#k?4n|qC(#JlA4jmc!BGB@{|ALifEsrueKYkO}U-+e1t4~7-n zEqKTYLZIa_-WrSh%@6ahU9g$-$x2vOtBId8srH*6=AY?=To-e(-~2HDtdSJAtKF5z zm}ab(qk~;i7#}~Ayb@~&x=}9XBM-3U3D{&@9eMbQ?{18hY7F&u^7QGtE|R5vayY*0 zFrB2p1!qW1C)rD4JIU03R@0BAiEroeq`;KqTPDZjNNkSV_OqIPEcIK_sP~&6<{vy0 z`FslGQp$&xSgXwz`9j09^p5ymA=>2gMgPvG4PR)m+gMxtwtP8f)2O5*UBNClY zV$&I-Vb!v!V!oQsa7+uyfAlpb{Z>wtK7j4i^l8!uEfO2B+D-4G&K2Nf&W&(<;aAO! z2@bvya1G<5<>_#iK0(wdRNe?|xE8>O8z&H+)CpbRaGzb)cY|pRRt5S>mlpL^!&z)?cS!+JAgIoi`#k z+vV5RxP_ilq>0k;WemfFVSarQrO%P2wl}dG^Bq>uSWz!!B6sTZ6~9s)Ms50=UxIYu zW9}lID%SlL_V1j!B^+QArQgfb_G0T3=~Pty6giUz1pQfx;`am-$H{I-?=nJQI{6xYzGi&iftv}QaPU>Xlimm0q|f{57Xg0{=Y?{7HY;(^w>fcuJS_W# z5X%AVNbJ{85(k(gu@9dl4gg1DzilLOfHo5Q4KIlUsFB!@y(Dpf^FkW14b44}`M9jh z?heyAO=s{QzkrX&`fZ^}{fBeX;Mp|Lh|$ohIaC{3O_GLIDQRGp)}z>J*aiD`^!PSy zLVw(xPX}(R@r1r7F$n`FU@iJAGzkL^+Jrs>O~QbGHlfcxlQ7_(P3SYvBn)_G6Z)(( z2?NgAgnr|gghAWbgnrYQgkj6@f@DZGIf?4BP1eR!>C8%vM^jngOQqxEsII+a;WA7( zpVu=zi^-B}BqhzK{giwTHPv&-lbPeG-qVe0B%YGCj-4^YE@p*~x93;Aw8``?l~(k= z-DDj-me!nbb?vsBSyigA^NiL@w}@vOWU=A*vxV}0gx`UX*zaqSIOuIi?DscG9P~IO z_WPV94tgCD`~6N52R#po{k|uOgWiY4e*criK@UV?A5uvi^g<-|`=KNbdLk10eNhqz zy>XFwlZQQJU|HVq30hDS2bMW;V7bHW(HUy^4Y+{c4D#)2Qos2)Y0!F_)Neda8nm4z z^_z~91}&#a{f6VDLAz;Ezu7ox&}y30hnABDZKg?mU^!`sE59<6>YT}&G;S~M;8$c~ zDQRx!_2YK)%1kPuyh!6(B%eI8A)St27I4$ap50g~r9Cq5rhNuo{GP=7_rL?=Bg3`9 zMn1=f4u|-P4kppRxs>n|^}%(cV*`V|LqnbX|5|NJv6GaA-ZRHGnqTt#%jQDw=FZJ| z`mt`j-a9Zn-q%l&PA64jbj$W$`d?>l(EL{D92u{hU-F&we`C0B{ECe=qaE95^m4m% zs5aJH8>9aX8zud(b6~h&ejP8+Z+ZSL-)Rcd+dH0Lo4@OZojZ4)H(p47Gbb4rCPkNu z%`*>^U*~Kn*k6)b&odP=u*}JsUzr+hxjCC{y3I+tIdp=6VVX0PXy#INMKyoaluCYI zxFNs6lvb`52ntCu#v!>Om6eNmV?)~$>+^@UBeTGSG|7Y8EntVT+!8K40kR zxnv1I%^vb@RIpLR_DmlOQ9L^F~}VIR}KCr8qesV*~wSaCA|Nqx{}T z#Dx}^NFbs&&=;6haTnUdZ{EaQ=&Qg=HvURnv%RMYOUo^mn!pMyJ)*@@exoVIQhr@5 zi>2yI^Ql0#C|_TQ(eyshl4<&CTpOCUwF^xvahiVV;f?e>lb{*WVVXU$xtZoqbV|JP z@aIoQfv`um2+ObC#R#iocp=S6`O-x^Fpzg(V}pMc{A9kq2G`8j<-*slIA0%Qga2}{ z^q3Y)`GwF3OY_qW{&^YTd9fs10w~I-QetlPC7>l+e=e?>rpW}VyG2tP{f`Gtk89DC z-&Ic2v?$*fi2KWKWvMt?cOq5Qs38v$@>jCsM`abF#cD8ZHI&=xS;KEM#NP!Zow>8MtPao+d2qiL>-WVT3v| zwr;rAxuMY8yS}h?<-N7lEAQ=J-M4C0=Y~9~@@rSFG^)Ne{i{~38Cc~k{F^oZp+fIa z=TM%0WUT)8_L@C_wPy}*+!}5K&^or=3ZP#bV_OI7joM&pLtw@geZu#Y+?)-(c7HNM zoh%j$>U8_m$2vcG>w;*=L8t2r3+g9BUw9^1#VqT=wP9KAXkl3)W4=BE%?5~4L@Oqm zGA%FU>g^?pjt@?(C6S$_hrlu+lG!afj5O=CG&?Oyv(sBNT4PDI zI!dbZTBKr9$xOhFwB%E0<^)O@>37Ko$S8mf@ANoJ*uHDKHX!0Su6fwppAa)+nfjG8 z5OZKnBs@E0|CR*&hjpC1!1C|>DE}^O@y{72UH(-whsg@K!%poaTr-!ZgiG{6l&F)t ze|j!)tYxQ?9P?k+w~F1{p?bE+{E=pt6yD41GC5WDaHo<5OR#*DV8s@}90%tHVud`j z#Z1IQKZf1p^gY@2Fn-bZaL>mzv+_J#^K*_!SeY^OzH53`nt?VMVvVOu23%(#uC10a z_#u+?u=>2RrDQ2e$x4fo8I&x_hjn9=lsj0Lb6ur;MmI%C`re*Wlqq>Wu9=dn5=v$) ziF|nmO7^ema|r0Hkl0|5m}*wx3?*r`j*h<$tWB0o%!yna#GP@uB=n>+%wPG0Y42#E z^I#^j-IlCf30Y~asE2>$1-fdBtW4JSw3F0Ri)kk*pID9skKOW}+QjKDagN-oxzMxj z0d1Ma&V8owak$SrC5sbUXT*}Pn4Z?r6_{BOO0Km`y*TPtFKIE=2_?OeKKZV7WG>T_ z8D)MRtYX$ZNmy0RI5PX5=~-nKj_tb3%4F88lV%>-4|(F8nynnhh2zUC)h>-vt*=Ej zrrLaViZ0cP@<(ps<8<=ncJh5XE;Icu!!=WFIj)U^Yg?31FC%n(=k(N*&@tI0b4YmD zBF4kWyPD-)f0TCvE#75Bj`Uelf8W-P54$rLY^uDSmWmwdi{Z*pX5?MC<{|fm!btjx zRCJ2{u^AZIzlQcV)E%zmMa4BWY}Y=79knn-BvLkEvOQ$^IT+>Vx)wjP{9^KlM0*8lkjZ#YLdJ}Z z->*(j#)NwJ8t&0hZTzDlpqWA2%YdhxhLR9}$uu2(IrLKq%^>TDCF_PLSw~xBWwNG^ z9EgLAxxr;{d&UjYpGi=+$jm!Om`9%hj1I=Bm-&JNRJ3Ww?vB?LY5y)+`!*-2))4*f z9mwLBcY;dZ1Q?CH3Xr+9NUsr_gIF|DdoNVa=n}b>$@!_VD9bjrSmwAyHx!h=ffk>h zB!3htoSw|N0(|3PxQ1)yUq7zd;~t;zF=PMb+tYhO8w^?=@@&~`${2S@Q|9P;qVN3l z4gY2>ERwSwEyym;jBKUl#Jcr~n-jK@Zi0X1CC2dxvohw>EsN?fy#Jp$Lv@iTDE9AB7lJYzNJy%`)&PN>ojj#>P ziGxHm>O}$AR!lzWb5JMyBP&FQ>p1^amU%m(%)7e9JjXq{>?$PeN)OcXS9vkMySe0L z==30dIylIjJO95UVSXedkhE_<(YP$LcRN5kZw>H`hj<=Hzkb%7 zb@b~jId?|Md3}qV8RRTxu4&3&V~&%vqK7X0Sg$W zNxGZ)S6)P#Y!NfVW#R*R=_phFn!Gqo-!)zWDl#>%!8KEJBd*ycFG;AH@ov`prl+dM zP8(Zd5|p@2`ro0wW*Uh!57(6ER!hfQqJDBui;j+;bXSCm@@bsdqEDHb6k#L%)oW#^ zVI%z&ZM|kTmie#RT__x+Kf@RuuI2N%sr4RShvfHXoHH4;A4xmlW+SrJXp6oGzcH7ZHnx2X4bL6^Xk0T9 zwk2IXWB*}>$SAs$Au~w(i31vIX5N>Ge@sLDMe3_?nOngReRG1MpvT*x==Owtq`QNE z<;ZAXYbfHOXklvqp-|A%E)p8K)GSQ9Rjg|yp8ui`cIY+xuMN0n+P)FjJl4G-p>f7$ z#n-2gnj%}pYgX#9uEP@Mr@w6291h=UIs620_-=6ct`>)x!|5&Jf~<&`pee>-=Y{0( zCBLG&-tbOWawph&%bf=HGP|9F5zOwl;y$zcEeX3b_CUTeJ-cQ3&W_T_0kKD+d%nj~ z`-!0TlR@n#wW#e(TzwDBUN(!``n|32EW>KhkZHOP*G$uQ;+kptj)bNe+aiB3Jx%p< zH5yPpda3#pOVxWp)u)20`&(4a^uoIO7xTi-N4r8_D<4IS&4#NPUbqPQGQFRGYo__vdCfN`6SIiBGpo ze;SznOfdZ!Ev7p$v%B|PU{1*zjp4HAu;pAi9JZSgXMHq8=96cag06oK8F3A@nrlKJu}9p`ct@OT7NR3b;efDcc-UybV0*YQvQd#p7Drp z`8?l}_IV)f3qjf!v`FiOBkloUW?0+}cQM;+yy0eY;^{C*sj|WJYm(bRVh%+fhilHU z2-p1Bxe3WLHi;gXiR2uFBri(ZiJ?7#^l;hz$j2Zrw)B1x=>1aA`z0-UJM{MB40XGa zXj{%N#UqrW9Gj256W5h2>4me&tHEK8PdaeT9RDD$nd2W2j#o1_p}sXe$C=^YO!Bbq z+CTCnf4L?3%Rus1g5x3EF)sKL=E0Duf2jH zWuivTao}aC*nE(enGq_x9$CItmO6fv3tuw53Z!KczZ=&~;x{EE&e%fy{!Gz>^A-!S znf$H!{)t0p^9>a96=wU1sKdC}5uTAfoVzUVvW$Kw82uhF`rR!?JB)VM;-)#aQ3=*Q zTsl`XjwN-2t~|ZF8`n(d1-NE9U!Kr8W6N;HU|9ZGP>RNMpxbi$k=r$F^2F}Fmg4t= z;_nB=-`AozQ#^8TDfx4NCa6rG%ya($QMeji$vn7JNxp6o9$Z=nel!2qCBL#vbDg2bVM+!8#sPhc||9YCQ5mOYIMU+8+kBKh&bOFvez*7rfr_GWzF zf{r14)N=JB30L{ZxdeT5`(rJxGFM}N@KTQ%g??6czU@|_OVb`ES!~&@7er*Dz6jS$ z)TboG%-8{(;WaTQez456+kc1lTJ3aO*@u;vpRm0Ac$Al)Z1IwL8GAECXwaDUQ8bQ!+H&wy7{@=0ar`qa4rYa@@_80n zlTD4|g=r2wZOWs?&UK zl~sphein7I={P_?XmF4#560{ve0=cpmi?at`@abGf1$;GCyI3k`GPJ&r1y~vdMGaa zRkwouj%GLudjTlSo#1o0W{Mw$Yo_>-3B@zEu9@T?Pe`7zS@(^Z z{kVM#5X;4OPlVTy6S^O!UFT-57JuE+_-ml?H$dY*XwlfAvAbIAM9SeDu8Z&4^KNdY ztPQ+e9~jDRdIzqV!R@$a26rS3&e*{F(hLkvyJ^)tdZ_z{mb%{rb-xAbKG33WR)}43 zco>G*Mf(SX(gBEj@IK^xPZDB>^TsEGxa^wG#x)arEv}i^UrmUev8nf%AojN{vHu9f z{$mjPJ1t^o5nH&MF?Xym;bZ|V#d`UYn4LVj@k&wRmDKF9Z6T=3)b7MJKj{m&W@>*X zp?1aw-wa;@4Gt+kv?aJ{k0Kw|HMs9t4u3b{u)j9^CoK*$htq4rMcJx|Gtmny+<9Zw zSr_qQ_MA+z*MTr*3bn6NTq+iiwFA>&28c6r$QhS;Oz)Wi=gU%wBZ`={`^KWy>U ziGyADSimtg5|ij6G2_3_DQ&AYJUaSOzkfx z)Xvzt`{DHSJpXGhHe@)A9(kIDe{HU98I+Fuv#_H%mj zCm)RyPwu(v+-=}2bK3c{HO%QXxX+w^k8rw>&)6%R;iM1KSVIl_h37|x)Caj+(s?ka zC;rYd_;11BpMb$XZZSBM!MaAAW^kbt?2@=qayRoY6>#Kk07DIfb6arD41PbZ*~0tk z13S8}=8rA5Z5kfSZK{|0`O0*R7jNnt7#Qy5pPj>F{ac2}OK#dSGGacAxpu?g;HJU) zdBbCki7Pe@t{vGrF)>mqoi|V)-a5FpeBSuT;I?|-#NgWTk+E%^1AP;Hqg%GKt+CFD z`mnv2Fed3S6Kl^LA6{qf3~$`pD+xM>Hx3Mrn3TgC>EXmhItR$5k*`C}=Q2@2b3e_^ zCB6CW?{f>2XGxj`VhR^zUM||Lb-7$LG`xLqfS%WPg(z~lISkg~GtVa5XKxzbI6Pn= zy^xO^x{V?894QUq+2!L|V{?x#Jb$Km{zKF8OvfAr`L47ATJZrLcoYKqj|%9|n+|Bi zmB?Q(P(aHbpyj?tA)x=Hfc|sS0WGEv7|RI_1+?S?`e<~azfeH`rRji{B7pL6rGOSa zKr4?%hCZl({<7(SmLq`jc&~sKd_W(K4E>b?`s=0xT8RM40h0on_W^x0j`IJifc~cG zfObUy<@i73fccP9kLT6kU2pzkCW)I5_SjcGYq}fX|Cq*E0!eEBXi`zzuvoOeL zYg5SPG##>&Bak_P?#Q5cu4Hkr8=dmDLy5@>U5CCNc+f#v8~qs|S^aC9*L1u(BY5#5 zt~j!Kf8P$TQ%;m;VSv##UlCi-bi_`NAm&8=1~IQs)+@7curx=c5{|Vu9kDYah&h3O zohasW%vm3qHIBcHzI2%&wy5cdof$#QiTe#=9>*qTV?bsOI3wYFzm>t19natu&NXC6JXxP z3u zU6Q^mngDiO(*au*0n7>Z2{3P^XJR(4C$`ZS0TaMZXgXl4BY?Tle%}snooAvr8$;I> z3fPHF2W(9QFgMum+u^P9Ow7hb{x&+wm@w?*rUQ0f1h631_ri+VxL)0MiUM|O(*Zj_ z0+=7_`;mTeHqO84Pa!4@>ufq;7eoMaBK@g)ZJdWiS>lx+8eG zfqrd+MD__@<=N=+>D8D7ud|wtS5F$R9w*M9s&8}gs?SP~Pw#Rjc%9R9ym}*eIbr@( zz24_`$oi}d!p>E|RyG~5iz0wIVLmx953q>}7O7{?%zKprwz}znT^s?-4f6*!kFIxI zetlNXyy>ic(k0JpI$&!ffVp8l0XE_S)-@YF9kELzh&ge-0c>Q0%dzg+$T4~eEa6zO>4;qxLCgvC39<2kVGps{7`c`d zv2xQ9>x&@fMEaZ756ic(By#O{_CWfmRkPpph#j^&=yx;PDvCf?(-Fu;5O8Am(Z0zk ze_x~C1IN@%5a<)?Nyn)+9fYDkMv+p(o7JB6@<&14#J`c2u{RUtB-B-5A^jrdwk6V zVS|D&(sU3Or$Hz<5hH`(F`?htRcR&&qYA>trh~8~0)i7U4$#!W3m8YbG2d(^24jlB zrlwMlf*VMT3DCE{-@WHO<6eTrrqvItI%k z7&zgg!N7|aN1TJ<&BTB{XOTpUTbqu-kr51>XwhKc1&bqHkIYiSHpO6j(=j+If`Jn( z8VtNxapVzNNw`8WxU%UO938>Hi4_e7UZ^<%=uFYHhZu4+04$3!r2LPdjt7axpN zADKw;YQ^B1rem-?f`Jn$PSyNv$dN~AWwD_UT-$UAPDw*hbmGLRn!k13Uw&j*uu~zp zuIUh*8iBxx5~qf|(+(rfzE?AS!S#y3uBIb!S_AhxIQF4vqm+YOE_&2oF*$QzN?5BD&6q?(~T6tcdRHi0+(-?%aq@DeUksA4#X| zchZ$2=_(OjS47tn(U}gDU?PK-o z=-|4>#zvvQ4;#kA7F)|hYDQ7-Q!}VkS~Z{<`C(J^Iq|Cb?Q(b%wHSFwzgpDnUFNq9 zlHGid?}&66RW+d5^&vG?u|*1c8`n1~=Jye?*+IYFec-^aPd;$qSKsj*l$wPNH5+@9 zRvqlnhpVbzQvpU*t+jQ7SG_E);))oua2u8zyQg+uckAv(x6zLRC@t%dmf}KdtTt#l zQBJGa{?It_!~C`pFsbJR7gg1#l~BI~6}q#g(w+-mDb+!uqAeX#L8Z2|Mg@)pzgQb+@_NB+ovYe$`&OSzAO^ zD7ua(sI#hS`XC)mkP(B4n#Wk8DjiBtTLl2-bd54BI@wL_IB9Us;DvqhO+I zsR|Y9w&sxvDn)`JpDLUGZ5FIe%%XLQv@^=VdD-ul%&&FHZ`_Q!PqdZ#T~tk*4=OfE zQz{OkCSXv9Ty!3d5&*JNIq=%XAbaaAV(}2N+}6!#FaW0LxR0tTpI~2AD8-pd3*~@P4Ca+7;FAId_o}VM?Y|ZL(X^4~ z3iv}y2;A7)kXv0@q-lbOo7~*8+a{VQ0%?4PwLSC7@8koXnwdY&M)yH z197bwSV;PN1&E(~%mYFxgu-hTQPT5mN%15l3sNappi){u#YNm!ACMaEL=E-1sNs5w zaPQ0I7JWM1+_c=q4(oa`uz<{}w}dl*o7Lu06;N0I01#A#RO*)i0@tP1UpN2X1*lOF zeX0&b$gBmyQ=w8?YC$lZs0y~UY$z_5ZK_b};s|&*a8@q_=ccOAxz!5ecU-q;a^Jq) zyU0-%i#+MLQEV9}uk+N1EobD^zUAo9xfCQWa^HYH(p=vp4>QhQO9_Ig3h1aRfTC`C z6-QW;z(TtaOzP4A(B*O^{)H{v3}Q33ZcseQ0dcy-Z4dGt?j*aeD|&9 z$+yVEmeQ|kHdb7PQBxr_(%uS(q$;G+@*tI}kV?BbFo#Ncdy#b+NClOq!VsaQ#|RNo zX-006dLKD_Zjf8iq*{9f%{y|r#Wb^wjT&Z($ewbKSTLSa=Yv35Rp_faQvpww+d5+i z(GVUm=%0Lda|Ng9a2T&0Oo4DZg+cwaCs^p2NkWs6T?xF#J(`M*ZN)1(^IvN%tPEg=rU!zX6 zp)v=Es|u+uwNOB18aiiQpyx*5j`uV)f>til2zV0PsO{P1g>z3AD~8rOqeTm-3P9>$ z0~H%K>!RGzo)NK#a;ZZ=_7iP&G1{<2+4i&JV38~ROc>XKz_^Z$@FN-mK*h#sY~+#k zSz^$18XZzle^p^@RD)<7UDypOK+oWg`Rv#;v=ieQsw!ASKxtJ0H-VjoHm=BXFN-C`}QmwI^1=)4A7O4aS@gn!3-dZ-aiK-k>69j4dMS|Pcpjk9| zq{1lC^|B0C{A_v|&RMM?KUWlo{#rahJ0Oav#0Gpy?4ZhKnUI~+>riR6h*iEmDc{*| zNtbV`r;Dc`uNMPmMHt;c8^#!T8SSgGfeAa8>lf`=4;r(ottHyLryUUOicOw&P_!pJ z+tUt-c1f3~T_@VbdpxaK0i}}33aFMrTC}H|hm|(~8&i+{wjMgA9Hy0Dax&+<$XLeg z)fnMV*q9iv!Z5!Prqto1;Z&987VXUlx84-70B-G~<@+CJOq`Nnvx^JzW|%q2_7D_A zRbfH=5RTD#3oL10!?327f^dyPU!r|3_g3WB#Hi491o(Xf?adKri^=r;ZLpv_l&FiU z!n#cL$hOowBH2-ZEws@@@mOqW%gp}=H=4#Rus41u3~5x1a;PdShnO)?yenb=CDEB# zdL$}x7ksy5H={u*-KOdlFjDK{a^Mxr0LnFb4+^Ad(YC4z3MAVjT%`NOfQdYxWVT1B zqjj2=)UKm8FpTJkivdB2g;7g)Oz?2^>j*q9u{a>30Xj#ccZmn!_o9Xx@Sx4Kbnv8{ z-Mltux5C~B3s0bB&>y8DFpYnMGSKJot&R7?hNectk4qou_Fjj z6ZPOLJgBh~rEXdGGC=^SG?kta0&{eXK%JCwXb@E)W0!3ZJnc-ycgHksW zLEI^I`{m|%-7uzVMWE7(K!u8Eb(L8N0=3kr8%nJixzsdmu5#b`5ZY9Wg1(~`0S#xT zDJJLsu;ex?iaPtlc&sYqR`&vxhL5PO&N|Vzl2R86yejq)d9LvxnjQT?9|CAJRbbH{ zDYz9s3PjWp5Wzye9y{Zr$(d^z{62=#J>87N2M#=Vo5uq+0(xoWAJB^?8dK(swjPl^OxeF)$O|b+gm_BxL8mP~_qIQ)1l2X}TPTMo{AzuC>!ZrBfBC)KfwQW@v-vwV~ga9v&gKy6P(e^!3bpB47>f$A-m4&kzG|G<wP zz=-Ame^nJY4BAOA^LpbKk^OW1l z(*ClTFs@M3qZ-ONwCas!oIY^i$KObg)26xD2YvDO5^(^g=Eo zJ%g8VzJWT=x4H2QRl%T6Km&uc+bbdeH&JH|iz9Ugid>##-Hpe|KNJgQe7;3Sq9459 z8;LZEfSc9>0Vt`e0B_Y+5vlm;b@Odc83rFf@zntO{B8*sOV5bVu)=_T3xMkS1gfw5 zBPak=>J+t1BT}YsqfA-@l<5ZP8o&Qqx=j5$r*4sU{tis^S`g6Ax~zy|aT$mu?7IFK zl~PJzJWv(dR9TWX$iwh=VQ^l$II2Q!H5d%TR6-tlzZWy8fWn}v)L`iRY2wRc;Gc*^ z!$QnnG`p(M4b&TeZ|dif3M#e5P*ccc{39J+q<`O+XPf;AjX%&@+Rb5=O-Ozd>&WQ$ z16a{4ppB|Re`p$={m&02zwti{(~YDmG}3CD3aXy8ipy#q!ei{8%0o=3F~_DwRaFIx zXWLX@8=k9}>T`jSs*q8O!W{ZD)VJL}1T0q-vg>mr4@vV>8s*Pp579vi4^fpq1QxX> zY3??5?z*|bUF=8lAj2U|kJi^w7i3j1crg8|Si2~^XctwfMa*BcsSu8!HkwgOhg4h? zI*3wb@AKEFiIUFQt<$okcIzmHWCK4D118!)z@h+aM{oib-MDmgQmA54V#A-JP&$so z&#MZJ#@W-MAxBhyA2X%})CJ}sG?Ym^ch#SX0W*nzqDan(b@G7*(}5MK zbix3xsS4a#Yx@i0|I5-UL68o=%CPniQfRY)^;9YJkALA6T75Jgq;nzkLM=3WggV)n zN{Oe_5rh$3LVa`S=TgAsq}BNxe3Py`I2_TrH`-luqQu8qXn?6MPou=DLSyL63ox%p z+d9drP>3_E3K^B6PVsdu5M@<#on#s=I657t&{o>loMalmI69pJqVBp<=Oh#M6pLkM z{6C?~Xyx2vS&9pcvH{%#HREK7hoE1;ly)_EN>zp9WV$c2wSN(7#@T2`fwd*JA<&o6 zHFe@HRi(2^>>VCN`E*|n7F89NPuv5KY`+u>CYHHJdfM+#^Lm;#Ji0>3mJ|T03XH@e zuy!jhycyp)jQX`a+7BW$t@?8iPR9|bbkIP5Nf6?Y`(N=0O$mH-5CSnZ6;wJ1K_!o% zF@(p%-^fEuvnpTV@3lt*EF~ahsQ)JR%U_}-8uU8v#3+{VEJ`LPnFhy>4*pk%Ivq5eWKwY~ zC;k>Kah&BSyt4Ktz^;xu028Z}#uvx}$$tP>wFEAyD(q{th9EKX?7XgXDi1qy|DzW(3H zu4z$cRi!#_flI)2{vVi73IO&qEs|){qy&X79t{2$+0mJ_v?tl@=$y6!J&fT0A-i%C z70~Rd3RGn$?*OuE$3u2i1y7d=pZOR+ou;Gzj3+QswxtE9)kIK#UcMjZ37=^Q`U~i4 zMY8}!Ri(&l{uB&ZDe&7iWP+`j!9tgHQ5N-d=uA2+VDL~FQE6=$sOSmKK}|yh`lICh zaMOQu6)^H}CoIr1;_3RR055aw(h#2h;JE^&^+AiND##uz`J3UbZ2>HuYa0%hDpnPC zK3a0*>MlffU8zHMZ840u^i2JNgn52D3}{aWUh4V6n%GSdK4wLKb6VlhFb<{A05+%u z9Y*To<)OAk$giOxCeFIv6f``)0K?6(82L3ZczX>|;hFJM0uth|jU{5ku=dGGi1TxA zJk!AoJW*!{cdYftaHd5!iX*)YQjrSd$Is!+h za{x!DUDnZ2RcL9`Y(3Eb=}+V;Nwr&n=~|TlA<9mkjgKt0Er$h74w`M2Wz=8(*s#Vw zXyhD5F(E$vEmbXw4o&XdLuY|OVR$)~DRTlW=+qg_t>6avlSOhfX0@#l3&v62p3ALx zSE{|WCBbC13*UW_r2x7BS#{4cV2+DE;vkJj8DN^kiJV z-V~q{7Sx>szR84i=SN6q+$#_3r^AGHMUbUz3lTuT?v?YOf&7{n7;L5WZE``eLs(N? z{5ntDxiFv+CJKPzUn4F?VXB+I{nEBFmRpmmLAWKGoBjGKeA6YZEo&2=f`sEgVbQUgM z7IgjuuhDP3MlL63d;OuxSYY;b!Gg}HP=;D!fzJV_EwJnCj#*IP2E>A#8BSZ^{!@ho zT@yeV2E~G$FHT$F{@W9?pk**;kqHMmi=4K=ZP5!08UdmV=G-z_kaNqvg=Dbh4snqf zF^fO+R(rLaB8B>8^kyBcf!X6$hKtG%>PJyEKTy^DL8~ML z;2u^(Q6ER^%^j(tA_~-)N#`>tmo^|&K$RbqEEk#u3N?wMs>z_JTvhJKgQzOf>)uehT8+Mq%CIX{pTSqu!U(l$X3f@o&>{B-voHZGa8q{f!Ow{%T``*f{&B zs15F0Bd}roKiLrfuQo;!8()vwU{^8<8)gI`8!`f@jZv|2R^&5DR2TM~8)3tY0c1nQ z0JX7EY@G1kXgN4c8G{Wo3XlyM1=Plv*jTYIZDU~X9s6Y3y$M#MXhWSE2Vd* zt#E|S_1O$7+7&R(*hb&nB)DS{I-6iv>oB2gf{E?)a=??PF~O}n4inl(VB!jD?5|H_ zf=MrPr+>EDr)L?Ph)~R>sFWmoLY*jsUnM~F~MQzHkeS? zj8Zg+EWeAJNDkp#pQBiIJB%Fjlmp2ZoYiUEM0~lAzIl3oni*zki97xkurS|RaF&*w zuK8NxBZIN-gV~bFpNo5Hoai+K{S3Lk~Rg F{{Z(g1Y`gJ diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html deleted file mode 100644 index e7b8ba5..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html +++ /dev/null @@ -1,425 +0,0 @@ - -Project Summary - - -

-            Lattice Mapping Report File for Design Module 'RAM2GS'
-
-
-
-Design Information
-
-Command line:   map -a MachXO -p LCMXO256C -t TQFP100 -s 3 -oc Commercial
-     RAM2GS_LCMXO256C_impl1.ngd -o RAM2GS_LCMXO256C_impl1_map.ncd -pr
-     RAM2GS_LCMXO256C_impl1.prf -mp RAM2GS_LCMXO256C_impl1.mrp -lpf C:/Users/Dog
-     /Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.
-     lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_L
-     CMXO256C.lpf -c 0 -gui -msgset
-     C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml 
-Target Vendor:  LATTICE
-Target Device:  LCMXO256CTQFP100
-Target Performance:   3
-Mapper:  mj5g00,  version:  Diamond (64-bit) 3.12.0.240.2
-Mapped on:  08/16/21  21:32:26
-
-
-Design Summary
-   Number of PFU registers:   102 out of   256 (40%)
-   Number of SLICEs:        65 out of   128 (51%)
-      SLICEs as Logic/ROM:     65 out of   128 (51%)
-      SLICEs as RAM:            0 out of    64 (0%)
-      SLICEs as Carry:          9 out of   128 (7%)
-   Number of LUT4s:        129 out of   256 (50%)
-      Number used as logic LUTs:        111
-      Number used as distributed RAM:     0
-      Number used as ripple logic:       18
-      Number used as shift registers:     0
-   Number of external PIOs: 67 out of 78 (86%)
-   Number of GSRs:  0 out of 1 (0%)
-   JTAG used :      No
-   Readback used :  No
-   Oscillator used :  No
-   Startup used :   No
-   Number of TSALL: 0 out of 1 (0%)
-   Notes:-
-      1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of
-     distributed RAMs) + 2*(Number of ripple logic)
-      2. Number of logic LUT4s does not include count of distributed RAM and
-     ripple logic.
-   Number of clocks:  4
-     Net RCLK_c: 39 loads, 39 rising, 0 falling (Driver: PIO RCLK )
-     Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 )
-     Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS )
-     Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS )
-   Number of Clock Enables:  13
-     Net PHI2_N_114_enable_7: 2 loads, 2 LSLICEs
-     Net RCLK_c_enable_6: 1 loads, 1 LSLICEs
-     Net RCLK_c_enable_4: 3 loads, 3 LSLICEs
-     Net RCLK_c_enable_24: 2 loads, 2 LSLICEs
-     Net RCLK_c_enable_3: 1 loads, 1 LSLICEs
-     Net RCLK_c_enable_7: 1 loads, 1 LSLICEs
-     Net RCLK_c_enable_23: 8 loads, 8 LSLICEs
-     Net PHI2_N_114_enable_1: 1 loads, 1 LSLICEs
-     Net PHI2_N_114_enable_8: 1 loads, 1 LSLICEs
-     Net PHI2_N_114_enable_6: 2 loads, 2 LSLICEs
-     Net PHI2_N_114_enable_2: 1 loads, 1 LSLICEs
-
-     Net RCLK_c_enable_25: 1 loads, 1 LSLICEs
-     Net Ready_N_268: 1 loads, 1 LSLICEs
-   Number of LSRs:  9
-     Net RASr2: 1 loads, 1 LSLICEs
-     Net C1Submitted_N_225: 2 loads, 2 LSLICEs
-     Net n2299: 1 loads, 1 LSLICEs
-     Net nRowColSel_N_35: 1 loads, 1 LSLICEs
-     Net nRowColSel_N_34: 1 loads, 1 LSLICEs
-     Net LEDEN_N_88: 1 loads, 1 LSLICEs
-     Net n2291: 2 loads, 2 LSLICEs
-     Net Ready: 7 loads, 7 LSLICEs
-     Net nRWE_N_173: 1 loads, 1 LSLICEs
-   Number of nets driven by tri-state buffers:  0
-   Top 10 highest fanout non-clock nets:
-     Net Ready: 19 loads
-     Net InitReady: 17 loads
-     Net RASr2: 16 loads
-     Net nRowColSel_N_35: 14 loads
-     Net nRowColSel: 13 loads
-     Net Din_c_6: 9 loads
-     Net MAin_c_1: 9 loads
-     Net Din_c_5: 8 loads
-     Net FS_11: 8 loads
-     Net MAin_c_0: 8 loads
-
-
-
-
-   Number of warnings:  0
-   Number of errors:    0
-     
-
-
-
-
-Design Errors/Warnings
-
-   No errors or warnings present.
-
-
-
-IO (PIO) Attributes
-
-+---------------------+-----------+-----------+------------+------------+
-| IO Name             | Direction | Levelmode | IO         | FIXEDDELAY |
-|                     |           |  IO_TYPE  | Register   |            |
-+---------------------+-----------+-----------+------------+------------+
-| RD[7]               | BIDIR     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RD[6]               | BIDIR     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RD[5]               | BIDIR     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RD[4]               | BIDIR     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RD[3]               | BIDIR     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RD[2]               | BIDIR     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-
-| RD[1]               | BIDIR     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RD[0]               | BIDIR     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Dout[7]             | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Dout[6]             | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Dout[5]             | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Dout[4]             | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Dout[3]             | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Dout[2]             | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Dout[1]             | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Dout[0]             | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| LED                 | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RBA[1]              | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RBA[0]              | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[11]              | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[10]              | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[9]               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[8]               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[7]               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[6]               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[5]               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[4]               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[3]               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[2]               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[1]               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RA[0]               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| nRCS                | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RCKE                | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| nRWE                | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-
-| nRRAS               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| nRCAS               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RDQMH               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RDQML               | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| nUFMCS              | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| UFMCLK              | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| UFMSDI              | OUTPUT    | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| PHI2                | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| MAin[9]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| MAin[8]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| MAin[7]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| MAin[6]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| MAin[5]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| MAin[4]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| MAin[3]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| MAin[2]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| MAin[1]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| MAin[0]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| CROW[1]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| CROW[0]             | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Din[7]              | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Din[6]              | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Din[5]              | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Din[4]              | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Din[3]              | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Din[2]              | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Din[1]              | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| Din[0]              | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-
-| nCCAS               | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| nCRAS               | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| nFWE                | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| RCLK                | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-| UFMSDO              | INPUT     | LVTTL33   |            |            |
-+---------------------+-----------+-----------+------------+------------+
-
-
-
-Removed logic
-
-Block i2 undriven or does not drive anything - clipped.
-Block GSR_INST undriven or does not drive anything - clipped.
-Signal PHI2_N_114 was merged into signal PHI2_c
-Signal nCRAS_N_9 was merged into signal nCRAS_c
-Signal nCCAS_N_3 was merged into signal nCCAS_c
-Signal n2302 was merged into signal nRowColSel_N_35
-Signal nRWE_N_172 was merged into signal nRWE_N_173
-Signal n2307 was merged into signal Ready
-Signal RASr2_N_63 was merged into signal RASr2
-Signal n1377 was merged into signal nRowColSel_N_34
-Signal n2306 was merged into signal nFWE_c
-Signal UFMSDO_N_74 was merged into signal UFMSDO_c
-Signal GND_net undriven or does not drive anything - clipped.
-Signal VCC_net undriven or does not drive anything - clipped.
-Signal FS_577_add_4_14/CO0 undriven or does not drive anything - clipped.
-Signal FS_577_add_4_16/CO0 undriven or does not drive anything - clipped.
-Signal FS_577_add_4_12/CO0 undriven or does not drive anything - clipped.
-Signal FS_577_add_4_2/CO0 undriven or does not drive anything - clipped.
-Signal FS_577_add_4_4/CO0 undriven or does not drive anything - clipped.
-Signal FS_577_add_4_6/CO0 undriven or does not drive anything - clipped.
-Signal FS_577_add_4_18/CO1 undriven or does not drive anything - clipped.
-Signal FS_577_add_4_18/CO0 undriven or does not drive anything - clipped.
-Signal FS_577_add_4_8/CO0 undriven or does not drive anything - clipped.
-Signal FS_577_add_4_10/CO0 undriven or does not drive anything - clipped.
-Block i1962 was optimized away.
-Block i1961 was optimized away.
-Block i1963 was optimized away.
-Block i1070_1_lut_rep_25 was optimized away.
-Block nRWE_I_49_1_lut was optimized away.
-Block i604_1_lut_rep_30 was optimized away.
-Block RASr2_I_0_1_lut was optimized away.
-Block i1069_1_lut was optimized away.
-Block i1_1_lut_rep_29 was optimized away.
-Block UFMSDO_I_0_1_lut was optimized away.
-Block i1 was optimized away.
-
-
-
-Run Time and Memory Usage
--------------------------
-
-   Total CPU Time: 0 secs  
-   Total REAL Time: 0 secs  
-   Peak Memory Usage: 29 MB
-
-        
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
-     Copyright (c) 1995 AT&T Corp.   All rights reserved.
-     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
-     Copyright (c) 2001 Agere Systems   All rights reserved.
-     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights
-     reserved.
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html deleted file mode 100644 index 911c6d4..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html +++ /dev/null @@ -1,336 +0,0 @@ - -PAD Specification File - - -
PAD Specification File
-***************************
-
-PART TYPE:        LCMXO256C
-Performance Grade:      3
-PACKAGE:          TQFP100
-Package Status:                     Final          Version 1.19
-
-Mon Aug 16 21:32:33 2021
-
-Pinout by Port Name:
-+-----------+----------+--------------+------+----------------------------------+
-| Port Name | Pin/Bank | Buffer Type  | Site | Properties                       |
-+-----------+----------+--------------+------+----------------------------------+
-| CROW[0]   | 32/1     | LVTTL33_IN   | PB2C | SLEW:FAST                        |
-| CROW[1]   | 34/1     | LVTTL33_IN   | PB2D | SLEW:FAST                        |
-| Din[0]    | 21/1     | LVTTL33_IN   | PL8A | SLEW:FAST                        |
-| Din[1]    | 15/1     | LVTTL33_IN   | PL6A | SLEW:FAST                        |
-| Din[2]    | 14/1     | LVTTL33_IN   | PL5D | SLEW:FAST                        |
-| Din[3]    | 16/1     | LVTTL33_IN   | PL6B | SLEW:FAST                        |
-| Din[4]    | 18/1     | LVTTL33_IN   | PL7B | SLEW:FAST                        |
-| Din[5]    | 17/1     | LVTTL33_IN   | PL7A | SLEW:FAST                        |
-| Din[6]    | 20/1     | LVTTL33_IN   | PL7D | SLEW:FAST                        |
-| Din[7]    | 19/1     | LVTTL33_IN   | PL7C | SLEW:FAST                        |
-| Dout[0]   | 1/1      | LVTTL33_OUT  | PL2A | DRIVE:4mA SLEW:SLOW              |
-| Dout[1]   | 7/1      | LVTTL33_OUT  | PL4A | DRIVE:4mA SLEW:SLOW              |
-| Dout[2]   | 8/1      | LVTTL33_OUT  | PL4B | DRIVE:4mA SLEW:SLOW              |
-| Dout[3]   | 6/1      | LVTTL33_OUT  | PL3D | DRIVE:4mA SLEW:SLOW              |
-| Dout[4]   | 4/1      | LVTTL33_OUT  | PL3B | DRIVE:4mA SLEW:SLOW              |
-| Dout[5]   | 5/1      | LVTTL33_OUT  | PL3C | DRIVE:4mA SLEW:SLOW              |
-| Dout[6]   | 2/1      | LVTTL33_OUT  | PL2B | DRIVE:4mA SLEW:SLOW              |
-| Dout[7]   | 3/1      | LVTTL33_OUT  | PL3A | DRIVE:4mA SLEW:SLOW              |
-| LED       | 57/0     | LVTTL33_OUT  | PR7B | DRIVE:16mA SLEW:SLOW             |
-| MAin[0]   | 23/1     | LVTTL33_IN   | PL9A | SLEW:FAST                        |
-| MAin[1]   | 38/1     | LVTTL33_IN   | PB3C | SLEW:FAST                        |
-| MAin[2]   | 37/1     | LVTTL33_IN   | PB3B | SLEW:FAST                        |
-| MAin[3]   | 47/1     | LVTTL33_IN   | PB5A | SLEW:FAST                        |
-| MAin[4]   | 46/1     | LVTTL33_IN   | PB4D | SLEW:FAST                        |
-| MAin[5]   | 45/1     | LVTTL33_IN   | PB4C | SLEW:FAST                        |
-| MAin[6]   | 49/1     | LVTTL33_IN   | PB5C | SLEW:FAST                        |
-| MAin[7]   | 44/1     | LVTTL33_IN   | PB4B | SLEW:FAST                        |
-| MAin[8]   | 50/1     | LVTTL33_IN   | PB5D | SLEW:FAST                        |
-| MAin[9]   | 51/0     | LVTTL33_IN   | PR9B | SLEW:FAST                        |
-| PHI2      | 39/1     | LVTTL33_IN   | PB3D | SLEW:FAST                        |
-| RA[0]     | 98/0     | LVTTL33_OUT  | PT2C | DRIVE:4mA SLEW:SLOW              |
-| RA[10]    | 87/0     | LVTTL33_OUT  | PT3D | DRIVE:4mA SLEW:SLOW              |
-| RA[11]    | 79/0     | LVTTL33_OUT  | PT5A | DRIVE:4mA SLEW:SLOW              |
-| RA[1]     | 89/0     | LVTTL33_OUT  | PT3C | DRIVE:4mA SLEW:SLOW              |
-| RA[2]     | 94/0     | LVTTL33_OUT  | PT3A | DRIVE:4mA SLEW:SLOW              |
-| RA[3]     | 97/0     | LVTTL33_OUT  | PT2D | DRIVE:4mA SLEW:SLOW              |
-| RA[4]     | 99/0     | LVTTL33_OUT  | PT2B | DRIVE:4mA SLEW:SLOW              |
-| RA[5]     | 95/0     | LVTTL33_OUT  | PT2F | DRIVE:4mA SLEW:SLOW              |
-| RA[6]     | 91/0     | LVTTL33_OUT  | PT3B | DRIVE:4mA SLEW:SLOW              |
-| RA[7]     | 100/0    | LVTTL33_OUT  | PT2A | DRIVE:4mA SLEW:SLOW              |
-| RA[8]     | 96/0     | LVTTL33_OUT  | PT2E | DRIVE:4mA SLEW:SLOW              |
-| RA[9]     | 85/0     | LVTTL33_OUT  | PT4B | DRIVE:4mA SLEW:SLOW              |
-| RBA[0]    | 63/0     | LVTTL33_OUT  | PR5D | DRIVE:4mA SLEW:SLOW              |
-| RBA[1]    | 83/0     | LVTTL33_OUT  | PT4C | DRIVE:4mA SLEW:SLOW              |
-| RCKE      | 82/0     | LVTTL33_OUT  | PT4D | DRIVE:4mA SLEW:SLOW              |
-| RCLK      | 86/0     | LVTTL33_IN   | PT4A | SLEW:FAST                        |
-| RDQMH     | 76/0     | LVTTL33_OUT  | PR2A | DRIVE:4mA SLEW:SLOW              |
-| RDQML     | 61/0     | LVTTL33_OUT  | PR6A | DRIVE:4mA SLEW:SLOW              |
-| RD[0]     | 64/0     | LVTTL33_BIDI | PR5C | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
-| RD[1]     | 65/0     | LVTTL33_BIDI | PR5B | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
-| RD[2]     | 66/0     | LVTTL33_BIDI | PR5A | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
-| RD[3]     | 67/0     | LVTTL33_BIDI | PR4B | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
-| RD[4]     | 68/0     | LVTTL33_BIDI | PR4A | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
-| RD[5]     | 69/0     | LVTTL33_BIDI | PR3D | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
-| RD[6]     | 70/0     | LVTTL33_BIDI | PR3C | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
-| RD[7]     | 71/0     | LVTTL33_BIDI | PR3B | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
-| UFMCLK    | 58/0     | LVTTL33_OUT  | PR7A | DRIVE:4mA SLEW:SLOW              |
-| UFMSDI    | 56/0     | LVTTL33_OUT  | PR7C | DRIVE:4mA SLEW:SLOW              |
-| UFMSDO    | 55/0     | LVTTL33_IN   | PR7D | SLEW:FAST PULL:KEEPER            |
-| nCCAS     | 27/1     | LVTTL33_IN   | PL9B | SLEW:FAST                        |
-| nCRAS     | 43/1     | LVTTL33_IN   | PB4A | SLEW:FAST                        |
-| nFWE      | 22/1     | LVTTL33_IN   | PL8B | SLEW:FAST                        |
-| nRCAS     | 78/0     | LVTTL33_OUT  | PT5B | DRIVE:4mA SLEW:SLOW              |
-| nRCS      | 77/0     | LVTTL33_OUT  | PT5C | DRIVE:4mA SLEW:SLOW              |
-| nRRAS     | 73/0     | LVTTL33_OUT  | PR2B | DRIVE:4mA SLEW:SLOW              |
-| nRWE      | 72/0     | LVTTL33_OUT  | PR3A | DRIVE:4mA SLEW:SLOW              |
-| nUFMCS    | 53/0     | LVTTL33_OUT  | PR8B | DRIVE:4mA SLEW:SLOW              |
-+-----------+----------+--------------+------+----------------------------------+
-
-Vccio by Bank:
-+------+-------+
-| Bank | Vccio |
-+------+-------+
-| 0    | 3.3V  |
-| 1    | 3.3V  |
-+------+-------+
-
-
-Vref by Bank:
-+------+-----+-----------------+---------+
-| Vref | Pin | Bank # / Vref # | Load(s) |
-+------+-----+-----------------+---------+
-+------+-----+-----------------+---------+
-
-Pinout by Pin Number:
-+----------+---------------------+------------+--------------+------+---------------+
-| Pin/Bank | Pin Info            | Preference | Buffer Type  | Site | Dual Function |
-+----------+---------------------+------------+--------------+------+---------------+
-| 1/1      | Dout[0]             | LOCATED    | LVTTL33_OUT  | PL2A |               |
-| 2/1      | Dout[6]             | LOCATED    | LVTTL33_OUT  | PL2B |               |
-| 3/1      | Dout[7]             | LOCATED    | LVTTL33_OUT  | PL3A |               |
-| 4/1      | Dout[4]             | LOCATED    | LVTTL33_OUT  | PL3B |               |
-| 5/1      | Dout[5]             | LOCATED    | LVTTL33_OUT  | PL3C |               |
-| 6/1      | Dout[3]             | LOCATED    | LVTTL33_OUT  | PL3D |               |
-| 7/1      | Dout[1]             | LOCATED    | LVTTL33_OUT  | PL4A |               |
-| 8/1      | Dout[2]             | LOCATED    | LVTTL33_OUT  | PL4B |               |
-| 9/1      |     unused, PULL:UP |            |              | PL5A |               |
-| 11/1     |     unused, PULL:UP |            |              | PL5B |               |
-| 13/1     |     unused, PULL:UP |            |              | PL5C |               |
-| 14/1     | Din[2]              | LOCATED    | LVTTL33_IN   | PL5D | GSR_PADN      |
-| 15/1     | Din[1]              | LOCATED    | LVTTL33_IN   | PL6A |               |
-| 16/1     | Din[3]              | LOCATED    | LVTTL33_IN   | PL6B | TSALLPAD      |
-| 17/1     | Din[5]              | LOCATED    | LVTTL33_IN   | PL7A |               |
-| 18/1     | Din[4]              | LOCATED    | LVTTL33_IN   | PL7B |               |
-| 19/1     | Din[7]              | LOCATED    | LVTTL33_IN   | PL7C |               |
-| 20/1     | Din[6]              | LOCATED    | LVTTL33_IN   | PL7D |               |
-| 21/1     | Din[0]              | LOCATED    | LVTTL33_IN   | PL8A |               |
-| 22/1     | nFWE                | LOCATED    | LVTTL33_IN   | PL8B |               |
-| 23/1     | MAin[0]             | LOCATED    | LVTTL33_IN   | PL9A |               |
-| 27/1     | nCCAS               | LOCATED    | LVTTL33_IN   | PL9B |               |
-| 29/1     |     unused, PULL:UP |            |              | PB2A |               |
-| 30/1     |     unused, PULL:UP |            |              | PB2B |               |
-| 32/1     | CROW[0]             | LOCATED    | LVTTL33_IN   | PB2C |               |
-| 34/1     | CROW[1]             | LOCATED    | LVTTL33_IN   | PB2D |               |
-| 36/1     |     unused, PULL:UP |            |              | PB3A | PCLKT1_1      |
-| 37/1     | MAin[2]             | LOCATED    | LVTTL33_IN   | PB3B |               |
-| 38/1     | MAin[1]             | LOCATED    | LVTTL33_IN   | PB3C | PCLKT1_0      |
-| 39/1     | PHI2                | LOCATED    | LVTTL33_IN   | PB3D |               |
-| 43/1     | nCRAS               | LOCATED    | LVTTL33_IN   | PB4A |               |
-| 44/1     | MAin[7]             | LOCATED    | LVTTL33_IN   | PB4B |               |
-| 45/1     | MAin[5]             | LOCATED    | LVTTL33_IN   | PB4C |               |
-| 46/1     | MAin[4]             | LOCATED    | LVTTL33_IN   | PB4D |               |
-| 47/1     | MAin[3]             | LOCATED    | LVTTL33_IN   | PB5A |               |
-| 49/1     | MAin[6]             | LOCATED    | LVTTL33_IN   | PB5C |               |
-| 50/1     | MAin[8]             | LOCATED    | LVTTL33_IN   | PB5D |               |
-| 51/0     | MAin[9]             | LOCATED    | LVTTL33_IN   | PR9B |               |
-| 52/0     |     unused, PULL:UP |            |              | PR9A |               |
-| 53/0     | nUFMCS              | LOCATED    | LVTTL33_OUT  | PR8B |               |
-| 54/0     |     unused, PULL:UP |            |              | PR8A |               |
-| 55/0     | UFMSDO              | LOCATED    | LVTTL33_IN   | PR7D |               |
-| 56/0     | UFMSDI              | LOCATED    | LVTTL33_OUT  | PR7C |               |
-| 57/0     | LED                 | LOCATED    | LVTTL33_OUT  | PR7B |               |
-| 58/0     | UFMCLK              | LOCATED    | LVTTL33_OUT  | PR7A |               |
-| 59/0     |     unused, PULL:UP |            |              | PR6B |               |
-| 61/0     | RDQML               | LOCATED    | LVTTL33_OUT  | PR6A |               |
-| 63/0     | RBA[0]              | LOCATED    | LVTTL33_OUT  | PR5D |               |
-| 64/0     | RD[0]               | LOCATED    | LVTTL33_BIDI | PR5C |               |
-| 65/0     | RD[1]               | LOCATED    | LVTTL33_BIDI | PR5B |               |
-| 66/0     | RD[2]               | LOCATED    | LVTTL33_BIDI | PR5A |               |
-| 67/0     | RD[3]               | LOCATED    | LVTTL33_BIDI | PR4B |               |
-| 68/0     | RD[4]               | LOCATED    | LVTTL33_BIDI | PR4A |               |
-| 69/0     | RD[5]               | LOCATED    | LVTTL33_BIDI | PR3D |               |
-| 70/0     | RD[6]               | LOCATED    | LVTTL33_BIDI | PR3C |               |
-| 71/0     | RD[7]               | LOCATED    | LVTTL33_BIDI | PR3B |               |
-| 72/0     | nRWE                | LOCATED    | LVTTL33_OUT  | PR3A |               |
-| 73/0     | nRRAS               | LOCATED    | LVTTL33_OUT  | PR2B |               |
-| 76/0     | RDQMH               | LOCATED    | LVTTL33_OUT  | PR2A |               |
-| 77/0     | nRCS                | LOCATED    | LVTTL33_OUT  | PT5C |               |
-| 78/0     | nRCAS               | LOCATED    | LVTTL33_OUT  | PT5B |               |
-| 79/0     | RA[11]              | LOCATED    | LVTTL33_OUT  | PT5A |               |
-| 80/0     |     unused, PULL:UP |            |              | PT4F |               |
-| 81/0     |     unused, PULL:UP |            |              | PT4E |               |
-| 82/0     | RCKE                | LOCATED    | LVTTL33_OUT  | PT4D |               |
-| 83/0     | RBA[1]              | LOCATED    | LVTTL33_OUT  | PT4C |               |
-| 85/0     | RA[9]               | LOCATED    | LVTTL33_OUT  | PT4B | PCLKT0_1      |
-| 86/0     | RCLK                | LOCATED    | LVTTL33_IN   | PT4A | PCLKT0_0      |
-| 87/0     | RA[10]              | LOCATED    | LVTTL33_OUT  | PT3D |               |
-| 89/0     | RA[1]               | LOCATED    | LVTTL33_OUT  | PT3C |               |
-| 91/0     | RA[6]               | LOCATED    | LVTTL33_OUT  | PT3B |               |
-| 94/0     | RA[2]               | LOCATED    | LVTTL33_OUT  | PT3A |               |
-| 95/0     | RA[5]               | LOCATED    | LVTTL33_OUT  | PT2F |               |
-| 96/0     | RA[8]               | LOCATED    | LVTTL33_OUT  | PT2E |               |
-| 97/0     | RA[3]               | LOCATED    | LVTTL33_OUT  | PT2D |               |
-| 98/0     | RA[0]               | LOCATED    | LVTTL33_OUT  | PT2C |               |
-| 99/0     | RA[4]               | LOCATED    | LVTTL33_OUT  | PT2B |               |
-| 100/0    | RA[7]               | LOCATED    | LVTTL33_OUT  | PT2A |               |
-| PB5B/0   |     unused, PULL:UP |            |              | PB5B |               |
-| PT5D/0   |     unused, PULL:UP |            |              | PT5D |               |
-| TCK/1    |                     |            |              | TCK  | TCK           |
-| TDI/1    |                     |            |              | TDI  | TDI           |
-| TDO/1    |                     |            |              | TDO  | TDO           |
-| TMS/1    |                     |            |              | TMS  | TMS           |
-+----------+---------------------+------------+--------------+------+---------------+
-
-
-List of All Pins' Locate Preferences Based on Final Placement After PAR 
-to Help Users Lock Down ALL the Pins Easily (by Simply Copy & Paste): 
-
-LOCATE  COMP  "CROW[0]"  SITE  "32";
-LOCATE  COMP  "CROW[1]"  SITE  "34";
-LOCATE  COMP  "Din[0]"  SITE  "21";
-LOCATE  COMP  "Din[1]"  SITE  "15";
-LOCATE  COMP  "Din[2]"  SITE  "14";
-LOCATE  COMP  "Din[3]"  SITE  "16";
-LOCATE  COMP  "Din[4]"  SITE  "18";
-LOCATE  COMP  "Din[5]"  SITE  "17";
-LOCATE  COMP  "Din[6]"  SITE  "20";
-LOCATE  COMP  "Din[7]"  SITE  "19";
-LOCATE  COMP  "Dout[0]"  SITE  "1";
-LOCATE  COMP  "Dout[1]"  SITE  "7";
-LOCATE  COMP  "Dout[2]"  SITE  "8";
-LOCATE  COMP  "Dout[3]"  SITE  "6";
-LOCATE  COMP  "Dout[4]"  SITE  "4";
-LOCATE  COMP  "Dout[5]"  SITE  "5";
-LOCATE  COMP  "Dout[6]"  SITE  "2";
-LOCATE  COMP  "Dout[7]"  SITE  "3";
-LOCATE  COMP  "LED"  SITE  "57";
-LOCATE  COMP  "MAin[0]"  SITE  "23";
-LOCATE  COMP  "MAin[1]"  SITE  "38";
-LOCATE  COMP  "MAin[2]"  SITE  "37";
-LOCATE  COMP  "MAin[3]"  SITE  "47";
-LOCATE  COMP  "MAin[4]"  SITE  "46";
-LOCATE  COMP  "MAin[5]"  SITE  "45";
-LOCATE  COMP  "MAin[6]"  SITE  "49";
-LOCATE  COMP  "MAin[7]"  SITE  "44";
-LOCATE  COMP  "MAin[8]"  SITE  "50";
-LOCATE  COMP  "MAin[9]"  SITE  "51";
-LOCATE  COMP  "PHI2"  SITE  "39";
-LOCATE  COMP  "RA[0]"  SITE  "98";
-LOCATE  COMP  "RA[10]"  SITE  "87";
-LOCATE  COMP  "RA[11]"  SITE  "79";
-LOCATE  COMP  "RA[1]"  SITE  "89";
-LOCATE  COMP  "RA[2]"  SITE  "94";
-LOCATE  COMP  "RA[3]"  SITE  "97";
-LOCATE  COMP  "RA[4]"  SITE  "99";
-LOCATE  COMP  "RA[5]"  SITE  "95";
-LOCATE  COMP  "RA[6]"  SITE  "91";
-LOCATE  COMP  "RA[7]"  SITE  "100";
-LOCATE  COMP  "RA[8]"  SITE  "96";
-LOCATE  COMP  "RA[9]"  SITE  "85";
-LOCATE  COMP  "RBA[0]"  SITE  "63";
-LOCATE  COMP  "RBA[1]"  SITE  "83";
-LOCATE  COMP  "RCKE"  SITE  "82";
-LOCATE  COMP  "RCLK"  SITE  "86";
-LOCATE  COMP  "RDQMH"  SITE  "76";
-LOCATE  COMP  "RDQML"  SITE  "61";
-LOCATE  COMP  "RD[0]"  SITE  "64";
-LOCATE  COMP  "RD[1]"  SITE  "65";
-LOCATE  COMP  "RD[2]"  SITE  "66";
-LOCATE  COMP  "RD[3]"  SITE  "67";
-LOCATE  COMP  "RD[4]"  SITE  "68";
-LOCATE  COMP  "RD[5]"  SITE  "69";
-LOCATE  COMP  "RD[6]"  SITE  "70";
-LOCATE  COMP  "RD[7]"  SITE  "71";
-LOCATE  COMP  "UFMCLK"  SITE  "58";
-LOCATE  COMP  "UFMSDI"  SITE  "56";
-LOCATE  COMP  "UFMSDO"  SITE  "55";
-LOCATE  COMP  "nCCAS"  SITE  "27";
-LOCATE  COMP  "nCRAS"  SITE  "43";
-LOCATE  COMP  "nFWE"  SITE  "22";
-LOCATE  COMP  "nRCAS"  SITE  "78";
-LOCATE  COMP  "nRCS"  SITE  "77";
-LOCATE  COMP  "nRRAS"  SITE  "73";
-LOCATE  COMP  "nRWE"  SITE  "72";
-LOCATE  COMP  "nUFMCS"  SITE  "53";
-
-
-
-
-
-PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
-Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
-Copyright (c) 1995 AT&T Corp.   All rights reserved.
-Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
-Copyright (c) 2001 Agere Systems   All rights reserved.
-Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
-Mon Aug 16 21:32:33 2021
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html deleted file mode 100644 index ce22106..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html +++ /dev/null @@ -1,307 +0,0 @@ - -Place & Route Report - - -
PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
-Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
-Copyright (c) 1995 AT&T Corp.   All rights reserved.
-Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
-Copyright (c) 2001 Agere Systems   All rights reserved.
-Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
-Mon Aug 16 21:32:27 2021
-
-C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO256C_impl1.p2t
-RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir
-RAM2GS_LCMXO256C_impl1.prf -gui -msgset
-C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml
-
-
-Preference file: RAM2GS_LCMXO256C_impl1.prf.
-
-Cost Table Summary
-Level/       Number       Worst        Timing       Worst        Timing       Run          NCD
-Cost [ncd]   Unrouted     Slack        Score        Slack(hold)  Score(hold)  Time         Status
-----------   --------     -----        ------       -----------  -----------  ----         ------
-5_1   *      0            2.023        0            0.339        0            07           Completed
-* : Design saved.
-
-Total (real) run time for 1-seed: 7 secs 
-
-par done!
-
-Note: user must run 'Trace' for timing closure signoff.
-
-Lattice Place and Route Report for Design "RAM2GS_LCMXO256C_impl1_map.ncd"
-Mon Aug 16 21:32:27 2021
-
-
-Best Par Run
-PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
-Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir/5_1.ncd RAM2GS_LCMXO256C_impl1.prf
-Preference file: RAM2GS_LCMXO256C_impl1.prf.
-Placement level-cost: 5-1.
-Routing Iterations: 6
-
-Loading design for application par from file RAM2GS_LCMXO256C_impl1_map.ncd.
-Design name: RAM2GS
-NCD version: 3.3
-Vendor:      LATTICE
-Device:      LCMXO256C
-Package:     TQFP100
-Performance: 3
-Loading device for application par from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
-Package Status:                     Final          Version 1.19.
-Performance Hardware Data Status: Version 1.124.
-License checked out.
-
-
-Ignore Preference Error(s):  True
-
-Device utilization summary:
-
-   PIO (prelim)      67/79           84% used
-                     67/78           85% bonded
-   SLICE             65/128          50% used
-
-
-
-Number of Signals: 252
-Number of Connections: 618
-
-Pin Constraint Summary:
-   67 out of 67 pins locked (100% locked).
-
-The following 4 signals are selected to use the primary clock routing resources:
-    RCLK_c (driver: RCLK, clk load #: 39)
-    PHI2_c (driver: PHI2, clk load #: 13)
-    nCCAS_c (driver: nCCAS, clk load #: 4)
-    nCRAS_c (driver: nCRAS, clk load #: 7)
-
-No signal is selected as secondary clock.
-
-No signal is selected as Global Set/Reset.
-Starting Placer Phase 0.
-........
-Finished Placer Phase 0.  REAL time: 0 secs 
-
-Starting Placer Phase 1.
-...............
-Placer score = 586066.
-Finished Placer Phase 1.  REAL time: 6 secs 
-
-Starting Placer Phase 2.
-.
-Placer score =  584668
-Finished Placer Phase 2.  REAL time: 6 secs 
-
-
-
-Clock Report
-
-Global Clock Resources:
-  CLK_PIN    : 1 out of 4 (25%)
-  General PIO: 3 out of 80 (3%)
-
-Global Clocks:
-  PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "86 (PT4A)", clk load = 39
-  PRIMARY "PHI2_c" from comp "PHI2" on PIO site "39 (PB3D)", clk load = 13
-  PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "27 (PL9B)", clk load = 4
-  PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "43 (PB4A)", clk load = 7
-
-  PRIMARY  : 4 out of 4 (100%)
-  SECONDARY: 0 out of 4 (0%)
-
-
-
-
-I/O Usage Summary (final):
-   67 out of 79 (84.8%) PIO sites used.
-   67 out of 78 (85.9%) bonded PIO sites used.
-   Number of PIO comps: 67; differential: 0.
-   Number of Vref pins used: 0.
-
-I/O Bank Usage Summary:
-+----------+----------------+------------+------------+------------+
-| I/O Bank | Usage          | Bank Vccio | Bank Vref1 | Bank Vref2 |
-+----------+----------------+------------+------------+------------+
-| 0        | 36 / 41 ( 87%) | 3.3V       | -          | -          |
-| 1        | 31 / 37 ( 83%) | 3.3V       | -          | -          |
-+----------+----------------+------------+------------+------------+
-
-Total placer CPU time: 6 secs 
-
-Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd.
-
-0 connections routed; 618 unrouted.
-Starting router resource preassignment
-WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
-WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
-WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
-
-Completed router resource preassignment. Real time: 6 secs 
-
-Start NBR router at 21:32:33 08/16/21
-
-*****************************************************************
-Info: NBR allows conflicts(one node used by more than one signal)
-      in the earlier iterations. In each iteration, it tries to  
-      solve the conflicts while keeping the critical connections 
-      routed as short as possible. The routing process is said to
-      be completed when no conflicts exist and all connections   
-      are routed.                                                
-Note: NBR uses a different method to calculate timing slacks. The
-      worst slack and total negative slack may not be the same as
-      that in TRCE report. You should always run TRCE to verify  
-      your design.                                               
-*****************************************************************
-
-Start NBR special constraint process at 21:32:33 08/16/21
-
-Start NBR section for initial routing at 21:32:33 08/16/21
-Level 1, iteration 1
-0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 2.084ns/0.000ns; real time: 6 secs 
-Level 2, iteration 1
-0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 2.084ns/0.000ns; real time: 6 secs 
-Level 3, iteration 1
-0(0.00%) conflict; 509(82.36%) untouched conns; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 2.038ns/0.000ns; real time: 6 secs 
-Level 4, iteration 1
-23(0.19%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
-
-Info: Initial congestion level at 75% usage is 0
-Info: Initial congestion area  at 75% usage is 0 (0.00%)
-
-Start NBR section for normal routing at 21:32:33 08/16/21
-Level 1, iteration 1
-0(0.00%) conflict; 24(3.88%) untouched conns; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
-Level 4, iteration 1
-8(0.07%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
-Level 4, iteration 2
-4(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
-Level 4, iteration 3
-0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
-
-Start NBR section for setup/hold timing optimization with effort level 3 at 21:32:33 08/16/21
-
-Start NBR section for re-routing at 21:32:33 08/16/21
-Level 4, iteration 1
-0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
-
-Start NBR section for post-routing at 21:32:33 08/16/21
-
-End NBR router with 0 unrouted connection
-
-NBR Summary
------------
-  Number of unrouted connections : 0 (0.00%)
-  Number of connections with timing violations : 0 (0.00%)
-  Estimated worst slack<setup> : 2.023ns
-  Timing score<setup> : 0
------------
-Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
-
-
-
-Total CPU time 6 secs 
-Total REAL time: 7 secs 
-Completely routed.
-End of route.  618 routed (100.00%); 0 unrouted.
-
-Hold time timing score: 0, hold timing errors: 0
-
-Timing score: 0 
-
-Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd.
-
-
-All signals are completely routed.
-
-
-PAR_SUMMARY::Run status = Completed
-PAR_SUMMARY::Number of unrouted conns = 0
-PAR_SUMMARY::Worst  slack<setup/<ns>> = 2.023
-PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
-PAR_SUMMARY::Worst  slack<hold /<ns>> = 0.339
-PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
-PAR_SUMMARY::Number of errors = 0
-
-Total CPU  time to completion: 6 secs 
-Total REAL time to completion: 7 secs 
-
-par done!
-
-Note: user must run 'Trace' for timing closure signoff.
-
-Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
-Copyright (c) 1995 AT&T Corp.   All rights reserved.
-Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
-Copyright (c) 2001 Agere Systems   All rights reserved.
-Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html deleted file mode 100644 index bb33f8f..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html +++ /dev/null @@ -1,83 +0,0 @@ - -Project Summary - - -

-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
RAM2GS_LCMXO256C project summary
Module Name:RAM2GS_LCMXO256CSynthesis:Lattice LSE
Implementation Name:impl1Strategy Name:Strategy1
Last Process:JEDEC FileState:Passed
Target Device:LCMXO256C-3T100CDevice Family:MachXO
Device Type:LCMXO256CPackage Type:TQFP100
Performance grade:3Operating conditions:COM
Logic preference file:RAM2GS_LCMXO256C.lpf
Physical Preference file:impl1/RAM2GS_LCMXO256C_impl1.prf
Product Version:3.12.0.240.2Patch Version:
Updated:2021/08/16 21:36:29
Implementation Location:C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1
Project File:C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf
-
-
-
-
-
-
- - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html deleted file mode 100644 index 01aa986..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html +++ /dev/null @@ -1,2740 +0,0 @@ - -Lattice Map TRACE Report - - -
Map TRACE Report
-
-Loading design for application trce from file ram2gs_lcmxo256c_impl1_map.ncd.
-Design name: RAM2GS
-NCD version: 3.3
-Vendor:      LATTICE
-Device:      LCMXO256C
-Package:     TQFP100
-Performance: 3
-Loading device for application trce from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
-Package Status:                     Final          Version 1.19.
-Performance Hardware Data Status: Version 1.124.
-Setup and Hold Report
-
---------------------------------------------------------------------------------
-Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
-Mon Aug 16 21:32:27 2021
-
-Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
-Copyright (c) 1995 AT&T Corp.   All rights reserved.
-Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
-Copyright (c) 2001 Agere Systems   All rights reserved.
-Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
-
-Report Information
-------------------
-Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO256C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.prf 
-Design file:     ram2gs_lcmxo256c_impl1_map.ncd
-Preference file: ram2gs_lcmxo256c_impl1.prf
-Device,speed:    LCMXO256C,3
-Report level:    verbose report, limited to 1 item per preference
---------------------------------------------------------------------------------
-
-Preference Summary
-
-
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 161.862ns (weighted slack = 323.724ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 12.873ns (21.6% logic, 78.4% route), 7 logic levels. - - Constraint Details: - - 12.873ns physical path delay SLICE_95 to SLICE_19 meets - 175.000ns delay constraint less - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.862ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_95.CLK to SLICE_95.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 e 1.441 SLICE_95.Q1 to SLICE_67.A1 Bank_7 -CTOF_DEL --- 0.371 SLICE_67.A1 to SLICE_67.F1 SLICE_67 -ROUTE 1 e 1.441 SLICE_67.F1 to SLICE_82.C1 n2154 -CTOF_DEL --- 0.371 SLICE_82.C1 to SLICE_82.F1 SLICE_82 -ROUTE 1 e 1.441 SLICE_82.F1 to SLICE_76.B1 n26 -CTOF_DEL --- 0.371 SLICE_76.B1 to SLICE_76.F1 SLICE_76 -ROUTE 4 e 1.441 SLICE_76.F1 to SLICE_89.B0 n1285 -CTOF_DEL --- 0.371 SLICE_89.B0 to SLICE_89.F0 SLICE_89 -ROUTE 3 e 1.441 SLICE_89.F0 to SLICE_18.D1 n2290 -CTOF_DEL --- 0.371 SLICE_18.D1 to SLICE_18.F1 SLICE_18 -ROUTE 3 e 1.441 SLICE_18.F1 to SLICE_90.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 SLICE_90.C0 to SLICE_90.F0 SLICE_90 -ROUTE 2 e 1.441 SLICE_90.F0 to SLICE_19.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.873 (21.6% logic, 78.4% route), 7 logic levels. - -Report: 26.276ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_76 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_77 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 5.575ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i14 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 10.181ns (23.7% logic, 76.3% route), 6 logic levels. - - Constraint Details: - - 10.181ns physical path delay SLICE_7 to SLICE_56 meets - 16.000ns delay constraint less - 0.244ns CE_SET requirement (totaling 15.756ns) by 5.575ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_7.CLK to SLICE_7.Q0 SLICE_7 (from RCLK_c) -ROUTE 3 e 1.441 SLICE_7.Q0 to SLICE_78.A0 FS_14 -CTOF_DEL --- 0.371 SLICE_78.A0 to SLICE_78.F0 SLICE_78 -ROUTE 3 e 1.441 SLICE_78.F0 to SLICE_73.B1 n10 -CTOF_DEL --- 0.371 SLICE_73.B1 to SLICE_73.F1 SLICE_73 -ROUTE 4 e 0.561 SLICE_73.F1 to SLICE_73.B0 n2300 -CTOF_DEL --- 0.371 SLICE_73.B0 to SLICE_73.F0 SLICE_73 -ROUTE 1 e 1.441 SLICE_73.F0 to SLICE_75.C0 n11 -CTOF_DEL --- 0.371 SLICE_75.C0 to SLICE_75.F0 SLICE_75 -ROUTE 2 e 1.441 SLICE_75.F0 to SLICE_33.D1 n2119 -CTOF_DEL --- 0.371 SLICE_33.D1 to SLICE_33.F1 SLICE_33 -ROUTE 1 e 1.441 SLICE_33.F1 to SLICE_56.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 10.181 (23.7% logic, 76.3% route), 6 logic levels. - -Report: 10.425ns is the minimum period for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_55 and - 5.637ns delay SLICE_55 to RA[10] (totaling 8.141ns) meets - 12.500ns offset RCLK to RA[10] by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_55.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_55.Q0 to 87.PADDO n980 -DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[9] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[9] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_88.C1 to SLICE_88.F1 SLICE_88 -ROUTE 1 e 1.441 SLICE_88.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[8] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[8] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_95.C0 to SLICE_95.F0 SLICE_95 -ROUTE 1 e 1.441 SLICE_95.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[7] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[7] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_97.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_97.C0 to SLICE_97.F0 SLICE_97 -ROUTE 1 e 1.441 SLICE_97.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[6] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[6] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_98.C0 to SLICE_98.F0 SLICE_98 -ROUTE 1 e 1.441 SLICE_98.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[5] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[5] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_98.C1 to SLICE_98.F1 SLICE_98 -ROUTE 1 e 1.441 SLICE_98.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.427ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 6.569ns (69.5% logic, 30.5% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 6.569ns delay SLICE_64 to RA[4] (totaling 9.073ns) meets - 12.500ns offset RCLK to RA[4] by 3.427ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.561 SLICE_64.Q0 to SLICE_64.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_64.C1 to SLICE_64.F1 SLICE_64 -ROUTE 1 e 1.441 SLICE_64.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] - -------- - 6.569 (69.5% logic, 30.5% route), 3 logic levels. - -Report: 9.073ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[3] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[3] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_94.C1 to SLICE_94.F1 SLICE_94 -ROUTE 1 e 1.441 SLICE_94.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[2] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[2] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_95.C1 to SLICE_95.F1 SLICE_95 -ROUTE 1 e 1.441 SLICE_95.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[1] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[1] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_94.C0 to SLICE_94.F0 SLICE_94 -ROUTE 1 e 1.441 SLICE_94.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[0] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[0] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_92.C1 to SLICE_92.F1 SLICE_92 -ROUTE 1 e 1.441 SLICE_92.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_60 and - 5.637ns delay SLICE_60 to nRCS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRCS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_60.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_60.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_34 and - 5.637ns delay SLICE_34 to RCKE (totaling 8.141ns) meets - 12.500ns offset RCLK to RCKE by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_34.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 e 1.441 SLICE_34.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_63 and - 5.637ns delay SLICE_63 to nRWE (totaling 8.141ns) meets - 12.500ns offset RCLK to nRWE by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_63.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_63.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_61 and - 5.637ns delay SLICE_61 to nRRAS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRRAS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_61.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 e 1.441 SLICE_61.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_58 and - 5.637ns delay SLICE_58 to nRCAS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRCAS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_58.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_58.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RDQMH (totaling 9.953ns) meets - 12.500ns offset RCLK to RDQMH by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.B0 nRowColSel -CTOF_DEL --- 0.371 SLICE_88.B0 to SLICE_88.F0 SLICE_88 -ROUTE 1 e 1.441 SLICE_88.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RDQML (totaling 9.953ns) meets - 12.500ns offset RCLK to RDQML by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.B0 nRowColSel -CTOF_DEL --- 0.371 SLICE_92.B0 to SLICE_92.F0 SLICE_92 -ROUTE 1 e 1.441 SLICE_92.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.276 ns| 7 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 10.425 ns| 6 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.073 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Setup): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) - --------------------------------------------------------------------------------- -Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:32:27 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO256C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.prf -Design file: ram2gs_lcmxo256c_impl1_map.ncd -Preference file: ram2gs_lcmxo256c_impl1.prf -Device,speed: LCMXO256C,M -Report level: verbose report, limited to 1 item per preference --------------------------------------------------------------------------------- - -Preference Summary - -
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.485ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in ADSubmitted_380 (to PHI2_c -) - - Delay: 0.462ns (56.7% logic, 43.3% route), 2 logic levels. - - Constraint Details: - - 0.462ns physical path delay SLICE_9 to SLICE_9 meets - -0.023ns DIN_HLD and - 0.000ns delay constraint requirement (totaling -0.023ns) by 0.485ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 SLICE_9.CLK to SLICE_9.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 e 0.199 SLICE_9.Q0 to SLICE_9.C0 ADSubmitted -CTOF_DEL --- 0.092 SLICE_9.C0 to SLICE_9.F0 SLICE_9 -ROUTE 1 e 0.001 SLICE_9.F0 to SLICE_9.DI0 n1361 (to PHI2_c) - -------- - 0.462 (56.7% logic, 43.3% route), 2 logic levels. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.377ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i11 (from RCLK_c +) - Destination: FF Data in IS_FSM__i12 (to RCLK_c +) - - Delay: 0.356ns (44.1% logic, 55.9% route), 1 logic levels. - - Constraint Details: - - 0.356ns physical path delay SLICE_72 to SLICE_72 meets - -0.021ns M_HLD and - 0.000ns delay constraint requirement (totaling -0.021ns) by 0.377ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_72: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_72.CLK to SLICE_72.Q0 SLICE_72 (from RCLK_c) -ROUTE 1 e 0.199 SLICE_72.Q0 to SLICE_72.M1 n702 (to RCLK_c) - -------- - 0.356 (44.1% logic, 55.9% route), 1 logic levels. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_55 and - 1.780ns delay SLICE_55 to RA[10] (totaling 2.559ns) meets - 0.000ns hold offset RCLK to RA[10] by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_55.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_55.Q0 to 87.PADDO n980 -DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[9] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[9] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_88.C1 to SLICE_88.F1 SLICE_88 -ROUTE 1 e 0.515 SLICE_88.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[8] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[8] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_95.C0 to SLICE_95.F0 SLICE_95 -ROUTE 1 e 0.515 SLICE_95.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[7] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[7] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_97.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_97.C0 to SLICE_97.F0 SLICE_97 -ROUTE 1 e 0.515 SLICE_97.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[6] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[6] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_98.C0 to SLICE_98.F0 SLICE_98 -ROUTE 1 e 0.515 SLICE_98.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[5] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[5] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_98.C1 to SLICE_98.F1 SLICE_98 -ROUTE 1 e 0.515 SLICE_98.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.850ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 2.071ns (65.5% logic, 34.5% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.071ns delay SLICE_64 to RA[4] (totaling 2.850ns) meets - 0.000ns hold offset RCLK to RA[4] by 2.850ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.199 SLICE_64.Q0 to SLICE_64.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_64.C1 to SLICE_64.F1 SLICE_64 -ROUTE 1 e 0.515 SLICE_64.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] - -------- - 2.071 (65.5% logic, 34.5% route), 3 logic levels. - -Report: 2.850ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[3] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[3] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_94.C1 to SLICE_94.F1 SLICE_94 -ROUTE 1 e 0.515 SLICE_94.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[2] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[2] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_95.C1 to SLICE_95.F1 SLICE_95 -ROUTE 1 e 0.515 SLICE_95.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[1] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[1] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_94.C0 to SLICE_94.F0 SLICE_94 -ROUTE 1 e 0.515 SLICE_94.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[0] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[0] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_92.C1 to SLICE_92.F1 SLICE_92 -ROUTE 1 e 0.515 SLICE_92.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_60 and - 1.780ns delay SLICE_60 to nRCS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRCS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_60.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_60.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_34 and - 1.780ns delay SLICE_34 to RCKE (totaling 2.559ns) meets - 0.000ns hold offset RCLK to RCKE by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_34.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 e 0.515 SLICE_34.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_63 and - 1.780ns delay SLICE_63 to nRWE (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRWE by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_63.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_63.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_61 and - 1.780ns delay SLICE_61 to nRRAS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRRAS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_61.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 e 0.515 SLICE_61.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_58 and - 1.780ns delay SLICE_58 to nRCAS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRCAS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_58.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_58.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RDQMH (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RDQMH by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.B0 nRowColSel -CTOF_DEL --- 0.092 SLICE_88.B0 to SLICE_88.F0 SLICE_88 -ROUTE 1 e 0.515 SLICE_88.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RDQML (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RDQML by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.B0 nRowColSel -CTOF_DEL --- 0.092 SLICE_92.B0 to SLICE_92.F0 SLICE_92 -ROUTE 1 e 0.515 SLICE_92.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference(MIN Delays) | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.850 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Hold): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) - - - -Timing summary (Setup and Hold): ---------------- - -Timing errors: 0 (setup), 0 (hold) -Score: 0 (setup), 0 (hold) -Cumulative negative slack: 0 (0+0) --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - - - - -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html deleted file mode 100644 index bdfe34c..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html +++ /dev/null @@ -1,4588 +0,0 @@ - -Lattice TRACE Report - - -
    Place & Route TRACE Report
    -
    -Loading design for application trce from file ram2gs_lcmxo256c_impl1.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO256C
    -Package:     TQFP100
    -Performance: 3
    -Loading device for application trce from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    -Package Status:                     Final          Version 1.19.
    -Performance Hardware Data Status: Version 1.124.
    -Setup and Hold Report
    -
    ---------------------------------------------------------------------------------
    -Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    -Mon Aug 16 21:32:34 2021
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -Report Information
    -------------------
    -Command line:    trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO256C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf 
    -Design file:     ram2gs_lcmxo256c_impl1.ncd
    -Preference file: ram2gs_lcmxo256c_impl1.prf
    -Device,speed:    LCMXO256C,3
    -Report level:    verbose report, limited to 10 items per preference
    ---------------------------------------------------------------------------------
    -
    -Preference Summary
    -
    -
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 161.925ns (weighted slack = 323.850ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i0 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 12.810ns (21.7% logic, 78.3% route), 7 logic levels. - - Constraint Details: - - 12.810ns physical path delay SLICE_94 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.925ns - - Physical Path Details: - - Data path SLICE_94 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) -ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 -CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.810 (21.7% logic, 78.3% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_94: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.080ns (weighted slack = 324.160ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i6 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 12.655ns (22.0% logic, 78.0% route), 7 logic levels. - - Constraint Details: - - 12.655ns physical path delay SLICE_95 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.080ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) -ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 -CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.655 (22.0% logic, 78.0% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.409ns (weighted slack = 324.818ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i0 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 12.326ns (22.6% logic, 77.4% route), 7 logic levels. - - Constraint Details: - - 12.326ns physical path delay SLICE_94 to SLICE_19 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.409ns - - Physical Path Details: - - Data path SLICE_94 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) -ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 -CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4D.A0 to R4C4D.F0 SLICE_90 -ROUTE 2 1.550 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.326 (22.6% logic, 77.4% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_94: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C5A.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.416ns (weighted slack = 324.832ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i0 (from PHI2_c +) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 12.319ns (22.6% logic, 77.4% route), 7 logic levels. - - Constraint Details: - - 12.319ns physical path delay SLICE_94 to SLICE_88 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.416ns - - Physical Path Details: - - Data path SLICE_94 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) -ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 -CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 1.543 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.319 (22.6% logic, 77.4% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_94: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C4A.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.564ns (weighted slack = 325.128ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i6 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 12.171ns (22.9% logic, 77.1% route), 7 logic levels. - - Constraint Details: - - 12.171ns physical path delay SLICE_95 to SLICE_19 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.564ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) -ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 -CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4D.A0 to R4C4D.F0 SLICE_90 -ROUTE 2 1.550 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.171 (22.9% logic, 77.1% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C5A.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.571ns (weighted slack = 325.142ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i6 (from PHI2_c +) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 12.164ns (22.9% logic, 77.1% route), 7 logic levels. - - Constraint Details: - - 12.164ns physical path delay SLICE_95 to SLICE_88 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.571ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) -ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 -CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 1.543 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.164 (22.9% logic, 77.1% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C4A.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.606ns (weighted slack = 325.212ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 12.129ns (23.0% logic, 77.0% route), 7 logic levels. - - Constraint Details: - - 12.129ns physical path delay SLICE_95 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.606ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 1.155 R2C2C.Q1 to R5C2B.D1 Bank_7 -CTOF_DEL --- 0.371 R5C2B.D1 to R5C2B.F1 SLICE_67 -ROUTE 1 0.304 R5C2B.F1 to R5C2C.D1 n2154 -CTOF_DEL --- 0.371 R5C2C.D1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.129 (23.0% logic, 77.0% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.635ns (weighted slack = 325.270ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i1 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 12.100ns (20.0% logic, 80.0% route), 6 logic levels. - - Constraint Details: - - 12.100ns physical path delay SLICE_94 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.635ns - - Physical Path Details: - - Data path SLICE_94 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q1 SLICE_94 (from PHI2_c) -ROUTE 1 1.905 R2C3B.Q1 to R6C2B.C1 Bank_1 -CTOF_DEL --- 0.371 R6C2B.C1 to R6C2B.F1 SLICE_97 -ROUTE 1 1.444 R6C2B.F1 to R5C5B.C1 n2170 -CTOF_DEL --- 0.371 R5C5B.C1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 12.100 (20.0% logic, 80.0% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_94: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.868ns (weighted slack = 325.736ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i5 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 11.867ns (23.5% logic, 76.5% route), 7 logic levels. - - Constraint Details: - - 11.867ns physical path delay SLICE_97 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.868ns - - Physical Path Details: - - Data path SLICE_97 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R6C2B.CLK to R6C2B.Q1 SLICE_97 (from PHI2_c) -ROUTE 1 0.700 R6C2B.Q1 to R5C2C.D0 Bank_5 -CTOF_DEL --- 0.371 R5C2C.D0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 11.867 (23.5% logic, 76.5% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_97: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R6C2B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.886ns (weighted slack = 325.772ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i0 (from PHI2_c +) - Destination: FF Data in XOR8MEG_381 (to PHI2_c -) - - Delay: 11.849ns (23.5% logic, 76.5% route), 7 logic levels. - - Constraint Details: - - 11.849ns physical path delay SLICE_94 to SLICE_96 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.886ns - - Physical Path Details: - - Data path SLICE_94 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) -ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 -CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 -ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 -CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 -ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 -CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 -ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 -CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 -ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 -CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 -ROUTE 3 1.504 R5C3C.F1 to R4C4D.A1 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R4C4D.A1 to R4C4D.F1 SLICE_90 -ROUTE 1 1.073 R4C4D.F1 to R3C4B.CE PHI2_N_114_enable_2 (to PHI2_c) - -------- - 11.849 (23.5% logic, 76.5% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_94: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.911 39.PADDI to R3C4B.CLK PHI2_c - -------- - 3.911 (0.0% logic, 100.0% route), 0 logic levels. - -Report: 26.150ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_76 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_77 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 7.566ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i15 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 8.190ns (29.5% logic, 70.5% route), 6 logic levels. - - Constraint Details: - - 8.190ns physical path delay SLICE_7 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.566ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) -ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 -CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 -CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 -ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 8.190 (29.5% logic, 70.5% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.590ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i15 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 8.166ns (29.6% logic, 70.4% route), 6 logic levels. - - Constraint Details: - - 8.166ns physical path delay SLICE_7 to SLICE_56 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.590ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) -ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 -CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 -CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 -ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 8.166 (29.6% logic, 70.4% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_56: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.984ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i13 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 7.772ns (31.1% logic, 68.9% route), 6 logic levels. - - Constraint Details: - - 7.772ns physical path delay SLICE_8 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.984ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q1 SLICE_8 (from RCLK_c) -ROUTE 3 1.511 R8C4C.Q1 to R7C5C.A0 FS_13 -CTOF_DEL --- 0.371 R7C5C.A0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 -CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 -ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 7.772 (31.1% logic, 68.9% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.008ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i13 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 7.748ns (31.2% logic, 68.8% route), 6 logic levels. - - Constraint Details: - - 7.748ns physical path delay SLICE_8 to SLICE_56 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 8.008ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q1 SLICE_8 (from RCLK_c) -ROUTE 3 1.511 R8C4C.Q1 to R7C5C.A0 FS_13 -CTOF_DEL --- 0.371 R7C5C.A0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 -CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 -ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 7.748 (31.2% logic, 68.8% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_56: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.123ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i12 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 7.633ns (31.6% logic, 68.4% route), 6 logic levels. - - Constraint Details: - - 7.633ns physical path delay SLICE_8 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 8.123ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q0 SLICE_8 (from RCLK_c) -ROUTE 3 1.372 R8C4C.Q0 to R7C5C.C0 FS_12 -CTOF_DEL --- 0.371 R7C5C.C0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 -CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 -ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 7.633 (31.6% logic, 68.4% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.147ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i12 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 7.609ns (31.7% logic, 68.3% route), 6 logic levels. - - Constraint Details: - - 7.609ns physical path delay SLICE_8 to SLICE_56 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 8.147ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q0 SLICE_8 (from RCLK_c) -ROUTE 3 1.372 R8C4C.Q0 to R7C5C.C0 FS_12 -CTOF_DEL --- 0.371 R7C5C.C0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 -CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 -ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 7.609 (31.7% logic, 68.3% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_56: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.262ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i15 (from RCLK_c +) - Destination: FF Data in UFMCLK_389 (to RCLK_c +) - - Delay: 7.112ns (23.5% logic, 76.5% route), 4 logic levels. - - Constraint Details: - - 7.112ns physical path delay SLICE_7 to SLICE_42 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.626ns LSR_SET requirement (totaling 15.374ns) by 8.262ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_42: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) -ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 -CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.915 R7C4A.F1 to R6C4A.C0 n2300 -CTOF_DEL --- 0.371 R6C4A.C0 to R6C4A.F0 SLICE_86 -ROUTE 2 1.538 R6C4A.F0 to R7C5A.LSR n2291 (to RCLK_c) - -------- - 7.112 (23.5% logic, 76.5% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_42: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R7C5A.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.262ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i15 (from RCLK_c +) - Destination: FF Data in UFMSDI_390 (to RCLK_c +) - - Delay: 7.112ns (23.5% logic, 76.5% route), 4 logic levels. - - Constraint Details: - - 7.112ns physical path delay SLICE_7 to SLICE_43 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.626ns LSR_SET requirement (totaling 15.374ns) by 8.262ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_43: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) -ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 -CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.915 R7C4A.F1 to R6C4A.C0 n2300 -CTOF_DEL --- 0.371 R6C4A.C0 to R6C4A.F0 SLICE_86 -ROUTE 2 1.538 R6C4A.F0 to R7C5B.LSR n2291 (to RCLK_c) - -------- - 7.112 (23.5% logic, 76.5% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_43: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R7C5B.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.316ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i14 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 7.440ns (32.5% logic, 67.5% route), 6 logic levels. - - Constraint Details: - - 7.440ns physical path delay SLICE_7 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 8.316ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q0 SLICE_7 (from RCLK_c) -ROUTE 3 1.179 R8C4D.Q0 to R7C5C.D0 FS_14 -CTOF_DEL --- 0.371 R7C5C.D0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 -CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 -ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 7.440 (32.5% logic, 67.5% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 8.340ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i14 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 7.416ns (32.6% logic, 67.4% route), 6 logic levels. - - Constraint Details: - - 7.416ns physical path delay SLICE_7 to SLICE_56 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 8.340ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q0 SLICE_7 (from RCLK_c) -ROUTE 3 1.179 R8C4D.Q0 to R7C5C.D0 FS_14 -CTOF_DEL --- 0.371 R7C5C.D0 to R7C5C.F0 SLICE_78 -ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 -CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 -ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 -CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 -ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 -CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 -ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 -CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 -ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 7.416 (32.6% logic, 67.4% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_56: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c - -------- - 1.353 (0.0% logic, 100.0% route), 0 logic levels. - -Report: 8.434ns is the minimum period for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.904ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 6.180ns (67.9% logic, 32.1% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_55 and - 6.180ns delay SLICE_55 to RA[10] (totaling 8.596ns) meets - 12.500ns offset RCLK to RA[10] by 3.904ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C4B.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C4B.CLK to R2C4B.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 1.984 R2C4B.Q0 to 87.PADDO n980 -DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] - -------- - 6.180 (67.9% logic, 32.1% route), 2 logic levels. - -Report: 8.596ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.734ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 6.350ns (71.9% logic, 28.1% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 6.350ns delay SLICE_64 to RA[9] (totaling 8.766ns) meets - 12.500ns offset RCLK to RA[9] by 3.734ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R2C4A.C1 nRowColSel -CTOF_DEL --- 0.371 R2C4A.C1 to R2C4A.F1 SLICE_88 -ROUTE 1 0.817 R2C4A.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] - -------- - 6.350 (71.9% logic, 28.1% route), 3 logic levels. - -Report: 8.766ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.604ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 6.480ns (70.5% logic, 29.5% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 6.480ns delay SLICE_64 to RA[8] (totaling 8.896ns) meets - 12.500ns offset RCLK to RA[8] by 3.604ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.096 R2C2A.Q0 to R2C2C.B0 nRowColSel -CTOF_DEL --- 0.371 R2C2C.B0 to R2C2C.F0 SLICE_95 -ROUTE 1 0.817 R2C2C.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] - -------- - 6.480 (70.5% logic, 29.5% route), 3 logic levels. - -Report: 8.896ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.245ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 7.839ns (58.3% logic, 41.7% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.839ns delay SLICE_64 to RA[7] (totaling 10.255ns) meets - 12.500ns offset RCLK to RA[7] by 2.245ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.234 R2C2A.Q0 to R6C2B.D0 nRowColSel -CTOF_DEL --- 0.371 R6C2B.D0 to R6C2B.F0 SLICE_97 -ROUTE 1 2.038 R6C2B.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] - -------- - 7.839 (58.3% logic, 41.7% route), 3 logic levels. - -Report: 10.255ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.499ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 7.585ns (60.2% logic, 39.8% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.585ns delay SLICE_64 to RA[6] (totaling 10.001ns) meets - 12.500ns offset RCLK to RA[6] by 2.499ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R3C2A.C0 nRowColSel -CTOF_DEL --- 0.371 R3C2A.C0 to R3C2A.F0 SLICE_98 -ROUTE 1 2.052 R3C2A.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] - -------- - 7.585 (60.2% logic, 39.8% route), 3 logic levels. - -Report: 10.001ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.891ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 7.193ns (63.5% logic, 36.5% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.193ns delay SLICE_64 to RA[5] (totaling 9.609ns) meets - 12.500ns offset RCLK to RA[5] by 2.891ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R3C2A.C1 nRowColSel -CTOF_DEL --- 0.371 R3C2A.C1 to R3C2A.F1 SLICE_98 -ROUTE 1 1.660 R3C2A.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] - -------- - 7.193 (63.5% logic, 36.5% route), 3 logic levels. - -Report: 9.609ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.996ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 6.088ns (75.0% logic, 25.0% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 6.088ns delay SLICE_64 to RA[4] (totaling 8.504ns) meets - 12.500ns offset RCLK to RA[4] by 3.996ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.704 R2C2A.Q0 to R2C2A.D1 nRowColSel -CTOF_DEL --- 0.371 R2C2A.D1 to R2C2A.F1 SLICE_64 -ROUTE 1 0.817 R2C2A.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] - -------- - 6.088 (75.0% logic, 25.0% route), 3 logic levels. - -Report: 8.504ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.567ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 7.517ns (60.8% logic, 39.2% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.517ns delay SLICE_64 to RA[3] (totaling 9.933ns) meets - 12.500ns offset RCLK to RA[3] by 2.567ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R2C3B.C1 nRowColSel -CTOF_DEL --- 0.371 R2C3B.C1 to R2C3B.F1 SLICE_94 -ROUTE 1 1.984 R2C3B.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] - -------- - 7.517 (60.8% logic, 39.2% route), 3 logic levels. - -Report: 9.933ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.438ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 7.646ns (59.7% logic, 40.3% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.646ns delay SLICE_64 to RA[2] (totaling 10.062ns) meets - 12.500ns offset RCLK to RA[2] by 2.438ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.096 R2C2A.Q0 to R2C2C.B1 nRowColSel -CTOF_DEL --- 0.371 R2C2C.B1 to R2C2C.F1 SLICE_95 -ROUTE 1 1.983 R2C2C.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] - -------- - 7.646 (59.7% logic, 40.3% route), 3 logic levels. - -Report: 10.062ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.734ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 6.350ns (71.9% logic, 28.1% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 6.350ns delay SLICE_64 to RA[1] (totaling 8.766ns) meets - 12.500ns offset RCLK to RA[1] by 3.734ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R2C3B.C0 nRowColSel -CTOF_DEL --- 0.371 R2C3B.C0 to R2C3B.F0 SLICE_94 -ROUTE 1 0.817 R2C3B.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] - -------- - 6.350 (71.9% logic, 28.1% route), 3 logic levels. - -Report: 8.766ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.826ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 7.258ns (62.9% logic, 37.1% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.258ns delay SLICE_64 to RA[0] (totaling 9.674ns) meets - 12.500ns offset RCLK to RA[0] by 2.826ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.165 R2C2A.Q0 to R3C2B.B1 nRowColSel -CTOF_DEL --- 0.371 R3C2B.B1 to R3C2B.F1 SLICE_92 -ROUTE 1 1.526 R3C2B.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] - -------- - 7.258 (62.9% logic, 37.1% route), 3 logic levels. - -Report: 9.674ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 5.071ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_60 and - 5.013ns delay SLICE_60 to nRCS (totaling 7.429ns) meets - 12.500ns offset RCLK to nRCS by 5.071ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C5B.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C5B.CLK to R2C5B.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 0.817 R2C5B.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.429ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.420ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 6.664ns (63.0% logic, 37.0% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_34 and - 6.664ns delay SLICE_34 to RCKE (totaling 9.080ns) meets - 12.500ns offset RCLK to RCKE by 3.420ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R5C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C2A.CLK to R5C2A.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 2.468 R5C2A.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE - -------- - 6.664 (63.0% logic, 37.0% route), 2 logic levels. - -Report: 9.080ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 5.071ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_63 and - 5.013ns delay SLICE_63 to nRWE (totaling 7.429ns) meets - 12.500ns offset RCLK to nRWE by 5.071ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R3C5B.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C5B.CLK to R3C5B.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 0.817 R3C5B.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.429ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.885ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 6.199ns (67.7% logic, 32.3% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_61 and - 6.199ns delay SLICE_61 to nRRAS (totaling 8.615ns) meets - 12.500ns offset RCLK to nRRAS by 3.885ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R4C5A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R4C5A.CLK to R4C5A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 2.003 R4C5A.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS - -------- - 6.199 (67.7% logic, 32.3% route), 2 logic levels. - -Report: 8.615ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.905ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 6.179ns (67.9% logic, 32.1% route), 2 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_58 and - 6.179ns delay SLICE_58 to nRCAS (totaling 8.595ns) meets - 12.500ns offset RCLK to nRCAS by 3.905ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C4C.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C4C.CLK to R2C4C.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 1.983 R2C4C.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS - -------- - 6.179 (67.9% logic, 32.1% route), 2 logic levels. - -Report: 8.595ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.025ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 7.059ns (64.7% logic, 35.3% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 7.059ns delay SLICE_64 to RDQMH (totaling 9.475ns) meets - 12.500ns offset RCLK to RDQMH by 3.025ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.966 R2C2A.Q0 to R2C4A.C0 nRowColSel -CTOF_DEL --- 0.371 R2C4A.C0 to R2C4A.F0 SLICE_88 -ROUTE 1 1.526 R2C4A.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH - -------- - 7.059 (64.7% logic, 35.3% route), 3 logic levels. - -Report: 9.475ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.023ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 8.061ns (56.7% logic, 43.3% route), 3 logic levels. - - Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. - - Constraint Details: - 2.416ns delay RCLK to SLICE_64 and - 8.061ns delay SLICE_64 to RDQML (totaling 10.477ns) meets - 12.500ns offset RCLK to RDQML by 2.023ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c - -------- - 2.416 (44.0% logic, 56.0% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.954 R2C2A.Q0 to R3C2B.C0 nRowColSel -CTOF_DEL --- 0.371 R3C2B.C0 to R3C2B.F0 SLICE_92 -ROUTE 1 2.540 R3C2B.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML - -------- - 8.061 (56.7% logic, 43.3% route), 3 logic levels. - -Report: 10.477ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.150 ns| 7 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 8.434 ns| 6 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.596 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.766 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.896 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.255 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.001 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.609 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.504 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.933 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.062 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.766 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.674 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.429 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.080 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.429 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.615 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.595 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.475 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.477 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Setup): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 440 connections (71.20% coverage) - --------------------------------------------------------------------------------- -Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:32:34 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO256C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -Design file: ram2gs_lcmxo256c_impl1.ncd -Preference file: ram2gs_lcmxo256c_impl1.prf -Device,speed: LCMXO256C,m -Report level: verbose report, limited to 10 items per preference --------------------------------------------------------------------------------- - -Preference Summary - -
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.444ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in ADSubmitted_380 (to PHI2_c -) - - Delay: 0.421ns (62.2% logic, 37.8% route), 2 logic levels. - - Constraint Details: - - 0.421ns physical path delay SLICE_9 to SLICE_9 meets - -0.023ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.023ns) by 0.444ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3A.CLK to R5C3A.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 0.159 R5C3A.Q0 to R5C3A.D0 ADSubmitted -CTOF_DEL --- 0.092 R5C3A.D0 to R5C3A.F0 SLICE_9 -ROUTE 1 0.000 R5C3A.F0 to R5C3A.DI0 n1361 (to PHI2_c) - -------- - 0.421 (62.2% logic, 37.8% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.186ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) - - Delay: 1.157ns (30.6% logic, 69.4% route), 3 logic levels. - - Constraint Details: - - 1.157ns physical path delay SLICE_18 to SLICE_23 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.186ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable -CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 -ROUTE 3 0.383 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R4C4D.A0 to R4C4D.F0 SLICE_90 -ROUTE 2 0.167 R4C4D.F0 to R4C4C.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 1.157 (30.6% logic, 69.4% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R4C4C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.193ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q C1Submitted_379 (from PHI2_c -) - Destination: FF Data in CmdEnable_378 (to PHI2_c -) - - Delay: 1.164ns (38.3% logic, 61.7% route), 4 logic levels. - - Constraint Details: - - 1.164ns physical path delay SLICE_14 to SLICE_18 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.193ns - - Physical Path Details: - - Data path SLICE_14 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R6C3B.CLK to R6C3B.Q0 SLICE_14 (from PHI2_c) -ROUTE 1 0.253 R6C3B.Q0 to R6C3B.B1 C1Submitted -CTOF_DEL --- 0.092 R6C3B.B1 to R6C3B.F1 SLICE_14 -ROUTE 1 0.075 R6C3B.F1 to R6C3C.D1 n2098 -CTOF_DEL --- 0.092 R6C3C.D1 to R6C3C.F1 SLICE_77 -ROUTE 1 0.123 R6C3C.F1 to R6C3C.C0 n2286 -CTOF_DEL --- 0.092 R6C3C.C0 to R6C3C.F0 SLICE_77 -ROUTE 1 0.267 R6C3C.F0 to R5C3C.CE PHI2_N_114_enable_8 (to PHI2_c) - -------- - 1.164 (38.3% logic, 61.7% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R6C3B.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.280ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in CmdEnable_378 (to PHI2_c -) - - Delay: 1.251ns (35.7% logic, 64.3% route), 4 logic levels. - - Constraint Details: - - 1.251ns physical path delay SLICE_9 to SLICE_18 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.280ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3A.CLK to R5C3A.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 0.159 R5C3A.Q0 to R5C3A.D1 ADSubmitted -CTOF_DEL --- 0.092 R5C3A.D1 to R5C3A.F1 SLICE_9 -ROUTE 1 0.256 R5C3A.F1 to R6C3C.A1 n2080 -CTOF_DEL --- 0.092 R6C3C.A1 to R6C3C.F1 SLICE_77 -ROUTE 1 0.123 R6C3C.F1 to R6C3C.C0 n2286 -CTOF_DEL --- 0.092 R6C3C.C0 to R6C3C.F0 SLICE_77 -ROUTE 1 0.267 R6C3C.F0 to R5C3C.CE PHI2_N_114_enable_8 (to PHI2_c) - -------- - 1.251 (35.7% logic, 64.3% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.286ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in XOR8MEG_381 (to PHI2_c -) - - Delay: 1.257ns (28.2% logic, 71.8% route), 3 logic levels. - - Constraint Details: - - 1.257ns physical path delay SLICE_18 to SLICE_96 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.286ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable -CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 -ROUTE 3 0.383 R5C3C.F1 to R4C4D.A1 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R4C4D.A1 to R4C4D.F1 SLICE_90 -ROUTE 1 0.267 R4C4D.F1 to R3C4B.CE PHI2_N_114_enable_2 (to PHI2_c) - -------- - 1.257 (28.2% logic, 71.8% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R3C4B.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.400ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 1.371ns (25.8% logic, 74.2% route), 3 logic levels. - - Constraint Details: - - 1.371ns physical path delay SLICE_18 to SLICE_88 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.400ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable -CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 -ROUTE 3 0.383 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 0.381 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 1.371 (25.8% logic, 74.2% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R2C4A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.414ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 1.385ns (25.6% logic, 74.4% route), 3 logic levels. - - Constraint Details: - - 1.385ns physical path delay SLICE_18 to SLICE_19 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.414ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable -CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 -ROUTE 3 0.383 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R4C4D.A0 to R4C4D.F0 SLICE_90 -ROUTE 2 0.395 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 1.385 (25.6% logic, 74.4% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.537ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 1.508ns (23.5% logic, 76.5% route), 3 logic levels. - - Constraint Details: - - 1.508ns physical path delay SLICE_18 to SLICE_83 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.537ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable -CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 -ROUTE 3 0.383 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R4C4A.A0 to R4C4A.F0 SLICE_72 -ROUTE 2 0.518 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 1.508 (23.5% logic, 76.5% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R5C4B.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 175.681ns (weighted slack = 351.362ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q XOR8MEG_381 (from PHI2_c -) - Destination: FF Data in RA11_358 (to PHI2_c +) - - Delay: 0.670ns (39.1% logic, 60.9% route), 2 logic levels. - - Constraint Details: - - 0.670ns physical path delay SLICE_96 to SLICE_31 meets - -0.011ns DIN_HLD and - -175.000ns delay constraint less - 0.000ns skew requirement (totaling -175.011ns) by 175.681ns - - Physical Path Details: - - Data path SLICE_96 to SLICE_31: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R3C4B.CLK to R3C4B.Q0 SLICE_96 (from PHI2_c) -ROUTE 1 0.408 R3C4B.Q0 to R2C5A.D0 XOR8MEG -CTOF_DEL --- 0.092 R2C5A.D0 to R2C5A.F0 SLICE_31 -ROUTE 1 0.000 R2C5A.F0 to R2C5A.DI0 RA11_N_180 (to PHI2_c) - -------- - 0.670 (39.1% logic, 60.9% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R3C4B.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_31: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R2C5A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 176.485ns (weighted slack = 352.970ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i3 (from PHI2_c +) - Destination: FF Data in C1Submitted_379 (to PHI2_c -) - - Delay: 1.456ns (23.4% logic, 76.6% route), 3 logic levels. - - Constraint Details: - - 1.456ns physical path delay SLICE_98 to SLICE_14 meets - -0.029ns CE_HLD and - -175.000ns delay constraint less - 0.000ns skew requirement (totaling -175.029ns) by 176.485ns - - Physical Path Details: - - Data path SLICE_98 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R3C2A.CLK to R3C2A.Q1 SLICE_98 (from PHI2_c) -ROUTE 1 0.495 R3C2A.Q1 to R5C5B.A1 Bank_3 -CTOF_DEL --- 0.092 R5C5B.A1 to R5C5B.F1 SLICE_76 -ROUTE 4 0.459 R5C5B.F1 to R6C3A.D1 n1285 -CTOF_DEL --- 0.092 R6C3A.D1 to R6C3A.F1 SLICE_89 -ROUTE 1 0.161 R6C3A.F1 to R6C3B.CE PHI2_N_114_enable_1 (to PHI2_c) - -------- - 1.456 (23.4% logic, 76.6% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_98: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R3C2A.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.196 39.PADDI to R6C3B.CLK PHI2_c - -------- - 1.196 (0.0% logic, 100.0% route), 0 logic levels. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i11 (from RCLK_c +) - Destination: FF Data in IS_FSM__i12 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_72 to SLICE_72 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_72: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R4C4A.CLK to R4C4A.Q0 SLICE_72 (from RCLK_c) -ROUTE 1 0.161 R4C4A.Q0 to R4C4A.M1 n702 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i12 (from RCLK_c +) - Destination: FF Data in IS_FSM__i13 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_72 to SLICE_90 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_90: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R4C4A.CLK to R4C4A.Q1 SLICE_72 (from RCLK_c) -ROUTE 1 0.161 R4C4A.Q1 to R4C4D.M0 n701 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i7 (from RCLK_c +) - Destination: FF Data in IS_FSM__i8 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_73 to SLICE_73 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_73 to SLICE_73: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R7C4A.CLK to R7C4A.Q0 SLICE_73 (from RCLK_c) -ROUTE 1 0.161 R7C4A.Q0 to R7C4A.M1 n706 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i3 (from RCLK_c +) - Destination: FF Data in IS_FSM__i4 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_74 to SLICE_74 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_74 to SLICE_74: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C3B.CLK to R5C3B.Q0 SLICE_74 (from RCLK_c) -ROUTE 1 0.161 R5C3B.Q0 to R5C3B.M1 n710 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i6 (from RCLK_c +) - Destination: FF Data in IS_FSM__i7 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_75 to SLICE_73 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_75 to SLICE_73: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R7C4B.CLK to R7C4B.Q1 SLICE_75 (from RCLK_c) -ROUTE 1 0.161 R7C4B.Q1 to R7C4A.M0 n707 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i5 (from RCLK_c +) - Destination: FF Data in IS_FSM__i6 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_75 to SLICE_75 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_75 to SLICE_75: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R7C4B.CLK to R7C4B.Q0 SLICE_75 (from RCLK_c) -ROUTE 1 0.161 R7C4B.Q0 to R7C4B.M1 n708 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i10 (from RCLK_c +) - Destination: FF Data in IS_FSM__i11 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_84 to SLICE_72 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_84 to SLICE_72: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R4C4B.CLK to R4C4B.Q1 SLICE_84 (from RCLK_c) -ROUTE 1 0.161 R4C4B.Q1 to R4C4A.M0 n703 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_84: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i2 (from RCLK_c +) - Destination: FF Data in IS_FSM__i3 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_87 to SLICE_74 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_87 to SLICE_74: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C3D.CLK to R5C3D.Q1 SLICE_87 (from RCLK_c) -ROUTE 1 0.161 R5C3D.Q1 to R5C3B.M0 n711 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_87: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R5C3D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i13 (from RCLK_c +) - Destination: FF Data in IS_FSM__i14 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_90 to SLICE_90 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_90 to SLICE_90: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R4C4D.CLK to R4C4D.Q0 SLICE_90 (from RCLK_c) -ROUTE 1 0.161 R4C4D.Q0 to R4C4D.M1 n700 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.345ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RASr2_353 (from RCLK_c +) - Destination: FF Data in RASr3_354 (to RCLK_c +) - - Delay: 0.324ns (48.5% logic, 51.5% route), 1 logic levels. - - Constraint Details: - - 0.324ns physical path delay SLICE_93 to SLICE_93 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.345ns - - Physical Path Details: - - Data path SLICE_93 to SLICE_93: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R7C5D.CLK to R7C5D.Q0 SLICE_93 (from RCLK_c) -ROUTE 16 0.167 R7C5D.Q0 to R7C5D.M1 RASr2 (to RCLK_c) - -------- - 0.324 (48.5% logic, 51.5% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_93: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C5D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_93: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.413 86.PADDI to R7C5D.CLK RCLK_c - -------- - 0.413 (0.0% logic, 100.0% route), 0 logic levels. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.220ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 1.733ns (73.0% logic, 27.0% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_55 and - 1.733ns delay SLICE_55 to RA[10] (totaling 2.220ns) meets - 0.000ns hold offset RCLK to RA[10] by 2.220ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C4B.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C4B.CLK to R2C4B.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 0.468 R2C4B.Q0 to 87.PADDO n980 -DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] - -------- - 1.733 (73.0% logic, 27.0% route), 2 logic levels. - -Report: 2.220ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.284ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 1.797ns (75.5% logic, 24.5% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.797ns delay SLICE_64 to RA[9] (totaling 2.284ns) meets - 0.000ns hold offset RCLK to RA[9] by 2.284ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R2C4A.C1 nRowColSel -CTOF_DEL --- 0.092 R2C4A.C1 to R2C4A.F1 SLICE_88 -ROUTE 1 0.197 R2C4A.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] - -------- - 1.797 (75.5% logic, 24.5% route), 3 logic levels. - -Report: 2.284ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.316ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 1.829ns (74.2% logic, 25.8% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.829ns delay SLICE_64 to RA[8] (totaling 2.316ns) meets - 0.000ns hold offset RCLK to RA[8] by 2.316ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.275 R2C2A.Q0 to R2C2C.B0 nRowColSel -CTOF_DEL --- 0.092 R2C2C.B0 to R2C2C.F0 SLICE_95 -ROUTE 1 0.197 R2C2C.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] - -------- - 1.829 (74.2% logic, 25.8% route), 3 logic levels. - -Report: 2.316ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.652ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.165ns delay SLICE_64 to RA[7] (totaling 2.652ns) meets - 0.000ns hold offset RCLK to RA[7] by 2.652ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.319 R2C2A.Q0 to R6C2B.D0 nRowColSel -CTOF_DEL --- 0.092 R6C2B.D0 to R6C2B.F0 SLICE_97 -ROUTE 1 0.489 R6C2B.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] - -------- - 2.165 (62.7% logic, 37.3% route), 3 logic levels. - -Report: 2.652ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.579ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 2.092ns (64.9% logic, 35.1% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.092ns delay SLICE_64 to RA[6] (totaling 2.579ns) meets - 0.000ns hold offset RCLK to RA[6] by 2.579ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R3C2A.C0 nRowColSel -CTOF_DEL --- 0.092 R3C2A.C0 to R3C2A.F0 SLICE_98 -ROUTE 1 0.492 R3C2A.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] - -------- - 2.092 (64.9% logic, 35.1% route), 3 logic levels. - -Report: 2.579ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.481ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 1.994ns (68.1% logic, 31.9% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.994ns delay SLICE_64 to RA[5] (totaling 2.481ns) meets - 0.000ns hold offset RCLK to RA[5] by 2.481ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R3C2A.C1 nRowColSel -CTOF_DEL --- 0.092 R3C2A.C1 to R3C2A.F1 SLICE_98 -ROUTE 1 0.394 R3C2A.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] - -------- - 1.994 (68.1% logic, 31.9% route), 3 logic levels. - -Report: 2.481ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.219ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 1.732ns (78.3% logic, 21.7% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.732ns delay SLICE_64 to RA[4] (totaling 2.219ns) meets - 0.000ns hold offset RCLK to RA[4] by 2.219ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.178 R2C2A.Q0 to R2C2A.D1 nRowColSel -CTOF_DEL --- 0.092 R2C2A.D1 to R2C2A.F1 SLICE_64 -ROUTE 1 0.197 R2C2A.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] - -------- - 1.732 (78.3% logic, 21.7% route), 3 logic levels. - -Report: 2.219ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.555ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 2.068ns (65.6% logic, 34.4% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.068ns delay SLICE_64 to RA[3] (totaling 2.555ns) meets - 0.000ns hold offset RCLK to RA[3] by 2.555ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R2C3B.C1 nRowColSel -CTOF_DEL --- 0.092 R2C3B.C1 to R2C3B.F1 SLICE_94 -ROUTE 1 0.468 R2C3B.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] - -------- - 2.068 (65.6% logic, 34.4% route), 3 logic levels. - -Report: 2.555ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.599ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 2.112ns (64.3% logic, 35.7% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.112ns delay SLICE_64 to RA[2] (totaling 2.599ns) meets - 0.000ns hold offset RCLK to RA[2] by 2.599ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.275 R2C2A.Q0 to R2C2C.B1 nRowColSel -CTOF_DEL --- 0.092 R2C2C.B1 to R2C2C.F1 SLICE_95 -ROUTE 1 0.480 R2C2C.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] - -------- - 2.112 (64.3% logic, 35.7% route), 3 logic levels. - -Report: 2.599ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.284ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 1.797ns (75.5% logic, 24.5% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.797ns delay SLICE_64 to RA[1] (totaling 2.284ns) meets - 0.000ns hold offset RCLK to RA[1] by 2.284ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R2C3B.C0 nRowColSel -CTOF_DEL --- 0.092 R2C3B.C0 to R2C3B.F0 SLICE_94 -ROUTE 1 0.197 R2C3B.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] - -------- - 1.797 (75.5% logic, 24.5% route), 3 logic levels. - -Report: 2.284ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.492ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 2.005ns (67.7% logic, 32.3% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.005ns delay SLICE_64 to RA[0] (totaling 2.492ns) meets - 0.000ns hold offset RCLK to RA[0] by 2.492ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.292 R2C2A.Q0 to R3C2B.B1 nRowColSel -CTOF_DEL --- 0.092 R3C2B.B1 to R3C2B.F1 SLICE_92 -ROUTE 1 0.356 R3C2B.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] - -------- - 2.005 (67.7% logic, 32.3% route), 3 logic levels. - -Report: 2.492ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_60 and - 1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets - 0.000ns hold offset RCLK to nRCS by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C5B.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C5B.CLK to R2C5B.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 0.197 R2C5B.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.363ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 1.876ns (67.4% logic, 32.6% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_34 and - 1.876ns delay SLICE_34 to RCKE (totaling 2.363ns) meets - 0.000ns hold offset RCLK to RCKE by 2.363ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C2A.CLK to R5C2A.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 0.611 R5C2A.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE - -------- - 1.876 (67.4% logic, 32.6% route), 2 logic levels. - -Report: 2.363ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_63 and - 1.462ns delay SLICE_63 to nRWE (totaling 1.949ns) meets - 0.000ns hold offset RCLK to nRWE by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R3C5B.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R3C5B.CLK to R3C5B.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 0.197 R3C5B.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.236ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 1.749ns (72.3% logic, 27.7% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_61 and - 1.749ns delay SLICE_61 to nRRAS (totaling 2.236ns) meets - 0.000ns hold offset RCLK to nRRAS by 2.236ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R4C5A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R4C5A.CLK to R4C5A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 0.484 R4C5A.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS - -------- - 1.749 (72.3% logic, 27.7% route), 2 logic levels. - -Report: 2.236ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.232ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 1.745ns (72.5% logic, 27.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_58 and - 1.745ns delay SLICE_58 to nRCAS (totaling 2.232ns) meets - 0.000ns hold offset RCLK to nRCAS by 2.232ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C4C.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C4C.CLK to R2C4C.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 0.480 R2C4C.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS - -------- - 1.745 (72.5% logic, 27.5% route), 2 logic levels. - -Report: 2.232ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.443ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 1.956ns (69.4% logic, 30.6% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.956ns delay SLICE_64 to RDQMH (totaling 2.443ns) meets - 0.000ns hold offset RCLK to RDQMH by 2.443ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.243 R2C2A.Q0 to R2C4A.C0 nRowColSel -CTOF_DEL --- 0.092 R2C4A.C0 to R2C4A.F0 SLICE_88 -ROUTE 1 0.356 R2C4A.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH - -------- - 1.956 (69.4% logic, 30.6% route), 3 logic levels. - -Report: 2.443ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.713ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 2.226ns (61.0% logic, 39.0% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.226ns delay SLICE_64 to RDQML (totaling 2.713ns) meets - 0.000ns hold offset RCLK to RDQML by 2.713ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.238 R2C2A.Q0 to R3C2B.C0 nRowColSel -CTOF_DEL --- 0.092 R3C2B.C0 to R3C2B.F0 SLICE_92 -ROUTE 1 0.631 R3C2B.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML - -------- - 2.226 (61.0% logic, 39.0% route), 3 logic levels. - -Report: 2.713ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference(MIN Delays) | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.220 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.284 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.316 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.579 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.481 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.219 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.555 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.599 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.284 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.492 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.363 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.236 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.232 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.443 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.713 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Hold): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 440 connections (71.20% coverage) - - - -Timing summary (Setup and Hold): ---------------- - -Timing errors: 0 (setup), 0 (hold) -Score: 0 (setup), 0 (hold) -Cumulative negative slack: 0 (0+0) --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - - - - -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_drc.log b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_drc.log deleted file mode 100644 index 946f96e..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_drc.log +++ /dev/null @@ -1,15 +0,0 @@ -Results of NGD DRC are available in RAM2GS_drc.log. -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... - - -Running DRC... - -DRC complete with no errors or warnings - -Design Results: - 304 blocks expanded -completed the first expansion -All blocks are expanded and NGD expansion is successful. -Writing NGD file RAM2GS_LCMXO256C_impl1.ngd. diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_lse.twr b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_lse.twr deleted file mode 100644 index 83d5ab2..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_lse.twr +++ /dev/null @@ -1,311 +0,0 @@ --------------------------------------------------------------------------------- -Lattice Synthesis Timing Report, Version -Mon Aug 16 21:32:26 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Design: RAM2GS -Constraint file: -Report level: verbose report, limited to 3 items per constraint --------------------------------------------------------------------------------- - - - -================================================================================ -Constraint: create_clock -period 5.000000 -name clk3 [get_nets nCCAS_c] - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCRAS_c] - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Constraint: create_clock -period 5.000000 -name clk1 [get_nets PHI2_c] - 122 items scored, 121 timing errors detected. --------------------------------------------------------------------------------- - - -Error: The following path violates requirements by 10.378ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK Bank_i5 (from PHI2_c +) - Destination: FD1P3AX SP CmdUFMCS_385 (to PHI2_c -) - - Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. - - Constraint Details: - - 12.614ns data_path Bank_i5 to CmdUFMCS_385 violates - 2.500ns delay constraint less - 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns - - Path Details: Bank_i5 to CmdUFMCS_385 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) -Route 1 e 1.220 Bank[5] -LUT4 --- 0.390 B to Z i1856_4_lut -Route 1 e 1.220 n2166 -LUT4 --- 0.390 B to Z i12_4_lut -Route 1 e 1.220 n26 -LUT4 --- 0.390 B to Z i13_4_lut -Route 4 e 1.552 n1285 -LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 -Route 3 e 1.483 n2290 -LUT4 --- 0.390 D to Z i3_4_lut -Route 3 e 1.483 XOR8MEG_N_112 -LUT4 --- 0.390 A to Z i2_3_lut_4_lut -Route 3 e 1.483 PHI2_N_114_enable_7 - -------- - 12.614 (23.4% logic, 76.6% route), 7 logic levels. - - -Error: The following path violates requirements by 10.378ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK Bank_i5 (from PHI2_c +) - Destination: FD1P3AX SP CmdUFMSDI_387 (to PHI2_c -) - - Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. - - Constraint Details: - - 12.614ns data_path Bank_i5 to CmdUFMSDI_387 violates - 2.500ns delay constraint less - 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns - - Path Details: Bank_i5 to CmdUFMSDI_387 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) -Route 1 e 1.220 Bank[5] -LUT4 --- 0.390 B to Z i1856_4_lut -Route 1 e 1.220 n2166 -LUT4 --- 0.390 B to Z i12_4_lut -Route 1 e 1.220 n26 -LUT4 --- 0.390 B to Z i13_4_lut -Route 4 e 1.552 n1285 -LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 -Route 3 e 1.483 n2290 -LUT4 --- 0.390 D to Z i3_4_lut -Route 3 e 1.483 XOR8MEG_N_112 -LUT4 --- 0.390 A to Z i2_3_lut_4_lut -Route 3 e 1.483 PHI2_N_114_enable_7 - -------- - 12.614 (23.4% logic, 76.6% route), 7 logic levels. - - -Error: The following path violates requirements by 10.378ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK Bank_i5 (from PHI2_c +) - Destination: FD1P3AX SP CmdUFMCLK_386 (to PHI2_c -) - - Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. - - Constraint Details: - - 12.614ns data_path Bank_i5 to CmdUFMCLK_386 violates - 2.500ns delay constraint less - 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns - - Path Details: Bank_i5 to CmdUFMCLK_386 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) -Route 1 e 1.220 Bank[5] -LUT4 --- 0.390 B to Z i1856_4_lut -Route 1 e 1.220 n2166 -LUT4 --- 0.390 B to Z i12_4_lut -Route 1 e 1.220 n26 -LUT4 --- 0.390 B to Z i13_4_lut -Route 4 e 1.552 n1285 -LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 -Route 3 e 1.483 n2290 -LUT4 --- 0.390 D to Z i3_4_lut -Route 3 e 1.483 XOR8MEG_N_112 -LUT4 --- 0.390 A to Z i2_3_lut_4_lut -Route 3 e 1.483 PHI2_N_114_enable_7 - -------- - 12.614 (23.4% logic, 76.6% route), 7 logic levels. - -Warning: 12.878 ns is the maximum delay for this constraint. - - - -================================================================================ -Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c] - 369 items scored, 244 timing errors detected. --------------------------------------------------------------------------------- - - -Error: The following path violates requirements by 6.291ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK FS_577__i12 (from RCLK_c +) - Destination: FD1P3AX SP LEDEN_392 (to RCLK_c +) - - Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. - - Constraint Details: - - 11.027ns data_path FS_577__i12 to LEDEN_392 violates - 5.000ns delay constraint less - 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns - - Path Details: FS_577__i12 to LEDEN_392 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q FS_577__i12 (from RCLK_c) -Route 3 e 1.603 FS[12] -LUT4 --- 0.390 C to Z i4_4_lut -Route 3 e 1.483 n10 -LUT4 --- 0.390 B to Z i5_3_lut_rep_23 -Route 4 e 1.552 n2300 -LUT4 --- 0.390 B to Z i4_3_lut_4_lut -Route 1 e 1.220 n11 -LUT4 --- 0.390 C to Z i2_4_lut_adj_4 -Route 2 e 1.386 n2119 -LUT4 --- 0.390 C to Z i2_3_lut_3_lut -Route 1 e 1.220 RCLK_c_enable_25 - -------- - 11.027 (23.2% logic, 76.8% route), 6 logic levels. - - -Error: The following path violates requirements by 6.291ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK FS_577__i12 (from RCLK_c +) - Destination: FD1P3AX SP n8MEGEN_391 (to RCLK_c +) - - Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. - - Constraint Details: - - 11.027ns data_path FS_577__i12 to n8MEGEN_391 violates - 5.000ns delay constraint less - 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns - - Path Details: FS_577__i12 to n8MEGEN_391 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q FS_577__i12 (from RCLK_c) -Route 3 e 1.603 FS[12] -LUT4 --- 0.390 C to Z i4_4_lut -Route 3 e 1.483 n10 -LUT4 --- 0.390 B to Z i5_3_lut_rep_23 -Route 4 e 1.552 n2300 -LUT4 --- 0.390 B to Z i4_3_lut_4_lut -Route 1 e 1.220 n11 -LUT4 --- 0.390 C to Z i2_4_lut_adj_4 -Route 2 e 1.386 n2119 -LUT4 --- 0.390 D to Z i1248_4_lut -Route 1 e 1.220 RCLK_c_enable_7 - -------- - 11.027 (23.2% logic, 76.8% route), 6 logic levels. - - -Error: The following path violates requirements by 6.291ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK FS_577__i13 (from RCLK_c +) - Destination: FD1P3AX SP LEDEN_392 (to RCLK_c +) - - Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. - - Constraint Details: - - 11.027ns data_path FS_577__i13 to LEDEN_392 violates - 5.000ns delay constraint less - 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns - - Path Details: FS_577__i13 to LEDEN_392 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q FS_577__i13 (from RCLK_c) -Route 3 e 1.603 FS[13] -LUT4 --- 0.390 B to Z i4_4_lut -Route 3 e 1.483 n10 -LUT4 --- 0.390 B to Z i5_3_lut_rep_23 -Route 4 e 1.552 n2300 -LUT4 --- 0.390 B to Z i4_3_lut_4_lut -Route 1 e 1.220 n11 -LUT4 --- 0.390 C to Z i2_4_lut_adj_4 -Route 2 e 1.386 n2119 -LUT4 --- 0.390 C to Z i2_3_lut_3_lut -Route 1 e 1.220 RCLK_c_enable_25 - -------- - 11.027 (23.2% logic, 76.8% route), 6 logic levels. - -Warning: 11.291 ns is the maximum delay for this constraint. - - -Timing Report Summary --------------- --------------------------------------------------------------------------------- -Constraint | Constraint| Actual|Levels --------------------------------------------------------------------------------- - | | | -create_clock -period 5.000000 -name | | | -clk3 [get_nets nCCAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk2 [get_nets nCRAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk1 [get_nets PHI2_c] | 5.000 ns| 25.756 ns| 7 * - | | | -create_clock -period 5.000000 -name | | | -clk0 [get_nets RCLK_c] | 5.000 ns| 11.291 ns| 6 * - | | | --------------------------------------------------------------------------------- - - -2 constraints not met. - --------------------------------------------------------------------------------- -Critical Nets | Loads| Errors| % of total --------------------------------------------------------------------------------- -n1285 | 4| 112| 30.68% - | | | -n26 | 1| 70| 19.18% - | | | -RCLK_c_enable_23 | 16| 64| 17.53% - | | | -n2290 | 3| 64| 17.53% - | | | -XOR8MEG_N_112 | 3| 54| 14.79% - | | | -n2119 | 2| 48| 13.15% - | | | -n2166 | 1| 42| 11.51% - | | | --------------------------------------------------------------------------------- - - -Timing summary: ---------------- - -Timing errors: 365 Score: 2309745 - -Constraints cover 495 paths, 177 nets, and 464 connections (66.5% coverage) - - -Peak memory: 52502528 bytes, TRCE: 1482752 bytes, DLYMAN: 163840 bytes -CPU_TIME_REPORT: 0 secs diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_lse_lsetwr.html b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_lse_lsetwr.html deleted file mode 100644 index 82208e7..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_lse_lsetwr.html +++ /dev/null @@ -1,376 +0,0 @@ - -Lattice Synthesis Timing Report - - -
    Lattice Synthesis Timing Report
    ---------------------------------------------------------------------------------
    -Lattice Synthesis Timing Report, Version  
    -Mon Aug 16 21:32:26 2021
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -Report Information
    -------------------
    -Design:     RAM2GS
    -Constraint file:  
    -Report level:    verbose report, limited to 3 items per constraint
    ---------------------------------------------------------------------------------
    -
    -
    -
    -================================================================================
    -Constraint: create_clock -period 5.000000 -name clk3 [get_nets nCCAS_c]
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCRAS_c]
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Constraint: create_clock -period 5.000000 -name clk1 [get_nets PHI2_c]
    -            122 items scored, 121 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Error:  The following path violates requirements by 10.378ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    -   Destination:    FD1P3AX    SP             CmdUFMCS_385  (to PHI2_c -)
    -
    -   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     12.614ns data_path Bank_i5 to CmdUFMCS_385 violates
    -      2.500ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    -
    - Path Details: Bank_i5 to CmdUFMCS_385
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    -Route         1   e 1.220                                  Bank[5]
    -LUT4        ---     0.390              B to Z              i1856_4_lut
    -Route         1   e 1.220                                  n2166
    -LUT4        ---     0.390              B to Z              i12_4_lut
    -Route         1   e 1.220                                  n26
    -LUT4        ---     0.390              B to Z              i13_4_lut
    -Route         4   e 1.552                                  n1285
    -LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    -Route         3   e 1.483                                  n2290
    -LUT4        ---     0.390              D to Z              i3_4_lut
    -Route         3   e 1.483                                  XOR8MEG_N_112
    -LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    -Route         3   e 1.483                                  PHI2_N_114_enable_7
    -                  --------
    -                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    -
    -
    -Error:  The following path violates requirements by 10.378ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    -   Destination:    FD1P3AX    SP             CmdUFMSDI_387  (to PHI2_c -)
    -
    -   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     12.614ns data_path Bank_i5 to CmdUFMSDI_387 violates
    -      2.500ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    -
    - Path Details: Bank_i5 to CmdUFMSDI_387
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    -Route         1   e 1.220                                  Bank[5]
    -LUT4        ---     0.390              B to Z              i1856_4_lut
    -Route         1   e 1.220                                  n2166
    -LUT4        ---     0.390              B to Z              i12_4_lut
    -Route         1   e 1.220                                  n26
    -LUT4        ---     0.390              B to Z              i13_4_lut
    -Route         4   e 1.552                                  n1285
    -LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    -Route         3   e 1.483                                  n2290
    -LUT4        ---     0.390              D to Z              i3_4_lut
    -Route         3   e 1.483                                  XOR8MEG_N_112
    -LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    -Route         3   e 1.483                                  PHI2_N_114_enable_7
    -                  --------
    -                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    -
    -
    -Error:  The following path violates requirements by 10.378ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    -   Destination:    FD1P3AX    SP             CmdUFMCLK_386  (to PHI2_c -)
    -
    -   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     12.614ns data_path Bank_i5 to CmdUFMCLK_386 violates
    -      2.500ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    -
    - Path Details: Bank_i5 to CmdUFMCLK_386
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    -Route         1   e 1.220                                  Bank[5]
    -LUT4        ---     0.390              B to Z              i1856_4_lut
    -Route         1   e 1.220                                  n2166
    -LUT4        ---     0.390              B to Z              i12_4_lut
    -Route         1   e 1.220                                  n26
    -LUT4        ---     0.390              B to Z              i13_4_lut
    -Route         4   e 1.552                                  n1285
    -LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    -Route         3   e 1.483                                  n2290
    -LUT4        ---     0.390              D to Z              i3_4_lut
    -Route         3   e 1.483                                  XOR8MEG_N_112
    -LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    -Route         3   e 1.483                                  PHI2_N_114_enable_7
    -                  --------
    -                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    -
    -Warning: 12.878 ns is the maximum delay for this constraint.
    -
    -
    -
    -================================================================================
    -Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c]
    -            369 items scored, 244 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Error:  The following path violates requirements by 6.291ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             FS_577__i12  (from RCLK_c +)
    -   Destination:    FD1P3AX    SP             LEDEN_392  (to RCLK_c +)
    -
    -   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     11.027ns data_path FS_577__i12 to LEDEN_392 violates
    -      5.000ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    -
    - Path Details: FS_577__i12 to LEDEN_392
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              FS_577__i12 (from RCLK_c)
    -Route         3   e 1.603                                  FS[12]
    -LUT4        ---     0.390              C to Z              i4_4_lut
    -Route         3   e 1.483                                  n10
    -LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    -Route         4   e 1.552                                  n2300
    -LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    -Route         1   e 1.220                                  n11
    -LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    -Route         2   e 1.386                                  n2119
    -LUT4        ---     0.390              C to Z              i2_3_lut_3_lut
    -Route         1   e 1.220                                  RCLK_c_enable_25
    -                  --------
    -                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    -
    -
    -Error:  The following path violates requirements by 6.291ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             FS_577__i12  (from RCLK_c +)
    -   Destination:    FD1P3AX    SP             n8MEGEN_391  (to RCLK_c +)
    -
    -   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     11.027ns data_path FS_577__i12 to n8MEGEN_391 violates
    -      5.000ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    -
    - Path Details: FS_577__i12 to n8MEGEN_391
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              FS_577__i12 (from RCLK_c)
    -Route         3   e 1.603                                  FS[12]
    -LUT4        ---     0.390              C to Z              i4_4_lut
    -Route         3   e 1.483                                  n10
    -LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    -Route         4   e 1.552                                  n2300
    -LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    -Route         1   e 1.220                                  n11
    -LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    -Route         2   e 1.386                                  n2119
    -LUT4        ---     0.390              D to Z              i1248_4_lut
    -Route         1   e 1.220                                  RCLK_c_enable_7
    -                  --------
    -                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    -
    -
    -Error:  The following path violates requirements by 6.291ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             FS_577__i13  (from RCLK_c +)
    -   Destination:    FD1P3AX    SP             LEDEN_392  (to RCLK_c +)
    -
    -   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     11.027ns data_path FS_577__i13 to LEDEN_392 violates
    -      5.000ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    -
    - Path Details: FS_577__i13 to LEDEN_392
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              FS_577__i13 (from RCLK_c)
    -Route         3   e 1.603                                  FS[13]
    -LUT4        ---     0.390              B to Z              i4_4_lut
    -Route         3   e 1.483                                  n10
    -LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    -Route         4   e 1.552                                  n2300
    -LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    -Route         1   e 1.220                                  n11
    -LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    -Route         2   e 1.386                                  n2119
    -LUT4        ---     0.390              C to Z              i2_3_lut_3_lut
    -Route         1   e 1.220                                  RCLK_c_enable_25
    -                  --------
    -                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    -
    -Warning: 11.291 ns is the maximum delay for this constraint.
    -
    -
    -Timing Report Summary
    ---------------
    ---------------------------------------------------------------------------------
    -Constraint                              |   Constraint|       Actual|Levels
    ---------------------------------------------------------------------------------
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk3 [get_nets nCCAS_c]                 |            -|            -|     0  
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk2 [get_nets nCRAS_c]                 |            -|            -|     0  
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk1 [get_nets PHI2_c]                  |     5.000 ns|    25.756 ns|     7 *
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk0 [get_nets RCLK_c]                  |     5.000 ns|    11.291 ns|     6 *
    -                                        |             |             |
    ---------------------------------------------------------------------------------
    -
    -
    -2 constraints not met.
    -
    ---------------------------------------------------------------------------------
    -Critical Nets                           |   Loads|  Errors| % of total
    ---------------------------------------------------------------------------------
    -n1285                                   |       4|     112|     30.68%
    -                                        |        |        |
    -n26                                     |       1|      70|     19.18%
    -                                        |        |        |
    -RCLK_c_enable_23                        |      16|      64|     17.53%
    -                                        |        |        |
    -n2290                                   |       3|      64|     17.53%
    -                                        |        |        |
    -XOR8MEG_N_112                           |       3|      54|     14.79%
    -                                        |        |        |
    -n2119                                   |       2|      48|     13.15%
    -                                        |        |        |
    -n2166                                   |       1|      42|     11.51%
    -                                        |        |        |
    ---------------------------------------------------------------------------------
    -
    -
    -Timing summary:
    ----------------
    -
    -Timing errors: 365  Score: 2309745
    -
    -Constraints cover  495 paths, 177 nets, and 464 connections (66.5% coverage)
    -
    -
    -Peak memory: 52502528 bytes, TRCE: 1482752 bytes, DLYMAN: 163840 bytes
    -CPU_TIME_REPORT: 0 secs 
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_prim.v b/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_prim.v deleted file mode 100644 index d942735..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/RAM2GS_prim.v +++ /dev/null @@ -1,789 +0,0 @@ -// Verilog netlist produced by program LSE : version Diamond (64-bit) 3.12.0.240.2 -// Netlist written on Mon Aug 16 21:32:26 2021 -// -// Verilog Description of module RAM2GS -// - -module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, - LED, RBA, RA, RD, nRCS, RCLK, RCKE, nRWE, nRRAS, - nRCAS, RDQMH, RDQML, nUFMCS, UFMCLK, UFMSDI, UFMSDO) /* synthesis syn_module_defined=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1[8:14]) - input PHI2; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) - input [9:0]MAin; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - input [1:0]CROW; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) - input [7:0]Din; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - output [7:0]Dout; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - input nCCAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) - input nCRAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) - input nFWE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(36[8:12]) - output LED; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(12[9:12]) - output [1:0]RBA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) - output [11:0]RA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - inout [7:0]RD; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - output nRCS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[13:17]) - input RCLK; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) - output RCKE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(45[13:17]) - output nRWE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[45:49]) - output nRRAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[23:28]) - output nRCAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[34:39]) - output RDQMH; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[16:21]) - output RDQML; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[9:14]) - output nUFMCS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(63[13:19]) - output UFMCLK; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(64[13:19]) - output UFMSDI; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(65[13:19]) - input UFMSDO; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(66[8:14]) - - wire PHI2_c /* synthesis is_clock=1, SET_AS_NETWORK=PHI2_c */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) - wire nCCAS_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) - wire nCRAS_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) - wire RCLK_c /* synthesis SET_AS_NETWORK=RCLK_c, is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) - wire nCCAS_N_3 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - wire nCRAS_N_9 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) - wire PHI2_N_114 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(38[6:13]) - - wire GND_net, VCC_net, LEDEN, PHI2r, PHI2r2, PHI2r3, RASr, - RASr2, RASr3, CASr, CASr2, CASr3, FWEr, CBR, Din_c_7, - Din_c_6, Din_c_5, Din_c_4, Din_c_3, Din_c_2, Din_c_1, Din_c_0, - n2131, n33, PHI2_N_114_enable_2, n1; - wire [7:0]Bank; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(31[12:16]) - - wire CROW_c_1, CROW_c_0, MAin_c_9, MAin_c_8, MAin_c_7, MAin_c_6, - MAin_c_5, MAin_c_4, MAin_c_3, MAin_c_2, MAin_c_1, MAin_c_0, - nFWE_c, n8MEGEN, XOR8MEG, RCKEEN, RCKE_c, nRCS_c, nRRAS_c, - nRCAS_c, nRWE_c, RBA_c_1, RBA_c_0, nRowColSel, RA_c, n980; - wire [9:0]RowA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(51[12:16]) - - wire RA_c_9, RA_c_8, RA_c_7, RA_c_6, RA_c_5, RA_c_4, RA_c_3, - RA_c_2, RA_c_1, RA_c_0, RDQML_c, RDQMH_c; - wire [7:0]WRD; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(59[12:15]) - - wire nUFMCS_c, UFMCLK_c, UFMSDI_c, UFMSDO_c, C1Submitted, ADSubmitted, - CmdEnable, CmdSubmitted, Cmdn8MEGEN, CmdUFMCLK, CmdUFMSDI, - CmdUFMCS, InitReady, Ready; - wire [17:0]FS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(86[13:15]) - - wire LED_N_90, RA11_N_180, n2164, n1895, n2294, n4, PHI2_N_114_enable_6, - n1881, RASr2_N_63, RCKE_N_128, nRowColSel_N_35, nRWE_N_178, - RCKEEN_N_126, nRowColSel_N_34, nRowColSel_N_33, nRowColSel_N_32, - nRowColSel_N_28, n1880, n4_adj_1, n2286, RCKEEN_N_117, nRWE_N_174, - RCKEEN_N_116, nRCS_N_135, nRCAS_N_161, nRWE_N_173, nRWE_N_172, - n1377, Ready_N_272, n2287, n26, Ready_N_268, nRCS_N_132, - nRCAS_N_157, nRWE_N_167, RCKEEN_N_115, n2290, n2289, n1361, - n1369, ADSubmitted_N_234, CmdEnable_N_236, C1Submitted_N_225, - XOR8MEG_N_112, n2098, PHI2_N_114_enable_1, n2248, Cmdn8MEGEN_N_248, - RCLK_c_enable_7, n2244, n2117, LEDEN_N_88, RCLK_c_enable_6, - UFMSDO_N_74, n2243, RCLK_c_enable_24, n8MEGEN_N_94, UFMCLK_N_212, - UFMSDI_N_219, n2242, n2114, n2080, PHI2_N_114_enable_7, n12, - n699, n700, n701, n702, n703, n705, n706, n707, n708, - n709, n710, n711, n11, n2076, n2119, n1368, n12_adj_2, - n1878, PHI2_N_114_enable_8, n2308, n2291, n2307, n11_adj_3, - n973, n1135, n78, n79, n80, n81, n82, n83, n84, n85, - n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, - n1348, n50, n1877, RCLK_c_enable_23, n1876, n1875, n2293, - n2306, RCLK_c_enable_4, n2170, RCLK_c_enable_25, RCLK_c_enable_3, - n2128, n2103, n2304, n2386, n1879, n1874, n2310, n974, - n975, n962, n976, n2168, n977, n2245, n978, n2122, n979, - Dout_c, n2166, n2302, n2108, n2301, n2387, n1285, n2300, - n1628, n1627, n2299, n18, n2385, n2309, n2298, n2292, - n2297, n2154, n10, n2296, n2295; - - VHI i2 (.Z(VCC_net)); - INV i1963 (.A(nCCAS_c), .Z(nCCAS_N_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) - FD1S3AX PHI2r2_350 (.D(PHI2r), .CK(RCLK_c), .Q(PHI2r2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam PHI2r2_350.GSR = "ENABLED"; - ORCALUT4 i2_3_lut_4_lut (.A(XOR8MEG_N_112), .B(n2298), .C(n2296), - .D(Din_c_5), .Z(PHI2_N_114_enable_7)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ; - defparam i2_3_lut_4_lut.init = 16'h0800; - ORCALUT4 i1_2_lut_3_lut (.A(FS[11]), .B(n2300), .C(InitReady), .Z(n4)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i1_2_lut_3_lut.init = 16'hfdfd; - FD1S3AX PHI2r3_351 (.D(PHI2r2), .CK(RCLK_c), .Q(PHI2r3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam PHI2r3_351.GSR = "ENABLED"; - FD1S3AX RASr_352 (.D(nCRAS_N_9), .CK(RCLK_c), .Q(RASr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam RASr_352.GSR = "ENABLED"; - FD1S3AX RASr2_353 (.D(RASr), .CK(RCLK_c), .Q(RASr2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam RASr2_353.GSR = "ENABLED"; - FD1S3AX RASr3_354 (.D(RASr2), .CK(RCLK_c), .Q(RASr3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam RASr3_354.GSR = "ENABLED"; - FD1S3AX CASr_355 (.D(nCCAS_N_3), .CK(RCLK_c), .Q(CASr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam CASr_355.GSR = "ENABLED"; - FD1S3AX CASr2_356 (.D(CASr), .CK(RCLK_c), .Q(CASr2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam CASr2_356.GSR = "ENABLED"; - FD1S3AX CASr3_357 (.D(CASr2), .CK(RCLK_c), .Q(CASr3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam CASr3_357.GSR = "ENABLED"; - FD1S3IX RA11_358 (.D(RA11_N_180), .CK(PHI2_c), .CD(n2307), .Q(RA_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam RA11_358.GSR = "ENABLED"; - FD1S3IX RowA_i0 (.D(MAin_c_0), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i0.GSR = "ENABLED"; - FD1S3AX WRD_i0 (.D(Din_c_0), .CK(nCCAS_N_3), .Q(WRD[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i0.GSR = "ENABLED"; - FD1S3AX FWEr_362 (.D(n2306), .CK(nCRAS_N_9), .Q(FWEr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam FWEr_362.GSR = "ENABLED"; - FD1S3AX CBR_363 (.D(nCCAS_N_3), .CK(nCRAS_N_9), .Q(CBR)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam CBR_363.GSR = "ENABLED"; - FD1S3IX ADSubmitted_380 (.D(n1361), .CK(PHI2_N_114), .CD(C1Submitted_N_225), - .Q(ADSubmitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam ADSubmitted_380.GSR = "ENABLED"; - ORCALUT4 MAin_9__I_0_400_i5_3_lut (.A(RowA[4]), .B(MAin_c_4), .C(nRowColSel), - .Z(RA_c_4)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i5_3_lut.init = 16'hcaca; - ORCALUT4 i1_2_lut (.A(FS[10]), .B(n2076), .Z(RCLK_c_enable_6)) /* synthesis lut_function=(A (B)) */ ; - defparam i1_2_lut.init = 16'h8888; - CCU2 FS_577_add_4_10 (.A0(FS[8]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[9]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1877), - .COUT1(n1878), .S0(n87), .S1(n86)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_10.INIT0 = 16'hfaaa; - defparam FS_577_add_4_10.INIT1 = 16'hfaaa; - defparam FS_577_add_4_10.INJECT1_0 = "NO"; - defparam FS_577_add_4_10.INJECT1_1 = "NO"; - FD1S3AX RCKE_368 (.D(RCKE_N_128), .CK(RCLK_c), .Q(RCKE_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(141[9] 144[5]) - defparam RCKE_368.GSR = "ENABLED"; - FD1P3AY nRCS_369 (.D(nRCS_N_132), .SP(RCLK_c_enable_4), .CK(RCLK_c), - .Q(nRCS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam nRCS_369.GSR = "ENABLED"; - FD1S3IX nRowColSel_375 (.D(n1368), .CK(RCLK_c), .CD(n2299), .Q(nRowColSel)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam nRowColSel_375.GSR = "ENABLED"; - ORCALUT4 n1_bdd_4_lut (.A(n1), .B(n1627), .C(nRWE_N_178), .D(nRowColSel_N_35), - .Z(nRWE_N_174)) /* synthesis lut_function=(A (B (C+!(D))+!B (C (D)))+!A (C+!(D))) */ ; - defparam n1_bdd_4_lut.init = 16'hf0dd; - ORCALUT4 i2_3_lut_rep_31 (.A(PHI2r3), .B(CmdSubmitted), .C(PHI2r2), - .Z(n2308)) /* synthesis lut_function=(!(((C)+!B)+!A)) */ ; - defparam i2_3_lut_rep_31.init = 16'h0808; - ORCALUT4 i1_2_lut_2_lut_4_lut (.A(PHI2r3), .B(CmdSubmitted), .C(PHI2r2), - .D(InitReady), .Z(RCLK_c_enable_24)) /* synthesis lut_function=(!(A (B (C (D))+!B (D))+!A (D))) */ ; - defparam i1_2_lut_2_lut_4_lut.init = 16'h08ff; - CCU2 FS_577_add_4_8 (.A0(FS[6]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[7]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1876), - .COUT1(n1877), .S0(n89), .S1(n88)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_8.INIT0 = 16'hfaaa; - defparam FS_577_add_4_8.INIT1 = 16'hfaaa; - defparam FS_577_add_4_8.INJECT1_0 = "NO"; - defparam FS_577_add_4_8.INJECT1_1 = "NO"; - ORCALUT4 i1_4_lut (.A(nRowColSel_N_34), .B(n1), .C(n2304), .D(nRowColSel_N_33), - .Z(n2117)) /* synthesis lut_function=(!(A+(B (C (D))+!B (C+!(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) - defparam i1_4_lut.init = 16'h0544; - ORCALUT4 i3_4_lut (.A(MAin_c_1), .B(MAin_c_0), .C(CmdEnable), .D(n2290), - .Z(XOR8MEG_N_112)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; - defparam i3_4_lut.init = 16'h0040; - ORCALUT4 i4_3_lut_4_lut (.A(FS[11]), .B(n2300), .C(FS[6]), .D(n2168), - .Z(n11)) /* synthesis lut_function=((B+(C+!(D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i4_3_lut_4_lut.init = 16'hfdff; - FD1S3IX S_FSM_i2 (.D(n1135), .CK(RCLK_c), .CD(n2302), .Q(nRowColSel_N_34)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam S_FSM_i2.GSR = "ENABLED"; - ORCALUT4 i1_4_lut_adj_1 (.A(nRowColSel), .B(n1627), .C(nRowColSel_N_28), - .D(nRowColSel_N_32), .Z(n1368)) /* synthesis lut_function=(A (B+!(C (D)))+!A (B+!(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_4_lut_adj_1.init = 16'hcfee; - FD1S3AY nRRAS_370 (.D(n33), .CK(RCLK_c), .Q(nRRAS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam nRRAS_370.GSR = "ENABLED"; - ORCALUT4 i1055_3_lut_4_lut (.A(MAin_c_1), .B(n2290), .C(ADSubmitted), - .D(ADSubmitted_N_234), .Z(n1361)) /* synthesis lut_function=(A (B (C+(D))+!B (D))+!A (C+(D))) */ ; - defparam i1055_3_lut_4_lut.init = 16'hffd0; - ORCALUT4 i2_3_lut (.A(FWEr), .B(CASr3), .C(CBR), .Z(nRowColSel_N_28)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(230[16:37]) - defparam i2_3_lut.init = 16'hfdfd; - BB Dout_pad_7__688 (.I(WRD[7]), .T(n962), .B(RD[7]), .O(n973)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - FD1P3AY nRCAS_371 (.D(nRCAS_N_157), .SP(RCLK_c_enable_4), .CK(RCLK_c), - .Q(nRCAS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam nRCAS_371.GSR = "ENABLED"; - FD1P3AY nRWE_372 (.D(nRWE_N_167), .SP(RCLK_c_enable_3), .CK(RCLK_c), - .Q(nRWE_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam nRWE_372.GSR = "ENABLED"; - FD1S3JX RA10_373 (.D(n2128), .CK(RCLK_c), .PD(nRWE_N_172), .Q(n980)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam RA10_373.GSR = "ENABLED"; - FD1P3AX RCKEEN_374 (.D(RCKEEN_N_115), .SP(RCLK_c_enable_4), .CK(RCLK_c), - .Q(RCKEEN)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam RCKEEN_374.GSR = "ENABLED"; - ORCALUT4 i2_4_lut (.A(n2122), .B(n2295), .C(Din_c_2), .D(n2131), - .Z(C1Submitted_N_225)) /* synthesis lut_function=(!(((C+(D))+!B)+!A)) */ ; - defparam i2_4_lut.init = 16'h0008; - FD1S3IX RBA__i1 (.D(CROW_c_0), .CK(nCRAS_N_9), .CD(n2307), .Q(RBA_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RBA__i1.GSR = "ENABLED"; - ORCALUT4 Din_7__I_0_442_i6_2_lut_rep_32 (.A(Din_c_6), .B(Din_c_7), .Z(n2385)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) - defparam Din_7__I_0_442_i6_2_lut_rep_32.init = 16'heeee; - ORCALUT4 i1248_4_lut (.A(FS[5]), .B(n2308), .C(InitReady), .D(n2119), - .Z(RCLK_c_enable_7)) /* synthesis lut_function=(A (B (C))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) - defparam i1248_4_lut.init = 16'hc5c0; - ORCALUT4 i2_3_lut_4_lut_adj_2 (.A(nRowColSel_N_32), .B(n2299), .C(nRowColSel_N_34), - .D(nRowColSel_N_33), .Z(RCLK_c_enable_4)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i2_3_lut_4_lut_adj_2.init = 16'hfffe; - ORCALUT4 i1437_4_lut (.A(UFMSDO_c), .B(Cmdn8MEGEN), .C(FS[10]), .D(n4), - .Z(n8MEGEN_N_94)) /* synthesis lut_function=(A (B ((D)+!C))+!A (B+!((D)+!C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) - defparam i1437_4_lut.init = 16'hcc5c; - FD1P3AX IS_FSM__i0 (.D(Ready_N_272), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(nRCS_N_135)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i0.GSR = "ENABLED"; - ORCALUT4 i1_2_lut_adj_3 (.A(RASr2), .B(RCKE_c), .Z(nRWE_N_178)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam i1_2_lut_adj_3.init = 16'hbbbb; - ORCALUT4 i2_4_lut_adj_4 (.A(n2294), .B(FS[10]), .C(n11), .D(n12), - .Z(n2119)) /* synthesis lut_function=(!(((C+(D))+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i2_4_lut_adj_4.init = 16'h0008; - FD1P3JX C1Submitted_379 (.D(n2386), .SP(PHI2_N_114_enable_1), .PD(C1Submitted_N_225), - .CK(PHI2_N_114), .Q(C1Submitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam C1Submitted_379.GSR = "ENABLED"; - FD1S3JX nUFMCS_388 (.D(n1348), .CK(RCLK_c), .PD(LEDEN_N_88), .Q(nUFMCS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam nUFMCS_388.GSR = "ENABLED"; - ORCALUT4 m1_lut (.Z(n2387)) /* synthesis lut_function=1, syn_instantiated=1 */ ; - defparam m1_lut.init = 16'hffff; - ORCALUT4 i2_4_lut_adj_5 (.A(n2108), .B(MAin_c_1), .C(C1Submitted), - .D(MAin_c_0), .Z(n2098)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ; - defparam i2_4_lut_adj_5.init = 16'h0800; - ORCALUT4 i5_4_lut (.A(FS[9]), .B(FS[4]), .C(FS[8]), .D(FS[7]), .Z(n12)) /* synthesis lut_function=(A+((C+(D))+!B)) */ ; - defparam i5_4_lut.init = 16'hfffb; - FD1S3AX FS_577__i0 (.D(n95), .CK(RCLK_c), .Q(FS[0])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i0.GSR = "ENABLED"; - ORCALUT4 i2_3_lut_adj_6 (.A(n2122), .B(ADSubmitted), .C(MAin_c_0), - .Z(n2080)) /* synthesis lut_function=(!((B+(C))+!A)) */ ; - defparam i2_3_lut_adj_6.init = 16'h0202; - ORCALUT4 i1419_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQMH_c)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(58[17:46]) - defparam i1419_2_lut.init = 16'hbbbb; - ORCALUT4 n50_bdd_4_lut_1911 (.A(n50), .B(RASr2), .C(RCKE_c), .D(nRowColSel_N_35), - .Z(n2242)) /* synthesis lut_function=(!(A (B (D)+!B (C (D)))+!A (B+(C+!(D))))) */ ; - defparam n50_bdd_4_lut_1911.init = 16'h03aa; - ORCALUT4 i1893_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQML_c)) /* synthesis lut_function=(!(A (B))) */ ; - defparam i1893_2_lut.init = 16'h7777; - FD1S3AX Bank_i0 (.D(Din_c_0), .CK(PHI2_c), .Q(Bank[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i0.GSR = "ENABLED"; - ORCALUT4 i1858_4_lut (.A(FS[1]), .B(FS[0]), .C(FS[2]), .D(FS[3]), - .Z(n2168)) /* synthesis lut_function=(A (B (C (D)))) */ ; - defparam i1858_4_lut.init = 16'h8000; - ORCALUT4 i1_2_lut_3_lut_adj_7 (.A(MAin_c_1), .B(n1285), .C(MAin_c_0), - .Z(n2131)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(80[15:31]) - defparam i1_2_lut_3_lut_adj_7.init = 16'hfdfd; - ORCALUT4 i22_4_lut (.A(FS[4]), .B(CmdUFMCLK), .C(InitReady), .D(n2076), - .Z(UFMCLK_N_212)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) - defparam i22_4_lut.init = 16'hc0ca; - ORCALUT4 i5_4_lut_adj_8 (.A(FS[14]), .B(FS[16]), .C(FS[13]), .D(FS[12]), - .Z(n12_adj_2)) /* synthesis lut_function=(A (B (C (D)))) */ ; - defparam i5_4_lut_adj_8.init = 16'h8000; - ORCALUT4 i1889_4_lut_then_4_lut (.A(n2117), .B(RCKE_c), .C(RASr2), - .D(nRowColSel_N_35), .Z(n2310)) /* synthesis lut_function=(!(A (B+(C+!(D)))+!A (B (D)+!B (C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) - defparam i1889_4_lut_then_4_lut.init = 16'h0355; - ORCALUT4 i1889_4_lut_else_4_lut (.A(InitReady), .B(nRCS_N_135), .C(RASr2), - .D(nRowColSel_N_35), .Z(n2309)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) - defparam i1889_4_lut_else_4_lut.init = 16'hdfff; - CCU2 FS_577_add_4_18 (.A0(FS[16]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[17]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1881), - .S0(n79), .S1(n78)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_18.INIT0 = 16'hfaaa; - defparam FS_577_add_4_18.INIT1 = 16'hfaaa; - defparam FS_577_add_4_18.INJECT1_0 = "NO"; - defparam FS_577_add_4_18.INJECT1_1 = "NO"; - ORCALUT4 UFMSDO_I_0_1_lut (.A(UFMSDO_c), .Z(UFMSDO_N_74)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(378[53:60]) - defparam UFMSDO_I_0_1_lut.init = 16'h5555; - FD1S3IX S_FSM_i3 (.D(n1135), .CK(RCLK_c), .CD(n1377), .Q(nRowColSel_N_33)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam S_FSM_i3.GSR = "ENABLED"; - ORCALUT4 i1897_2_lut_rep_14_3_lut (.A(FS[11]), .B(n2300), .C(InitReady), - .Z(n2291)) /* synthesis lut_function=(!(A+(B+(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i1897_2_lut_rep_14_3_lut.init = 16'h0101; - ORCALUT4 i1878_2_lut_3_lut_4_lut (.A(FS[11]), .B(n2300), .C(FS[10]), - .D(InitReady), .Z(LEDEN_N_88)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i1878_2_lut_3_lut_4_lut.init = 16'h0001; - ORCALUT4 i1884_4_lut (.A(MAin_c_0), .B(n2290), .C(n2286), .D(MAin_c_1), - .Z(PHI2_N_114_enable_8)) /* synthesis lut_function=(!(A (B+(C))+!A (B+(C+!(D))))) */ ; - defparam i1884_4_lut.init = 16'h0302; - FD1S3AX PHI2r_349 (.D(PHI2_c), .CK(RCLK_c), .Q(PHI2r)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam PHI2r_349.GSR = "ENABLED"; - ORCALUT4 i2_3_lut_rep_21_4_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_5), - .D(Din_c_4), .Z(n2298)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) - defparam i2_3_lut_rep_21_4_lut.init = 16'hfffe; - ORCALUT4 i1830_2_lut_rep_13 (.A(nFWE_c), .B(n1285), .Z(n2290)) /* synthesis lut_function=(A+(B)) */ ; - defparam i1830_2_lut_rep_13.init = 16'heeee; - PFUMX i1912 (.BLUT(n2243), .ALUT(n2242), .C0(Ready), .Z(n2244)); - FD1S3AX S_FSM_i1 (.D(RASr2_N_63), .CK(RCLK_c), .Q(nRowColSel_N_35)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam S_FSM_i1.GSR = "ENABLED"; - ORCALUT4 i1886_2_lut (.A(nRowColSel_N_32), .B(RASr2), .Z(n1135)) /* synthesis lut_function=(!(A+!(B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1886_2_lut.init = 16'h4444; - ORCALUT4 n50_bdd_4_lut (.A(n50), .B(InitReady), .C(RASr2), .D(nRowColSel_N_35), - .Z(n2243)) /* synthesis lut_function=(!(A (B (C (D)))+!A (B (C)))) */ ; - defparam n50_bdd_4_lut.init = 16'h3fbf; - ORCALUT4 i1034_2_lut (.A(ADSubmitted_N_234), .B(C1Submitted_N_225), - .Z(CmdEnable_N_236)) /* synthesis lut_function=(A+(B)) */ ; - defparam i1034_2_lut.init = 16'heeee; - ORCALUT4 i2_3_lut_4_lut_adj_9 (.A(Din_c_6), .B(Din_c_7), .C(XOR8MEG_N_112), - .D(Din_c_4), .Z(PHI2_N_114_enable_6)) /* synthesis lut_function=(!(A+(B+!(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) - defparam i2_3_lut_4_lut_adj_9.init = 16'h1000; - ORCALUT4 i1832_2_lut_rep_19_3_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_4), - .Z(n2296)) /* synthesis lut_function=(A+(B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) - defparam i1832_2_lut_rep_19_3_lut.init = 16'hefef; - FD1S3IX S_FSM_i4 (.D(n1628), .CK(RCLK_c), .CD(RASr2_N_63), .Q(nRowColSel_N_32)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam S_FSM_i4.GSR = "ENABLED"; - ORCALUT4 i1424_2_lut_rep_27 (.A(FWEr), .B(CBR), .Z(n2304)) /* synthesis lut_function=(A+(B)) */ ; - defparam i1424_2_lut_rep_27.init = 16'heeee; - ORCALUT4 i2_3_lut_adj_10 (.A(Din_c_3), .B(Din_c_6), .C(Din_c_5), .Z(n2122)) /* synthesis lut_function=(!(A+((C)+!B))) */ ; - defparam i2_3_lut_adj_10.init = 16'h0404; - ORCALUT4 i1429_2_lut_3_lut (.A(FWEr), .B(CBR), .C(RASr2), .Z(RCKEEN_N_126)) /* synthesis lut_function=(!(A (C)+!A (B (C)))) */ ; - defparam i1429_2_lut_3_lut.init = 16'h1f1f; - ORCALUT4 i4_4_lut (.A(FS[14]), .B(FS[13]), .C(FS[12]), .D(FS[15]), - .Z(n10)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i4_4_lut.init = 16'hfffe; - ORCALUT4 i5_3_lut_rep_23 (.A(FS[16]), .B(n10), .C(FS[17]), .Z(n2300)) /* synthesis lut_function=(A+(B+(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i5_3_lut_rep_23.init = 16'hfefe; - ORCALUT4 i1_4_lut_adj_11 (.A(n2244), .B(n2297), .C(n18), .D(Ready), - .Z(n33)) /* synthesis lut_function=(A+(B (C+!(D))+!B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) - defparam i1_4_lut_adj_11.init = 16'hfaee; - ORCALUT4 i1_2_lut_rep_16_4_lut (.A(FS[16]), .B(n10), .C(FS[17]), .D(FS[11]), - .Z(n2293)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i1_2_lut_rep_16_4_lut.init = 16'hfeff; - ORCALUT4 i3_4_lut_adj_12 (.A(CBR), .B(FWEr), .C(CASr2), .D(CASr3), - .Z(n1)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; - defparam i3_4_lut_adj_12.init = 16'h0040; - ORCALUT4 i1_2_lut_adj_13 (.A(nRowColSel_N_34), .B(nRowColSel_N_33), - .Z(n1627)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_2_lut_adj_13.init = 16'heeee; - ORCALUT4 i1420_2_lut (.A(nCCAS_c), .B(nFWE_c), .Z(n962)) /* synthesis lut_function=(A+(B)) */ ; - defparam i1420_2_lut.init = 16'heeee; - ORCALUT4 i1_1_lut_rep_29 (.A(nFWE_c), .Z(n2306)) /* synthesis lut_function=(!(A)) */ ; - defparam i1_1_lut_rep_29.init = 16'h5555; - ORCALUT4 Cmdn8MEGEN_I_84_4_lut (.A(Din_c_0), .B(n8MEGEN), .C(Din_c_5), - .D(n2296), .Z(Cmdn8MEGEN_N_248)) /* synthesis lut_function=(A (B (C+(D)))+!A (B+!(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(316[13] 322[7]) - defparam Cmdn8MEGEN_I_84_4_lut.init = 16'hccc5; - ORCALUT4 i1069_1_lut (.A(nRowColSel_N_34), .Z(n1377)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1069_1_lut.init = 16'h5555; - ORCALUT4 n2080_bdd_4_lut (.A(n2080), .B(n2098), .C(Din_c_2), .D(n2114), - .Z(n2286)) /* synthesis lut_function=(A (B (D)+!B !(C+!(D)))+!A (B (C (D)))) */ ; - defparam n2080_bdd_4_lut.init = 16'hca00; - ORCALUT4 RASr2_I_0_1_lut (.A(RASr2), .Z(RASr2_N_63)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(143[40:46]) - defparam RASr2_I_0_1_lut.init = 16'h5555; - ORCALUT4 i847_2_lut_4_lut (.A(n2385), .B(Din_c_4), .C(Din_c_5), .D(XOR8MEG_N_112), - .Z(PHI2_N_114_enable_2)) /* synthesis lut_function=(!(A+(B+(C+!(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(310[8:22]) - defparam i847_2_lut_4_lut.init = 16'h0100; - ORCALUT4 i1_4_lut_adj_14 (.A(n2108), .B(MAin_c_0), .C(n4_adj_1), .D(n2289), - .Z(ADSubmitted_N_234)) /* synthesis lut_function=(!((((D)+!C)+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(309[7:24]) - defparam i1_4_lut_adj_14.init = 16'h0080; - ORCALUT4 i1_2_lut_adj_15 (.A(nRowColSel_N_33), .B(CASr2), .Z(n11_adj_3)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(48[6:16]) - defparam i1_2_lut_adj_15.init = 16'hbbbb; - FD1S3AX FS_577__i17 (.D(n78), .CK(RCLK_c), .Q(FS[17])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i17.GSR = "ENABLED"; - ORCALUT4 i1_2_lut_3_lut_3_lut (.A(nFWE_c), .B(Din_c_2), .C(n2114), - .Z(n4_adj_1)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; - defparam i1_2_lut_3_lut_3_lut.init = 16'h4040; - FD1S3AX FS_577__i16 (.D(n79), .CK(RCLK_c), .Q(FS[16])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i16.GSR = "ENABLED"; - FD1S3AX FS_577__i15 (.D(n80), .CK(RCLK_c), .Q(FS[15])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i15.GSR = "ENABLED"; - ORCALUT4 nRWE_I_0_428_4_lut (.A(n2164), .B(nRWE_N_174), .C(Ready), - .D(n2292), .Z(nRWE_N_167)) /* synthesis lut_function=(A (B (C+(D))+!B !(C+!(D)))+!A (B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(232[12] 284[6]) - defparam nRWE_I_0_428_4_lut.init = 16'hcfc5; - ORCALUT4 i1257_3_lut (.A(n1895), .B(CmdUFMSDI), .C(InitReady), .Z(UFMSDI_N_219)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) - defparam i1257_3_lut.init = 16'hcaca; - ORCALUT4 RCKE_I_0_423_4_lut (.A(RASr), .B(RCKEEN), .C(RASr2), .D(RASr3), - .Z(RCKE_N_128)) /* synthesis lut_function=(A (B+!(C+!(D)))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(143[11:55]) - defparam RCKE_I_0_423_4_lut.init = 16'hcfc8; - FD1P3AX InitReady_367 (.D(n2387), .SP(RCLK_c_enable_6), .CK(RCLK_c), - .Q(InitReady)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(134[9] 138[5]) - defparam InitReady_367.GSR = "ENABLED"; - ORCALUT4 i1_2_lut_adj_16 (.A(nRowColSel_N_32), .B(nRowColSel_N_33), - .Z(n1628)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_2_lut_adj_16.init = 16'heeee; - ORCALUT4 i1854_2_lut (.A(nRCAS_N_161), .B(nRWE_N_173), .Z(n2164)) /* synthesis lut_function=(A+(B)) */ ; - defparam i1854_2_lut.init = 16'heeee; - ORCALUT4 i1_2_lut_rep_17_4_lut (.A(FS[16]), .B(n10), .C(FS[17]), .D(FS[11]), - .Z(n2294)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i1_2_lut_rep_17_4_lut.init = 16'hfffe; - ORCALUT4 i1881_2_lut_rep_24 (.A(RASr2), .B(InitReady), .Z(n2301)) /* synthesis lut_function=(!(A (B))) */ ; - defparam i1881_2_lut_rep_24.init = 16'h7777; - GSR GSR_INST (.GSR(VCC_net)); - ORCALUT4 i1_2_lut_rep_18_2_lut (.A(nFWE_c), .B(n2114), .Z(n2295)) /* synthesis lut_function=(!(A+!(B))) */ ; - defparam i1_2_lut_rep_18_2_lut.init = 16'h4444; - FD1S3AX FS_577__i14 (.D(n81), .CK(RCLK_c), .Q(FS[14])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i14.GSR = "ENABLED"; - ORCALUT4 i2_3_lut_3_lut (.A(InitReady), .B(FS[5]), .C(n2119), .Z(RCLK_c_enable_25)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(384[16:26]) - defparam i2_3_lut_3_lut.init = 16'h4040; - ORCALUT4 i2_3_lut_adj_17 (.A(Din_c_6), .B(Din_c_5), .C(Din_c_3), .Z(n2108)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(309[7:24]) - defparam i2_3_lut_adj_17.init = 16'h4040; - ORCALUT4 i2_4_lut_adj_18 (.A(FS[6]), .B(n2293), .C(n2103), .D(FS[10]), - .Z(n1895)) /* synthesis lut_function=(!((B+((D)+!C))+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam i2_4_lut_adj_18.init = 16'h0020; - ORCALUT4 i1_4_lut_adj_19 (.A(FS[8]), .B(FS[7]), .C(FS[5]), .D(FS[9]), - .Z(n2103)) /* synthesis lut_function=(!(A+(B (D)+!B !(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(339[4] 372[11]) - defparam i1_4_lut_adj_19.init = 16'h1044; - FD1P3AX XOR8MEG_381 (.D(Din_c_0), .SP(PHI2_N_114_enable_2), .CK(PHI2_N_114), - .Q(XOR8MEG)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam XOR8MEG_381.GSR = "ENABLED"; - FD1P3AX n8MEGEN_391 (.D(n8MEGEN_N_94), .SP(RCLK_c_enable_7), .CK(RCLK_c), - .Q(n8MEGEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam n8MEGEN_391.GSR = "ENABLED"; - FD1P3AX Ready_377 (.D(n2387), .SP(Ready_N_268), .CK(RCLK_c), .Q(Ready)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam Ready_377.GSR = "ENABLED"; - ORCALUT4 MAin_9__I_0_400_i2_3_lut (.A(RowA[1]), .B(MAin_c_1), .C(nRowColSel), - .Z(RA_c_1)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i2_3_lut.init = 16'hcaca; - FD1P3AX CmdUFMCLK_386 (.D(Din_c_1), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), - .Q(CmdUFMCLK)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam CmdUFMCLK_386.GSR = "ENABLED"; - FD1P3AX CmdUFMSDI_387 (.D(Din_c_0), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), - .Q(CmdUFMSDI)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam CmdUFMSDI_387.GSR = "ENABLED"; - FD1P3AX Cmdn8MEGEN_383 (.D(Cmdn8MEGEN_N_248), .SP(PHI2_N_114_enable_6), - .CK(PHI2_N_114), .Q(Cmdn8MEGEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam Cmdn8MEGEN_383.GSR = "ENABLED"; - FD1P3AX CmdSubmitted_384 (.D(n2387), .SP(PHI2_N_114_enable_6), .CK(PHI2_N_114), - .Q(CmdSubmitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam CmdSubmitted_384.GSR = "ENABLED"; - CCU2 FS_577_add_4_6 (.A0(FS[4]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[5]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1875), - .COUT1(n1876), .S0(n91), .S1(n90)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_6.INIT0 = 16'hfaaa; - defparam FS_577_add_4_6.INIT1 = 16'hfaaa; - defparam FS_577_add_4_6.INJECT1_0 = "NO"; - defparam FS_577_add_4_6.INJECT1_1 = "NO"; - FD1P3AX CmdUFMCS_385 (.D(Din_c_2), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), - .Q(CmdUFMCS)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam CmdUFMCS_385.GSR = "ENABLED"; - FD1S3AX FS_577__i13 (.D(n82), .CK(RCLK_c), .Q(FS[13])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i13.GSR = "ENABLED"; - ORCALUT4 i1875_2_lut (.A(nCRAS_c), .B(LEDEN), .Z(LED_N_90)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(13[15:34]) - defparam i1875_2_lut.init = 16'hbbbb; - FD1S3AX FS_577__i12 (.D(n83), .CK(RCLK_c), .Q(FS[12])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i12.GSR = "ENABLED"; - PFUMX RCKEEN_I_0_419 (.BLUT(RCKEEN_N_117), .ALUT(RCKEEN_N_126), .C0(nRowColSel_N_35), - .Z(RCKEEN_N_116)); - ORCALUT4 i1856_4_lut (.A(Bank[0]), .B(Bank[5]), .C(MAin_c_2), .D(Bank[6]), - .Z(n2166)) /* synthesis lut_function=(A (B (C (D)))) */ ; - defparam i1856_4_lut.init = 16'h8000; - FD1S3AX FS_577__i11 (.D(n84), .CK(RCLK_c), .Q(FS[11])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i11.GSR = "ENABLED"; - ORCALUT4 i1844_2_lut (.A(Bank[7]), .B(MAin_c_4), .Z(n2154)) /* synthesis lut_function=(A (B)) */ ; - defparam i1844_2_lut.init = 16'h8888; - ORCALUT4 RA11_I_53_3_lut (.A(Din_c_6), .B(XOR8MEG), .C(n8MEGEN), .Z(RA11_N_180)) /* synthesis lut_function=(A (B (C)+!B !(C))+!A (B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(99[22:51]) - defparam RA11_I_53_3_lut.init = 16'hc6c6; - ORCALUT4 Ready_bdd_3_lut_1922 (.A(nRCAS_N_161), .B(nRCS_N_135), .C(InitReady), - .Z(n2248)) /* synthesis lut_function=(A+(B+!(C))) */ ; - defparam Ready_bdd_3_lut_1922.init = 16'hefef; - FD1P3IX UFMSDI_390 (.D(UFMSDI_N_219), .SP(RCLK_c_enable_24), .CD(n2291), - .CK(RCLK_c), .Q(UFMSDI_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam UFMSDI_390.GSR = "ENABLED"; - ORCALUT4 MAin_9__I_0_400_i10_3_lut (.A(RowA[9]), .B(MAin_c_9), .C(nRowColSel), - .Z(RA_c_9)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i10_3_lut.init = 16'hcaca; - ORCALUT4 i604_1_lut_rep_30 (.A(Ready), .Z(n2307)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam i604_1_lut_rep_30.init = 16'h5555; - FD1S3AX FS_577__i10 (.D(n85), .CK(RCLK_c), .Q(FS[10])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i10.GSR = "ENABLED"; - FD1S3AX FS_577__i9 (.D(n86), .CK(RCLK_c), .Q(FS[9])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i9.GSR = "ENABLED"; - FD1S3AX FS_577__i8 (.D(n87), .CK(RCLK_c), .Q(FS[8])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i8.GSR = "ENABLED"; - FD1S3AX FS_577__i7 (.D(n88), .CK(RCLK_c), .Q(FS[7])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i7.GSR = "ENABLED"; - FD1S3AX FS_577__i6 (.D(n89), .CK(RCLK_c), .Q(FS[6])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i6.GSR = "ENABLED"; - FD1S3AX FS_577__i5 (.D(n90), .CK(RCLK_c), .Q(FS[5])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i5.GSR = "ENABLED"; - FD1S3AX FS_577__i4 (.D(n91), .CK(RCLK_c), .Q(FS[4])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i4.GSR = "ENABLED"; - FD1S3AX FS_577__i3 (.D(n92), .CK(RCLK_c), .Q(FS[3])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i3.GSR = "ENABLED"; - FD1S3AX FS_577__i2 (.D(n93), .CK(RCLK_c), .Q(FS[2])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i2.GSR = "ENABLED"; - FD1S3AX FS_577__i1 (.D(n94), .CK(RCLK_c), .Q(FS[1])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i1.GSR = "ENABLED"; - FD1P3AX IS_FSM__i15 (.D(n699), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(Ready_N_272)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i15.GSR = "ENABLED"; - FD1P3AX IS_FSM__i14 (.D(n700), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n699)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i14.GSR = "ENABLED"; - FD1P3AX IS_FSM__i13 (.D(n701), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n700)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i13.GSR = "ENABLED"; - FD1P3AX IS_FSM__i12 (.D(n702), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n701)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i12.GSR = "ENABLED"; - FD1P3AX IS_FSM__i11 (.D(n703), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n702)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i11.GSR = "ENABLED"; - FD1P3AX IS_FSM__i10 (.D(nRWE_N_173), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n703)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i10.GSR = "ENABLED"; - FD1P3AX IS_FSM__i9 (.D(n705), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(nRWE_N_173)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i9.GSR = "ENABLED"; - FD1P3AX IS_FSM__i8 (.D(n706), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n705)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i8.GSR = "ENABLED"; - FD1P3AX IS_FSM__i7 (.D(n707), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n706)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i7.GSR = "ENABLED"; - FD1P3AX IS_FSM__i6 (.D(n708), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n707)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i6.GSR = "ENABLED"; - FD1P3AX IS_FSM__i5 (.D(n709), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n708)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i5.GSR = "ENABLED"; - FD1P3AX IS_FSM__i4 (.D(n710), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n709)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i4.GSR = "ENABLED"; - FD1P3AX IS_FSM__i3 (.D(n711), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n710)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i3.GSR = "ENABLED"; - FD1P3AX IS_FSM__i2 (.D(nRCAS_N_161), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n711)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i2.GSR = "ENABLED"; - FD1P3AX IS_FSM__i1 (.D(nRCS_N_135), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(nRCAS_N_161)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i1.GSR = "ENABLED"; - FD1S3IX RBA__i2 (.D(CROW_c_1), .CK(nCRAS_N_9), .CD(n2307), .Q(RBA_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RBA__i2.GSR = "ENABLED"; - FD1S3AX WRD_i7 (.D(Din_c_7), .CK(nCCAS_N_3), .Q(WRD[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i7.GSR = "ENABLED"; - FD1S3AX WRD_i6 (.D(Din_c_6), .CK(nCCAS_N_3), .Q(WRD[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i6.GSR = "ENABLED"; - FD1S3AX WRD_i5 (.D(Din_c_5), .CK(nCCAS_N_3), .Q(WRD[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i5.GSR = "ENABLED"; - FD1S3AX WRD_i4 (.D(Din_c_4), .CK(nCCAS_N_3), .Q(WRD[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i4.GSR = "ENABLED"; - FD1S3AX WRD_i3 (.D(Din_c_3), .CK(nCCAS_N_3), .Q(WRD[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i3.GSR = "ENABLED"; - FD1S3AX WRD_i2 (.D(Din_c_2), .CK(nCCAS_N_3), .Q(WRD[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i2.GSR = "ENABLED"; - FD1S3AX WRD_i1 (.D(Din_c_1), .CK(nCCAS_N_3), .Q(WRD[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i1.GSR = "ENABLED"; - FD1S3JX RowA_i9 (.D(MAin_c_9), .CK(nCRAS_N_9), .PD(n2307), .Q(RowA[9])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i9.GSR = "ENABLED"; - FD1S3IX RowA_i8 (.D(MAin_c_8), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[8])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i8.GSR = "ENABLED"; - FD1S3IX RowA_i7 (.D(MAin_c_7), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i7.GSR = "ENABLED"; - FD1S3IX RowA_i6 (.D(MAin_c_6), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i6.GSR = "ENABLED"; - FD1S3JX RowA_i5 (.D(MAin_c_5), .CK(nCRAS_N_9), .PD(n2307), .Q(RowA[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i5.GSR = "ENABLED"; - FD1S3IX RowA_i4 (.D(MAin_c_4), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i4.GSR = "ENABLED"; - FD1S3IX RowA_i3 (.D(MAin_c_3), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i3.GSR = "ENABLED"; - FD1S3IX RowA_i2 (.D(MAin_c_2), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i2.GSR = "ENABLED"; - FD1S3IX RowA_i1 (.D(MAin_c_1), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i1.GSR = "ENABLED"; - FD1S3AX Bank_i7 (.D(Din_c_7), .CK(PHI2_c), .Q(Bank[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i7.GSR = "ENABLED"; - ORCALUT4 i1_2_lut_rep_12 (.A(MAin_c_1), .B(n1285), .Z(n2289)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(80[15:31]) - defparam i1_2_lut_rep_12.init = 16'hdddd; - ORCALUT4 i1_2_lut_rep_15_3_lut_4_lut_4_lut (.A(nRowColSel_N_35), .B(nRCS_N_135), - .C(InitReady), .D(RASr2), .Z(n2292)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_2_lut_rep_15_3_lut_4_lut_4_lut.init = 16'hdfff; - ORCALUT4 i1_2_lut_4_lut_4_lut (.A(Ready), .B(nRowColSel_N_35), .C(RASr2), - .D(InitReady), .Z(RCLK_c_enable_23)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam i1_2_lut_4_lut_4_lut.init = 16'h4000; - FD1S3AX Bank_i6 (.D(Din_c_6), .CK(PHI2_c), .Q(Bank[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i6.GSR = "ENABLED"; - FD1S3AX Bank_i5 (.D(Din_c_5), .CK(PHI2_c), .Q(Bank[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i5.GSR = "ENABLED"; - FD1S3AX Bank_i4 (.D(Din_c_4), .CK(PHI2_c), .Q(Bank[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i4.GSR = "ENABLED"; - FD1S3AX Bank_i3 (.D(Din_c_3), .CK(PHI2_c), .Q(Bank[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i3.GSR = "ENABLED"; - FD1S3AX Bank_i2 (.D(Din_c_2), .CK(PHI2_c), .Q(Bank[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i2.GSR = "ENABLED"; - FD1S3AX Bank_i1 (.D(Din_c_1), .CK(PHI2_c), .Q(Bank[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i1.GSR = "ENABLED"; - BB Dout_pad_6__689 (.I(WRD[6]), .T(n962), .B(RD[6]), .O(n974)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - BB Dout_pad_5__690 (.I(WRD[5]), .T(n962), .B(RD[5]), .O(n975)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - BB Dout_pad_4__691 (.I(WRD[4]), .T(n962), .B(RD[4]), .O(n976)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - BB Dout_pad_3__692 (.I(WRD[3]), .T(n962), .B(RD[3]), .O(n977)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - BB Dout_pad_2__693 (.I(WRD[2]), .T(n962), .B(RD[2]), .O(n978)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - BB Dout_pad_1__694 (.I(WRD[1]), .T(n962), .B(RD[1]), .O(n979)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - ORCALUT4 nRWE_I_49_1_lut (.A(nRWE_N_173), .Z(nRWE_N_172)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(255[14] 262[8]) - defparam nRWE_I_49_1_lut.init = 16'h5555; - BB Dout_pad_0__695 (.I(WRD[0]), .T(n962), .B(RD[0]), .O(Dout_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - OB Dout_pad_7 (.I(n973), .O(Dout[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_6 (.I(n974), .O(Dout[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_5 (.I(n975), .O(Dout[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_4 (.I(n976), .O(Dout[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_3 (.I(n977), .O(Dout[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_2 (.I(n978), .O(Dout[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_1 (.I(n979), .O(Dout[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_0 (.I(Dout_c), .O(Dout[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB LED_pad (.I(LED_N_90), .O(LED)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(12[9:12]) - OB RBA_pad_1 (.I(RBA_c_1), .O(RBA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) - OB RBA_pad_0 (.I(RBA_c_0), .O(RBA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) - OB RA_pad_11 (.I(RA_c), .O(RA[11])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_10 (.I(n980), .O(RA[10])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_9 (.I(RA_c_9), .O(RA[9])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_8 (.I(RA_c_8), .O(RA[8])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_7 (.I(RA_c_7), .O(RA[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_6 (.I(RA_c_6), .O(RA[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_5 (.I(RA_c_5), .O(RA[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_4 (.I(RA_c_4), .O(RA[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_3 (.I(RA_c_3), .O(RA[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_2 (.I(RA_c_2), .O(RA[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_1 (.I(RA_c_1), .O(RA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_0 (.I(RA_c_0), .O(RA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB nRCS_pad (.I(nRCS_c), .O(nRCS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[13:17]) - OB RCKE_pad (.I(RCKE_c), .O(RCKE)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(45[13:17]) - OB nRWE_pad (.I(nRWE_c), .O(nRWE)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[45:49]) - OB nRRAS_pad (.I(nRRAS_c), .O(nRRAS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[23:28]) - OB nRCAS_pad (.I(nRCAS_c), .O(nRCAS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[34:39]) - OB RDQMH_pad (.I(RDQMH_c), .O(RDQMH)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[16:21]) - OB RDQML_pad (.I(RDQML_c), .O(RDQML)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[9:14]) - OB nUFMCS_pad (.I(nUFMCS_c), .O(nUFMCS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(63[13:19]) - OB UFMCLK_pad (.I(UFMCLK_c), .O(UFMCLK)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(64[13:19]) - OB UFMSDI_pad (.I(UFMSDI_c), .O(UFMSDI)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(65[13:19]) - IB PHI2_pad (.I(PHI2), .O(PHI2_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) - IB MAin_pad_9 (.I(MAin[9]), .O(MAin_c_9)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_8 (.I(MAin[8]), .O(MAin_c_8)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_7 (.I(MAin[7]), .O(MAin_c_7)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_6 (.I(MAin[6]), .O(MAin_c_6)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_5 (.I(MAin[5]), .O(MAin_c_5)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_4 (.I(MAin[4]), .O(MAin_c_4)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_3 (.I(MAin[3]), .O(MAin_c_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_2 (.I(MAin[2]), .O(MAin_c_2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_1 (.I(MAin[1]), .O(MAin_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_0 (.I(MAin[0]), .O(MAin_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB CROW_pad_1 (.I(CROW[1]), .O(CROW_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) - IB CROW_pad_0 (.I(CROW[0]), .O(CROW_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) - IB Din_pad_7 (.I(Din[7]), .O(Din_c_7)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_6 (.I(Din[6]), .O(Din_c_6)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_5 (.I(Din[5]), .O(Din_c_5)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_4 (.I(Din[4]), .O(Din_c_4)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_3 (.I(Din[3]), .O(Din_c_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_2 (.I(Din[2]), .O(Din_c_2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_1 (.I(Din[1]), .O(Din_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_0 (.I(Din[0]), .O(Din_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB nCCAS_pad (.I(nCCAS), .O(nCCAS_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) - IB nCRAS_pad (.I(nCRAS), .O(nCRAS_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) - IB nFWE_pad (.I(nFWE), .O(nFWE_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(36[8:12]) - IB RCLK_pad (.I(RCLK), .O(RCLK_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) - IB UFMSDO_pad (.I(UFMSDO), .O(UFMSDO_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(66[8:14]) - ORCALUT4 MAin_9__I_0_400_i9_3_lut (.A(RowA[8]), .B(MAin_c_8), .C(nRowColSel), - .Z(RA_c_8)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i9_3_lut.init = 16'hcaca; - CCU2 FS_577_add_4_4 (.A0(FS[2]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[3]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1874), - .COUT1(n1875), .S0(n93), .S1(n92)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_4.INIT0 = 16'hfaaa; - defparam FS_577_add_4_4.INIT1 = 16'hfaaa; - defparam FS_577_add_4_4.INJECT1_0 = "NO"; - defparam FS_577_add_4_4.INJECT1_1 = "NO"; - ORCALUT4 i1_2_lut_3_lut_4_lut_4_lut (.A(Ready), .B(nRowColSel_N_32), - .C(n1627), .D(nRowColSel_N_35), .Z(RCLK_c_enable_3)) /* synthesis lut_function=((B+(C+(D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam i1_2_lut_3_lut_4_lut_4_lut.init = 16'hfffd; - FD1P3IX UFMCLK_389 (.D(UFMCLK_N_212), .SP(RCLK_c_enable_24), .CD(n2291), - .CK(RCLK_c), .Q(UFMCLK_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam UFMCLK_389.GSR = "ENABLED"; - ORCALUT4 i2_2_lut_rep_22_2_lut (.A(Ready), .B(nRowColSel_N_35), .Z(n2299)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam i2_2_lut_rep_22_2_lut.init = 16'hdddd; - ORCALUT4 i2_3_lut_4_lut_adj_20 (.A(n2297), .B(n2301), .C(nRCAS_N_161), - .D(Ready), .Z(n2128)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i2_3_lut_4_lut_adj_20.init = 16'hfffe; - ORCALUT4 i2_3_lut_adj_21 (.A(nRowColSel_N_33), .B(nRRAS_c), .C(nRowColSel_N_32), - .Z(n50)) /* synthesis lut_function=(A+(B+(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i2_3_lut_adj_21.init = 16'hfefe; - ORCALUT4 MAin_9__I_0_400_i8_3_lut (.A(RowA[7]), .B(MAin_c_7), .C(nRowColSel), - .Z(RA_c_7)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i8_3_lut.init = 16'hcaca; - ORCALUT4 Ready_bdd_4_lut (.A(Ready), .B(n2117), .C(n2287), .D(nRowColSel_N_35), - .Z(nRCAS_N_157)) /* synthesis lut_function=(A (B (C (D))+!B (C+!(D)))+!A (C+!(D))) */ ; - defparam Ready_bdd_4_lut.init = 16'hf077; - ORCALUT4 i1366_3_lut (.A(InitReady), .B(RCKEEN_N_116), .C(Ready), - .Z(RCKEEN_N_115)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) - defparam i1366_3_lut.init = 16'hcaca; - ORCALUT4 i6_4_lut (.A(FS[15]), .B(n12_adj_2), .C(FS[11]), .D(FS[17]), - .Z(n2076)) /* synthesis lut_function=(A (B (C (D)))) */ ; - defparam i6_4_lut.init = 16'h8000; - ORCALUT4 i1_4_lut_4_lut (.A(CBR), .B(n11_adj_3), .C(FWEr), .D(nRowColSel_N_34), - .Z(RCKEEN_N_117)) /* synthesis lut_function=(!(A+!(B (C+(D))+!B (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(214[26:30]) - defparam i1_4_lut_4_lut.init = 16'h5540; - ORCALUT4 i1_2_lut_rep_11_3_lut (.A(nFWE_c), .B(n1285), .C(MAin_c_1), - .Z(PHI2_N_114_enable_1)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ; - defparam i1_2_lut_rep_11_3_lut.init = 16'h1010; - CCU2 FS_577_add_4_2 (.A0(FS[0]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[1]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(GND_net), - .COUT1(n1874), .S0(n95), .S1(n94)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_2.INIT0 = 16'h0555; - defparam FS_577_add_4_2.INIT1 = 16'hfaaa; - defparam FS_577_add_4_2.INJECT1_0 = "NO"; - defparam FS_577_add_4_2.INJECT1_1 = "NO"; - ORCALUT4 i3_4_lut_adj_22 (.A(Din_c_0), .B(Din_c_1), .C(Din_c_4), .D(Din_c_7), - .Z(n2114)) /* synthesis lut_function=(!((B+(C+!(D)))+!A)) */ ; - defparam i3_4_lut_adj_22.init = 16'h0200; - ORCALUT4 Ready_bdd_4_lut_1960 (.A(nRowColSel_N_32), .B(RASr2), .C(Ready_N_272), - .D(InitReady), .Z(n2245)) /* synthesis lut_function=(!((B+!(C (D)))+!A)) */ ; - defparam Ready_bdd_4_lut_1960.init = 16'h2000; - ORCALUT4 n2245_bdd_2_lut (.A(n2245), .B(Ready), .Z(Ready_N_268)) /* synthesis lut_function=(A+(B)) */ ; - defparam n2245_bdd_2_lut.init = 16'heeee; - ORCALUT4 n2248_bdd_4_lut_4_lut (.A(CBR), .B(RASr2), .C(Ready), .D(n2248), - .Z(n2287)) /* synthesis lut_function=(!(A (B (C+!(D)))+!A !((C+(D))+!B))) */ ; - defparam n2248_bdd_4_lut_4_lut.init = 16'h7f73; - FD1P3AX LEDEN_392 (.D(UFMSDO_N_74), .SP(RCLK_c_enable_25), .CK(RCLK_c), - .Q(LEDEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam LEDEN_392.GSR = "ENABLED"; - ORCALUT4 MAin_9__I_0_400_i7_3_lut (.A(RowA[6]), .B(MAin_c_6), .C(nRowColSel), - .Z(RA_c_6)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i7_3_lut.init = 16'hcaca; - ORCALUT4 MAin_9__I_0_400_i6_3_lut (.A(RowA[5]), .B(MAin_c_5), .C(nRowColSel), - .Z(RA_c_5)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i6_3_lut.init = 16'hcaca; - CCU2 FS_577_add_4_12 (.A0(FS[10]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[11]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1878), - .COUT1(n1879), .S0(n85), .S1(n84)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_12.INIT0 = 16'hfaaa; - defparam FS_577_add_4_12.INIT1 = 16'hfaaa; - defparam FS_577_add_4_12.INJECT1_0 = "NO"; - defparam FS_577_add_4_12.INJECT1_1 = "NO"; - FD1P3AX CmdEnable_378 (.D(CmdEnable_N_236), .SP(PHI2_N_114_enable_8), - .CK(PHI2_N_114), .Q(CmdEnable)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam CmdEnable_378.GSR = "ENABLED"; - CCU2 FS_577_add_4_16 (.A0(FS[14]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[15]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1880), - .COUT1(n1881), .S0(n81), .S1(n80)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_16.INIT0 = 16'hfaaa; - defparam FS_577_add_4_16.INIT1 = 16'hfaaa; - defparam FS_577_add_4_16.INJECT1_0 = "NO"; - defparam FS_577_add_4_16.INJECT1_1 = "NO"; - CCU2 FS_577_add_4_14 (.A0(FS[12]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[13]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1879), - .COUT1(n1880), .S0(n83), .S1(n82)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_14.INIT0 = 16'hfaaa; - defparam FS_577_add_4_14.INIT1 = 16'hfaaa; - defparam FS_577_add_4_14.INJECT1_0 = "NO"; - defparam FS_577_add_4_14.INJECT1_1 = "NO"; - ORCALUT4 MAin_9__I_0_400_i3_3_lut (.A(RowA[2]), .B(MAin_c_2), .C(nRowColSel), - .Z(RA_c_2)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i3_3_lut.init = 16'hcaca; - ORCALUT4 i1485_3_lut (.A(n2076), .B(n1369), .C(InitReady), .Z(n1348)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) - defparam i1485_3_lut.init = 16'hcaca; - ORCALUT4 i1_2_lut_2_lut (.A(nRowColSel_N_35), .B(nRowColSel_N_34), .Z(n18)) /* synthesis lut_function=(!(A+!(B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_2_lut_2_lut.init = 16'h4444; - ORCALUT4 i1_2_lut_rep_20_2_lut (.A(nRowColSel_N_35), .B(nRCS_N_135), - .Z(n2297)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_2_lut_rep_20_2_lut.init = 16'hdddd; - ORCALUT4 i1062_3_lut (.A(nUFMCS_c), .B(CmdUFMCS), .C(n2308), .Z(n1369)) /* synthesis lut_function=(!(A (B (C))+!A (B+!(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam i1062_3_lut.init = 16'h3a3a; - ORCALUT4 MAin_9__I_0_400_i4_3_lut (.A(RowA[3]), .B(MAin_c_3), .C(nRowColSel), - .Z(RA_c_3)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i4_3_lut.init = 16'hcaca; - ORCALUT4 MAin_9__I_0_400_i1_3_lut (.A(RowA[0]), .B(MAin_c_0), .C(nRowColSel), - .Z(RA_c_0)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i1_3_lut.init = 16'hcaca; - INV i1961 (.A(nCRAS_c), .Z(nCRAS_N_9)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) - INV i1962 (.A(PHI2_c), .Z(PHI2_N_114)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) - VLO i1 (.Z(GND_net)); - TSALL TSALL_INST (.TSALL(GND_net)); - ORCALUT4 i1070_1_lut_rep_25 (.A(nRowColSel_N_35), .Z(n2302)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1070_1_lut_rep_25.init = 16'h5555; - PUR PUR_INST (.PUR(VCC_net)); - defparam PUR_INST.RST_PULSE = 1; - ORCALUT4 i13_4_lut (.A(Bank[3]), .B(n26), .C(n2170), .D(MAin_c_5), - .Z(n1285)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; - defparam i13_4_lut.init = 16'hdfff; - ORCALUT4 i12_4_lut (.A(Bank[2]), .B(n2166), .C(n2154), .D(MAin_c_6), - .Z(n26)) /* synthesis lut_function=(A+!(B (C (D)))) */ ; - defparam i12_4_lut.init = 16'hbfff; - ORCALUT4 i1860_4_lut (.A(MAin_c_7), .B(MAin_c_3), .C(Bank[4]), .D(Bank[1]), - .Z(n2170)) /* synthesis lut_function=(A (B (C (D)))) */ ; - defparam i1860_4_lut.init = 16'h8000; - ORCALUT4 m0_lut (.Z(n2386)) /* synthesis lut_function=0, syn_instantiated=1 */ ; - defparam m0_lut.init = 16'h0000; - PFUMX i1934 (.BLUT(n2309), .ALUT(n2310), .C0(Ready), .Z(nRCS_N_132)); - -endmodule -// -// Verilog Description of module TSALL -// module not written out since it is a black-box. -// - -// -// Verilog Description of module PUR -// module not written out since it is a black-box. -// - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf b/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf deleted file mode 100644 index 420deec..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf +++ /dev/null @@ -1,89 +0,0 @@ -PERIOD NET "PHI2_c" 350.000000 ns ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -PERIOD NET "RCLK_c" 15.000000 ns ; -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -VOLTAGE 3.300 V; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; -COMMERCIAL ; \ No newline at end of file diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp b/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp deleted file mode 100644 index fc768e6..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp +++ /dev/null @@ -1,155 +0,0 @@ -VOLTAGE 3.300 V; -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -IOBUF PORT "RD[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "LED" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RBA[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RBA[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[11]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[10]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[9]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[8]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRCS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RCKE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRWE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRRAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRCAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RDQMH" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RDQML" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nUFMCS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMCLK" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMSDI" IO_TYPE=LVCMOS25 ; -IOBUF PORT "PHI2" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[9]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[8]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "CROW[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "CROW[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nCCAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nCRAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nFWE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RCLK" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMSDO" IO_TYPE=LVCMOS25 ; -PERIOD NET "PHI2_c" 350.000000 ns ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -PERIOD NET "RCLK_c" 15.000000 ns ; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp0 b/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp0 deleted file mode 100644 index f59c75e..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp0 +++ /dev/null @@ -1,88 +0,0 @@ -PERIOD NET "PHI2_c" 350.000000 ns ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -PERIOD NET "RCLK_c" 15.000000 ns ; -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -VOLTAGE 3.300 V; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp2 b/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp2 deleted file mode 100644 index fc768e6..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp2 +++ /dev/null @@ -1,155 +0,0 @@ -VOLTAGE 3.300 V; -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -IOBUF PORT "RD[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "LED" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RBA[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RBA[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[11]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[10]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[9]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[8]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRCS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RCKE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRWE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRRAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRCAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RDQMH" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RDQML" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nUFMCS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMCLK" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMSDI" IO_TYPE=LVCMOS25 ; -IOBUF PORT "PHI2" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[9]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[8]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "CROW[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "CROW[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nCCAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nCRAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nFWE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RCLK" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMSDO" IO_TYPE=LVCMOS25 ; -PERIOD NET "PHI2_c" 350.000000 ns ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -PERIOD NET "RCLK_c" 15.000000 ns ; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf_hold.html b/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf_hold.html deleted file mode 100644 index 14546c8..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf_hold.html +++ /dev/null @@ -1,2080 +0,0 @@ - - - - - - - -
    
    ---------------------------------------------------------------------------------
    -Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2
    -Mon Aug 16 20:23:38 2021
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -Report Information
    -------------------
    -Design file:     RAM2GS
    -Device,speed:    LCMXO256C,M
    -Report level:    verbose report, limited to 10 items per preference
    ---------------------------------------------------------------------------------
    -
    -
    -Derating parameters
    --------------------
    -Voltage:    3.300 V
    -
    -
    -
    -================================================================================
    -Preference: PERIOD NET "PHI2_c" 350.000000 ns  ;
    -            10 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    - 
    -
    -Passed: The following path meets requirements by 0.540ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              ADSubmitted_375  (from PHI2_c -)
    -   Destination:    FF         Data in        ADSubmitted_375  (to PHI2_c -)
    -
    -   Delay:               0.517ns  (50.7% logic, 49.3% route), 2 logic levels.
    -
    - Constraint Details:
    -
    -      0.517ns physical path delay SLICE_9 to SLICE_9 meets
    -     -0.023ns DIN_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.023ns) by 0.540ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_9 to SLICE_9:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R6C3C.CLK to       R6C3C.Q0 SLICE_9 (from PHI2_c)
    -ROUTE         2     0.255       R6C3C.Q0 to R6C3C.B0       ADSubmitted
    -CTOF_DEL    ---     0.092       R6C3C.B0 to       R6C3C.F0 SLICE_9
    -ROUTE         1     0.000       R6C3C.F0 to R6C3C.DI0      n1355 (to PHI2_c)
    -                  --------
    -                    0.517   (50.7% logic, 49.3% route), 2 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_9:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C3C.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_9:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C3C.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.089ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    -   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    -                   FF                        CmdUFMCLK_380
    -
    -   Delay:               1.060ns  (33.4% logic, 66.6% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.060ns physical path delay SLICE_18 to SLICE_83 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.089ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_18 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R6C4D.CLK to       R6C4D.Q0 SLICE_18 (from PHI2_c)
    -ROUTE         1     0.174       R6C4D.Q0 to R6C3A.D1       CmdEnable
    -CTOF_DEL    ---     0.092       R6C3A.D1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     0.354       R6C3A.F1 to R4C5A.C1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.092       R4C5A.C1 to       R4C5A.F1 SLICE_73
    -ROUTE         2     0.178       R4C5A.F1 to R5C5D.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                    1.060   (33.4% logic, 66.6% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R5C5D.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.165ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    -   Destination:    FF         Data in        CmdSubmitted_378  (to PHI2_c -)
    -
    -   Delay:               1.136ns  (31.2% logic, 68.8% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.136ns physical path delay SLICE_18 to SLICE_19 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.165ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_18 to SLICE_19:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R6C4D.CLK to       R6C4D.Q0 SLICE_18 (from PHI2_c)
    -ROUTE         1     0.174       R6C4D.Q0 to R6C3A.D1       CmdEnable
    -CTOF_DEL    ---     0.092       R6C3A.D1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     0.181       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.092       R6C3A.B0 to       R6C3A.F0 SLICE_76
    -ROUTE         2     0.427       R6C3A.F0 to R7C4D.CE       PHI2_N_114_enable_6 (to PHI2_c)
    -                  --------
    -                    1.136   (31.2% logic, 68.8% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_19:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R7C4D.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.212ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    -   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    -
    -   Delay:               1.183ns  (29.9% logic, 70.1% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.183ns physical path delay SLICE_18 to SLICE_77 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.212ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_18 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R6C4D.CLK to       R6C4D.Q0 SLICE_18 (from PHI2_c)
    -ROUTE         1     0.174       R6C4D.Q0 to R6C3A.D1       CmdEnable
    -CTOF_DEL    ---     0.092       R6C3A.D1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     0.354       R6C3A.F1 to R4C5A.C1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.092       R4C5A.C1 to       R4C5A.F1 SLICE_73
    -ROUTE         2     0.301       R4C5A.F1 to R7C5C.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                    1.183   (29.9% logic, 70.1% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R7C5C.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.247ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    -   Destination:    FF         Data in        XOR8MEG_376  (to PHI2_c -)
    -
    -   Delay:               1.218ns  (29.1% logic, 70.9% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.218ns physical path delay SLICE_18 to SLICE_94 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.247ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_18 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R6C4D.CLK to       R6C4D.Q0 SLICE_18 (from PHI2_c)
    -ROUTE         1     0.174       R6C4D.Q0 to R6C3A.D1       CmdEnable
    -CTOF_DEL    ---     0.092       R6C3A.D1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     0.266       R6C3A.F1 to R7C3C.A0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.092       R7C3C.A0 to       R7C3C.F0 SLICE_97
    -ROUTE         1     0.424       R7C3C.F0 to R8C5C.CE       PHI2_N_114_enable_2 (to PHI2_c)
    -                  --------
    -                    1.218   (29.1% logic, 70.9% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R8C5C.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.288ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    -   Destination:    FF         Data in        Cmdn8MEGEN_377  (to PHI2_c -)
    -
    -   Delay:               1.259ns  (28.1% logic, 71.9% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.259ns physical path delay SLICE_18 to SLICE_23 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.288ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_18 to SLICE_23:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R6C4D.CLK to       R6C4D.Q0 SLICE_18 (from PHI2_c)
    -ROUTE         1     0.174       R6C4D.Q0 to R6C3A.D1       CmdEnable
    -CTOF_DEL    ---     0.092       R6C3A.D1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     0.181       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.092       R6C3A.B0 to       R6C3A.F0 SLICE_76
    -ROUTE         2     0.550       R6C3A.F0 to R7C3A.CE       PHI2_N_114_enable_6 (to PHI2_c)
    -                  --------
    -                    1.259   (28.1% logic, 71.9% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_23:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R7C3A.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.392ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              C1Submitted_374  (from PHI2_c -)
    -   Destination:    FF         Data in        CmdEnable_373  (to PHI2_c -)
    -
    -   Delay:               1.363ns  (37.1% logic, 62.9% route), 4 logic levels.
    -
    - Constraint Details:
    -
    -      1.363ns physical path delay SLICE_14 to SLICE_18 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.392ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_14 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R6C4C.CLK to       R6C4C.Q0 SLICE_14 (from PHI2_c)
    -ROUTE         1     0.256       R6C4C.Q0 to R6C3D.A1       C1Submitted
    -CTOOFX_DEL  ---     0.151       R6C3D.A1 to     R6C3D.OFX0 i26/SLICE_70
    -ROUTE         1     0.269     R6C3D.OFX0 to R6C4A.B1       n13
    -CTOF_DEL    ---     0.092       R6C4A.B1 to       R6C4A.F1 SLICE_80
    -ROUTE         1     0.172       R6C4A.F1 to R6C4A.B0       n6
    -CTOF_DEL    ---     0.092       R6C4A.B0 to       R6C4A.F0 SLICE_80
    -ROUTE         1     0.161       R6C4A.F0 to R6C4D.CE       PHI2_N_114_enable_8 (to PHI2_c)
    -                  --------
    -                    1.363   (37.1% logic, 62.9% route), 4 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_14:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C4C.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.395ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              ADSubmitted_375  (from PHI2_c -)
    -   Destination:    FF         Data in        CmdEnable_373  (to PHI2_c -)
    -
    -   Delay:               1.366ns  (37.3% logic, 62.7% route), 4 logic levels.
    -
    - Constraint Details:
    -
    -      1.366ns physical path delay SLICE_9 to SLICE_18 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.395ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_9 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R6C3C.CLK to       R6C3C.Q0 SLICE_9 (from PHI2_c)
    -ROUTE         2     0.255       R6C3C.Q0 to R6C3D.B0       ADSubmitted
    -CTOOFX_DEL  ---     0.155       R6C3D.B0 to     R6C3D.OFX0 i26/SLICE_70
    -ROUTE         1     0.269     R6C3D.OFX0 to R6C4A.B1       n13
    -CTOF_DEL    ---     0.092       R6C4A.B1 to       R6C4A.F1 SLICE_80
    -ROUTE         1     0.172       R6C4A.F1 to R6C4A.B0       n6
    -CTOF_DEL    ---     0.092       R6C4A.B0 to       R6C4A.F0 SLICE_80
    -ROUTE         1     0.161       R6C4A.F0 to R6C4D.CE       PHI2_N_114_enable_8 (to PHI2_c)
    -                  --------
    -                    1.366   (37.3% logic, 62.7% route), 4 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_9:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C3C.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 175.744ns (weighted slack = 351.488ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              XOR8MEG_376  (from PHI2_c -)
    -   Destination:    FF         Data in        RA11_353  (to PHI2_c +)
    -
    -   Delay:               0.733ns  (35.7% logic, 64.3% route), 2 logic levels.
    -
    - Constraint Details:
    -
    -      0.733ns physical path delay SLICE_94 to SLICE_31 meets
    -     -0.011ns DIN_HLD and
    -    -175.000ns delay constraint less
    -      0.000ns skew requirement (totaling -175.011ns) by 175.744ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_94 to SLICE_31:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R8C5C.CLK to       R8C5C.Q0 SLICE_94 (from PHI2_c)
    -ROUTE         1     0.471       R8C5C.Q0 to R2C5A.C0       XOR8MEG
    -CTOF_DEL    ---     0.092       R2C5A.C0 to       R2C5A.F0 SLICE_31
    -ROUTE         1     0.000       R2C5A.F0 to R2C5A.DI0      RA11_N_180 (to PHI2_c)
    -                  --------
    -                    0.733   (35.7% logic, 64.3% route), 2 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R8C5C.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_31:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R2C5A.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 176.433ns (weighted slack = 352.866ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i3  (from PHI2_c +)
    -   Destination:    FF         Data in        C1Submitted_374  (to PHI2_c -)
    -
    -   Delay:               1.404ns  (24.3% logic, 75.7% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.404ns physical path delay SLICE_92 to SLICE_14 meets
    -     -0.029ns CE_HLD and
    -    -175.000ns delay constraint less
    -      0.000ns skew requirement (totaling -175.029ns) by 176.433ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_92 to SLICE_14:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C3B.CLK to       R2C3B.Q1 SLICE_92 (from PHI2_c)
    -ROUTE         1     0.502       R2C3B.Q1 to R5C4B.A1       Bank_3
    -CTOF_DEL    ---     0.092       R5C4B.A1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     0.137       R5C4B.F1 to R5C4B.C0       n1279
    -CTOF_DEL    ---     0.092       R5C4B.C0 to       R5C4B.F0 SLICE_74
    -ROUTE         1     0.424       R5C4B.F0 to R6C4C.CE       PHI2_N_114_enable_1 (to PHI2_c)
    -                  --------
    -                    1.404   (24.3% logic, 75.7% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_92:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R2C3B.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_14:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.200       39.PADDI to R6C4C.CLK      PHI2_c
    -                  --------
    -                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -================================================================================
    -Preference: PERIOD NET "nCCAS_c" 350.000000 ns  ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: PERIOD NET "nCRAS_c" 350.000000 ns  ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: PERIOD NET "RCLK_c" 15.000000 ns  ;
    -            10 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i2  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i3  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_101 to SLICE_101 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_101 to SLICE_101:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R5C4C.CLK to       R5C4C.Q0 SLICE_101 (from RCLK_c)
    -ROUTE         1     0.161       R5C4C.Q0 to R5C4C.M1       n705 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_101:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R5C4C.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_101:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R5C4C.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i4  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i5  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_81 to SLICE_81 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_81 to SLICE_81:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R4C4C.CLK to       R4C4C.Q0 SLICE_81 (from RCLK_c)
    -ROUTE         1     0.161       R4C4C.Q0 to R4C4C.M1       n703 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_81:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R4C4C.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_81:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R4C4C.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i12  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i13  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_84 to SLICE_84 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_84 to SLICE_84:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R5C4D.CLK to       R5C4D.Q0 SLICE_84 (from RCLK_c)
    -ROUTE         1     0.161       R5C4D.Q0 to R5C4D.M1       n695 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_84:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R5C4D.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_84:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R5C4D.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i8  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i9  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_95 to SLICE_95 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_95 to SLICE_95:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R6C2A.CLK to       R6C2A.Q0 SLICE_95 (from RCLK_c)
    -ROUTE         1     0.161       R6C2A.Q0 to R6C2A.M1       n699 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_95:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R6C2A.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_95:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R6C2A.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i11  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i12  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_96 to SLICE_84 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_96 to SLICE_84:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R5C4A.CLK to       R5C4A.Q1 SLICE_96 (from RCLK_c)
    -ROUTE         1     0.161       R5C4A.Q1 to R5C4D.M0       n696 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_96:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R5C4A.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_84:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R5C4D.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i10  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i11  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_96 to SLICE_96 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_96 to SLICE_96:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R5C4A.CLK to       R5C4A.Q0 SLICE_96 (from RCLK_c)
    -ROUTE         1     0.161       R5C4A.Q0 to R5C4A.M1       n697 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_96:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R5C4A.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_96:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R5C4A.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              PHI2r_344  (from RCLK_c +)
    -   Destination:    FF         Data in        PHI2r2_345  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_97 to SLICE_88 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_97 to SLICE_88:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C3C.CLK to       R7C3C.Q1 SLICE_97 (from RCLK_c)
    -ROUTE         1     0.161       R7C3C.Q1 to R7C3B.M1       PHI2r (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_97:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R7C3C.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_88:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R7C3B.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i14  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i15  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_99 to SLICE_99 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_99 to SLICE_99:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R3C5C.CLK to       R3C5C.Q0 SLICE_99 (from RCLK_c)
    -ROUTE         1     0.161       R3C5C.Q0 to R3C5C.M1       n693 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_99:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R3C5C.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_99:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R3C5C.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.345ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              PHI2r2_345  (from RCLK_c +)
    -   Destination:    FF         Data in        PHI2r3_346  (to RCLK_c +)
    -
    -   Delay:               0.324ns  (48.5% logic, 51.5% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.324ns physical path delay SLICE_88 to SLICE_97 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.345ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_88 to SLICE_97:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C3B.CLK to       R7C3B.Q1 SLICE_88 (from RCLK_c)
    -ROUTE         3     0.167       R7C3B.Q1 to R7C3C.M0       PHI2r2 (to RCLK_c)
    -                  --------
    -                    0.324   (48.5% logic, 51.5% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_88:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R7C3B.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_97:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R7C3C.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.345ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i15  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i0  (to RCLK_c +)
    -
    -   Delay:               0.324ns  (48.5% logic, 51.5% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.324ns physical path delay SLICE_99 to SLICE_87 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.345ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_99 to SLICE_87:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R3C5C.CLK to       R3C5C.Q1 SLICE_99 (from RCLK_c)
    -ROUTE         2     0.167       R3C5C.Q1 to R3C5A.M0       Ready_N_272 (to RCLK_c)
    -                  --------
    -                    0.324   (48.5% logic, 51.5% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_99:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R3C5C.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_87:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.413       86.PADDI to R3C5A.CLK      RCLK_c
    -                  --------
    -                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.220ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RA10_368  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[10]
    -
    -   Data Path Delay:     1.733ns  (73.0% logic, 27.0% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_55 and
    -      1.733ns delay SLICE_55 to RA[10] (totaling 2.220ns) meets
    -      0.000ns hold offset RCLK to RA[10] by 2.220ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_55:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C4B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_55 to RA[10]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C4B.CLK to       R2C4B.Q0 SLICE_55 (from RCLK_c)
    -ROUTE         1     0.468       R2C4B.Q0 to 87.PADDO       n974
    -DOPAD_DEL   ---     1.108       87.PADDO to         87.PAD RA[10]
    -                  --------
    -                    1.733   (73.0% logic, 27.0% route), 2 logic levels.
    -
    -Report:    2.220ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.805ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[9]
    -
    -   Data Path Delay:     2.318ns  (58.5% logic, 41.5% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.318ns delay SLICE_64 to RA[9] (totaling 2.805ns) meets
    -      0.000ns hold offset RCLK to RA[9] by 2.805ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[9]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.469       R7C2B.Q0 to R3C5A.D1       nRowColSel
    -CTOF_DEL    ---     0.092       R3C5A.D1 to       R3C5A.F1 SLICE_87
    -ROUTE         1     0.492       R3C5A.F1 to 85.PADDO       RA_c_9
    -DOPAD_DEL   ---     1.108       85.PADDO to         85.PAD RA[9]
    -                  --------
    -                    2.318   (58.5% logic, 41.5% route), 3 logic levels.
    -
    -Report:    2.805ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.476ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[8]
    -
    -   Data Path Delay:     1.989ns  (68.2% logic, 31.8% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      1.989ns delay SLICE_64 to RA[8] (totaling 2.476ns) meets
    -      0.000ns hold offset RCLK to RA[8] by 2.476ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[8]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.435       R7C2B.Q0 to R2C2C.D0       nRowColSel
    -CTOF_DEL    ---     0.092       R2C2C.D0 to       R2C2C.F0 SLICE_98
    -ROUTE         1     0.197       R2C2C.F0 to 96.PADDO       RA_c_8
    -DOPAD_DEL   ---     1.108       96.PADDO to         96.PAD RA[8]
    -                  --------
    -                    1.989   (68.2% logic, 31.8% route), 3 logic levels.
    -
    -Report:    2.476ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.460ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[7]
    -
    -   Data Path Delay:     1.973ns  (68.8% logic, 31.2% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      1.973ns delay SLICE_64 to RA[7] (totaling 2.460ns) meets
    -      0.000ns hold offset RCLK to RA[7] by 2.460ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[7]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.222       R7C2B.Q0 to R7C2B.C1       nRowColSel
    -CTOF_DEL    ---     0.092       R7C2B.C1 to       R7C2B.F1 SLICE_64
    -ROUTE         1     0.394       R7C2B.F1 to 100.PADDO      RA_c_7
    -DOPAD_DEL   ---     1.108      100.PADDO to        100.PAD RA[7]
    -                  --------
    -                    1.973   (68.8% logic, 31.2% route), 3 logic levels.
    -
    -Report:    2.460ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.759ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[6]
    -
    -   Data Path Delay:     2.272ns  (59.7% logic, 40.3% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.272ns delay SLICE_64 to RA[6] (totaling 2.759ns) meets
    -      0.000ns hold offset RCLK to RA[6] by 2.759ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[6]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.435       R7C2B.Q0 to R2C2C.D1       nRowColSel
    -CTOF_DEL    ---     0.092       R2C2C.D1 to       R2C2C.F1 SLICE_98
    -ROUTE         1     0.480       R2C2C.F1 to 91.PADDO       RA_c_6
    -DOPAD_DEL   ---     1.108       91.PADDO to         91.PAD RA[6]
    -                  --------
    -                    2.272   (59.7% logic, 40.3% route), 3 logic levels.
    -
    -Report:    2.759ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.516ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[5]
    -
    -   Data Path Delay:     2.029ns  (66.9% logic, 33.1% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.029ns delay SLICE_64 to RA[5] (totaling 2.516ns) meets
    -      0.000ns hold offset RCLK to RA[5] by 2.516ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[5]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.278       R7C2B.Q0 to R6C2A.A1       nRowColSel
    -CTOF_DEL    ---     0.092       R6C2A.A1 to       R6C2A.F1 SLICE_95
    -ROUTE         1     0.394       R6C2A.F1 to 95.PADDO       RA_c_5
    -DOPAD_DEL   ---     1.108       95.PADDO to         95.PAD RA[5]
    -                  --------
    -                    2.029   (66.9% logic, 33.1% route), 3 logic levels.
    -
    -Report:    2.516ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.635ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[4]
    -
    -   Data Path Delay:     2.148ns  (63.2% logic, 36.8% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.148ns delay SLICE_64 to RA[4] (totaling 2.635ns) meets
    -      0.000ns hold offset RCLK to RA[4] by 2.635ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[4]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.435       R7C2B.Q0 to R2C2B.D1       nRowColSel
    -CTOF_DEL    ---     0.092       R2C2B.D1 to       R2C2B.F1 SLICE_93
    -ROUTE         1     0.356       R2C2B.F1 to 99.PADDO       RA_c_4
    -DOPAD_DEL   ---     1.108       99.PADDO to         99.PAD RA[4]
    -                  --------
    -                    2.148   (63.2% logic, 36.8% route), 3 logic levels.
    -
    -Report:    2.635ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.758ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[3]
    -
    -   Data Path Delay:     2.271ns  (59.8% logic, 40.2% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.271ns delay SLICE_64 to RA[3] (totaling 2.758ns) meets
    -      0.000ns hold offset RCLK to RA[3] by 2.758ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[3]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.446       R7C2B.Q0 to R2C3B.D1       nRowColSel
    -CTOF_DEL    ---     0.092       R2C3B.D1 to       R2C3B.F1 SLICE_92
    -ROUTE         1     0.468       R2C3B.F1 to 97.PADDO       RA_c_3
    -DOPAD_DEL   ---     1.108       97.PADDO to         97.PAD RA[3]
    -                  --------
    -                    2.271   (59.8% logic, 40.2% route), 3 logic levels.
    -
    -Report:    2.758ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.487ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[2]
    -
    -   Data Path Delay:     2.000ns  (67.8% logic, 32.1% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.000ns delay SLICE_64 to RA[2] (totaling 2.487ns) meets
    -      0.000ns hold offset RCLK to RA[2] by 2.487ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[2]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.446       R7C2B.Q0 to R2C3A.D0       nRowColSel
    -CTOF_DEL    ---     0.092       R2C3A.D0 to       R2C3A.F0 SLICE_90
    -ROUTE         1     0.197       R2C3A.F0 to 94.PADDO       RA_c_2
    -DOPAD_DEL   ---     1.108       94.PADDO to         94.PAD RA[2]
    -                  --------
    -                    2.000   (67.8% logic, 32.1% route), 3 logic levels.
    -
    -Report:    2.487ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.487ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[1]
    -
    -   Data Path Delay:     2.000ns  (67.8% logic, 32.1% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.000ns delay SLICE_64 to RA[1] (totaling 2.487ns) meets
    -      0.000ns hold offset RCLK to RA[1] by 2.487ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[1]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.446       R7C2B.Q0 to R2C3B.D0       nRowColSel
    -CTOF_DEL    ---     0.092       R2C3B.D0 to       R2C3B.F0 SLICE_92
    -ROUTE         1     0.197       R2C3B.F0 to 89.PADDO       RA_c_1
    -DOPAD_DEL   ---     1.108       89.PADDO to         89.PAD RA[1]
    -                  --------
    -                    2.000   (67.8% logic, 32.1% route), 3 logic levels.
    -
    -Report:    2.487ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.476ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[0]
    -
    -   Data Path Delay:     1.989ns  (68.2% logic, 31.8% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      1.989ns delay SLICE_64 to RA[0] (totaling 2.476ns) meets
    -      0.000ns hold offset RCLK to RA[0] by 2.476ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[0]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.435       R7C2B.Q0 to R2C2B.D0       nRowColSel
    -CTOF_DEL    ---     0.092       R2C2B.D0 to       R2C2B.F0 SLICE_93
    -ROUTE         1     0.197       R2C2B.F0 to 98.PADDO       RA_c_0
    -DOPAD_DEL   ---     1.108       98.PADDO to         98.PAD RA[0]
    -                  --------
    -                    1.989   (68.2% logic, 31.8% route), 3 logic levels.
    -
    -Report:    2.476ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.949ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCS
    -
    -   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_60 and
    -      1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets
    -      0.000ns hold offset RCLK to nRCS by 1.949ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_60:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C5B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_60 to nRCS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C5B.CLK to       R2C5B.Q0 SLICE_60 (from RCLK_c)
    -ROUTE         1     0.197       R2C5B.Q0 to 77.PADDO       nRCS_c
    -DOPAD_DEL   ---     1.108       77.PADDO to         77.PAD nRCS
    -                  --------
    -                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    1.949ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.252ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    -   Destination:    Port       Pad            RCKE
    -
    -   Data Path Delay:     1.765ns  (71.7% logic, 28.3% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_34 and
    -      1.765ns delay SLICE_34 to RCKE (totaling 2.252ns) meets
    -      0.000ns hold offset RCLK to RCKE by 2.252ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_34:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R6C5B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_34 to RCKE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R6C5B.CLK to       R6C5B.Q0 SLICE_34 (from RCLK_c)
    -ROUTE         4     0.500       R6C5B.Q0 to 82.PADDO       RCKE_c
    -DOPAD_DEL   ---     1.108       82.PADDO to         82.PAD RCKE
    -                  --------
    -                    1.765   (71.7% logic, 28.3% route), 2 logic levels.
    -
    -Report:    2.252ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.949ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    -   Destination:    Port       Pad            nRWE
    -
    -   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_63 and
    -      1.462ns delay SLICE_63 to nRWE (totaling 1.949ns) meets
    -      0.000ns hold offset RCLK to nRWE by 1.949ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_63:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R3C5B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_63 to nRWE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R3C5B.CLK to       R3C5B.Q0 SLICE_63 (from RCLK_c)
    -ROUTE         1     0.197       R3C5B.Q0 to 72.PADDO       nRWE_c
    -DOPAD_DEL   ---     1.108       72.PADDO to         72.PAD nRWE
    -                  --------
    -                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    1.949ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.111ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    -   Destination:    Port       Pad            nRRAS
    -
    -   Data Path Delay:     1.624ns  (77.9% logic, 22.1% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_61 and
    -      1.624ns delay SLICE_61 to nRRAS (totaling 2.111ns) meets
    -      0.000ns hold offset RCLK to nRRAS by 2.111ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_61:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C4C.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_61 to nRRAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C4C.CLK to       R2C4C.Q0 SLICE_61 (from RCLK_c)
    -ROUTE         2     0.359       R2C4C.Q0 to 73.PADDO       nRRAS_c
    -DOPAD_DEL   ---     1.108       73.PADDO to         73.PAD nRRAS
    -                  --------
    -                    1.624   (77.9% logic, 22.1% route), 2 logic levels.
    -
    -Report:    2.111ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.220ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCAS
    -
    -   Data Path Delay:     1.733ns  (73.0% logic, 27.0% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_58 and
    -      1.733ns delay SLICE_58 to nRCAS (totaling 2.220ns) meets
    -      0.000ns hold offset RCLK to nRCAS by 2.220ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_58:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C4A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_58 to nRCAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C4A.CLK to       R2C4A.Q0 SLICE_58 (from RCLK_c)
    -ROUTE         1     0.468       R2C4A.Q0 to 78.PADDO       nRCAS_c
    -DOPAD_DEL   ---     1.108       78.PADDO to         78.PAD nRCAS
    -                  --------
    -                    1.733   (73.0% logic, 27.0% route), 2 logic levels.
    -
    -Report:    2.220ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.510ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQMH
    -
    -   Data Path Delay:     2.023ns  (67.1% logic, 32.9% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.023ns delay SLICE_64 to RDQMH (totaling 2.510ns) meets
    -      0.000ns hold offset RCLK to RDQMH by 2.510ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQMH:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.469       R7C2B.Q0 to R3C5A.D0       nRowColSel
    -CTOF_DEL    ---     0.092       R3C5A.D0 to       R3C5A.F0 SLICE_87
    -ROUTE         1     0.197       R3C5A.F0 to 76.PADDO       RDQMH_c
    -DOPAD_DEL   ---     1.108       76.PADDO to         76.PAD RDQMH
    -                  --------
    -                    2.023   (67.1% logic, 32.9% route), 3 logic levels.
    -
    -Report:    2.510ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.602ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQML
    -
    -   Data Path Delay:     2.115ns  (64.2% logic, 35.8% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.115ns delay SLICE_64 to RDQML (totaling 2.602ns) meets
    -      0.000ns hold offset RCLK to RDQML by 2.602ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQML:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.278       R7C2B.Q0 to R6C2A.A0       nRowColSel
    -CTOF_DEL    ---     0.092       R6C2A.A0 to       R6C2A.F0 SLICE_95
    -ROUTE         1     0.480       R6C2A.F0 to 61.PADDO       RDQML_c
    -DOPAD_DEL   ---     1.108       61.PADDO to         61.PAD RDQML
    -                  --------
    -                    2.115   (64.2% logic, 35.8% route), 3 logic levels.
    -
    -Report:    2.602ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -Report Summary
    ---------------
    -----------------------------------------------------------------------------
    -Preference(MIN Delays)                  |   Constraint|       Actual|Levels
    -----------------------------------------------------------------------------
    -                                        |             |             |
    -PERIOD NET "PHI2_c" 350.000000 ns  ;    |            -|            -|   2  
    -                                        |             |             |
    -PERIOD NET "nCCAS_c" 350.000000 ns  ;   |            -|            -|   0  
    -                                        |             |             |
    -PERIOD NET "nCRAS_c" 350.000000 ns  ;   |            -|            -|   0  
    -                                        |             |             |
    -PERIOD NET "RCLK_c" 15.000000 ns  ;     |            -|            -|   1  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.220 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.805 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.476 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.460 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.759 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.516 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.635 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.758 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.487 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.487 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.476 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.252 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.111 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.220 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.510 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.602 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -----------------------------------------------------------------------------
    -
    -
    -All preferences were met.
    -
    -
    -Clock Domains Analysis
    -------------------------
    -
    -Found 4 clocks:
    -
    -Clock Domain: nCRAS_c   Source: nCRAS.PAD   Loads: 9
    -   No transfer within this clock domain is found
    -
    -   Data transfers from:
    -   Clock Domain: RCLK_c   Source: RCLK.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -Clock Domain: nCCAS_c   Source: nCCAS.PAD   Loads: 7
    -   No transfer within this clock domain is found
    -
    -Clock Domain: RCLK_c   Source: RCLK.PAD   Loads: 39
    -   Covered under: PERIOD NET "RCLK_c" 15.000000 ns  ;
    -
    -   Data transfers from:
    -   Clock Domain: nCRAS_c   Source: nCRAS.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -   Clock Domain: PHI2_c   Source: PHI2.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -Clock Domain: PHI2_c   Source: PHI2.PAD   Loads: 14
    -   Covered under: PERIOD NET "PHI2_c" 350.000000 ns  ;
    -
    -   Data transfers from:
    -   Clock Domain: RCLK_c   Source: RCLK.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -
    -Timing summary (Hold):
    ----------------
    -
    -Timing errors: 0  Score: 0
    -Cumulative negative slack: 0
    -
    -Constraints cover 520 paths, 6 nets, and 436 connections (70.89% coverage)
    -
    -
    -
    -Timing summary (Setup and Hold):
    ----------------
    -
    -Timing errors: 0 (setup), 0 (hold)
    -Score: 0 (setup), 0 (hold)
    -Cumulative negative slack: 0 (0+0)
    diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf_setup.html b/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf_setup.html
    deleted file mode 100644
    index 267300a..0000000
    --- a/CPLD-old/LCMXO/LCMXO256C/impl1/Untitled.tpf_setup.html
    +++ /dev/null
    @@ -1,3314 +0,0 @@
    -
    -
    -
    -
    -
    -
    -
    -
    
    ---------------------------------------------------------------------------------
    -Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    -Mon Aug 16 20:23:38 2021
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -Report Information
    -------------------
    -Design file:     RAM2GS
    -Device,speed:    LCMXO256C,3
    -Report level:    verbose report, limited to 10 items per preference
    ---------------------------------------------------------------------------------
    -
    -
    -Derating parameters
    --------------------
    -Voltage:    3.300 V
    -
    -
    -
    -================================================================================
    -Preference: PERIOD NET "PHI2_c" 350.000000 ns  ;
    -            10 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    - 
    -
    -Passed: The following path meets requirements by 162.969ns (weighted slack = 325.938ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i0  (from PHI2_c +)
    -   Destination:    FF         Data in        Cmdn8MEGEN_377  (to PHI2_c -)
    -
    -   Delay:              11.766ns  (23.7% logic, 76.3% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     11.766ns physical path delay SLICE_93 to SLICE_23 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 162.969ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_93 to SLICE_23:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_93 (from PHI2_c)
    -ROUTE         1     1.086       R2C2B.Q0 to R2C3A.B1       Bank_0
    -CTOF_DEL    ---     0.371       R2C3A.B1 to       R2C3A.F1 SLICE_90
    -ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    -CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    -ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    -CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    -CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    -ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    -CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     0.727       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R6C3A.B0 to       R6C3A.F0 SLICE_76
    -ROUTE         2     2.130       R6C3A.F0 to R7C3A.CE       PHI2_N_114_enable_6 (to PHI2_c)
    -                  --------
    -                   11.766   (23.7% logic, 76.3% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_93:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R2C2B.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_23:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R7C3A.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 163.029ns (weighted slack = 326.058ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    -   Destination:    FF         Data in        Cmdn8MEGEN_377  (to PHI2_c -)
    -
    -   Delay:              11.706ns  (23.8% logic, 76.2% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     11.706ns physical path delay SLICE_98 to SLICE_23 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 163.029ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_98 to SLICE_23:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q0 SLICE_98 (from PHI2_c)
    -ROUTE         1     1.026       R2C2C.Q0 to R2C3A.A1       Bank_6
    -CTOF_DEL    ---     0.371       R2C3A.A1 to       R2C3A.F1 SLICE_90
    -ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    -CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    -ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    -CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    -CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    -ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    -CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     0.727       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R6C3A.B0 to       R6C3A.F0 SLICE_76
    -ROUTE         2     2.130       R6C3A.F0 to R7C3A.CE       PHI2_N_114_enable_6 (to PHI2_c)
    -                  --------
    -                   11.706   (23.8% logic, 76.2% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_98:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R2C2C.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_23:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R7C3A.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 163.113ns (weighted slack = 326.226ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i0  (from PHI2_c +)
    -   Destination:    FF         Data in        XOR8MEG_376  (to PHI2_c -)
    -
    -   Delay:              11.622ns  (24.0% logic, 76.0% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     11.622ns physical path delay SLICE_93 to SLICE_94 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 163.113ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_93 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_93 (from PHI2_c)
    -ROUTE         1     1.086       R2C2B.Q0 to R2C3A.B1       Bank_0
    -CTOF_DEL    ---     0.371       R2C3A.B1 to       R2C3A.F1 SLICE_90
    -ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    -CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    -ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    -CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    -CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    -ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    -CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     1.057       R6C3A.F1 to R7C3C.A0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R7C3C.A0 to       R7C3C.F0 SLICE_97
    -ROUTE         1     1.656       R7C3C.F0 to R8C5C.CE       PHI2_N_114_enable_2 (to PHI2_c)
    -                  --------
    -                   11.622   (24.0% logic, 76.0% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_93:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R2C2B.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R8C5C.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 163.173ns (weighted slack = 326.346ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    -   Destination:    FF         Data in        XOR8MEG_376  (to PHI2_c -)
    -
    -   Delay:              11.562ns  (24.1% logic, 75.9% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     11.562ns physical path delay SLICE_98 to SLICE_94 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 163.173ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_98 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q0 SLICE_98 (from PHI2_c)
    -ROUTE         1     1.026       R2C2C.Q0 to R2C3A.A1       Bank_6
    -CTOF_DEL    ---     0.371       R2C3A.A1 to       R2C3A.F1 SLICE_90
    -ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    -CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    -ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    -CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    -CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    -ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    -CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     1.057       R6C3A.F1 to R7C3C.A0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R7C3C.A0 to       R7C3C.F0 SLICE_97
    -ROUTE         1     1.656       R7C3C.F0 to R8C5C.CE       PHI2_N_114_enable_2 (to PHI2_c)
    -                  --------
    -                   11.562   (24.1% logic, 75.9% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_98:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R2C2C.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R8C5C.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 163.236ns (weighted slack = 326.472ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i5  (from PHI2_c +)
    -   Destination:    FF         Data in        Cmdn8MEGEN_377  (to PHI2_c -)
    -
    -   Delay:              11.499ns  (24.2% logic, 75.8% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     11.499ns physical path delay SLICE_90 to SLICE_23 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 163.236ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_90 to SLICE_23:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C3A.CLK to       R2C3A.Q1 SLICE_90 (from PHI2_c)
    -ROUTE         1     0.819       R2C3A.Q1 to R2C3A.C1       Bank_5
    -CTOF_DEL    ---     0.371       R2C3A.C1 to       R2C3A.F1 SLICE_90
    -ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    -CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    -ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    -CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    -CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    -ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    -CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     0.727       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R6C3A.B0 to       R6C3A.F0 SLICE_76
    -ROUTE         2     2.130       R6C3A.F0 to R7C3A.CE       PHI2_N_114_enable_6 (to PHI2_c)
    -                  --------
    -                   11.499   (24.2% logic, 75.8% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_90:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R2C3A.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_23:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R7C3A.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 163.277ns (weighted slack = 326.554ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i0  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    -
    -   Delay:              11.458ns  (24.3% logic, 75.7% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     11.458ns physical path delay SLICE_93 to SLICE_77 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 163.277ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_93 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_93 (from PHI2_c)
    -ROUTE         1     1.086       R2C2B.Q0 to R2C3A.B1       Bank_0
    -CTOF_DEL    ---     0.371       R2C3A.B1 to       R2C3A.F1 SLICE_90
    -ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    -CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    -ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    -CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    -CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    -ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    -CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     1.379       R6C3A.F1 to R4C5A.C1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R4C5A.C1 to       R4C5A.F1 SLICE_73
    -ROUTE         2     1.170       R4C5A.F1 to R7C5C.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   11.458   (24.3% logic, 75.7% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_93:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R2C2B.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R7C5C.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 163.337ns (weighted slack = 326.674ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    -
    -   Delay:              11.398ns  (24.4% logic, 75.6% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     11.398ns physical path delay SLICE_98 to SLICE_77 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 163.337ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_98 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q0 SLICE_98 (from PHI2_c)
    -ROUTE         1     1.026       R2C2C.Q0 to R2C3A.A1       Bank_6
    -CTOF_DEL    ---     0.371       R2C3A.A1 to       R2C3A.F1 SLICE_90
    -ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    -CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    -ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    -CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    -CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    -ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    -CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     1.379       R6C3A.F1 to R4C5A.C1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R4C5A.C1 to       R4C5A.F1 SLICE_73
    -ROUTE         2     1.170       R4C5A.F1 to R7C5C.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   11.398   (24.4% logic, 75.6% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_98:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R2C2C.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R7C5C.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 163.380ns (weighted slack = 326.760ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i5  (from PHI2_c +)
    -   Destination:    FF         Data in        XOR8MEG_376  (to PHI2_c -)
    -
    -   Delay:              11.355ns  (24.5% logic, 75.5% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     11.355ns physical path delay SLICE_90 to SLICE_94 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 163.380ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_90 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C3A.CLK to       R2C3A.Q1 SLICE_90 (from PHI2_c)
    -ROUTE         1     0.819       R2C3A.Q1 to R2C3A.C1       Bank_5
    -CTOF_DEL    ---     0.371       R2C3A.C1 to       R2C3A.F1 SLICE_90
    -ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    -CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    -ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    -CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    -CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    -ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    -CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     1.057       R6C3A.F1 to R7C3C.A0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R7C3C.A0 to       R7C3C.F0 SLICE_97
    -ROUTE         1     1.656       R7C3C.F0 to R8C5C.CE       PHI2_N_114_enable_2 (to PHI2_c)
    -                  --------
    -                   11.355   (24.5% logic, 75.5% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_90:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R2C3A.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R8C5C.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 163.430ns (weighted slack = 326.860ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i0  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdSubmitted_378  (to PHI2_c -)
    -
    -   Delay:              11.305ns  (24.6% logic, 75.4% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     11.305ns physical path delay SLICE_93 to SLICE_19 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 163.430ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_93 to SLICE_19:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_93 (from PHI2_c)
    -ROUTE         1     1.086       R2C2B.Q0 to R2C3A.B1       Bank_0
    -CTOF_DEL    ---     0.371       R2C3A.B1 to       R2C3A.F1 SLICE_90
    -ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    -CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    -ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    -CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    -CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    -ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    -CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     0.727       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R6C3A.B0 to       R6C3A.F0 SLICE_76
    -ROUTE         2     1.669       R6C3A.F0 to R7C4D.CE       PHI2_N_114_enable_6 (to PHI2_c)
    -                  --------
    -                   11.305   (24.6% logic, 75.4% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_93:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R2C2B.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_19:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R7C4D.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 163.490ns (weighted slack = 326.980ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdSubmitted_378  (to PHI2_c -)
    -
    -   Delay:              11.245ns  (24.8% logic, 75.2% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     11.245ns physical path delay SLICE_98 to SLICE_19 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 163.490ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_98 to SLICE_19:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q0 SLICE_98 (from PHI2_c)
    -ROUTE         1     1.026       R2C2C.Q0 to R2C3A.A1       Bank_6
    -CTOF_DEL    ---     0.371       R2C3A.A1 to       R2C3A.F1 SLICE_90
    -ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    -CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    -ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    -CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    -ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    -CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    -ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    -CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    -ROUTE         3     0.727       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R6C3A.B0 to       R6C3A.F0 SLICE_76
    -ROUTE         2     1.669       R6C3A.F0 to R7C4D.CE       PHI2_N_114_enable_6 (to PHI2_c)
    -                  --------
    -                   11.245   (24.8% logic, 75.2% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_98:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R2C2C.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_19:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.924       39.PADDI to R7C4D.CLK      PHI2_c
    -                  --------
    -                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -Report:   24.062ns is the minimum period for this preference.
    -
    -
    -================================================================================
    -Preference: PERIOD NET "nCCAS_c" 350.000000 ns  ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 348.000ns
    -         The internal maximum frequency of the following component is 500.000 MHz
    -
    - Logical Details:  Cell type  Pin name       Component name
    -
    -   Destination:    FSLICE     CLK            SLICE_73
    -
    -   Delay:               2.000ns -- based on Minimum Pulse Width
    -
    -Report:    2.000ns is the minimum period for this preference.
    -
    -
    -================================================================================
    -Preference: PERIOD NET "nCRAS_c" 350.000000 ns  ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 348.000ns
    -         The internal maximum frequency of the following component is 500.000 MHz
    -
    - Logical Details:  Cell type  Pin name       Component name
    -
    -   Destination:    FSLICE     CLK            SLICE_74
    -
    -   Delay:               2.000ns -- based on Minimum Pulse Width
    -
    -Report:    2.000ns is the minimum period for this preference.
    -
    -
    -================================================================================
    -Preference: PERIOD NET "RCLK_c" 15.000000 ns  ;
    -            10 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    - 
    -
    -Passed: The following path meets requirements by 6.275ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i14  (from RCLK_c +)
    -   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    -
    -   Delay:               8.481ns  (28.5% logic, 71.5% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      8.481ns physical path delay SLICE_7 to SLICE_85 meets
    -     15.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 14.756ns) by 6.275ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_7 to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C4D.CLK to       R8C4D.Q0 SLICE_7 (from RCLK_c)
    -ROUTE         3     1.466       R8C4D.Q0 to R8C5D.B1       FS_14
    -CTOF_DEL    ---     0.371       R8C5D.B1 to       R8C5D.F1 SLICE_78
    -ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    -CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    -ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    -CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    -ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    -CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    -ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    -CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    -ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    -                  --------
    -                    8.481   (28.5% logic, 71.5% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_7:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R8C4D.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 6.434ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i14  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               8.322ns  (29.0% logic, 71.0% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      8.322ns physical path delay SLICE_7 to SLICE_56 meets
    -     15.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 14.756ns) by 6.434ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_7 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C4D.CLK to       R8C4D.Q0 SLICE_7 (from RCLK_c)
    -ROUTE         3     1.466       R8C4D.Q0 to R8C5D.B1       FS_14
    -CTOF_DEL    ---     0.371       R8C5D.B1 to       R8C5D.F1 SLICE_78
    -ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    -CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    -ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    -CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    -ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    -CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    -ROUTE         2     0.513       R7C5C.F1 to R7C5C.C0       n2111
    -CTOF_DEL    ---     0.371       R7C5C.C0 to       R7C5C.F0 SLICE_77
    -ROUTE         1     1.073       R7C5C.F0 to R7C4B.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    8.322   (29.0% logic, 71.0% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_7:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R8C4D.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R7C4B.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 6.474ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i15  (from RCLK_c +)
    -   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    -
    -   Delay:               8.282ns  (29.2% logic, 70.8% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      8.282ns physical path delay SLICE_7 to SLICE_85 meets
    -     15.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 14.756ns) by 6.474ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_7 to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C4D.CLK to       R8C4D.Q1 SLICE_7 (from RCLK_c)
    -ROUTE         3     1.267       R8C4D.Q1 to R8C5D.C1       FS_15
    -CTOF_DEL    ---     0.371       R8C5D.C1 to       R8C5D.F1 SLICE_78
    -ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    -CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    -ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    -CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    -ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    -CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    -ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    -CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    -ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    -                  --------
    -                    8.282   (29.2% logic, 70.8% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_7:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R8C4D.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 6.633ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i15  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               8.123ns  (29.7% logic, 70.3% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      8.123ns physical path delay SLICE_7 to SLICE_56 meets
    -     15.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 14.756ns) by 6.633ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_7 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C4D.CLK to       R8C4D.Q1 SLICE_7 (from RCLK_c)
    -ROUTE         3     1.267       R8C4D.Q1 to R8C5D.C1       FS_15
    -CTOF_DEL    ---     0.371       R8C5D.C1 to       R8C5D.F1 SLICE_78
    -ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    -CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    -ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    -CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    -ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    -CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    -ROUTE         2     0.513       R7C5C.F1 to R7C5C.C0       n2111
    -CTOF_DEL    ---     0.371       R7C5C.C0 to       R7C5C.F0 SLICE_77
    -ROUTE         1     1.073       R7C5C.F0 to R7C4B.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    8.123   (29.7% logic, 70.3% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_7:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R8C4D.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R7C4B.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 6.693ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i13  (from RCLK_c +)
    -   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    -
    -   Delay:               8.063ns  (30.0% logic, 70.0% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      8.063ns physical path delay SLICE_8 to SLICE_85 meets
    -     15.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 14.756ns) by 6.693ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_8 to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C4C.CLK to       R8C4C.Q1 SLICE_8 (from RCLK_c)
    -ROUTE         3     1.048       R8C4C.Q1 to R8C5D.A1       FS_13
    -CTOF_DEL    ---     0.371       R8C5D.A1 to       R8C5D.F1 SLICE_78
    -ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    -CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    -ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    -CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    -ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    -CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    -ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    -CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    -ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    -                  --------
    -                    8.063   (30.0% logic, 70.0% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_8:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R8C4C.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 6.852ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i13  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               7.904ns  (30.6% logic, 69.4% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      7.904ns physical path delay SLICE_8 to SLICE_56 meets
    -     15.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 14.756ns) by 6.852ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_8 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C4C.CLK to       R8C4C.Q1 SLICE_8 (from RCLK_c)
    -ROUTE         3     1.048       R8C4C.Q1 to R8C5D.A1       FS_13
    -CTOF_DEL    ---     0.371       R8C5D.A1 to       R8C5D.F1 SLICE_78
    -ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    -CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    -ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    -CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    -ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    -CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    -ROUTE         2     0.513       R7C5C.F1 to R7C5C.C0       n2111
    -CTOF_DEL    ---     0.371       R7C5C.C0 to       R7C5C.F0 SLICE_77
    -ROUTE         1     1.073       R7C5C.F0 to R7C4B.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    7.904   (30.6% logic, 69.4% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_8:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R8C4C.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R7C4B.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 6.891ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i2  (from RCLK_c +)
    -   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    -
    -   Delay:               7.865ns  (26.0% logic, 74.0% route), 5 logic levels.
    -
    - Constraint Details:
    -
    -      7.865ns physical path delay SLICE_4 to SLICE_85 meets
    -     15.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 14.756ns) by 6.891ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_4 to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C3B.CLK to       R8C3B.Q0 SLICE_4 (from RCLK_c)
    -ROUTE         2     1.563       R8C3B.Q0 to R7C4C.B1       FS_2
    -CTOF_DEL    ---     0.371       R7C4C.B1 to       R7C4C.F1 SLICE_68
    -ROUTE         1     1.487       R7C4C.F1 to R9C5A.A0       n2164
    -CTOF_DEL    ---     0.371       R9C5A.A0 to       R9C5A.F0 SLICE_75
    -ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    -CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    -ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    -CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    -ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    -                  --------
    -                    7.865   (26.0% logic, 74.0% route), 5 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_4:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R8C3B.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 6.951ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i1  (from RCLK_c +)
    -   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    -
    -   Delay:               7.805ns  (26.2% logic, 73.8% route), 5 logic levels.
    -
    - Constraint Details:
    -
    -      7.805ns physical path delay SLICE_5 to SLICE_85 meets
    -     15.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 14.756ns) by 6.951ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_5 to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C3A.CLK to       R8C3A.Q1 SLICE_5 (from RCLK_c)
    -ROUTE         2     1.503       R8C3A.Q1 to R7C4C.A1       FS_1
    -CTOF_DEL    ---     0.371       R7C4C.A1 to       R7C4C.F1 SLICE_68
    -ROUTE         1     1.487       R7C4C.F1 to R9C5A.A0       n2164
    -CTOF_DEL    ---     0.371       R9C5A.A0 to       R9C5A.F0 SLICE_75
    -ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    -CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    -ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    -CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    -ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    -                  --------
    -                    7.805   (26.2% logic, 73.8% route), 5 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_5:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R8C3A.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 7.017ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i12  (from RCLK_c +)
    -   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    -
    -   Delay:               7.739ns  (31.2% logic, 68.8% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      7.739ns physical path delay SLICE_8 to SLICE_85 meets
    -     15.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 14.756ns) by 7.017ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_8 to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C4C.CLK to       R8C4C.Q0 SLICE_8 (from RCLK_c)
    -ROUTE         3     0.724       R8C4C.Q0 to R8C5D.D1       FS_12
    -CTOF_DEL    ---     0.371       R8C5D.D1 to       R8C5D.F1 SLICE_78
    -ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    -CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    -ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    -CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    -ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    -CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    -ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    -CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    -ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    -                  --------
    -                    7.739   (31.2% logic, 68.8% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_8:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R8C4C.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 7.050ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i2  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               7.706ns  (26.5% logic, 73.5% route), 5 logic levels.
    -
    - Constraint Details:
    -
    -      7.706ns physical path delay SLICE_4 to SLICE_56 meets
    -     15.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 14.756ns) by 7.050ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_4 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C3B.CLK to       R8C3B.Q0 SLICE_4 (from RCLK_c)
    -ROUTE         2     1.563       R8C3B.Q0 to R7C4C.B1       FS_2
    -CTOF_DEL    ---     0.371       R7C4C.B1 to       R7C4C.F1 SLICE_68
    -ROUTE         1     1.487       R7C4C.F1 to R9C5A.A0       n2164
    -CTOF_DEL    ---     0.371       R9C5A.A0 to       R9C5A.F0 SLICE_75
    -ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    -CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    -ROUTE         2     0.513       R7C5C.F1 to R7C5C.C0       n2111
    -CTOF_DEL    ---     0.371       R7C5C.C0 to       R7C5C.F0 SLICE_77
    -ROUTE         1     1.073       R7C5C.F0 to R7C4B.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    7.706   (26.5% logic, 73.5% route), 5 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_4:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R8C3B.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.353       86.PADDI to R7C4B.CLK      RCLK_c
    -                  --------
    -                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -Report:    8.725ns is the minimum period for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 3.904ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RA10_368  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[10]
    -
    -   Data Path Delay:     6.180ns  (67.9% logic, 32.1% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_55 and
    -      6.180ns delay SLICE_55 to RA[10] (totaling 8.596ns) meets
    -     12.500ns offset RCLK to RA[10] by 3.904ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_55:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R2C4B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_55 to RA[10]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C4B.CLK to       R2C4B.Q0 SLICE_55 (from RCLK_c)
    -ROUTE         1     1.984       R2C4B.Q0 to 87.PADDO       n974
    -DOPAD_DEL   ---     3.636       87.PADDO to         87.PAD RA[10]
    -                  --------
    -                    6.180   (67.9% logic, 32.1% route), 2 logic levels.
    -
    -Report:    8.596ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 7.280ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RA10_368  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[10]
    -
    -   Data Path Delay:     5.683ns  (73.0% logic, 27.0% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_55 and
    -      5.683ns delay SLICE_55 to RA[10] (totaling 7.280ns) meets
    -      0.000ns hold offset RCLK to RA[10] by 7.280ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_55:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R2C4B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_55 to RA[10]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C4B.CLK to       R2C4B.Q0 SLICE_55 (from RCLK_c)
    -ROUTE         1     1.532       R2C4B.Q0 to 87.PADDO       n974
    -DOPAD_DEL   ---     3.636       87.PADDO to         87.PAD RA[10]
    -                  --------
    -                    5.683   (73.0% logic, 27.0% route), 2 logic levels.
    -
    -Report:    7.280ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.684ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[9]
    -
    -   Data Path Delay:     8.400ns  (54.4% logic, 45.6% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      8.400ns delay SLICE_64 to RA[9] (totaling 10.816ns) meets
    -     12.500ns offset RCLK to RA[9] by 1.684ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[9]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.781       R7C2B.Q0 to R3C5A.D1       nRowColSel
    -CTOF_DEL    ---     0.371       R3C5A.D1 to       R3C5A.F1 SLICE_87
    -ROUTE         1     2.052       R3C5A.F1 to 85.PADDO       RA_c_9
    -DOPAD_DEL   ---     3.636       85.PADDO to         85.PAD RA[9]
    -                  --------
    -                    8.400   (54.4% logic, 45.6% route), 3 logic levels.
    -
    -Report:   10.816ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 9.193ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[9]
    -
    -   Data Path Delay:     7.596ns  (58.6% logic, 41.4% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      7.596ns delay SLICE_64 to RA[9] (totaling 9.193ns) meets
    -      0.000ns hold offset RCLK to RA[9] by 9.193ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[9]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.534       R7C2B.Q0 to R3C5A.D1       nRowColSel
    -CTOF_DEL    ---     0.301       R3C5A.D1 to       R3C5A.F1 SLICE_87
    -ROUTE         1     1.610       R3C5A.F1 to 85.PADDO       RA_c_9
    -DOPAD_DEL   ---     3.636       85.PADDO to         85.PAD RA[9]
    -                  --------
    -                    7.596   (58.6% logic, 41.4% route), 3 logic levels.
    -
    -Report:    9.193ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.988ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[8]
    -
    -   Data Path Delay:     7.096ns  (64.4% logic, 35.6% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      7.096ns delay SLICE_64 to RA[8] (totaling 9.512ns) meets
    -     12.500ns offset RCLK to RA[8] by 2.988ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[8]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.712       R7C2B.Q0 to R2C2C.D0       nRowColSel
    -CTOF_DEL    ---     0.371       R2C2C.D0 to       R2C2C.F0 SLICE_98
    -ROUTE         1     0.817       R2C2C.F0 to 96.PADDO       RA_c_8
    -DOPAD_DEL   ---     3.636       96.PADDO to         96.PAD RA[8]
    -                  --------
    -                    7.096   (64.4% logic, 35.6% route), 3 logic levels.
    -
    -Report:    9.512ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.119ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[8]
    -
    -   Data Path Delay:     6.522ns  (68.3% logic, 31.7% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      6.522ns delay SLICE_64 to RA[8] (totaling 8.119ns) meets
    -      0.000ns hold offset RCLK to RA[8] by 8.119ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[8]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.424       R7C2B.Q0 to R2C2C.D0       nRowColSel
    -CTOF_DEL    ---     0.301       R2C2C.D0 to       R2C2C.F0 SLICE_98
    -ROUTE         1     0.646       R2C2C.F0 to 96.PADDO       RA_c_8
    -DOPAD_DEL   ---     3.636       96.PADDO to         96.PAD RA[8]
    -                  --------
    -                    6.522   (68.3% logic, 31.7% route), 3 logic levels.
    -
    -Report:    8.119ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.977ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[7]
    -
    -   Data Path Delay:     7.107ns  (64.3% logic, 35.7% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      7.107ns delay SLICE_64 to RA[7] (totaling 9.523ns) meets
    -     12.500ns offset RCLK to RA[7] by 2.977ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[7]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.880       R7C2B.Q0 to R7C2B.C1       nRowColSel
    -CTOF_DEL    ---     0.371       R7C2B.C1 to       R7C2B.F1 SLICE_64
    -ROUTE         1     1.660       R7C2B.F1 to 100.PADDO      RA_c_7
    -DOPAD_DEL   ---     3.636      100.PADDO to        100.PAD RA[7]
    -                  --------
    -                    7.107   (64.3% logic, 35.7% route), 3 logic levels.
    -
    -Report:    9.523ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.063ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[7]
    -
    -   Data Path Delay:     6.466ns  (68.9% logic, 31.1% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      6.466ns delay SLICE_64 to RA[7] (totaling 8.063ns) meets
    -      0.000ns hold offset RCLK to RA[7] by 8.063ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[7]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.726       R7C2B.Q0 to R7C2B.C1       nRowColSel
    -CTOF_DEL    ---     0.301       R7C2B.C1 to       R7C2B.F1 SLICE_64
    -ROUTE         1     1.288       R7C2B.F1 to 100.PADDO      RA_c_7
    -DOPAD_DEL   ---     3.636      100.PADDO to        100.PAD RA[7]
    -                  --------
    -                    6.466   (68.9% logic, 31.1% route), 3 logic levels.
    -
    -Report:    8.063ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.822ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[6]
    -
    -   Data Path Delay:     8.262ns  (55.3% logic, 44.7% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      8.262ns delay SLICE_64 to RA[6] (totaling 10.678ns) meets
    -     12.500ns offset RCLK to RA[6] by 1.822ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[6]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.712       R7C2B.Q0 to R2C2C.D1       nRowColSel
    -CTOF_DEL    ---     0.371       R2C2C.D1 to       R2C2C.F1 SLICE_98
    -ROUTE         1     1.983       R2C2C.F1 to 91.PADDO       RA_c_6
    -DOPAD_DEL   ---     3.636       91.PADDO to         91.PAD RA[6]
    -                  --------
    -                    8.262   (55.3% logic, 44.7% route), 3 logic levels.
    -
    -Report:   10.678ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 9.044ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[6]
    -
    -   Data Path Delay:     7.447ns  (59.8% logic, 40.2% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      7.447ns delay SLICE_64 to RA[6] (totaling 9.044ns) meets
    -      0.000ns hold offset RCLK to RA[6] by 9.044ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[6]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.424       R7C2B.Q0 to R2C2C.D1       nRowColSel
    -CTOF_DEL    ---     0.301       R2C2C.D1 to       R2C2C.F1 SLICE_98
    -ROUTE         1     1.571       R2C2C.F1 to 91.PADDO       RA_c_6
    -DOPAD_DEL   ---     3.636       91.PADDO to         91.PAD RA[6]
    -                  --------
    -                    7.447   (59.8% logic, 40.2% route), 3 logic levels.
    -
    -Report:    9.044ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.738ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[5]
    -
    -   Data Path Delay:     7.346ns  (62.2% logic, 37.8% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      7.346ns delay SLICE_64 to RA[5] (totaling 9.762ns) meets
    -     12.500ns offset RCLK to RA[5] by 2.738ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[5]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.119       R7C2B.Q0 to R6C2A.A1       nRowColSel
    -CTOF_DEL    ---     0.371       R6C2A.A1 to       R6C2A.F1 SLICE_95
    -ROUTE         1     1.660       R6C2A.F1 to 95.PADDO       RA_c_5
    -DOPAD_DEL   ---     3.636       95.PADDO to         95.PAD RA[5]
    -                  --------
    -                    7.346   (62.2% logic, 37.8% route), 3 logic levels.
    -
    -Report:    9.762ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.252ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[5]
    -
    -   Data Path Delay:     6.655ns  (66.9% logic, 33.1% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      6.655ns delay SLICE_64 to RA[5] (totaling 8.252ns) meets
    -      0.000ns hold offset RCLK to RA[5] by 8.252ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[5]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.915       R7C2B.Q0 to R6C2A.A1       nRowColSel
    -CTOF_DEL    ---     0.301       R6C2A.A1 to       R6C2A.F1 SLICE_95
    -ROUTE         1     1.288       R6C2A.F1 to 95.PADDO       RA_c_5
    -DOPAD_DEL   ---     3.636       95.PADDO to         95.PAD RA[5]
    -                  --------
    -                    6.655   (66.9% logic, 33.1% route), 3 logic levels.
    -
    -Report:    8.252ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.279ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[4]
    -
    -   Data Path Delay:     7.805ns  (58.5% logic, 41.5% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      7.805ns delay SLICE_64 to RA[4] (totaling 10.221ns) meets
    -     12.500ns offset RCLK to RA[4] by 2.279ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[4]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.712       R7C2B.Q0 to R2C2B.D1       nRowColSel
    -CTOF_DEL    ---     0.371       R2C2B.D1 to       R2C2B.F1 SLICE_93
    -ROUTE         1     1.526       R2C2B.F1 to 99.PADDO       RA_c_4
    -DOPAD_DEL   ---     3.636       99.PADDO to         99.PAD RA[4]
    -                  --------
    -                    7.805   (58.5% logic, 41.5% route), 3 logic levels.
    -
    -Report:   10.221ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.638ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[4]
    -
    -   Data Path Delay:     7.041ns  (63.2% logic, 36.8% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      7.041ns delay SLICE_64 to RA[4] (totaling 8.638ns) meets
    -      0.000ns hold offset RCLK to RA[4] by 8.638ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[4]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.424       R7C2B.Q0 to R2C2B.D1       nRowColSel
    -CTOF_DEL    ---     0.301       R2C2B.D1 to       R2C2B.F1 SLICE_93
    -ROUTE         1     1.165       R2C2B.F1 to 99.PADDO       RA_c_4
    -DOPAD_DEL   ---     3.636       99.PADDO to         99.PAD RA[4]
    -                  --------
    -                    7.041   (63.2% logic, 36.8% route), 3 logic levels.
    -
    -Report:    8.638ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.800ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[3]
    -
    -   Data Path Delay:     8.284ns  (55.1% logic, 44.9% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      8.284ns delay SLICE_64 to RA[3] (totaling 10.700ns) meets
    -     12.500ns offset RCLK to RA[3] by 1.800ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[3]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.733       R7C2B.Q0 to R2C3B.D1       nRowColSel
    -CTOF_DEL    ---     0.371       R2C3B.D1 to       R2C3B.F1 SLICE_92
    -ROUTE         1     1.984       R2C3B.F1 to 97.PADDO       RA_c_3
    -DOPAD_DEL   ---     3.636       97.PADDO to         97.PAD RA[3]
    -                  --------
    -                    8.284   (55.1% logic, 44.9% route), 3 logic levels.
    -
    -Report:   10.700ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 9.042ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[3]
    -
    -   Data Path Delay:     7.445ns  (59.8% logic, 40.2% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      7.445ns delay SLICE_64 to RA[3] (totaling 9.042ns) meets
    -      0.000ns hold offset RCLK to RA[3] by 9.042ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[3]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.461       R7C2B.Q0 to R2C3B.D1       nRowColSel
    -CTOF_DEL    ---     0.301       R2C3B.D1 to       R2C3B.F1 SLICE_92
    -ROUTE         1     1.532       R2C3B.F1 to 97.PADDO       RA_c_3
    -DOPAD_DEL   ---     3.636       97.PADDO to         97.PAD RA[3]
    -                  --------
    -                    7.445   (59.8% logic, 40.2% route), 3 logic levels.
    -
    -Report:    9.042ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.967ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[2]
    -
    -   Data Path Delay:     7.117ns  (64.2% logic, 35.8% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      7.117ns delay SLICE_64 to RA[2] (totaling 9.533ns) meets
    -     12.500ns offset RCLK to RA[2] by 2.967ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[2]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.733       R7C2B.Q0 to R2C3A.D0       nRowColSel
    -CTOF_DEL    ---     0.371       R2C3A.D0 to       R2C3A.F0 SLICE_90
    -ROUTE         1     0.817       R2C3A.F0 to 94.PADDO       RA_c_2
    -DOPAD_DEL   ---     3.636       94.PADDO to         94.PAD RA[2]
    -                  --------
    -                    7.117   (64.2% logic, 35.8% route), 3 logic levels.
    -
    -Report:    9.533ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.156ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[2]
    -
    -   Data Path Delay:     6.559ns  (67.9% logic, 32.1% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      6.559ns delay SLICE_64 to RA[2] (totaling 8.156ns) meets
    -      0.000ns hold offset RCLK to RA[2] by 8.156ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[2]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.461       R7C2B.Q0 to R2C3A.D0       nRowColSel
    -CTOF_DEL    ---     0.301       R2C3A.D0 to       R2C3A.F0 SLICE_90
    -ROUTE         1     0.646       R2C3A.F0 to 94.PADDO       RA_c_2
    -DOPAD_DEL   ---     3.636       94.PADDO to         94.PAD RA[2]
    -                  --------
    -                    6.559   (67.9% logic, 32.1% route), 3 logic levels.
    -
    -Report:    8.156ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.967ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[1]
    -
    -   Data Path Delay:     7.117ns  (64.2% logic, 35.8% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      7.117ns delay SLICE_64 to RA[1] (totaling 9.533ns) meets
    -     12.500ns offset RCLK to RA[1] by 2.967ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[1]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.733       R7C2B.Q0 to R2C3B.D0       nRowColSel
    -CTOF_DEL    ---     0.371       R2C3B.D0 to       R2C3B.F0 SLICE_92
    -ROUTE         1     0.817       R2C3B.F0 to 89.PADDO       RA_c_1
    -DOPAD_DEL   ---     3.636       89.PADDO to         89.PAD RA[1]
    -                  --------
    -                    7.117   (64.2% logic, 35.8% route), 3 logic levels.
    -
    -Report:    9.533ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.156ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[1]
    -
    -   Data Path Delay:     6.559ns  (67.9% logic, 32.1% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      6.559ns delay SLICE_64 to RA[1] (totaling 8.156ns) meets
    -      0.000ns hold offset RCLK to RA[1] by 8.156ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[1]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.461       R7C2B.Q0 to R2C3B.D0       nRowColSel
    -CTOF_DEL    ---     0.301       R2C3B.D0 to       R2C3B.F0 SLICE_92
    -ROUTE         1     0.646       R2C3B.F0 to 89.PADDO       RA_c_1
    -DOPAD_DEL   ---     3.636       89.PADDO to         89.PAD RA[1]
    -                  --------
    -                    6.559   (67.9% logic, 32.1% route), 3 logic levels.
    -
    -Report:    8.156ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.988ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[0]
    -
    -   Data Path Delay:     7.096ns  (64.4% logic, 35.6% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      7.096ns delay SLICE_64 to RA[0] (totaling 9.512ns) meets
    -     12.500ns offset RCLK to RA[0] by 2.988ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[0]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.712       R7C2B.Q0 to R2C2B.D0       nRowColSel
    -CTOF_DEL    ---     0.371       R2C2B.D0 to       R2C2B.F0 SLICE_93
    -ROUTE         1     0.817       R2C2B.F0 to 98.PADDO       RA_c_0
    -DOPAD_DEL   ---     3.636       98.PADDO to         98.PAD RA[0]
    -                  --------
    -                    7.096   (64.4% logic, 35.6% route), 3 logic levels.
    -
    -Report:    9.512ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.119ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[0]
    -
    -   Data Path Delay:     6.522ns  (68.3% logic, 31.7% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      6.522ns delay SLICE_64 to RA[0] (totaling 8.119ns) meets
    -      0.000ns hold offset RCLK to RA[0] by 8.119ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[0]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.424       R7C2B.Q0 to R2C2B.D0       nRowColSel
    -CTOF_DEL    ---     0.301       R2C2B.D0 to       R2C2B.F0 SLICE_93
    -ROUTE         1     0.646       R2C2B.F0 to 98.PADDO       RA_c_0
    -DOPAD_DEL   ---     3.636       98.PADDO to         98.PAD RA[0]
    -                  --------
    -                    6.522   (68.3% logic, 31.7% route), 3 logic levels.
    -
    -Report:    8.119ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 5.071ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCS
    -
    -   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_60 and
    -      5.013ns delay SLICE_60 to nRCS (totaling 7.429ns) meets
    -     12.500ns offset RCLK to nRCS by 5.071ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_60:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R2C5B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_60 to nRCS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C5B.CLK to       R2C5B.Q0 SLICE_60 (from RCLK_c)
    -ROUTE         1     0.817       R2C5B.Q0 to 77.PADDO       nRCS_c
    -DOPAD_DEL   ---     3.636       77.PADDO to         77.PAD nRCS
    -                  --------
    -                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    -
    -Report:    7.429ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 6.394ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCS
    -
    -   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_60 and
    -      4.797ns delay SLICE_60 to nRCS (totaling 6.394ns) meets
    -      0.000ns hold offset RCLK to nRCS by 6.394ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_60:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R2C5B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_60 to nRCS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C5B.CLK to       R2C5B.Q0 SLICE_60 (from RCLK_c)
    -ROUTE         1     0.646       R2C5B.Q0 to 77.PADDO       nRCS_c
    -DOPAD_DEL   ---     3.636       77.PADDO to         77.PAD nRCS
    -                  --------
    -                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    6.394ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 3.806ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    -   Destination:    Port       Pad            RCKE
    -
    -   Data Path Delay:     6.278ns  (66.8% logic, 33.2% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_34 and
    -      6.278ns delay SLICE_34 to RCKE (totaling 8.694ns) meets
    -     12.500ns offset RCLK to RCKE by 3.806ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_34:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R6C5B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_34 to RCKE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R6C5B.CLK to       R6C5B.Q0 SLICE_34 (from RCLK_c)
    -ROUTE         4     2.082       R6C5B.Q0 to 82.PADDO       RCKE_c
    -DOPAD_DEL   ---     3.636       82.PADDO to         82.PAD RCKE
    -                  --------
    -                    6.278   (66.8% logic, 33.2% route), 2 logic levels.
    -
    -Report:    8.694ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 7.385ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    -   Destination:    Port       Pad            RCKE
    -
    -   Data Path Delay:     5.788ns  (71.7% logic, 28.3% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_34 and
    -      5.788ns delay SLICE_34 to RCKE (totaling 7.385ns) meets
    -      0.000ns hold offset RCLK to RCKE by 7.385ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_34:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R6C5B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_34 to RCKE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R6C5B.CLK to       R6C5B.Q0 SLICE_34 (from RCLK_c)
    -ROUTE         4     1.637       R6C5B.Q0 to 82.PADDO       RCKE_c
    -DOPAD_DEL   ---     3.636       82.PADDO to         82.PAD RCKE
    -                  --------
    -                    5.788   (71.7% logic, 28.3% route), 2 logic levels.
    -
    -Report:    7.385ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 5.071ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    -   Destination:    Port       Pad            nRWE
    -
    -   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_63 and
    -      5.013ns delay SLICE_63 to nRWE (totaling 7.429ns) meets
    -     12.500ns offset RCLK to nRWE by 5.071ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_63:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R3C5B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_63 to nRWE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R3C5B.CLK to       R3C5B.Q0 SLICE_63 (from RCLK_c)
    -ROUTE         1     0.817       R3C5B.Q0 to 72.PADDO       nRWE_c
    -DOPAD_DEL   ---     3.636       72.PADDO to         72.PAD nRWE
    -                  --------
    -                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    -
    -Report:    7.429ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 6.394ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    -   Destination:    Port       Pad            nRWE
    -
    -   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_63 and
    -      4.797ns delay SLICE_63 to nRWE (totaling 6.394ns) meets
    -      0.000ns hold offset RCLK to nRWE by 6.394ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_63:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R3C5B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_63 to nRWE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R3C5B.CLK to       R3C5B.Q0 SLICE_63 (from RCLK_c)
    -ROUTE         1     0.646       R3C5B.Q0 to 72.PADDO       nRWE_c
    -DOPAD_DEL   ---     3.636       72.PADDO to         72.PAD nRWE
    -                  --------
    -                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    6.394ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 4.360ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    -   Destination:    Port       Pad            nRRAS
    -
    -   Data Path Delay:     5.724ns  (73.3% logic, 26.7% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_61 and
    -      5.724ns delay SLICE_61 to nRRAS (totaling 8.140ns) meets
    -     12.500ns offset RCLK to nRRAS by 4.360ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_61:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R2C4C.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_61 to nRRAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C4C.CLK to       R2C4C.Q0 SLICE_61 (from RCLK_c)
    -ROUTE         2     1.528       R2C4C.Q0 to 73.PADDO       nRRAS_c
    -DOPAD_DEL   ---     3.636       73.PADDO to         73.PAD nRRAS
    -                  --------
    -                    5.724   (73.3% logic, 26.7% route), 2 logic levels.
    -
    -Report:    8.140ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 6.920ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    -   Destination:    Port       Pad            nRRAS
    -
    -   Data Path Delay:     5.323ns  (78.0% logic, 22.0% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_61 and
    -      5.323ns delay SLICE_61 to nRRAS (totaling 6.920ns) meets
    -      0.000ns hold offset RCLK to nRRAS by 6.920ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_61:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R2C4C.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_61 to nRRAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C4C.CLK to       R2C4C.Q0 SLICE_61 (from RCLK_c)
    -ROUTE         2     1.172       R2C4C.Q0 to 73.PADDO       nRRAS_c
    -DOPAD_DEL   ---     3.636       73.PADDO to         73.PAD nRRAS
    -                  --------
    -                    5.323   (78.0% logic, 22.0% route), 2 logic levels.
    -
    -Report:    6.920ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 3.904ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCAS
    -
    -   Data Path Delay:     6.180ns  (67.9% logic, 32.1% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_58 and
    -      6.180ns delay SLICE_58 to nRCAS (totaling 8.596ns) meets
    -     12.500ns offset RCLK to nRCAS by 3.904ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_58:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R2C4A.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_58 to nRCAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C4A.CLK to       R2C4A.Q0 SLICE_58 (from RCLK_c)
    -ROUTE         1     1.984       R2C4A.Q0 to 78.PADDO       nRCAS_c
    -DOPAD_DEL   ---     3.636       78.PADDO to         78.PAD nRCAS
    -                  --------
    -                    6.180   (67.9% logic, 32.1% route), 2 logic levels.
    -
    -Report:    8.596ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 7.280ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCAS
    -
    -   Data Path Delay:     5.683ns  (73.0% logic, 27.0% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_58 and
    -      5.683ns delay SLICE_58 to nRCAS (totaling 7.280ns) meets
    -      0.000ns hold offset RCLK to nRCAS by 7.280ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_58:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R2C4A.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_58 to nRCAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C4A.CLK to       R2C4A.Q0 SLICE_58 (from RCLK_c)
    -ROUTE         1     1.532       R2C4A.Q0 to 78.PADDO       nRCAS_c
    -DOPAD_DEL   ---     3.636       78.PADDO to         78.PAD nRCAS
    -                  --------
    -                    5.683   (73.0% logic, 27.0% route), 2 logic levels.
    -
    -Report:    7.280ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.919ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQMH
    -
    -   Data Path Delay:     7.165ns  (63.7% logic, 36.3% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      7.165ns delay SLICE_64 to RDQMH (totaling 9.581ns) meets
    -     12.500ns offset RCLK to RDQMH by 2.919ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQMH:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.781       R7C2B.Q0 to R3C5A.D0       nRowColSel
    -CTOF_DEL    ---     0.371       R3C5A.D0 to       R3C5A.F0 SLICE_87
    -ROUTE         1     0.817       R3C5A.F0 to 76.PADDO       RDQMH_c
    -DOPAD_DEL   ---     3.636       76.PADDO to         76.PAD RDQMH
    -                  --------
    -                    7.165   (63.7% logic, 36.3% route), 3 logic levels.
    -
    -Report:    9.581ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.229ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQMH
    -
    -   Data Path Delay:     6.632ns  (67.1% logic, 32.9% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      6.632ns delay SLICE_64 to RDQMH (totaling 8.229ns) meets
    -      0.000ns hold offset RCLK to RDQMH by 8.229ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQMH:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.534       R7C2B.Q0 to R3C5A.D0       nRowColSel
    -CTOF_DEL    ---     0.301       R3C5A.D0 to       R3C5A.F0 SLICE_87
    -ROUTE         1     0.646       R3C5A.F0 to 76.PADDO       RDQMH_c
    -DOPAD_DEL   ---     3.636       76.PADDO to         76.PAD RDQMH
    -                  --------
    -                    6.632   (67.1% logic, 32.9% route), 3 logic levels.
    -
    -Report:    8.229ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.415ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQML
    -
    -   Data Path Delay:     7.669ns  (59.6% logic, 40.4% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.416ns delay RCLK to SLICE_64 and
    -      7.669ns delay SLICE_64 to RDQML (totaling 10.085ns) meets
    -     12.500ns offset RCLK to RDQML by 2.415ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQML:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.119       R7C2B.Q0 to R6C2A.A0       nRowColSel
    -CTOF_DEL    ---     0.371       R6C2A.A0 to       R6C2A.F0 SLICE_95
    -ROUTE         1     1.983       R6C2A.F0 to 61.PADDO       RDQML_c
    -DOPAD_DEL   ---     3.636       61.PADDO to         61.PAD RDQML
    -                  --------
    -                    7.669   (59.6% logic, 40.4% route), 3 logic levels.
    -
    -Report:   10.085ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.535ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQML
    -
    -   Data Path Delay:     6.938ns  (64.2% logic, 35.8% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.597ns delay RCLK to SLICE_64 and
    -      6.938ns delay SLICE_64 to RDQML (totaling 8.535ns) meets
    -      0.000ns hold offset RCLK to RDQML by 8.535ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    -                  --------
    -                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQML:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.915       R7C2B.Q0 to R6C2A.A0       nRowColSel
    -CTOF_DEL    ---     0.301       R6C2A.A0 to       R6C2A.F0 SLICE_95
    -ROUTE         1     1.571       R6C2A.F0 to 61.PADDO       RDQML_c
    -DOPAD_DEL   ---     3.636       61.PADDO to         61.PAD RDQML
    -                  --------
    -                    6.938   (64.2% logic, 35.8% route), 3 logic levels.
    -
    -Report:    8.535ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -Report Summary
    ---------------
    -----------------------------------------------------------------------------
    -Preference                              |   Constraint|       Actual|Levels
    -----------------------------------------------------------------------------
    -                                        |             |             |
    -PERIOD NET "PHI2_c" 350.000000 ns  ;    |   350.000 ns|    24.062 ns|   7  
    -                                        |             |             |
    -PERIOD NET "nCCAS_c" 350.000000 ns  ;   |   350.000 ns|     2.000 ns|   0  
    -                                        |             |             |
    -PERIOD NET "nCRAS_c" 350.000000 ns  ;   |   350.000 ns|     2.000 ns|   0  
    -                                        |             |             |
    -PERIOD NET "RCLK_c" 15.000000 ns  ;     |    15.000 ns|     8.725 ns|   6  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.596 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     7.280 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.816 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     9.193 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.512 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.119 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.523 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.063 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.678 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     9.044 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.762 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.252 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.221 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.638 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.700 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     9.042 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.533 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.156 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.533 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.156 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.512 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.119 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.429 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.394 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.694 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     7.385 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.429 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.394 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.140 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.920 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.596 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     7.280 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.581 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.229 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.085 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.535 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -----------------------------------------------------------------------------
    -
    -
    -All preferences were met.
    -
    -
    -Clock Domains Analysis
    -------------------------
    -
    -Found 4 clocks:
    -
    -Clock Domain: nCRAS_c   Source: nCRAS.PAD   Loads: 9
    -   No transfer within this clock domain is found
    -
    -   Data transfers from:
    -   Clock Domain: RCLK_c   Source: RCLK.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -Clock Domain: nCCAS_c   Source: nCCAS.PAD   Loads: 7
    -   No transfer within this clock domain is found
    -
    -Clock Domain: RCLK_c   Source: RCLK.PAD   Loads: 39
    -   Covered under: PERIOD NET "RCLK_c" 15.000000 ns  ;
    -
    -   Data transfers from:
    -   Clock Domain: nCRAS_c   Source: nCRAS.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -   Clock Domain: PHI2_c   Source: PHI2.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -Clock Domain: PHI2_c   Source: PHI2.PAD   Loads: 14
    -   Covered under: PERIOD NET "PHI2_c" 350.000000 ns  ;
    -
    -   Data transfers from:
    -   Clock Domain: RCLK_c   Source: RCLK.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -
    -Timing summary (Setup):
    ----------------
    -
    -Timing errors: 0  Score: 0
    -Cumulative negative slack: 0
    -
    -Constraints cover 538 paths, 6 nets, and 436 connections (70.89% coverage)
    -
    diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/automake.log b/CPLD-old/LCMXO/LCMXO256C/impl1/automake.log
    deleted file mode 100644
    index e197df1..0000000
    --- a/CPLD-old/LCMXO/LCMXO256C/impl1/automake.log
    +++ /dev/null
    @@ -1,528 +0,0 @@
    -
    -ibisgen "RAM2GS_LCMXO256C_impl1.pad" "C:/lscc/diamond/3.12/cae_library/ibis/machxo.ibs"   
    -IBIS Models Generator: Lattice Diamond (64-bit) 3.12.0.240.2
    -
    -Mon Aug 16 21:36:25 2021
    -
    -Comp: CROW[0]
    - Site: 32
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: CROW[1]
    - Site: 34
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[0]
    - Site: 21
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[1]
    - Site: 15
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[2]
    - Site: 14
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[3]
    - Site: 16
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[4]
    - Site: 18
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[5]
    - Site: 17
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[6]
    - Site: 20
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[7]
    - Site: 19
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Dout[0]
    - Site: 1
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[1]
    - Site: 7
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[2]
    - Site: 8
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[3]
    - Site: 6
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[4]
    - Site: 4
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[5]
    - Site: 5
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[6]
    - Site: 2
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[7]
    - Site: 3
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: LED
    - Site: 57
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=16mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: MAin[0]
    - Site: 23
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[1]
    - Site: 38
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[2]
    - Site: 37
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[3]
    - Site: 47
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[4]
    - Site: 46
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[5]
    - Site: 45
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[6]
    - Site: 49
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[7]
    - Site: 44
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[8]
    - Site: 50
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[9]
    - Site: 51
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: PHI2
    - Site: 39
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: RA[0]
    - Site: 98
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[10]
    - Site: 87
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[11]
    - Site: 79
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[1]
    - Site: 89
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[2]
    - Site: 94
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[3]
    - Site: 97
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[4]
    - Site: 99
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[5]
    - Site: 95
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[6]
    - Site: 91
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[7]
    - Site: 100
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[8]
    - Site: 96
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[9]
    - Site: 85
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RBA[0]
    - Site: 63
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RBA[1]
    - Site: 83
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RCKE
    - Site: 82
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RCLK
    - Site: 86
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: RDQMH
    - Site: 76
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RDQML
    - Site: 61
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RD[0]
    - Site: 64
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[1]
    - Site: 65
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[2]
    - Site: 66
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[3]
    - Site: 67
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[4]
    - Site: 68
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[5]
    - Site: 69
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[6]
    - Site: 70
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[7]
    - Site: 71
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: UFMCLK
    - Site: 58
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: UFMSDI
    - Site: 56
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: UFMSDO
    - Site: 55
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    - PULL=KEEPER 
    ------------------------
    -Comp: nCCAS
    - Site: 27
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: nCRAS
    - Site: 43
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: nFWE
    - Site: 22
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: nRCAS
    - Site: 78
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: nRCS
    - Site: 77
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: nRRAS
    - Site: 73
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: nRWE
    - Site: 72
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: nUFMCS
    - Site: 53
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Created design models.
    -
    -
    -Generating: C:\Users\Dog\Documents\GitHub\RAM2GS\CPLD\LCMXO\LCMXO256C\impl1\IBIS\RAM2GS_LCMXO256C_im~.ibs
    -
    -
    -    
    -
    -tmcheck -par "RAM2GS_LCMXO256C_impl1.par" 
    -
    -bitgen -w "RAM2GS_LCMXO256C_impl1.ncd" -f "RAM2GS_LCMXO256C_impl1.t2b" "RAM2GS_LCMXO256C_impl1.prf"
    -
    -
    -BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -
    -Loading design for application Bitgen from file RAM2GS_LCMXO256C_impl1.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO256C
    -Package:     TQFP100
    -Performance: 3
    -Loading device for application Bitgen from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    -Package Status:                     Final          Version 1.19.
    -Performance Hardware Data Status: Version 1.124.
    -
    -Running DRC.
    -DRC detected 0 errors and 0 warnings.
    -Reading Preference File from RAM2GS_LCMXO256C_impl1.prf.
    -
    -Preference Summary:
    -+---------------------------------+---------------------------------+
    -|  Preference                     |  Current Setting                |
    -+---------------------------------+---------------------------------+
    -|                  CONFIG_SECURE  |                          OFF**  |
    -+---------------------------------+---------------------------------+
    -|                          INBUF  |                           ON**  |
    -+---------------------------------+---------------------------------+
    -|                             ES  |                           No**  |
    -+---------------------------------+---------------------------------+
    - *  Default setting.
    - ** The specified setting matches the default setting.
    -
    -
    -Creating bit map...
    -Saving bit stream in "RAM2GS_LCMXO256C_impl1.bit".
    -Total CPU Time: 0 secs 
    -Total REAL Time: 0 secs 
    -Peak Memory Usage: 44 MB
    -
    -ddtcmd -dev LCMXO256C-XXT100 -if "RAM2GS_LCMXO256C_impl1.bit" -oft -jed -of "RAM2GS_LCMXO256C_impl1.jed"  -comment "RAM2GS_LCMXO256C_impl1.alt" 
    -Lattice Diamond Deployment Tool 3.12 Command Line
    -
    -Loading Programmer Device Database...
    -
    -Generating JED.....
    -Device Name: LCMXO256C-XXT100
    -Reading Input File: RAM2GS_LCMXO256C_impl1.bit
    -Output File: RAM2GS_LCMXO256C_impl1.jed
    -Comment file RAM2GS_LCMXO256C_impl1.alt.
    -Generating JEDEC.....
    -File RAM2GS_LCMXO256C_impl1.jed generated successfully.
    -Lattice Diamond Deployment Tool has exited successfully.
    -
    diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/hdla_gen_hierarchy.html b/CPLD-old/LCMXO/LCMXO256C/impl1/hdla_gen_hierarchy.html
    deleted file mode 100644
    index 0b86833..0000000
    --- a/CPLD-old/LCMXO/LCMXO256C/impl1/hdla_gen_hierarchy.html
    +++ /dev/null
    @@ -1,9 +0,0 @@
    -         	                                   	                                                	                                                 	                                                  	
    Setting log file to 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1/hdla_gen_hierarchy.html'.
    -Starting: parse design source files
    -(VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v'
    -(VERI-1482) Analyzing Verilog file 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v'
    -INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS'
    -INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v(1,1-397,10) (VERI-9000) elaborating module 'RAM2GS'
    -Done: design load finished with (0) errors, and (0) warnings
    -
    -
    \ No newline at end of file diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior b/CPLD-old/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior deleted file mode 100644 index 68dddcc..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior +++ /dev/null @@ -1,137 +0,0 @@ -Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO256C -Package: TQFP100 -Performance: 4 -Package Status: Final Version 1.19. -Performance Hardware Data Status: Version 1.124. -Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO256C -Package: TQFP100 -Performance: 5 -Package Status: Final Version 1.19. -Performance Hardware Data Status: Version 1.124. -Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO256C -Package: TQFP100 -Performance: M -Package Status: Final Version 1.19. -Performance Hardware Data Status: Version 1.124. -// Design: RAM2GS -// Package: TQFP100 -// ncd File: ram2gs_lcmxo256c_impl1.ncd -// Version: Diamond (64-bit) 3.12.0.240.2 -// Written on Mon Aug 16 21:32:34 2021 -// M: Minimum Performance Grade -// iotiming RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml - -I/O Timing Report (All units are in ns) - -Worst Case Results across Performance Grades (M, 5, 4, 3): - -// Input Setup and Hold Times - -Port Clock Edge Setup Performance_Grade Hold Performance_Grade ----------------------------------------------------------------------- -CROW[0] nCRAS F 0.215 3 1.805 3 -CROW[1] nCRAS F -0.050 M 2.105 3 -Din[0] PHI2 F 5.083 3 2.097 3 -Din[0] nCCAS F -0.020 M 2.133 3 -Din[1] PHI2 F 3.519 3 2.454 3 -Din[1] nCCAS F -0.146 M 2.462 3 -Din[2] PHI2 F 4.416 3 2.660 3 -Din[2] nCCAS F 0.272 3 1.853 3 -Din[3] PHI2 F 5.627 3 2.084 3 -Din[3] nCCAS F -0.024 M 2.144 3 -Din[4] PHI2 F 4.808 3 2.117 3 -Din[4] nCCAS F 0.350 3 1.766 3 -Din[5] PHI2 F 5.446 3 2.212 3 -Din[5] nCCAS F 0.435 3 1.708 3 -Din[6] PHI2 F 5.339 3 1.487 3 -Din[6] nCCAS F -0.140 M 2.452 3 -Din[7] PHI2 F 4.546 3 1.555 3 -Din[7] nCCAS F -0.016 M 2.122 3 -MAin[0] PHI2 F 4.027 3 0.711 3 -MAin[0] nCRAS F 1.132 3 0.987 3 -MAin[1] PHI2 F 4.032 3 1.734 3 -MAin[1] nCRAS F 0.704 3 1.373 3 -MAin[2] PHI2 F 10.358 3 -0.773 M -MAin[2] nCRAS F -0.202 M 2.529 3 -MAin[3] PHI2 F 10.442 3 -0.829 M -MAin[3] nCRAS F 0.186 3 1.819 3 -MAin[4] PHI2 F 10.311 3 -0.765 M -MAin[4] nCRAS F 0.569 3 1.506 3 -MAin[5] PHI2 F 7.007 3 0.178 3 -MAin[5] nCRAS F 0.186 3 1.819 3 -MAin[6] PHI2 F 9.786 3 -0.641 M -MAin[6] nCRAS F 0.177 3 1.829 3 -MAin[7] PHI2 F 10.008 3 -0.718 M -MAin[7] nCRAS F -0.092 M 2.222 3 -MAin[8] nCRAS F -0.202 M 2.532 3 -MAin[9] nCRAS F 0.228 3 1.797 3 -PHI2 RCLK R 5.091 3 -0.759 M -UFMSDO RCLK R 2.219 3 -0.104 M -nCCAS RCLK R 3.820 3 -0.611 M -nCCAS nCRAS F 1.538 3 0.708 3 -nCRAS RCLK R 4.749 3 -0.670 M -nFWE PHI2 F 5.301 3 1.647 3 -nFWE nCRAS F 1.049 3 1.128 3 - - -// Clock to Output Delay - -Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade ------------------------------------------------------------------------- -LED RCLK R 11.669 3 3.051 M -RA[0] RCLK R 9.674 3 2.492 M -RA[0] nCRAS F 12.127 3 3.067 M -RA[10] RCLK R 8.596 3 2.220 M -RA[11] PHI2 R 9.987 3 2.559 M -RA[1] RCLK R 8.766 3 2.284 M -RA[1] nCRAS F 11.652 3 2.982 M -RA[2] RCLK R 10.062 3 2.599 M -RA[2] nCRAS F 12.947 3 3.306 M -RA[3] RCLK R 9.933 3 2.555 M -RA[3] nCRAS F 12.783 3 3.240 M -RA[4] RCLK R 8.504 3 2.219 M -RA[4] nCRAS F 11.513 3 2.948 M -RA[5] RCLK R 9.609 3 2.481 M -RA[5] nCRAS F 11.870 3 3.010 M -RA[6] RCLK R 10.001 3 2.579 M -RA[6] nCRAS F 12.947 3 3.292 M -RA[7] RCLK R 10.255 3 2.652 M -RA[7] nCRAS F 12.177 3 3.089 M -RA[8] RCLK R 8.896 3 2.316 M -RA[8] nCRAS F 11.417 3 2.920 M -RA[9] RCLK R 8.766 3 2.284 M -RA[9] nCRAS F 11.617 3 2.957 M -RBA[0] nCRAS F 9.698 3 2.483 M -RBA[1] nCRAS F 11.425 3 2.916 M -RCKE RCLK R 9.080 3 2.363 M -RDQMH RCLK R 9.475 3 2.443 M -RDQML RCLK R 10.477 3 2.713 M -RD[0] nCCAS F 11.252 3 2.942 M -RD[1] nCCAS F 11.963 3 3.100 M -RD[2] nCCAS F 12.880 3 3.336 M -RD[3] nCCAS F 12.422 3 3.224 M -RD[4] nCCAS F 11.252 3 2.942 M -RD[5] nCCAS F 12.423 3 3.212 M -RD[6] nCCAS F 12.979 3 3.375 M -RD[7] nCCAS F 12.914 3 3.350 M -UFMCLK RCLK R 8.007 3 2.126 M -UFMSDI RCLK R 8.007 3 2.126 M -nRCAS RCLK R 8.595 3 2.232 M -nRCS RCLK R 7.429 3 1.949 M -nRRAS RCLK R 8.615 3 2.236 M -nRWE RCLK R 7.429 3 1.949 M -nUFMCS RCLK R 9.193 3 2.413 M -WARNING: you must also run trce with hold speed: 3 -WARNING: you must also run trce with setup speed: M diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1_trce.asd b/CPLD-old/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1_trce.asd deleted file mode 100644 index 7b7fee7..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1_trce.asd +++ /dev/null @@ -1,91 +0,0 @@ -[ActiveSupport TRCE] -; Setup Analysis -Period_0 = 26.150 ns (350.000 ns); -Period_1 = 2.000 ns (350.000 ns); -Period_2 = 2.000 ns (350.000 ns); -Period_3 = 8.434 ns (16.000 ns); -Tco_4 = - (-); -Tco_5 = - (-); -Tco_6 = - (-); -Tco_7 = - (-); -Tco_8 = - (-); -Tco_9 = - (-); -Tco_10 = - (-); -Tco_11 = - (-); -Tco_12 = - (-); -Tco_13 = - (-); -Tco_14 = - (-); -Tco_15 = - (-); -Tco_16 = 8.596 ns (12.500 ns); -Tco_17 = 8.766 ns (12.500 ns); -Tco_18 = 8.896 ns (12.500 ns); -Tco_19 = 10.255 ns (12.500 ns); -Tco_20 = 10.001 ns (12.500 ns); -Tco_21 = 9.609 ns (12.500 ns); -Tco_22 = 8.504 ns (12.500 ns); -Tco_23 = 9.933 ns (12.500 ns); -Tco_24 = 10.062 ns (12.500 ns); -Tco_25 = 8.766 ns (12.500 ns); -Tco_26 = 9.674 ns (12.500 ns); -Tco_27 = 7.429 ns (12.500 ns); -Tco_28 = 9.080 ns (12.500 ns); -Tco_29 = 7.429 ns (12.500 ns); -Tco_30 = 8.615 ns (12.500 ns); -Tco_31 = 8.595 ns (12.500 ns); -Tco_32 = 9.475 ns (12.500 ns); -Tco_33 = 10.477 ns (12.500 ns); -Tco_34 = - (-); -Tco_35 = - (-); -Tco_36 = - (-); -Tco_37 = - (-); -Tco_38 = - (-); -Tco_39 = - (-); -Tco_40 = - (-); -Failed = 0 (Total 41); -Clock_ports = 4; -Clock_nets = 4; -; Hold Analysis -Period_0 = - (-); -Period_1 = - (-); -Period_2 = - (-); -Period_3 = - (-); -Tco_4 = - (-); -Tco_5 = - (-); -Tco_6 = - (-); -Tco_7 = - (-); -Tco_8 = - (-); -Tco_9 = - (-); -Tco_10 = - (-); -Tco_11 = - (-); -Tco_12 = - (-); -Tco_13 = - (-); -Tco_14 = - (-); -Tco_15 = - (-); -Tco_16 = 2.220 ns (0.000 ns); -Tco_17 = 2.284 ns (0.000 ns); -Tco_18 = 2.316 ns (0.000 ns); -Tco_19 = 2.652 ns (0.000 ns); -Tco_20 = 2.579 ns (0.000 ns); -Tco_21 = 2.481 ns (0.000 ns); -Tco_22 = 2.219 ns (0.000 ns); -Tco_23 = 2.555 ns (0.000 ns); -Tco_24 = 2.599 ns (0.000 ns); -Tco_25 = 2.284 ns (0.000 ns); -Tco_26 = 2.492 ns (0.000 ns); -Tco_27 = 1.949 ns (0.000 ns); -Tco_28 = 2.363 ns (0.000 ns); -Tco_29 = 1.949 ns (0.000 ns); -Tco_30 = 2.236 ns (0.000 ns); -Tco_31 = 2.232 ns (0.000 ns); -Tco_32 = 2.443 ns (0.000 ns); -Tco_33 = 2.713 ns (0.000 ns); -Tco_34 = - (-); -Tco_35 = - (-); -Tco_36 = - (-); -Tco_37 = - (-); -Tco_38 = - (-); -Tco_39 = - (-); -Tco_40 = - (-); -Failed = 0 (Total 41); -Clock_ports = 4; -Clock_nets = 4; diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/synthesis.log b/CPLD-old/LCMXO/LCMXO256C/impl1/synthesis.log deleted file mode 100644 index 70a7a9b..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/synthesis.log +++ /dev/null @@ -1,239 +0,0 @@ -synthesis: version Diamond (64-bit) 3.12.0.240.2 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Mon Aug 16 21:32:25 2021 - - -Command Line: synthesis -f RAM2GS_LCMXO256C_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml - -Synthesis options: -The -a option is MachXO. -The -s option is 3. -The -t option is TQFP100. -The -d option is LCMXO256C. -Using package TQFP100. -Using performance grade 3. - - -########################################################## - -### Lattice Family : MachXO - -### Device : LCMXO256C - -### Package : TQFP100 - -### Speed : 3 - -########################################################## - - - -INFO - synthesis: User-Selected Strategy Settings -Optimization goal = Balanced -Top-level module name = RAM2GS. -Target frequency = 200.000000 MHz. -Maximum fanout = 1000. -Timing path count = 3 -BRAM utilization = 100.000000 % -DSP usage = true -DSP utilization = 100.000000 % -fsm_encoding_style = auto -resolve_mixed_drivers = 0 -fix_gated_clocks = 1 - -Mux style = Auto -Use Carry Chain = true -carry_chain_length = 0 -Loop Limit = 1950. -Use IO Insertion = TRUE -Use IO Reg = AUTO - -Resource Sharing = TRUE -Propagate Constants = TRUE -Remove Duplicate Registers = TRUE -force_gsr = auto -ROM style = auto -RAM style = auto -The -comp option is FALSE. -The -syn option is FALSE. --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C (searchpath added) --p C:/lscc/diamond/3.12/ispfpga/mj5g00/data (searchpath added) --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1 (searchpath added) --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C (searchpath added) -Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v -NGD file = RAM2GS_LCMXO256C_impl1.ngd --sdc option: SDC file input not used. --lpf option: Output file option is ON. -Hardtimer checking is enabled (default). The -dt option is not used. -The -r option is OFF. [ Remove LOC Properties is OFF. ] -Technology check ok... - -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482 -Compile design. -Compile Design Begin -Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v. VERI-1482 -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482 -Top module name (Verilog): RAM2GS -INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1): compiling module RAM2GS. VERI-1018 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131): expression size 32 truncated to fit in target size 2. VERI-1209 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136): expression size 32 truncated to fit in target size 18. VERI-1209 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263): expression size 32 truncated to fit in target size 4. VERI-1209 -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... -Loading device for application map from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. -Package Status: Final Version 1.19. -Top-level module name = RAM2GS. -INFO - synthesis: Extracted state machine for register 'IS' with one-hot encoding -original encoding -> new encoding (one-hot encoding) - - 0000 -> 0000000000000001 - - 0001 -> 0000000000000010 - - 0010 -> 0000000000000100 - - 0011 -> 0000000000001000 - - 0100 -> 0000000000010000 - - 0101 -> 0000000000100000 - - 0110 -> 0000000001000000 - - 0111 -> 0000000010000000 - - 1000 -> 0000000100000000 - - 1001 -> 0000001000000000 - - 1010 -> 0000010000000000 - - 1011 -> 0000100000000000 - - 1100 -> 0001000000000000 - - 1101 -> 0010000000000000 - - 1110 -> 0100000000000000 - - 1111 -> 1000000000000000 - -INFO - synthesis: Extracted state machine for register 'S' with one-hot encoding -original encoding -> new encoding (one-hot encoding) - - 00 -> 0001 - - 01 -> 0010 - - 10 -> 0100 - - 11 -> 1000 - - - - -GSR will not be inferred because no asynchronous signal was found in the netlist. -WARNING - synthesis: Initial value found on instance C1Submitted_379 will be ignored. -Applying 200.000000 MHz constraint to all clocks - -WARNING - synthesis: No user .sdc file. -Results of NGD DRC are available in RAM2GS_drc.log. -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... -All blocks are expanded and NGD expansion is successful. -Writing NGD file RAM2GS_LCMXO256C_impl1.ngd. - -################### Begin Area Report (RAM2GS)###################### -Number of register bits => 102 of 490 (20 % ) -BB => 8 -CCU2 => 9 -FD1P3AX => 28 -FD1P3AY => 3 -FD1P3IX => 2 -FD1P3JX => 1 -FD1S3AX => 47 -FD1S3AY => 1 -FD1S3IX => 16 -FD1S3JX => 4 -GSR => 1 -IB => 26 -INV => 3 -OB => 33 -ORCALUT4 => 116 -PFUMX => 3 -################### End Area Report ################## - -################### Begin BlackBox Report ###################### -TSALL => 1 -################### End BlackBox Report ################## - -################### Begin Clock Report ###################### -Clock Nets -Number of Clocks: 4 - Net : RCLK_c, loads : 62 - Net : PHI2_c, loads : 11 - Net : nCCAS_c, loads : 2 - Net : nCRAS_c, loads : 2 -Clock Enable Nets -Number of Clock Enables: 13 -Top 10 highest fanout Clock Enables: - Net : RCLK_c_enable_23, loads : 16 - Net : RCLK_c_enable_4, loads : 3 - Net : PHI2_N_114_enable_7, loads : 3 - Net : RCLK_c_enable_24, loads : 2 - Net : PHI2_N_114_enable_6, loads : 2 - Net : RCLK_c_enable_7, loads : 1 - Net : RCLK_c_enable_6, loads : 1 - Net : RCLK_c_enable_3, loads : 1 - Net : PHI2_N_114_enable_2, loads : 1 - Net : PHI2_N_114_enable_1, loads : 1 -Highest fanout non-clock nets -Top 10 highest fanout non-clock nets: - Net : InitReady, loads : 17 - Net : RCLK_c_enable_23, loads : 16 - Net : RASr2, loads : 15 - Net : nCRAS_N_9, loads : 15 - Net : nRowColSel_N_35, loads : 14 - Net : nRowColSel, loads : 13 - Net : Ready, loads : 13 - Net : n2307, loads : 13 - Net : nCCAS_N_3, loads : 10 - Net : Din_c_6, loads : 9 -################### End Clock Report ################## - -Timing Report Summary --------------- --------------------------------------------------------------------------------- -Constraint | Constraint| Actual|Levels --------------------------------------------------------------------------------- - | | | -create_clock -period 5.000000 -name | | | -clk3 [get_nets nCCAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk2 [get_nets nCRAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk1 [get_nets PHI2_c] | 200.000 MHz| 38.826 MHz| 7 * - | | | -create_clock -period 5.000000 -name | | | -clk0 [get_nets RCLK_c] | 200.000 MHz| 88.566 MHz| 6 * - | | | --------------------------------------------------------------------------------- - - -2 constraints not met. - - -Peak Memory Usage: 50.406 MB - --------------------------------------------------------------- -Elapsed CPU time for LSE flow : 0.530 secs --------------------------------------------------------------- diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/synthesis_lse.html b/CPLD-old/LCMXO/LCMXO256C/impl1/synthesis_lse.html deleted file mode 100644 index 8faa0b2..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/synthesis_lse.html +++ /dev/null @@ -1,304 +0,0 @@ - -Synthesis and Ngdbuild Report - - -
    Synthesis and Ngdbuild  Report
    -synthesis:  version Diamond (64-bit) 3.12.0.240.2
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Mon Aug 16 21:32:25 2021
    -
    -
    -Command Line:  synthesis -f RAM2GS_LCMXO256C_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml 
    -
    -Synthesis options:
    -The -a option is MachXO.
    -The -s option is 3.
    -The -t option is TQFP100.
    -The -d option is LCMXO256C.
    -Using package TQFP100.
    -Using performance grade 3.
    -                                                          
    -
    -##########################################################
    -
    -### Lattice Family : MachXO
    -
    -### Device  : LCMXO256C
    -
    -### Package : TQFP100
    -
    -### Speed   : 3
    -
    -##########################################################
    -
    -                                                          
    -
    -INFO - synthesis: User-Selected Strategy Settings
    -Optimization goal = Balanced
    -Top-level module name = RAM2GS.
    -Target frequency = 200.000000 MHz.
    -Maximum fanout = 1000.
    -Timing path count = 3
    -BRAM utilization = 100.000000 %
    -DSP usage = true
    -DSP utilization = 100.000000 %
    -fsm_encoding_style = auto
    -resolve_mixed_drivers = 0
    -fix_gated_clocks = 1
    -
    -Mux style = Auto
    -Use Carry Chain = true
    -carry_chain_length = 0
    -Loop Limit = 1950.
    -Use IO Insertion = TRUE
    -Use IO Reg = AUTO
    -
    -Resource Sharing = TRUE
    -Propagate Constants = TRUE
    -Remove Duplicate Registers = TRUE
    -force_gsr = auto
    -ROM style = auto
    -RAM style = auto
    -The -comp option is FALSE.
    -The -syn option is FALSE.
    --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C (searchpath added)
    --p C:/lscc/diamond/3.12/ispfpga/mj5g00/data (searchpath added)
    --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1 (searchpath added)
    --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C (searchpath added)
    -Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v
    -NGD file = RAM2GS_LCMXO256C_impl1.ngd
    --sdc option: SDC file input not used.
    --lpf option: Output file option is ON.
    -Hardtimer checking is enabled (default). The -dt option is not used.
    -The -r option is OFF. [ Remove LOC Properties is OFF. ]
    -Technology check ok...
    -
    -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482
    -Compile design.
    -Compile Design Begin
    -Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v. VERI-1482
    -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482
    -Top module name (Verilog): RAM2GS
    -INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1): compiling module RAM2GS. VERI-1018
    -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131): expression size 32 truncated to fit in target size 2. VERI-1209
    -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136): expression size 32 truncated to fit in target size 18. VERI-1209
    -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263): expression size 32 truncated to fit in target size 4. VERI-1209
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'...
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
    -Loading device for application map from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    -Package Status:                     Final          Version 1.19.
    -Top-level module name = RAM2GS.
    -INFO - synthesis: Extracted state machine for register 'IS' with one-hot encoding
    -original encoding -> new encoding (one-hot encoding)
    -
    - 0000 -> 0000000000000001
    -
    - 0001 -> 0000000000000010
    -
    - 0010 -> 0000000000000100
    -
    - 0011 -> 0000000000001000
    -
    - 0100 -> 0000000000010000
    -
    - 0101 -> 0000000000100000
    -
    - 0110 -> 0000000001000000
    -
    - 0111 -> 0000000010000000
    -
    - 1000 -> 0000000100000000
    -
    - 1001 -> 0000001000000000
    -
    - 1010 -> 0000010000000000
    -
    - 1011 -> 0000100000000000
    -
    - 1100 -> 0001000000000000
    -
    - 1101 -> 0010000000000000
    -
    - 1110 -> 0100000000000000
    -
    - 1111 -> 1000000000000000
    -
    -INFO - synthesis: Extracted state machine for register 'S' with one-hot encoding
    -original encoding -> new encoding (one-hot encoding)
    -
    - 00 -> 0001
    -
    - 01 -> 0010
    -
    - 10 -> 0100
    -
    - 11 -> 1000
    -
    -
    -
    -
    -GSR will not be inferred because no asynchronous signal was found in the netlist.
    -WARNING - synthesis: Initial value found on instance C1Submitted_379 will be ignored.
    -Applying 200.000000 MHz constraint to all clocks
    -
    -WARNING - synthesis: No user .sdc file.
    -Results of NGD DRC are available in RAM2GS_drc.log.
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'...
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
    -All blocks are expanded and NGD expansion is successful.
    -Writing NGD file RAM2GS_LCMXO256C_impl1.ngd.
    -
    -################### Begin Area Report (RAM2GS)######################
    -Number of register bits => 102 of 490 (20 % )
    -BB => 8
    -CCU2 => 9
    -FD1P3AX => 28
    -FD1P3AY => 3
    -FD1P3IX => 2
    -FD1P3JX => 1
    -FD1S3AX => 47
    -FD1S3AY => 1
    -FD1S3IX => 16
    -FD1S3JX => 4
    -GSR => 1
    -IB => 26
    -INV => 3
    -OB => 33
    -ORCALUT4 => 116
    -PFUMX => 3
    -################### End Area Report ##################
    -
    -################### Begin BlackBox Report ######################
    -TSALL => 1
    -################### End BlackBox Report ##################
    -
    -################### Begin Clock Report ######################
    -Clock Nets
    -Number of Clocks: 4
    -  Net : RCLK_c, loads : 62
    -  Net : PHI2_c, loads : 11
    -  Net : nCCAS_c, loads : 2
    -  Net : nCRAS_c, loads : 2
    -Clock Enable Nets
    -Number of Clock Enables: 13
    -Top 10 highest fanout Clock Enables:
    -  Net : RCLK_c_enable_23, loads : 16
    -  Net : RCLK_c_enable_4, loads : 3
    -  Net : PHI2_N_114_enable_7, loads : 3
    -  Net : RCLK_c_enable_24, loads : 2
    -  Net : PHI2_N_114_enable_6, loads : 2
    -  Net : RCLK_c_enable_7, loads : 1
    -  Net : RCLK_c_enable_6, loads : 1
    -  Net : RCLK_c_enable_3, loads : 1
    -  Net : PHI2_N_114_enable_2, loads : 1
    -  Net : PHI2_N_114_enable_1, loads : 1
    -Highest fanout non-clock nets
    -Top 10 highest fanout non-clock nets:
    -  Net : InitReady, loads : 17
    -  Net : RCLK_c_enable_23, loads : 16
    -  Net : RASr2, loads : 15
    -  Net : nCRAS_N_9, loads : 15
    -  Net : nRowColSel_N_35, loads : 14
    -  Net : nRowColSel, loads : 13
    -  Net : Ready, loads : 13
    -  Net : n2307, loads : 13
    -  Net : nCCAS_N_3, loads : 10
    -  Net : Din_c_6, loads : 9
    -################### End Clock Report ##################
    -
    -Timing Report Summary
    ---------------
    ---------------------------------------------------------------------------------
    -Constraint                              |   Constraint|       Actual|Levels
    ---------------------------------------------------------------------------------
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk3 [get_nets nCCAS_c]                 |            -|            -|     0  
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk2 [get_nets nCRAS_c]                 |            -|            -|     0  
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk1 [get_nets PHI2_c]                  |  200.000 MHz|   38.826 MHz|     7 *
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk0 [get_nets RCLK_c]                  |  200.000 MHz|   88.566 MHz|     6 *
    -                                        |             |             |
    ---------------------------------------------------------------------------------
    -
    -
    -2 constraints not met.
    -
    -
    -Peak Memory Usage: 50.406  MB
    -
    ---------------------------------------------------------------
    -Elapsed CPU time for LSE flow : 0.530  secs
    ---------------------------------------------------------------
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/xxx_lse_cp_file_list b/CPLD-old/LCMXO/LCMXO256C/impl1/xxx_lse_cp_file_list deleted file mode 100644 index 29f9161..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/xxx_lse_cp_file_list +++ /dev/null @@ -1,252 +0,0 @@ -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/xxx_lse_sign_file b/CPLD-old/LCMXO/LCMXO256C/impl1/xxx_lse_sign_file deleted file mode 100644 index 47217b1..0000000 --- a/CPLD-old/LCMXO/LCMXO256C/impl1/xxx_lse_sign_file +++ /dev/null @@ -1,252 +0,0 @@ -LSE_CPS_ID_1 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[8:13]" -LSE_CPS_ID_2 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_3 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_4 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_5 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_6 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_7 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_8 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_9 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_10 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_11 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_12 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_13 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_14 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_15 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_16 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_17 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_18 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_19 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:141[9] 144[5]" -LSE_CPS_ID_20 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_21 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_22 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_23 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" -LSE_CPS_ID_24 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_25 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_26 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_27 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_28 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:230[16:37]" -LSE_CPS_ID_29 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_30 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_31 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_32 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_33 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_34 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_35 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" -LSE_CPS_ID_36 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" -LSE_CPS_ID_37 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_38 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" -LSE_CPS_ID_39 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_40 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_41 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_42 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_43 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_44 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_45 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:58[17:46]" -LSE_CPS_ID_46 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_47 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:80[15:31]" -LSE_CPS_ID_48 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" -LSE_CPS_ID_49 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" -LSE_CPS_ID_50 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" -LSE_CPS_ID_51 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_52 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:378[53:60]" -LSE_CPS_ID_53 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_54 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_55 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_56 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_57 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" -LSE_CPS_ID_58 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_59 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_60 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" -LSE_CPS_ID_61 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" -LSE_CPS_ID_62 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_63 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_64 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_65 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" -LSE_CPS_ID_66 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_67 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_68 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:316[13] 322[7]" -LSE_CPS_ID_69 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_70 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:143[40:46]" -LSE_CPS_ID_71 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:310[8:22]" -LSE_CPS_ID_72 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:309[7:24]" -LSE_CPS_ID_73 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:48[6:16]" -LSE_CPS_ID_74 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_75 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_76 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_77 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:232[12] 284[6]" -LSE_CPS_ID_78 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" -LSE_CPS_ID_79 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:143[11:55]" -LSE_CPS_ID_80 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:134[9] 138[5]" -LSE_CPS_ID_81 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_82 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_83 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_84 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:384[16:26]" -LSE_CPS_ID_85 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:309[7:24]" -LSE_CPS_ID_86 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_87 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:339[4] 372[11]" -LSE_CPS_ID_88 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_89 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_90 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_91 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_92 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_93 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_94 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_95 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_96 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_97 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_98 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_99 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:13[15:34]" -LSE_CPS_ID_100 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_101 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_102 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:99[22:51]" -LSE_CPS_ID_103 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_104 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_105 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_106 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_107 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_108 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_109 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_110 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_111 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_112 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_113 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_114 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_115 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_116 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_117 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_118 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_119 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_120 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_121 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_122 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_123 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_124 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_125 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_126 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_127 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_128 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_129 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_130 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_131 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_132 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_133 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_134 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_135 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_136 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_137 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_138 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_139 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_140 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_141 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_142 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_143 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_144 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_145 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_146 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_147 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_148 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_149 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:80[15:31]" -LSE_CPS_ID_150 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_151 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_152 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_153 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_154 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_155 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_156 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_157 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_158 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_159 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_160 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_161 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_162 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_163 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_164 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:255[14] 262[8]" -LSE_CPS_ID_165 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_166 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_167 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_168 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_169 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_170 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_171 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_172 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_173 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_174 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:12[9:12]" -LSE_CPS_ID_175 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:47[19:22]" -LSE_CPS_ID_176 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:47[19:22]" -LSE_CPS_ID_177 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_178 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_179 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_180 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_181 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_182 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_183 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_184 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_185 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_186 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_187 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_188 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_189 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[13:17]" -LSE_CPS_ID_190 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:45[13:17]" -LSE_CPS_ID_191 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[45:49]" -LSE_CPS_ID_192 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[23:28]" -LSE_CPS_ID_193 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[34:39]" -LSE_CPS_ID_194 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:56[16:21]" -LSE_CPS_ID_195 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:56[9:14]" -LSE_CPS_ID_196 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:63[13:19]" -LSE_CPS_ID_197 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:64[13:19]" -LSE_CPS_ID_198 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:65[13:19]" -LSE_CPS_ID_199 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:8[8:12]" -LSE_CPS_ID_200 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_201 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_202 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_203 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_204 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_205 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_206 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_207 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_208 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_209 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_210 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:34[14:18]" -LSE_CPS_ID_211 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:34[14:18]" -LSE_CPS_ID_212 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_213 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_214 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_215 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_216 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_217 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_218 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_219 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_220 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[8:13]" -LSE_CPS_ID_221 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[15:20]" -LSE_CPS_ID_222 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:36[8:12]" -LSE_CPS_ID_223 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:41[8:12]" -LSE_CPS_ID_224 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:66[8:14]" -LSE_CPS_ID_225 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_226 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_227 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_228 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_229 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_230 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_231 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_232 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_233 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" -LSE_CPS_ID_234 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:214[26:30]" -LSE_CPS_ID_235 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_236 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_237 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_238 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_239 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_240 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_241 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_242 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_243 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_244 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" -LSE_CPS_ID_245 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_246 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_247 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_248 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_249 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_250 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[15:20]" -LSE_CPS_ID_251 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:8[8:12]" -LSE_CPS_ID_252 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" diff --git a/CPLD-old/LCMXO/LCMXO640C/.setting.ini b/CPLD-old/LCMXO/LCMXO640C/.setting.ini deleted file mode 100644 index c145fb8..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/.setting.ini +++ /dev/null @@ -1,4 +0,0 @@ -[General] -Export.auto_tasks=IBIS, Bitgen -Map.auto_tasks=MapEqu, MapTrace -PAR.auto_tasks=PARTrace, IOTiming diff --git a/CPLD-old/LCMXO/LCMXO640C/.spread_sheet.ini b/CPLD-old/LCMXO/LCMXO640C/.spread_sheet.ini deleted file mode 100644 index 6c511f4..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/.spread_sheet.ini +++ /dev/null @@ -1,3 +0,0 @@ -[General] -COLUMN_POS_INFO_NAME_-1_0=Prioritize -COLUMN_POS_INFO_NAME_-1_1=PIO Register diff --git a/CPLD-old/LCMXO/LCMXO640C/.spreadsheet_view.ini b/CPLD-old/LCMXO/LCMXO640C/.spreadsheet_view.ini deleted file mode 100644 index 54adf6f..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/.spreadsheet_view.ini +++ /dev/null @@ -1,65 +0,0 @@ -[General] -pin_sort_type=0 -pin_sort_ascending=true -sig_sort_type=0 -sig_sort_ascending=true -active_Sheet=Port Assignments - -[Port%20Assignments] -Name="166,0" -Group%20By="84,1" -Pin="56,2" -BANK="62,3" -IO_TYPE="131,4" -PULLMODE="92,5" -DRIVE="67,6" -SLEWRATE="92,7" -OPENDRAIN="97,8" -Outload%20%28pF%29="103,9" -MaxSkew="87,10" -Clock%20Load%20Only="121,11" -sort_columns="Name,Ascending" - -[Pin%20Assignments] -Pin="90,0" -Pad%20Name="89,1" -Dual%20Function="109,2" -Polarity="77,3" -BANK="0,4" -IO_TYPE="131,5" -Signal%20Name="113,6" -Signal%20Type="115,7" -sort_columns="Pin,Ascending" - -[Clock%20Resource] -Clock%20Type="100,ELLIPSIS" -Clock%20Name="100,ELLIPSIS" -Selection="100,ELLIPSIS" - -[Global%20Preferences] -Preference%20Name="222,ELLIPSIS" -Preference%20Value="236,ELLIPSIS" - -[Cell%20Mapping] -Type="100,ELLIPSIS" -Name="100,ELLIPSIS" -Din\Dout="100,ELLIPSIS" -PIO%20Register="100,ELLIPSIS" - -[Route%20Priority] -Type="100,ELLIPSIS" -Name="100,ELLIPSIS" -Prioritize="100,ELLIPSIS" - -[Timing%20Preferences] -Preference%20Name="246,ELLIPSIS" -Preference%20Value="104,ELLIPSIS" -Preference%20Unit="98,ELLIPSIS" - -[Group] -Group%20Type\Name="134,ELLIPSIS" -Value="38,ELLIPSIS" - -[Misc%20Preferences] -Preference%20Name="117,ELLIPSIS" -Preference%20Value="104,ELLIPSIS" diff --git a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ccl b/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ccl deleted file mode 100644 index dcf391b..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ccl +++ /dev/null @@ -1 +0,0 @@ -VERSION=20110520 diff --git a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf b/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf deleted file mode 100644 index c625cb6..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf +++ /dev/null @@ -1,14 +0,0 @@ - - - - - - - - - - - - - - diff --git a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.lpf b/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.lpf deleted file mode 100644 index 9fa278f..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.lpf +++ /dev/null @@ -1,226 +0,0 @@ -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -LOCATE COMP "Dout[0]" SITE "1" ; -LOCATE COMP "Dout[6]" SITE "2" ; -LOCATE COMP "Dout[7]" SITE "3" ; -LOCATE COMP "Dout[4]" SITE "4" ; -LOCATE COMP "Dout[5]" SITE "5" ; -LOCATE COMP "Dout[3]" SITE "6" ; -LOCATE COMP "Dout[1]" SITE "7" ; -LOCATE COMP "Dout[2]" SITE "8" ; -LOCATE COMP "Din[2]" SITE "14" ; -LOCATE COMP "Din[1]" SITE "15" ; -LOCATE COMP "Din[3]" SITE "16" ; -LOCATE COMP "Din[5]" SITE "17" ; -LOCATE COMP "Din[4]" SITE "18" ; -LOCATE COMP "Din[7]" SITE "19" ; -LOCATE COMP "Din[6]" SITE "20" ; -LOCATE COMP "Din[0]" SITE "21" ; -LOCATE COMP "LED" SITE "57" ; -LOCATE COMP "RA[0]" SITE "98" ; -LOCATE COMP "RA[1]" SITE "89" ; -LOCATE COMP "RA[2]" SITE "94" ; -LOCATE COMP "RA[3]" SITE "97" ; -LOCATE COMP "RA[4]" SITE "99" ; -LOCATE COMP "RA[5]" SITE "95" ; -LOCATE COMP "RA[6]" SITE "91" ; -LOCATE COMP "RA[7]" SITE "100" ; -LOCATE COMP "RA[8]" SITE "96" ; -LOCATE COMP "RA[9]" SITE "85" ; -LOCATE COMP "RA[10]" SITE "87" ; -LOCATE COMP "RA[11]" SITE "79" ; -LOCATE COMP "RBA[1]" SITE "83" ; -LOCATE COMP "RBA[0]" SITE "63" ; -LOCATE COMP "RCKE" SITE "82" ; -LOCATE COMP "RDQMH" SITE "76" ; -LOCATE COMP "RDQML" SITE "61" ; -LOCATE COMP "UFMCLK" SITE "58" ; -LOCATE COMP "UFMSDI" SITE "56" ; -LOCATE COMP "nUFMCS" SITE "53" ; -LOCATE COMP "nRCAS" SITE "78" ; -LOCATE COMP "nRCS" SITE "77" ; -LOCATE COMP "nRRAS" SITE "73" ; -LOCATE COMP "nRWE" SITE "72" ; -LOCATE COMP "RD[0]" SITE "64" ; -LOCATE COMP "RD[1]" SITE "65" ; -LOCATE COMP "RD[2]" SITE "66" ; -LOCATE COMP "RD[3]" SITE "67" ; -LOCATE COMP "RD[4]" SITE "68" ; -LOCATE COMP "RD[5]" SITE "69" ; -LOCATE COMP "RD[6]" SITE "70" ; -LOCATE COMP "RD[7]" SITE "71" ; -LOCATE COMP "PHI2" SITE "39" ; -LOCATE COMP "RCLK" SITE "86" ; -LOCATE COMP "nCCAS" SITE "27" ; -LOCATE COMP "nCRAS" SITE "43" ; -LOCATE COMP "CROW[0]" SITE "32" ; -LOCATE COMP "CROW[1]" SITE "34" ; -LOCATE COMP "UFMSDO" SITE "55" ; -LOCATE COMP "nFWE" SITE "22" ; -LOCATE COMP "MAin[0]" SITE "23" ; -LOCATE COMP "MAin[1]" SITE "38" ; -LOCATE COMP "MAin[2]" SITE "37" ; -LOCATE COMP "MAin[3]" SITE "47" ; -LOCATE COMP "MAin[4]" SITE "46" ; -LOCATE COMP "MAin[5]" SITE "45" ; -LOCATE COMP "MAin[6]" SITE "49" ; -LOCATE COMP "MAin[7]" SITE "44" ; -LOCATE COMP "MAin[8]" SITE "50" ; -LOCATE COMP "MAin[9]" SITE "51" ; -IOBUF PORT "CROW[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "CROW[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "nCRAS" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "nCCAS" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "RCLK" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "PHI2" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[2]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[3]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[4]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[5]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[6]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Din[7]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[2]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[3]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[4]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[5]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[6]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[7]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[8]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "MAin[9]" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "UFMSDO" PULLMODE=KEEPER IO_TYPE=LVTTL33 ; -IOBUF PORT "nFWE" PULLMODE=NONE IO_TYPE=LVTTL33 ; -IOBUF PORT "Dout[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[2]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[3]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[4]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[5]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[6]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "Dout[7]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "LED" PULLMODE=NONE IO_TYPE=LVTTL33 DRIVE=16 SLEWRATE=SLOW ; -IOBUF PORT "RA[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[2]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[3]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[4]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[5]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[6]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[7]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[8]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[9]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[10]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RA[11]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RBA[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RBA[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RCKE" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RDQMH" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RDQML" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "UFMCLK" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "UFMSDI" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "nRCAS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "nRCS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "nRRAS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "nRWE" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "nUFMCS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; -IOBUF PORT "RD[0]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[1]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[2]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[3]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[4]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[5]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[6]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -IOBUF PORT "RD[7]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -USE PRIMARY NET "PHI2_c" ; -USE PRIMARY NET "RCLK_c" ; -VOLTAGE 3.300 V; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; -PERIOD NET "PHI2_c" 350.000000 ns ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -PERIOD NET "RCLK_c" 16.000000 ns ; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; -USE PRIMARY NET "nCCAS_c" ; -USE PRIMARY NET "nCRAS_c" ; diff --git a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C1.sty b/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C1.sty deleted file mode 100644 index feec63c..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C1.sty +++ /dev/null @@ -1,205 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcl.html b/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcl.html deleted file mode 100644 index c0aa950..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcl.html +++ /dev/null @@ -1,75 +0,0 @@ - -Lattice TCL Log - - -
    pn210816203903
    -#Start recording tcl command: 8/16/2021 20:34:20
    -#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C; Project name: RAM2GS_LCMXO640C
    -prj_project new -name "RAM2GS_LCMXO640C" -impl "impl1" -dev LCMXO640C-3T100C -synthesis "lse"
    -prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v"
    -prj_project save
    -prj_src add -exclude "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS_LCMXO.lpf"
    -prj_run Export -impl impl1 -forceAll
    -prj_src remove "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS_LCMXO.lpf"
    -prj_run Export -impl impl1 -forceAll
    -#Stop recording: 8/16/2021 20:39:03
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816203903.tcr b/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816203903.tcr deleted file mode 100644 index aada37a..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816203903.tcr +++ /dev/null @@ -1,10 +0,0 @@ -#Start recording tcl command: 8/16/2021 20:34:20 -#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C; Project name: RAM2GS_LCMXO640C -prj_project new -name "RAM2GS_LCMXO640C" -impl "impl1" -dev LCMXO640C-3T100C -synthesis "lse" -prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v" -prj_project save -prj_src add -exclude "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS_LCMXO.lpf" -prj_run Export -impl impl1 -forceAll -prj_src remove "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS_LCMXO.lpf" -prj_run Export -impl impl1 -forceAll -#Stop recording: 8/16/2021 20:39:03 diff --git a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816214112.tcr b/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816214112.tcr deleted file mode 100644 index 3c252b4..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816214112.tcr +++ /dev/null @@ -1,6 +0,0 @@ -#Start recording tcl command: 8/16/2021 21:33:25 -#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C; Project name: RAM2GS_LCMXO640C -prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf" -prj_run Export -impl impl1 -forceAll -prj_run Export -impl impl1 -forceOne -#Stop recording: 8/16/2021 21:41:12 diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/.build_status b/CPLD-old/LCMXO/LCMXO640C/impl1/.build_status deleted file mode 100644 index 45432b8..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/.build_status +++ /dev/null @@ -1,46 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb b/CPLD-old/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb deleted file mode 100644 index 983b4febc9f9c5d92715f68391a9eaed258ea38e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 65077 zcmd6Q33OaXc3q>Xp4DHbrPW5KVdCvd3E%Cyw_$wqrZqm&v`gzWV=TPR>Dh zPWl|6>b-iu>eZ`P@4c#D|NnbGaCUMglX)$JU-VO7eReYU3k#q1#S;^6J3E(q&y!Dl z3Gta|Av4TO9lYGSy1j1_VCS3i_tKyN+{A4C^wQb+`aKJEUI;`c#7q?Tu5MqCuI*jE zdG*@0z1`f+om<o(VLxQ4~$8vdHe z(`R*Opj}HQ3l2`32K=}jt1B^|k`pA3i;v8d8p1#s87t$eXM?cqd56(^dYISYj-F^MLWxfFgb-4D%0xA(Vi$Lwl=idURJw>5w6P`e682IHkF zP#r+4`Uf9z@cQLYcLgHe%H-zT7Y|c`nau3T(`Pa0TZ`66-nz0gG}N@a28w@xgF!zD3^;o9E6w0GEWMIT_bQ=O=}9c`-?pe5Y^Y6s9T zfcgP62%ug7wF0OSK+OQ^M2&zK3AKZ=VF2|5Xb?cX0BQwLBY>I`nqDi{ON;e+Bbe#+ z5UhpZN(fd$uo{Bp5G(~C$K!G^9spx$UuUSfdnA~0YU~1&joZ+LeK+I20aiE^guw+0|7w~1Oz=05cEJm z&;t)mV|4C6;q{;tg5WzWm0-Sn*Mhz>oX+{uA^7s9p@;F#mjrOETt8Dkx4gN$-I(7Z8-*LrUpAYm`A* zfK++`Qt1Uqr57NTUVv130aEEbNTv5&Q0WB(r56yCUO-TK0YT{n1f>@clwLqkdI3S{ zJ;Ycix^&=1L>WQle@-O)kHjSW&!zuM|8ptuKMqp<$3e>fI7s;)2PyyKAmx7?r2LPA zl>c#%@;?qz{>MQWg6+sb%Ktb>`5y-<|KlL#e;lOzkAsx|agg#q4pRQdLdO4`2>p)( zwf}LT_CF5P{>OpZ|2R`5y-<|KlL# ze;lOzkA;l?v8<`7xL9|f_CF5P{>OpZ|2Rc#%@;?qz{>MSe|2Rnb9}5}(V_BQl{>OnZA@DyAECrzQKdLOn|D@sRe{5aK z|5!lyABXb($D-(eG~e3)*u3&Tn#lMc3mE_7K<$4V$e`=(h9h_OpZ z|2Rc#%@;?qz{>MSe|5(WQAIqBY zKMvIX$AQ}aI8gf^2WtQ0K<$4VsQr%xwg0hPX#e9N<$oNc{Evf_|8bD=KMqp<$3e>f zI7s;)2Pyw!A?trE?EOz|^Bu9x0*OiZpZ>uo4-Q@bd<7Trv$cv^dER5U7-v`Fh)Nt$ zjU&o&L@AC~t;Sl}h$GhGi1j$47Duea5tTTi8b_4lh*BJ}T8?uXN36vW>v2Raj#!B! zDsekT9)h(HTnWKS2v$R|9D=0)#Il9@ zh5eK*yA=fIl0a3Hs7eyGl0?;#sP!ajEs5GlqE-{ASKgq?Oj zV8v!Cw$n#j$#g z(T-t_d5>X@BZy&*%ZOo(a|y8p?S<-F_lvnx(B3MB)Lt!y)ZQ)ZRWsV&1>w+|t(k*%-Q-jxML8OR4B%xSjj!iH=IZK;9 z4k`s;{>;|>zD$`h2j<_gxaEMUS9fn-bHQ95jkuyb?%!x2TxY9WUdAS-lx%4h!xGk| zy?ltDHT%D=Q6g|}cFCDsgTMmGbeuf8fFZvHk=W=Vf7imi1E$}xb#B=^EZdMP&!4Gd zE85gKi7f(?wK0j|2sg0x%E^ih2osZd3KNrfgo#N!!o(yVVPX=GFfoZon3%*POibbt zCMNL+6O(v^iAg-d#3UYJViJ!qF^NZ*n8YJYOyUtHCh-UplX!%QNj$>DBpzX65|1!3 ziAR{2#3M{h;t(b#G0L1ZE2n)A>zKsDIwtY3j!8VMV-gSRn8d?6Ch@S2Nj$7$5(nEg zxluu9#hxMA=!+K5bVZA2zuwIjUSg)}?tk>8c)@y7J z>ovBA^%~p3dX4S6uh-ZfRBLPxsx`I;)f(G_YK`qdwZ`_KT4Q@qt+73**4PeoVIkJ3 zHZ06$Tv!-lZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ zZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs!ZCHq%6uaumW^7m(B28Er zB28ErB28ErB28ErB28ErB28ErB28ErB28ErAdferm*2d!huclqv{f-iHgmj@f@`JV zdMUU;3a+1m8>ZmeDY$M5u9L(Su&;jieJ7T2r^?1+7#ZxnCz05nPa?60pG0EqmqcQX zm_%YNnM7jEnM7`+A+d%{Qev%}L}E>xL}KlnL}HDdK+as+*^O|U`4uzL`goYx6NJZC zo6v5P5T~i2{dUg{5RaCb9k^`99ToE!dsNJ0>`^g~u}8%`#vT>(7<*LAW9(5ehhZ@7 zLMt6iJCISg1E$qN#98TSwGeS27a|_O%EJN2c?$LQ{herk@8C@%uBmOmAp>ct5MCI9 z>G|bz0-VA2O*3j;zGbc^+>6={p4~iG->BEhi}rBg90*|g8a+qi7j40$g=UzwT!?my zwh-+WZ2=m4!eHR+qTm>N!U7EEb{ze@VJA-B<>tt z<`IZPj+y5CAxqro+&{RsXXFZ1IJ5LN0^#C~G@np3^4#eDmHqJCs6fQ|ncOyf~2sm6GA&JW)XmNRjH7<|9$K??k zxjgpNTpqh_F5eRQp2!bGzAy64=#6bBH<53Nd{5*DBHtJJp~$yIzAN$_pU=Z7-ER*w z=5VxDFyZsCkq!^*=<=|OE)R?7^00+2-xm3<$aj36Ol(;urm<6VW`1GQ2xI!pasV!G zN^tW2yB0_oPOHhSRP6n3ivo`|sLODiZrbdhnC50^Q?GCD+CU?F#m?bL9F|i=c*5k0 zeVSwvhvp0t^X%<5TlU$i&sKbP#b;|iyY91VKD*(wt1ioV_PS|~^UUQj&s-k!%;ho9 zTpshxQbd{^W$NEoc!Os$7PomZ8l#% zy?9RfB+P<*RRFo2%eS>x;yLzRm(N$1&TZ){*arbj-M+H(%7MLRdKouz&)#P#b9nZ{ z7Uf!dyDs1G`AIZyM#aiwCPLGp9~6kVG}zt4gu!PYj4=FzTr&}R8CEY4iRvM@&rrRU zs;vItYOH#JNK}sz`V7_E@sri>+`1a$-Xjv#V>9?ORPVQnt?Z9Sw-5GiGZGh1Y$lNL zW~R?=E}uKGv~XBcwM=$s{bP2xYqT>vyySA*HrI1>JxAAbbUjDca&#?6*K%|%OP6aP zYO{5Z_&LbbCmu`73#PeT6EU94dp7XQq}6ylN$IkfVu~2gAy#~L#b;|iyY91VKD*(w zt1b(7v2)v040qx3`SQu-P2D0-mJ2`;!z|53*RE|N>KBR-L&en~fAZw~=4VjnsU20L zk%?^w&jaI;6wyk6h_^9`gyPh7vj;9B(+vx%9bb0{0oF2dq zrw6dR>402kd&eqat}F6wkspeDU*rcO-xK+k$Tvj3>GSB{3C9HbCxDs+RwPi7Kve=| z36uno>s%836Zy8t4@JH&@&l3YiF`}s8zSHI`8>qGXk$V+OaVart_0%0>}GjasE{R%1zA5sTd@Z?cWA(xyJ$ES; zJw1>0_||E4UL?F=`ov)+x#p#tdJZCBX^4DFuI zuvAbd0H}vA!L;)LCZq>2RXu=7>;X(~2jrUDqJJXa68WCU4@AB%@eEc55S;$0H)RhFv1>yd3Hc{Pfua4tn6|td&T4IYD-C4h02waCu2{i zN=)2aDpzHCkUOd|%jLO6Ixb87!n;?n86=T9!pn@4uB z&|F?TeUAsHFhOo#x3h8T>g~M)|4irDw= zx0BQkB%YDpy&O7yfrt;|grvaQLePrtpRd zJ8t4t8veBzBEWpzbU)j)Ip6i0@%4v5#ChWS=reTPuAY3?@!fbTdM{YZK8=^W+?muq$&h5RrYx&IWz2Wfr&H1gZ z7Yz}B1rL1IJ#Y6zd{O{Y2fJ6VylHFZTXO(f`RrUi@KCO`^NLl{X~^Tni}UvQ>GT5| zA-EQT>mgVR!IcoKgkUuU%OO|_!Seb0Pj6|14cG8MNrF>vweLKB!Fr&nw}t`K51>H+ z^#Z6BK#c%u3Wx)+php8P21DZjjM)_}alAAF64zsiS$)&Xdmo-uKkw4?p_;hn{%kwRhp~eNR68zW2X&ebD&3-#sAlXIO5QPght zqk}8O?iichh&9`F%@%OS6bu=DM%vjT?|1A(En_iD;9;fy?6XhT*VbzF%~OAb($3&n zKq30h7-g^;lR?|bpxETyo-vJh2^*$z#D}ig0&XmiOZ2>TDhd289*emm5>dgSKq>*jo8w-6&t7HojK-VXN!DRU`O{5PY#Q zM%^pNbg%Dpuh<%6v(;F$1J`U}9k*M@G}yzA9PHvSP32dlXmp)aj#OJ==VagZkanv{Kij6$fzgwXIp=<_!Em<)XlM}nZ+P6(|) z2!$1#PZ*Qt4+c{6=bEm+#@?(;$v4L6V#}e6Jy!OnuQ(iIyX&!bOQPM*7>9f{9P$#* zzDd_+#J?7ZpNBWbq=98T3nnzMgky7(ox=L~eBLnhc{M)(g$fSaj7jl(U8(rTR(z{Q z^czz2%`s{qgIgi`V^z;eu{Fjauf~QPXDWjs-x#02?FRn#SjG3)2!GWJKU;t<3N3gK z*HryGgI4c@Z*}f;yR9RxtBO2w<>TE_X`@nq_W4%p;>SPO+gzO249(yiiF%zW*B#kH zH898{=cpAJ<^J zLM>3AQ8QHR2P!l_==P#V9)9Yn3y;3@{RfXcx@&|41MXOwDwJ`e&zqBnF_aIKEBCII z&sUKQiZ2X5{>*d78y~5D{OM*v0flFud+C{i!F;gyvDU?p6dJ7;pZ|F8nHQcdd<1`u z=JSnS;ofJ8jiJ<{<)_~N7><-eBJX|p$%sHJYW2E}3x$n(MjfZimhWD^XX8bTS7BwmV>1ZGrr5*ST;F?n?`rpPKQcHJXG#{uy!+D91?3Zw)YD) z9ETm(+~9#vZ(+~i?3$ky*wbhs2yI3?*v*Qt|7T}zFdn@y68l0mb<6jzpI_NHqCiTO zFc3SYhhu#mPN`4{gksdP-)&JX+k>O-=oNWD*2`sX(B?eh-f;m6Dn>(fx5n;Pj@sSg zFlbZtcBQ_tf}q0)9w!LhM3^by`3J#>k-%V}CT8R465vs0FM*jZxKsTv1rGKR3^!bU zzeBk>%eL1 zn>~e%U^0x-7<7Y~Fsp-wYSo&8o{+#)u^0NpkxQTw`o+0sr-#F;yupQNQ(B>sN+6h# z#`v$*U=9oGK}<5rTRFvH5RY(M`@^`mVZ?8x-|tKPYla?B*`@XJy$Bl1m806FQYrL- zci}N6&yaSA)r*B{;FLyf5{i6uMEHUpykQfOiJ6TxgPN0m(%BvN-R4}6N3eh7} z4@59>E#QMiUJGW+Fv{vix#6Wb6qBL=Jr%<-dR~G%VKW^>C%nsFCWB?0@U^D5d+jx72D7vEK_F;t{z;84t9#|)E%bL1wd=z4wG@kRqW5h0tPl` zq+Ko|$`FdC?tthXn<>{5($5v}-nMaSJs+j?T0hf*59Ilw!degjsa+$keXE~-po-nA z%2CI?*bc%VvoPt}g$Xz?!Wal)Ocn4Ly%2_qkOuu5oyMJh|A^smkkVK%oHxK|1gbe&ZqRSR%~DHnqa&o&g+ev3lA~=OCQ!6LgHr)&juy&6Xf)b3 z8$n#eJ7U&0SG{e<*-bG7+GW}UQKNJe+SA+fP&0a=j3qL*4acT}y&xEw!8rsi^wlx8 z*$LWIPM}&}-`H@@uq_0hE8wM;W9vR2kM}ch2InD6_k&#tZS{IL`i(nB->2>bI})b% zwKd;+?Cv{t%kj-BqW7bXg>bM@NSWjKg_61PJ{{Tn;vfu2 zMz|O&t)#{xwGFPuD#31y@i-$3gNoR55fYf|VjD=G0M;@nHrrYQUTZb5EWpNSCZkJE zmd#-S?Afl=OX`Nt@?8t+P;|C9NLebBD)+8e&##n^8a{W(OXpTD|`$ zw#PXDYq0^~Nlr8H-Ep?N5o?#nCw03<`Ekb9$zZ0~A15!^M-G&b2e^$4hU08^J=QJ{ z?;7QYZ-`rY6zBCHQ^2qE4wto(Wz>)4}i+7<^vtS)wKduZ8=JIiU)Mdh)^JRP#b~dH;DvmA%`Zk65 z3C$MPf-zSSYePk>&F)eA*$#$$sqKc`Z<-tNV~fFwKUeJY1y~75z%TO_Z@+m|`Il-q z&4%%{PI$U7;ToXH)tta_> zSfMgT7lf@cq4Z{vI=FCLP0lfOR2dc9(V4#9vfV9Ilg4IbX08<&8xv?@FU(i?NLl~}WgcGzquG`XX6 zKc&^s+;Zt9XJMfl?3?Son%0f>olf(p2R4dW%F1yEBX-=ytsCqix^d6pRzuqSADz=% z4F_O))i}LoI07c@7+t%ia1^FD0;dOa>19yRk*QsLjhYoan> z#Cx|zzN*zPIaKr|RzH0y_~R;Sx3giyp{H7G*obVdfrzZ9L(lbK^J}!N7I8jE^nP}A zrBZKJmpw|6SC7^i3)mT_>0J#??;KVH)&kao={VAe3?@zt zLkTM~I}^p$cQ+9KAUxBm*%{3dhSExSp7n065cEQLno8ZEsjt@gl9oa(xj!=;+-NuM zbdP+Fq95$fm^+HNLBtzbT&XWD;=5yvLrh$RlZS39WU)F9HbhKdG_pHu zSRDtOBC}O>&=Kz*GTh)exZ`F92T;a1G1p=fQ$2lZCT4e>4R6F6R!?4ZglT7u~&JtSLYNP!CGzJ?-aD6_MmGwh#Nuy zrV84ZjcuW#U9mgR(Zbo%C-K^$f_^SyY|ZM&I&8Dk6+CFPbz%YMPLtX`svVM2DSXzW z;{D@_xiJX>xokHR5-S-uA@TUZn1dG_wU$x)bj<`!c-V=$YlCHDmPWUDUWv84V%qJ5 z#~ViKnpVT}4JK;BIwf|z&I|-hxgUt*YARcCj5dldC=+DY4zm^Wh|*SJJ!zXpww;S3 zT*5Y)?OdGpk{Wqj8IUn`TG>6<=@oO(#%>m0v`YIEMP^m5v(nZ97eaZilw8NugA(*(eRB5Tc4!b@-{}A6dmlxL8(?y306NER7-qM)p`sf0nPd60c~* zn_Nh2!)U|H=*~Z^gyNsVu{Yj;?vJ_ITC7=|bVRd-O0b`yj;F&PuAkpnIqIDr9N!g= zh|6oc(dmz#_?L!f3#-9hCp8_1jT?i;o#ybUJ1h8BnPg=HuQ#f%)t`O(C9LdUSY)U9 z{&M@u@@%min#ED_QrZY@VriAktS6YUuT0MtJ7Z*KHO5S770lEU%-C19XNyh!9x^*Q zMk*OTyd>^Omda!XFMEp(lYM1;7H_i(X6i_pDaV+pk{P_=EXIs|Wqr2T8Y45+7&8?z zQ%x{qUzwjR_QuFeCC1DOnJFijv9IjU7W-plrWRwSMrKMeX5=RWu!En%PN%^~quNJ( zOJ8X{WM{4&J@Vjt;pLvVtc{HPT0s_vvXc5cDo)m7oD373_zxw(;Ej=!jTk5W1SkF* z4X}1&7};12 z*_i35nXe7uxT8F6`HYU_r;oMJ2|C_W7O*`xzrx&@pnc65~Sqd(VaaeiBUR7`dp$ zxX`}db74Q+1OqxoF4kjQXg}|{uwRUV`5Yq`IAIpdRPEzE7xq(CFrH)N0xxO^xX}LH za^b#_1=E?rM7wdL*SLd|Pe(iLfpve#1Oq_6w@aH&_i-**PW}4Pz;bFP`bTDh2SKIf zBolnYr)9!?6%2+mg^AAKMt?8@NtDx=K+DF7xX+QnaHep9SF870BjBPMaxqJHs+x9e zm=B@Ba;C7+>D?IgM!-fTl?^m)*l?d*nX+7j)PR~_-@y;;fKX?cG zc_<@;BQvs=!ia9$FygKrua534D1;B zsKoftH!}qv?yI;kuVdr`?+BBNnOS`wQ}E%w#|z^+Mm}mWKJ+b2!H4@2Fih(h`B;zf zq3>P_KHN8mVOYn=$6AaJedAK_;l6$hvpPmTHe!6}EmOgV`|dJ~>KOT0jq#!PvK=4( zi_fsA;h{;II(&iY-VJ<%>8NWfyhS;fEqc9+Z%u1^>OVCNYZ`K)V&`UycT90W0=1#YuI7Ds(L7NQ7bp%xPSy0+oLeq0-7^e`UEM?EfsN2`-O zuwl)E_^3CGXbKltaYGX8N7agzkPEfa)!!4>d_4!}EVUs>*(icqnl1abT zq}wL_I+I>9>B}bFG3hHN{e($(O}b~&%O<^I(pOD-)uh)D4t~T$5Fg#Qr1TD%yg~( z3?3L=M3%ofCTme5|Vq%27CX<05c_?Y*Cx;nDkqaW)|1U?e< zX#OT6{9|RGi}dSFy3dR9Al*EL41cqf95w3gBBe&KO^zT%!;zy%C}F~{BPBgk;?;=c z`7shJsyt=E%h&~0N0AX`%FBpnnwf3e@3{fs@5F=n$FXD0OxYrJnjA4Dej6#JN1bL$ zoo1EPY0|MtUPoPKIeWsU8%W7Hb&tbD!LZ!+gel=~M9O-YX0n6F@t;4X0j!(XGR;is zS0u0yze(~=ePbKcL#FIQCdcm)Amwk8GUx0fhn%I^LVc>DXc7nLdD&law9jBxN(4oTQ^5cpX#L*F;J>3WNe?$Ej|bExte4vZZVr z(Ubw%QGMG*%3qdDQ%`uYD$T2JRj~{|HBpIXF?nIzocL^8sdQews~8Y}N>U_aG0Dgp z)65)io?cg9zyrizHp0IbQT90|rOoBm7eM|>oOM5sa@v;XP5Kd&(g+aGF=NVE$&~dm zrC7-FO#^cx5&x!1Z=3X+Ov+ix^0$y?at}pr?3H|2E1$pn0hZ1*qleTh@sa0GS%+Uo z2}MIb*=O>};Ub?Lh#N?$Jw2q9%@8Sv_g^9<-KUUdrkc?&QqY6{cL0-q&1e_ zL6|AI<**WFN|<_0m?>fEHB;&}@k+nZE2-f${cMlp|HDY39>U?MF{HyGd)D#Lj8n}o zA!YT}W6(^q8JcA{TWm+Yhzx(qb()rerd6eBbVMb@vevf7uBCz7}OvU8WfrIo_Q_j=wzlC!XS9N=`muQrdbB z%8wz<%<)}z*VXM+K>TGtAOA?px|wG1wu>|oD%sPqP=qg;l+%&0x)4n9zAZrhltmz( zjsGZ8^gBKssW5DpX(qoa!>S0FZvvWAkHzd7ThC-0wgnY>*@=JI&|D==ehmkO?Et9R zJTS#1;}l?()G^{Aahwc-#LZ1!V3fX4lBD4^nG&A!JyU<~`OW8ESiq~oY~>}2{yGTA zAKf!GlTu;I-V@K1L&A#KAI@c#TQ|&@g+nL-@%pMAi(}7c#DLZB@0As~Wt}UoJ*DMuNitQ#+GS8IuAvV`O2s9@X$)U`t z!=~&Jhk@fuwIUZ(9S#puw!`5dmsWR_*z+qwNJ>q<1!Sy7X&$~$o2DkJJrb~;U8EdV zw#(tRDa(mxdu*2#LzS`3YPC&?*pgAp*shv;GYt#|x1)jJZ;n3X*Gxs$8_|W4fsYzI z{WUwux75o$PoQPX4ZW_8~}f6g0m`;GKI+(GgwVKTLU>@S4qYi*&^+M z?^-qjh7*YlDLt4hyD6*+iKG(JJ!JBDipLdCM!--kv?WYAnK-(c65imJGHbID%4j)Q zA5B4~gs&y05YA9rIX>(o$Bo?a0*;T>8>WQWGWBv`V1|#G$x7u#B>ZI~{9`3#lWAu9 z8GW(>S^m`2WC3eoO4IohBwXM(8$_tGI1eO$s_Ps#GDazr5j_}qp2>%2oJS;Mjo-=| zbFE?Laisjs(s@(%eA11jOux;f-)_?HFzI)i^t(*@-6qvRU$5 z;h~9Agmk3B>~pw@XJbFk#`1VSy_)nLSqIb1l>Ue`a{Q_O63=Nu50uGQ1q*7tZvoADY{$E!$ZBHpsg4rOT2%3L zH5vB*9tb!CNI(VWtmM?8gm@vtf7$~oGVyOA&E(6t%@L@yH6yB>87^#i4+y0EDJ}R7 zQ-QLeY5{@+%eq{5m<1Hu_p^oR^?+4n%6@rsl13iCBQ=jvp_*<|@5Sti%_ z9xczANEb!!ZOZXxV>a^Do^4fH{>T)Q2a=-JoQ|OG2vp4${obLy_LVM4rD% zb~}shNXmy4l;0bqoV&jF^4`_%<9H7!ApVqAQZ($ks_i&lbVAiugo*}K5i%^LZY!!| zReX2yjQ&nDaQrDF$6=xL7*J3Vz8-029?v1C1s2LYF}7k?cm-3A3sZUprc^$rlnK*s zFezIi{7IylIX+t&guiNK$~xIGdQu93*Ao6lq#PETGXBcsSK{-m4>V<{hGVko+)_3I zl0!+`tP1mZy{p#~7SknDT1+#GWoxw(8+&R(b8y%fMeLWLZr!RziG@@SMU>xIsf7Hz zW*TIQz_+Ug@$%j^K>Vq8xbR{iq-dZv`in-Y+>9Sf*kwwN^Y&+0TOKcs3wy_CkiE0v zr8gWZlChJNtg<%FF<4yOYY*lUi#ZH5Pnq1n&n2~P;~e6}HvKxl96U9La!pUI6z`&; zW=bl0J;W_IXb?0>%gPC=nw;stq>0!n&x!L3pr8iR9a)WMXKR8!#tS62Y=r#9+X%DR|R(X~Wt@SC=0 zYFn62f&ynsIaxzZohGad&#Zg(F);k8zR)=EQjToqI1dtBH{V((34YlK|5!?0Q&f4p z5XbFyD>eBriYXAA_6>Z%O7nOg&XG^C@6VWGrtDqYq`Zv0@G|1{U(k$Oh=`u+6*+|(B zrfh+!W~hvV;C8gseg&n(H54z;4w;)mVr3~DJ)ueN`xt`_#Kme3sSXVg8P`r@uxODGjM&R zr^0e5=|MOMc1BYpiD$~0PA`zjs~Z={@V7)wp<|*9=<(TE`dnT{JlT2~DXV=2DcNUA z_ULR_zKfLCG3+G1ft2-8Xsn097-6!-lrx%ab5;*|L$8;mhtsYiS zGB%6dGPSHotn4C`aU3|G)OakqZMB4FQVKztbMQW9QjQU0XTJ-Rjey}wiFGo~Ojm+2 zR#x?QQ9xO<)8z6NQVNYJ@j3#ZTxDl5TFiupn!3Y&wd3iB0FhjOT_noX&Bq85EPUI3Us6@C682-pGY zBJUvFKuTpGZ-glirnH*GQx^#<-JU+P%oiKk3YDMR0Rg$?K#(zNXJ(%7g>ylhi0&do z$+6KxhhcVlqjvtNe(VBg31^?3+r(!UtB22RipQ#l3ZSr6!4FOO+iMXhSPl8qA;4(pmxDr7Z6rAF3kTPGv z2j&9_G2HrWl&FqPn-^^X=1<9oV^8@gO+2=Nk1>bq0@VnO8XKYU%uF>Rc_)h#oyLz6 zV0goFc?Tjgc!otk!t&{I(!l&a3Mf)eFsd{4juVOv6R#@H^BbOs*g+wW%D0Nahz{5k8e%TKXCf69=fs2R6htT*-v^^ zmaD*WriBp@k+HgS^{b$uNUepi4(qn6aTwG{2TDAro~kjA7e{!-iVT_~rHZH8!R2I# zm(z5rj_2{dGk-Zv57E?1T{~W0>5C(vDP5YgSCDdr9L`v>M}?-?evTAVorFqq+}QrU zDVHiq28ieNKg9A}r!#yUDSzsgIxQoG#VL;6q-NWdvTc@9XP6?27p3eu10Y_jOIxCp z*Rqt?GR@?AqLkOtEbv-g+K-jeHL_GGb8aX~Su;ynGgIu=i&EB1JHcypX;+l;T9)!! zrjUjx<+XG!yjGVs8=*8<%4?ZI8lsffQi8lzm8$LV_%77{HrJ~eq>O{ug<=&f;81-Z z8_44wwtoMVywmxx_}f%5K2YF`c{Lpni@#kJciv zJFH2~@Bax34g(DoYobNq1YnxM1dZEl(y>NWJ~K?I@s~isNys@$9&ODk+M5~%HIheL zqbi^2r_}h%rbfzzywZ5mi0B&oL5-9j%h?BAV=tw~|7B{TRbx$b6ijumdx;uJ#~PJG znQ8^)?1L@W<1h%!8p~NP?Ut=yF7U}QIc5FqAvKinZtZu~KR{OaHYh9mYzj;4J+&sTAXtd#1_(toE)JF!w~H%tFL zOR;m$*9jrVpW0^r9#j4wR5@pXKY|tD|3#Hk z?|eB;y)FN*s+?LBmQ&`u{=cbm`p&T2^7r3WIXBY7a%w5B{~s)ev%<|+JbH;de<}+2 zIz#cZq{y$t!4oyr;J@uI@eS2Dz*$}z>=`gr9Z0-BXSr;1DQ zEd`=k{9%1{{3yU4(6lCMKVx#;w@krOi8VD0=OrG($a z1E84TixIyyoz%Wb4^(was@zAiR{Neikba&eRjwpSYTrT!($BY~%B>_x?K|Q?8d*~1 zVv?lx4Q?QP(2^>5lO(n8R|Dx6SW@MBlBD+SXCQs2CH)>`GAC2y%9jvQt{{GwCH~%Y z;`Q@__<|)?_9|Jkf5R7Qezzr7<||3;U&00AFSNwUnk9+-d$K_MkR?{eElKQOWd-7g zEwQq7Nn-!DDG)zmiIwR~68jfIf%uCov9g1UaXGt%!^C?mp;{8W?Wrf9cyIL3g)h4B z;qn7sjkjW%z-RqCQz+;y7`_pOND~dq-xTXDpblRqUjSy)6 zq^12q(k69n)x2Z}q<_ki{!lupeIE}<|Fk7lZXsE#eQ6Fz|BNM79wJF<-)sZYKWj;q zvq+NK*Uy0T&skFCHYAecK91|Dq*TjweZK zUx@b*B*~{)Q#}$y8EtvuEN7OZro(q~eaw#1~uApC)Ny zZpqtk6YsUOKa)x;LxPDXE$z>y(#o~&#FtpwpCfHz4E&Mmi7zE3zhLn5q)ghP)${yH z7K-qj_%ciU3+crEeE=Z-o0j+&(~12f`yhUwCFXi0g$@5yJ&50LiGL}b*gyCV;-@U} zFQ*gxXVXFa<(BwY(uw`!;~@TkCFW`(g=hbSH;Dh1CH~cPV*d~}i2t@F{G}@1?}Ir zw7->3>mIBH5C6c@{&qU8d-xT!|IpI@PCBi7KozuKX=#5qoz^`>3fix-w7-{5>mKw3 z?LV@#zn@O)9>xUiKen`gK-v_m=I$#@e6=P0!$U~j{^Z0zv810SX=3j40YKpKoA{@e nk~hzil>Rj6#6Po?eBdpq3GyV$#6P#RJdL7$(Y+ryJNbVAbLKz{ diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb b/CPLD-old/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb deleted file mode 100644 index 8564832e1c74306dff568f43cdf226db8dbc489c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 72408 zcmeFa37B6+neShxf4wj1?j(?~rk8--i6R71w#W}53Sm>napum_Z@d!#|2mJ_w&|U=hW%^!tnRb%yXarbNhMT^F3AH zx9U{YTidBqUBCUGxvbG0!sejA=G`w})@c9n@Lo?Uirts>wx9Tvbx*}VG>5~E(0Sd( zGgn-AO=HQyt3vU}XIyag`>we3%JZVFHy) zJ?5m%M<4s-6P|qPn$0s)7tC#5d&;_#j$X5N^Kr+Wda_d8b-}qa=be52Ss@f&7=OJ_ ze=3W0Pdn+jlg~T#*qM`#e#&NZY+ifvlaJf%KF6H)=+oC6Tb9LImBm^si_u3omz~9B4Cn+%=EWMk{h@vhI*C`5AC^X>B0Lh#`-n$7a>qV?!&(kiVdKW zP`ozXoM$&}b`7ET)Z?GA=JYiut$E6O`WCM`c=hn&Jr}Q9dU(-1UJ4K3G2Nj%42Sj` zwp_o)AJBfoj_iJ0cE3HlpUduNviqs*e!9FbQ_q9e*@N2j&>h+Rw(Nd;c0ZTh&t&&g z+5L37Z!62ItGic^>67$J1|$n4gOVZ1u%t)@+10&BPAsvTWOvCD$x_K4l07Bep=~M_ zPlsF~w9V99b2ZoYnrmClwWH?RQghAbuG}P{AS*?uF3Wj(WuQ=yL1V@vlgt$gvTe** zWRmehL17p(8kuCuP*6n1j6^0GHWU<`G0P&8%p3}e)0llDlZ+k;D`Zl=4~$oVP%Mn0)j*hjyRG$+++YGi=Y=mGI}U5QK3FIKB)bMR5e0@0gds*r3w@Z%xjEiC{?pi zV0>dnbfdyfl`#}l4P(6EQk4w_6~`Da>{PKsLA5f*4V0>XD5!MCSbkI{JyX-?&8(l^ zc)>m$D_3uro++9qN#*|9aM5vpY}S#qWgSVX_KI77dH-?vzKhHLqvrv}+z4&cM_WvV z8cDh<+m4@bag*UcRc4< z2|dW8C6AFDCt(aI&d@~=y1Lg2Pmr7_p=zBhIYshV$>Su$WjFUoxvFl?UEa;P%ey&u zc{k@S@8;a)-JH9;n{(IJ*y$Z^&ua{hY_J=5j?|rFb?0c^IbL^8)SWBb`H1+S$(nQ2 z#=lq@LP3ERN9pn}=vLJv6cn>D{~VcAX+lBa8}m<*NmVEmLV=--`Nznl z>J zOh=a7T#e)Tg!@-XXxm=nNHyUix2?vJSHi86gti?ujuIl=I!S2TQsXFB!ad2j*&2s6 z2p74nCAV6BT*2{rXm}K5PBl=8dao&O5(o zQWYP-IMEw=HQQsfrh+JL-KVz3eQI6Yr}o5sYC+upXLii(9UGO3vnA(9-XJ+wQg+7e z9Sp3Qz0YJau#&2w*==iV{Ty=|U*`#ksDJon5z_tZT1bj{s$(0@-bFA(hsXGUAXR2;(jk7jRdD7ZDKA^h~NY5E}zW1%O~^h^2xlrd@OO7 zk0tK%u_U_6$-Fz$WZs==GVjhbnRjQJ%)2v9=G~bl^X^QOd3Ub#xxG7=*PVl7@fE|_ zk-BrN?i{T<$Lr3Cx^qR{IaznEtUH(2or9zGRMni#W3gM_hlPUrSG-@B`yyBMXrZ89 zw!!^E0{&H^@Krw-3hHe;+z%z-&mDi~_!ptVRJ~y+sOR12eoq4a!0{)JKXd%G<8K}R z;P_{u;;j1AP|$9Mi0eBN@Vkz8JAU8sM~**s{HfzTj=yyLmE*rV{>Jh5j`uqL(eY2h zQ1978LEA0fr;D?BKOYKOb@ASc&3pY&d<2%TJV7z%V?ZeA2`sF$`G^n-`Une4Dit;# zA3{OzVPT!kM~hH=EQKYf3Y(7|p`gdHu+HWqNhs)x?75Vd!sg>jDCnguth4zj6AJn- z3rmSBY(D0Mf}YL7I-8F`p`g#RuvkrDXS4Aj6!eA`*4cb?3I+Y7g~jp;n~znYpa-?E z&gLUmDCk=)EVV~r^KmQ`^tu+-*?d$B1^uywr3NZ&KE{QDp4!4Xn~!**pbxjO)MACr z$G=d}yIWXeYolQ(?o2^x2%$DMhJx|HLOPj^l%Zgtu!qu=6fzq(L-C~)(#dQT4FyAs zg`_bmWHzRTf-%RQ>0~y-hT^L!B+XeNv+*_*j8YcT$!zou1;dv;lMX>4v#~f7jB6Is z$ZTSMoe2DBmGn*;?M?yo*9OOvXp@nvCgyPX5sscPpP0juH#mB_&{j>%;V3cUj9fJ_ zhr2z~M)n^v%;iwhFXBd7NTNHq#E<(~j z*A@jJspLc($!t*o5>Ln&ZMG-?i6^9Gq>(KOK;p?WZG6fX1t4jZkPH-|Q7#HZThZEy zHj*pTia{jtB;@ZTp|QM9(#Jsl*2uxJ^FjSt6`=DX+DMMnNjxEAv}1J=PolM9v@u#I z=}#dU<3eM+PTng&qK)K4oy3!nHqtg$)JcX1NE%DKJdhzQ$ZDy`{+P3D?PP^g(FVyhCu_s$Tc%X|j z|EDYEjrKK#R>vieksK!}7h@Xj$IH1^a)RVUN%g_+k;=JhkWZf7^#E4F50Bw|^6ajb z3o(x{&7rJFv}&w0*y_mN=|+s+<4jAd#))}+WHe$rL$)l;<04b7s90u?lG!}h9jmUORnmk;dnmb z{$7}O&v2xgaFMIJ=hgD#IfQ$ng{!(}IP?h@xvG1H!|zbxKUlcbJr^g*Y0DqxFPl`Y zpljThKbntMxcgh=C>Gxzn=OHKgyKxarALOw>&|+u-xgEpW;)$$wwpG)*49D>4qCP6 zo{Q$KhO~!v`wBL9{aTNJs#V=jW%twNJ?kZ>XR9YOHP=+lHJ!P-W4Pm=;?L4t2{v z`3rY+@nJHVBorjKI9->sh^8`7D9D5{ua8VJS18DuF|UhEGF~XiurV88a#Mzaycf^Z z#h%0qVls0mC==uCS-c2V9A#ykJ&hN-ilYpTv**>TKqx5A;#s=b6YCWs6cn_v_DsE$ zWz{7Vn7~+jswU4h){H~U_S~%MgyI$QZGVPSAQ6B(2K0hXl_wOKvv{^H78xH5o`gl^ ziLs6Gf=(4N6jTLc+&HPahJuP>j6J8;b@XpghVWXZudtO~HNIbHV-e z^{&%sn@*ieqkWT#;d04aC09t=rYi1Axv!FJmP|>~qFZRR&&at&vQ;uGshZifF(D6l zU8`1Pp&&i>GVu=Gs5Hw03g|y#i!&6Y-l!dsDhoFhWXh=Rkt&Ni6y(p|YRYGM z!H0ru8&y85q$mxe%4e076%vy*dsbzlP)sY3t?aS70w=>^ZgC-Z8#<$K1IOc&6BF5}{v@=Dy>|5xr(| zr-8BK$idvPT<@4Avod!~=8hG)VroqSgse@Dh0V?GIy-V9TT}@ zJa>%djy!`LsqFA zvP$KURVs(9QaNOm$|0*%4q2sg$SRdXR;e5-bBC-_F|tbKkX0&&tWr5-mC7NjR1R6C za>y#lfmM$2!oe!lecGadwf;Ny3N0JCUTyOR$%iF3N$|sklZQxqU0{gmn2`7d`0qA$=4+18`rXtugm!j z$u}k6l6+h89m#hk-;>-e`M%@_k{?QbB>A!ACz78^?veaV@^i^AB)^pWO7d&Tze|22 z`K{!4lHW`2mHa{SN6DWg%_cTUGq%6=EziUKf8OsD!MB>i$iNu}h6Nx+1ClYt2PbBV4pGe%9 zK9RUHeIjvZ`b6T+^ohisBmRlRonv+9s5|3(cVoQnoTxij)SZ)c=gPWsdEGfUzQNkI zKWL=x9IHD=>(24IbE58CQFl((oh$3k<#p%aL_Jk?=UCl2T6d1uofCEEin?>M?p#@S zF0VTWSJYEgcaGJaqjl%FJL6(Wb3KKg%?3h2*Ji69`?eWX&JFa9DN*}Gs+=k4LrqV7NJi(iP|$#<(wiE^rn`KJt9@kF!XUJQA;CL&No6q-)o_kM5>&9 z=(A3uc8^p!7YPMDwT0R(QsvAf6m;N5Esj(1WFRnA)UDJM}2Bc;*O3R(de z1uWEXq{R`!8j4goztI<*L=8r&obBlIO`;Y=s+{|Tf)U0d9Eemo6AA?bk5T=R zD(6L^U_3IaFH+?!NuO*I)f=gD&ZO@(iRyu>W>ET8lbG(vr1_M-(rhF)*rXRf%H0U{uwr62&yasH#;ZirIxxRjW!AlMbV*R+T8`B1ToMDp5>PjH+5y zqL{fDRkf-_F`+T4YE_A1o?}$isuIQY$Ed1RC5l;*QB|u-6q6;Rs#cXK=1@jettwGW zt&FN#Ric=28CA8aL@^OFs%%xqP@Uw-^vsyDRV9W=n=xgpN(@suW6D;Q7$$hel&vZ; zO#6%}TUBD13>s6ms>CowG^T7-iDBYsOxdau!*tS^vQ;I9Nv1K@s^%?*vu~AT`5Bq!=5o{%xx6?GC%$e5MMI*BLGTrCWZm35NV1$m&6 z%j+Z!h-f1@I8iGhJPG+Q3pr9JX+V$%8#z`dX(L1%$AzvQFYj$RjM|$~s9Kfjr#E<#m!~K(vt@Tv00_JRxJWBXtr_$e5L} zI*BJ_G}&mK#FI~~j}&UpL?Vkn7aB4TON2(U>KkjfHX59K^l48zzW5J6vifJ#g<)Z^ ze}dMGR&Oh3Dq6)Zhu%*rhu%mkhu%Rdhu%6Whu$+Phu$nIhu$TUgSUtDNrRQX>V8Xh zzazWfmfdg9?$gJ>xHqDTCw=h4KYilUKlYKo>YJu^c-5WCW6mmaRCk6iaIyjr%Z^`a=WcS;$`|a6%`luSe zK2~w2547>?qx)BT0EQQSL|x5Il8;JmmV8Wdi{#^yTP2^6+$Q;?om`KIJsl5b1CBl)i6dy?9o zgW-jD%j^4+A4q;E`H|$ulAlO^D!E7UGs({-zmWV=@+--&CI2q@jpVnIB9;H}!r#kz zujCJsKT7^2`LpCNlD|sslZ1wXNZKR~NxP&&(kbbZbW0YNE9R%EujbWd4G`RQKYJY7 z{GSS3(^t&h<@=)C<^NRRF8`;3=qgvt-I-R*-I-R*-I-R*-I-R*-I-R*-I-R*-I-R* z-I-R*qjRTmMQeg8LEC4suZk%jWwa)ksC^<;jyYNrOw`_yDo3DDP)jYsy&_ePM_Ln1 z)Si(lM<=ZbCTfpJm1C9G1QWG1Qsu~{HNiwJfvUzaEeR%O_sFDCO)G+l*)1|@jMIW( zVirdxjd)rQOw6Llr14M7fr(idnKT+|H83&5kx65t76TJA6q&S>No#?L8H`LCH?DD^d9!Y9gw=XrBF(;CM`q)#mIM=N7SY6R7)U?R=N zT}NhPvG#2z(yXjK)Okp{8B37ax$DSmoDK#3kCA3+?V-*?(lHrnw$8_JZQD+qSvw!m zwQDq zI#A=x^7V~Nbgagi?duzt=x~iQ>(@6f(GeSG_MbPO2nC(8?LM*^FmHAd3i@lKtq$~f z9q?&EDCo+Kwp!5RwVq!|E=wwlo6HKC*#8;rKP(BpNXq!~7hw%X9+ zwV|XLQH-|wP@lhqg0aR}s}c3tOelVqSgRA=u}=8hBovHK7S?Ko{zD_1359}@%UG)y z-LYPjVHwkmwVI*-(5QuFv@_P~#{5lYjDyBn?U=vGj1kgUs~__>nK5=6Yc*v4CNmpV zpQUcV>PT&q+3yr^;WL~9i2&p=Ac+ArJu^xz#LFxiXl>w<) zAQcd_ddh3UlhmE*uVDPPZD^MGd{Y~vyD{D=R-4)w`;GCAG|j(4!OXxI?|joNEfmZf zjPVXT&E+(?NQ`&#X~q`{<{TEr2Zl5+3_7{X86Qm2>`||BiSYp_%`rp4Y{p`4(2=gid`&)l%B*!uzO zBIJ1;p>h6(*V>cykJanb%^B(DJiF1X`t;L$51^7@f7!V~{hKyjczJoK&wdZ!(IcVR zB$norxn@boKI#6y4PG*~CX%YW>nSBUs?U?$rw@|cr%#aFr;m=@r_YSsrw@zVr%#F8 zr;mr+r_Y7lrw@cALfh_Aov>|pmE-?y@WLv`lotB$+u&tZNe$GjQaNOm%ArjSl|$PY zDu*^MR1R%bs2tjyP&u?6p>k*gLgmnwgUX>z29-nG3Mz*-5>yT?R#gsle3e5TWaUst zS~=9=Rt|N{l|vnP}ytPT8~*8>Hty4DZrrCc-?&d(Te<%acRc4k zWuxp+myJAEgVpmS&zHPF@pL1izSyx-Yj{G;4#_(u?~;^zyO)hz zE$6!>?~z<1xmNOC$@?Vlms}_LfaHUc4@s_<+#va|p9g>l9Ipb8hs^tuK`ErK4{9iWQ<;xlF^5qP7`ErK4d^y8i zzMK(V<#L8Q({hG8({hG8({hG8({hG8({hG8({hG8({hG8({hG8(*{6yrVW7ZOd9~* znKl5rGi?BLXW9Vh&a?s0ooNH0JJSY0ccu-1?o1m1-I+E3x-)G6bZ6QC7@bX<(`2&= z{qu}0T%4}Uzhi3aFV|yp`O+|r`gNqT4Pvxcqka{sY=aoh*r;DdD%&7N>o)2ak;*oR z(ddo(d8D!pV)O+@{VY=11~Iw_qwa}Rwn2=Z!>FG|D%&7Nhho%EB9(0rqn|PA$C1i5 zh|&ER^`l5-8^q|9jQU}uvJGN%R!03GQrQME`Y@xuA1U?u>#gARk2ZjR%RMBQ)x}k<#dpDEddEz7r{p6^WwTH0s-t(#Vl0dQ+pm6{&L06$(08qrM4M z&A>uI*K5o-B9rE0p`b%H=IfD3vooEcm6)$ZCe77!hE`&}8ksb6(-~Tc`ATHcJWgk5 zCFaYKNwYegp_P~~MJCPh)cGdnuE?YrpU%)q%oih*=6|8E0feS=CrmaQ3+s#PV5Va=$jRV9ki&Zw$Y zC5l1NsH#;Zim}nCs#PV5A=9X;RV9j%)TpXeC5i#osH#;ZigDMds#PV5;n=9ERV9j1 z+Ni2kC5pk@sH#;ZiZR`&s#PUwI#E@tO4LlEs#cY#Es3gHRid^gs%%xqsAlP}m`zOC zsuJ_I#FVWnF>{G2TUBDVC8lguiP@f*vQ;H!M`FrWm6*3DrfgM-c}HT(R+X4{CZ=pv ziFsFItW}jS!TJYKh|_d2f)}xMRyJDKhIwXUvVCLEaz8@(gVI0bX`MWUGA29rl2G^& z$`hU@xLS8lp^V86y(AQVgz|z&Q)I2-+S}{D1|esk!=qn z9G-;p7FoVL>6=mtXHx`{oHz3F4NRY@QaGdXV=M@VC*i!`C|~3B|qZ-+!Fv8(UIPWmaw^IEZKniD+ z{*$EKAVWAj3Fm!q`D&~GEJ)#uYGfP22!|)(yjw5dkM++ADV$M_Y&#g?@Fbj%6y-~H zD6UW8jA~??!3c*Z;e7BZ-_&&wSPExUBijl_I6MjWV+jL)dc)TtWAd@C$f!oP5sYwn z63&Ona?L;om!)tLYGj+g2!|)(d{R`d=!D{$6watdw)Kl}coNR%S>>`&DBhF88P&)(ei05&!uixq z|4ftmmv^UdMm4f+UxdSxa6TKZTMlaT!CXs({-w)NFa{Ex8#HTtLfv@TH{u3oggxLwOLl5+W^XnU!gFOyXNhaUe0 zEp3Hxm#-c8!7sjc5dV9rJ4;$9c!d35#;bKBrkZx!gZaOVS4AczTL&c&rYWWj6EmSh z5)xx!=IuJikBxw@A--((aJ0w7hP}vDyUy84iXZ#+)?2u=>%4fmoVH!h{1s#MIihQ9 zwH@L7fOqS0eDkEeURAr@(q041ly(*2tB=5%Ihl0X33OfS~4TqBH1dLmAp+-Z1ytg>D?yhcF7LO+a>Ri zyi@Wn$<>l~OWq@?j{c5Yj8b>vuK(_xRe4*~5`+?Hdyzh(8yO|FOf5kq=ElgRcB&?8w6zaQUs7%ILIn;N@P|1w5lBn;Fq4F7LWl`T9 zL!~s%N~69zhRSN3l}CMd43*e8E0Ox{7%I1MRwnh`F;sfvtW@f|V`vP?JC z%f1LJnR<_<6HXFqWix-5$mYaa>CE3HLMyYFt$gP15~2MWYb7*)mk2G>SSzFXyF_TS z##$-O-z7q8HrC2%{w@*Pxv^GK^LL3@4?t;HS=Dxl%qd_myEC9bA^>>|NMb;e43aUB z?1K~%NKt~`Agf&-^d+`Oz#d-ppP`_qF~$;I^|GO$A2P-=TlK}EpqDbn3aRR`LqQ*A zj1_LxuZMyj&KNUF)%%Bn{?8aQW;I5Hg5J>>s|3|Z5(@fGW32L2<4q{&S&gwWP`Osq zkAcCFd^f}cJy)qsqgWTOIr)J}UFN z+V7E6XCM`AKa>0Cdd2Bl0!kv!)oS^^cI6b{*@=JM`jT0qP|2}_iR~=o(Os_hujJZ{Q#2D`UP%#<2egfTp zFc&G>?o*!h=8?}einc-?ZIaqtLOY)1)h;PETY=~oy4pJB?2=UGZZ#Lkv#PyL6yxdY z2?i8Ug-{J;B*d70n0i-FnyfpwP(-zxaNc!MFveJzT3zX%shyzq0ILj#kdCUf(sYF?lO`zKrzr{d zX%fPHns#uXCK}xDs_IpnWT|@9wpd)%Mp#_c)>mAA+dsk7hgPa{zU314f8#%wRNXt% z`2WIJfUfSPsvdht_LS@;*;}%YWM9cLNjCNG>K>8DsANnsE?F+wPqM${L6TZC?dqP8 z*Q8{HWToW6l7~nRkUUgUHs7x9gXCN#Iau;A$sv-5OCBLPR8k!(+p1Z&{TEE}M^*Bv ziid(?vJT-`-Iz_{yS9ENF)_zPCRKm^v|?f&6`9l+LP0@WY)3~XHH}bE{KhmRlNw4W zFp4opMJ6?yP+%%!9vPXc8^eIctcI!2tg)qaW0s@5frSDa8)tdSn_DQby>XVSyzzyC zI$@mUD{qRSpspBaIjhgCsYAwD-s;^gb;~%*UA?=d&KYOvk z{`^|qh^e-uP_D+Tk4&{Ch0-?WHIb>dq+o-%njM*HOA3}T=2elYwxnP$V_q4Vv}~_V zBr&guOtm&{wqF z>SIiqpA?2lWlWi$#8B0YDf5#UDxfizpLy%{__1z(hH(D6Ju$IvAGvDX{%HB}e8Qb+ z;nKQ&XoDlwgo|ALv>5VAxYt>@`e`wg5aA+MKP`rGCEV*RT>Z2dtU^%XqU8fV;hE1N%k=KPJYR`enL8$P$BNuBkvqn7$KP^Z z^zv$vqeDN)=8FQXg-qs-6}e*~cZ}zb@FIs1-0zdTUviz~1CkF)J|wwba)aca#(sm7(-b;oSB$Rxs}JLCak`YTTBZYqsXvn!Cy!%rTl;oz=2lhcd;_ znB1is*|n^}S_@3n7b8{HXDuKm>dr`&wOgx>iTXmM%DS$l%0zuWQf1B8`evd&7pbxx zXi+p#pN&*@2sqZX#NQFAa#c_t-4pehNM%cG*xo|j9;veZ$QOzwwz4$JB{ph@QDteA z6qUxPvNTGHx@1&Y8YM*)Gpa0&lA`7rRhC9cQ6Y^gOQWQyr$&{fQBqW2qsr1KDXZ0F z*-9f{I=oSVdRa1r0SOEeKS&ZlQVEg~ki3Ev2S|y5R;X30)iy5?w!j#lUAFB|(0Gio z1Xn$P{t-SgmdmQ2(0;7MSkY9yiFRBi#>%tmbF`-_F=hm&R_l~d{S`E6OMzLg>c6xv zDlum6s+S7|-GPO%I>Ji$I*Uwun-XJXP+JM_oSxFJ^PE(4J%G0aVrzu9X{HByl)A8V zS9VOsgzSI+`WEdwlzwESC?4QL?)NubR~@#jjIixLBf>@d?vWx4g)JC2IkGe~U)#pj zW?=0zm%RBsf4%R%`?~wPyBo?ozrJ?FPzoOHMM@IzIoh$0jiMn&V z?i{T<$Lh|Jx^uAZ9698$eO3*}q>YWmqz#&1e@q&yB&V#|WE#VJ?uiDABk~$d3fpR~ z?KRh2%{5bVP1Rh}nTzt6nLBS0PaRye*IuehD#jJ&x0J`?oTxj;>(0@-bFA*vDF%wZ zjA}4IkWbRmzJ0^0+cs;BzFwvj>$t7ts)BxhK@Y4p<>sGSMoWG1mc*;9pkxUG z(ShLu4xlvJ-+JYxRy^JPlamy2`(>6Nr! zd-XM!ddn=@`s6bp(T49u-Wt2Q7sz8sGAvmrStMC3*-f&$g#B`xQqAvPBA-1ZdrJ0_ z>@C?xvae*Bq%pK`mDkv!Z9*O^ByD9S?dxAD_d_HHNFFLVP;!uDmE>T_!z71D9xi!= zq;1nRUVpl}50(3H$q|wxC95TmlpG~#B8%x<)A!0hYiN>dW(^SjXs({Y)r(h`t#f$E zz(6BB!uSE0<*WCt*r74l-&wdWSZ}g}<{v{Xm~LLuJo)(RPF{EEQ`g1HwP@c*JR(Ip zI5;@InxKj~b?J*94!_-^9UL3oiQoT#!wyT~ExBcN8#X4+cKhbVI()$4hd2BOmOYc+ zDJ|ZUwiuJ!O>$FLw9i;Y#ONkhr%rUyI9$y8;OK-Wa+ zt|VV^sXguRJSJC}-Zs~qc}kiY^mH`;nFReWOHllR(>o=VIa4`LRo{=n>YkDBQ?9%4 zn#`|fbYgV%*tBYW?l~^c(RrTT+hXWTbHx=NdN}u-^w7Cy_pCxsO~o-vNq#a(ep;4f zE5m)4?y+?5mDcHwOf;W@4wqCzdogNrN7JVeyHDmSZqU&Q)uNG+AscFTKRDNjOPyBD zQ%bsbYd)2ya7its{lrs_e$$&Nwk1||>9w$hQhge_FE!o2>if3N_O+HavO3Ls`a7G? zLgz)M^Apn<9I3bC8KRC&y!}FT3{$wzHr4K#9kP(xp!r2xc8#tWUA=1IaOTy}u<0p! z1y6gGJuRlTILMjxFdK}CY3<%N+q9!9QRrXPJOc$TjqoCSdgqSW=GwJKLk|o!&xGdE z2+wW^Lg$uQrtHw&!#N$Z*}VnhOf|1_1&cn0j|y$qT@IyUd_7U0V^QDOM$`-Drelk3 zZczkLZJ@akH7+Ry3%2c~*0o3TY}B}<<~Tg=9qX1e*Kb@kv*G;3Jh$6TCqckm2j^0Fq z_tB8pNLjY--)BV&x|>&{aGm8Srlv<_rSZ|HK+>42G`u*;(Ztw@21-@f&rqC^h@IcI zDdmJTW=!7-ifP}a6jbKjKf86#y6{w?hIeazkjHUpgby*4hyE=)E8UC|m!xFPohD^< zZ$a~8C~!%gijD0fqe}Di(!=&Nt=)31SjIz?&XyT+aMfk0oK*&&BD&9K(e+JK86vX5 zJ)3u;!zB%x{X2F}_!m*)k`itMHJz{xO~Xr?ccH;0rQ9^AK&H`C(}=0InTbq6LiXIZ zMGWexE0gxrG`y0I*EIIa<8Y19Tth|j4bpjcmd*t`RCe`w&~9VR@1w>gwU`Clc2ZkB z*+OmmPHKy4YO%Hs501r&NzJsw`!;{TbGfAF_KnvqHnd+0ozc3^U`;2RKcyBn&}GJK z@}t^mVDYJ!-YWi3HH|bMt9e?b<%J|uG{0$$pqbyotzMC;(#=>KE@N%Ye0%gyE79he zXYcHsN>$xi?UB9PDeLPl)XP+++cU-xyY#SHihGvhIjC_r(_BELEtA$aR+VQKphw24 z>Z8ignsZ);y<5tJc6X_4WB<}SS0*&`?HNf4%{^D9gl3)#W@-t|n7)xJp_zBj;Jk!d z=aeUOVBG7Uy+#gYX;o+Kg_`;H45qZ^o|7r9ndgG7Q?a*?md%-|S}roi>Q3rPyZf8` zWV>BbXS2w9ZaTrUHXd}=dBfYzi!Zv}i*w!B;0Go=XRAk7A7n#o&w}Pph>=T*abR-3 z*1{#tU!ldN)LNOQ^eHZB-HR5Nq}8*0+-n>inYx(!(CD1@iBo1+PsQ#Xg?s2B<8YbWnK=NHZLL} zds{-h9MrXWIUJFdgX&A{d~(n6R1TSE*Yf374(1ihVPMj0n(5_$=DBey)6pEEIvi`&A)9cgciz4w zQ30(q8;UQ7Thhznf_<7}#K9%K7+5!W&9zhZYTZ>+@UD$|TT4oNS96?b_OocbE7`7b z5$iR}r!CpPIe{9Nc~6Ur4?{JD__X1b<8g{I|A~`CwK98R|C~QDC4OkH<^d>iN%NTD z$yU+zPc~Pf$t6uh7EI47^~axl%+aS@w)Uh;|E;RYgA~A-8)s%VoO{OHK_kPvq!tZj z)Pk+N`<3z0=Ji-hVE)*lS=81ZfBNZbPCDka>o#3@diCI;Ej4cH(u^Bkv5SmZk>^iX zG~$|B7M@)_WYNTYdeKluTi2&0s=cieb}ellLcwuKo#TRS-fk<)ckkYOIBHxPff}pV z*_oXx$ndh}p{Q|5YO%&nry9Fx&*tH%a7mSV!H%6CsF;pKjZ2Ejx~8ixyZEvzW>1kp zF@S~^Q+t%D#fm$$#bdK2X(_(4DjVy1c3U#M_nwEU70xFXFFI%^!d{6=Yt~~M9A`1l zmvidz4Ua*U2C9<-D^`d+Xy;Tc(AvZ$&A4t}f7F6mn7C|SXKZnX1*KK{9N|S`Hpq)) zaI~J#v#Jsq9+{uej2xKA6PghVw91ktG-tGak|i`_1}8^iN0249(HX1XF`4}qPW!@U zW$N>*^e*1&wJx_w#t&_uXZ?&D9`TW}tN}SW=44q5GIDUadhgPjBg213f?}6FIJh#S z77b-o--syBZRS0&A|Ie>O1_%1U`{V6Rj#ujL)*pVInKELZQ@d0&-@2g=;>unb7E3N znahmmolP;>ha9b+Jcc%St{ErhcEOy_%d0i4-S%r9hZ>hOD(pVvYU+cpinFNG*PL<* z^|Py{9><6U(>ukw?*YvdiIqzk>sDVG+d0;un!3k2yVF9NVtp*Jaw%ioa(}UA>M_AAgAyvba3*`~{`q+FDPOeH>RV9>jA zeLRWJQ%C%ABI42rXW8>&ipE`I&xxHi`losI^P0uOx@$`DVb)PsU*8TnGLI*|fDA78 zDOk3I-M%{4i(T-*3UBIpx?e%MxukUWYIj6d07_G)k`?y8OZ~G``w_WDnx?d>Ijki8?xBaaP@+Uqw?T>)ENO1!aa_{l z1}8l2nZfneV99!e=|wA8%Ht>CJFC9heB)>~-#3nAnQz~CIn?!5x< z*oS4_{X4RZXa0SoRpewot+v!PGb81go zj!w+ece5vrS5L~k`?qIL%KQh$eEr{=M@ICG?34uSSZYaFSta2|SrSI8BxK(GdL1k? zoB8+fSWv|z21asYWJK>)4XN=NSMrQFiuO+P+HV^j zGT*+D??#LiuWqvW%>xWRd}i6TO8l)*e<=z;v0@_j)f!tUp+1U9!GYvZjt*Pj_-85+L0}$ z@a!iA**pqf>v)Mjm*XW!*MsCgC*0q0KgZ>c&hhn*XE|v*2y8yzon zyvXrQju$&#;`nCAw>Vzvc$woS$IBhx>Uf3Ym5x_AZg!kH|o90I3fk^#Q~lAoc*U2Z%jD>;YmA5PN{w1H>L6_5iU5h&@2;0b&mj zdw|#j#2z5_0I>&%JwWUMVh<2|fY<}X9w7Dru?L7fKjwd^wbsi zj{HJAdOvl%$MI*5KX>FO+|m1`BR|fL``3>A@H+0_IR4i0caHpEI{dwke{lSxBX+)1 zJaz`LGl-o*>F6#Lggg2C*}Uok8pjVrLLL zgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^Gl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IB!9O`-=Woi5ok8pjVrLLL zgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^Gl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^ zGl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^ zGl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w z&LDOMu``IBLF^1-XAnDs*crsmApJH-y9TlMUP9~*Vs8+8gV-Cy-XQh{u{VglLF^4; zZxDNf*c-&&Aod2aH;BDK>5D~Me|>=u`7sOLF@`*R}i~`*cHUCAa(_@D~Me|>#OLE0@yy9H^tAng{U-Ga1Rkai2wZb8~D zNV^4Tw;=5nq}_tFTab1O(r!W8El9fsX}2Kl7Np&Rv|EsN3({^u+AT=C1!=b+?G~in zg0x$Zb_>#OLE0@yy9H^tAng{U-Ga1Rkai2wZb8~DNV^4Tw;=5nq}_tFTab1O(r!W8 zEl9fsSx-Jr_)NLiI*U~bh5zDuApRiz$x|Jl=J<5SQyrh__*~)fO3w>~YaLH;Jkb&UMb3lp zApS3Ne~|o=Kah1Q@Xd~wI$q|u$?w>n-Se2(&Qm5}^_lm|$8fRqPFd4S{_97s5ja3IeEKdkcJA-vJ?BaSyYe$?@1$B#MQ z;`njLTOB{)c$*{t0zv$rcD&v3GmdvSe%A4Gj{MgI;lAK_rz8Iaf&Z5szvB2+$FDhl z-I4z{K%eq?hmi6CDIbvX0VyAl@&V6NxOWTr;S}%!NB+$R_l1t=eaQ7d^g#5$#|du| zQm?<__*KWRJATXYJC1id{?PGfj=ymHrQ>fMe9ev*TZdwBLk$r^3y5 zDv<9~Am6D#zEgo0Ir5zf_r;EUr{X&f->3Ml1M-~*INzT*1{~zbZ}3XTs~k5wax^zQhj4>4j&>Nn+#I2eKZj+5 zZ*wHQe1{^vAn653FGzYp(hHJako1CI_U{f~ar~;|*Brm@_zlNzI)2OX+m7FH{I28o z9Pf7gzT*!Zf9Uul#~(ZX#PO$&_c;E{@#l{G;Qh5qKR;~`@+0;jKUWX(!}K6OK@aj{ z^C0zv{u8AC1nECP`cLq3NBU3P^q(O07^EJ9)MJo(92(QxXk+}@zwG@<1^gsEkOA)5 zj?Wd+;9n}F!Gkn-kOmLZ;6WNZNJk3NF@l7DwUF>2;X%TKga-)^5*{Sn1|i`l~lq_#EMhN>7>yz0BPp`s*Ff5E4(CU@%emq<99>yBxpdNPS?yL_ZCj z*T~Jl3DQ_W21Jm7Ga&=yTDch@PjKXLP~0aup6qyv<6|8OcZ-Ju2?r7mBpgUMkZ>Ra zGDv>E?D+4(V5jNar(9^$z+VOJ&rnG*#2qLHL)M{Uo*>QB<veSl`dAkQ99rcggosY$6q_%D}1)%`m-=_s>_2EP!Hcd8jgZWi`zb@nY;HY7qCQJY6WE%=931 z#sdS0aksrZMlyT5E1Ob#`bXr>-o=Y~XoHgsZ&Y|5&h&!#-bj2M<^MMK4@cb|&Mrik zG~6tFzmQL|AUz96&jQl3@c2Fa@x)2oOj$yQolZbf7cGr(x1oEBIMQJ{B-OUUclPeK zTUkELbclwTPE4Zh_U|8#I_&@Fjl1B_AvK&7}IS} z|9ITlyZi1Wp`D!HB^6Tpb;lohkXd19_`mCR_AFUahPYb{3HrI?Z#)FsXLLG{9jY|Q zeJB0x zkT@Uhae~AN5-0i|XLY7iD25e9*Pb5NX&x6yT#xa%K;i<43w@8PIuR-q3yEtlkLz@g z3nZ@NJT8#9K;lB*-Brg0tOm*s1C>ASB$37nBnH~lt4E@2Y zgzz4=I-@ESyAgI@5Bn?+3#PEIR#IJDhO z(<^K!RE5Y+aSO!&B$G@hgIN%vev?4R!IELfXP}h3}RB7NKIyj;!MsMpUwI z_dxHfl(K{DLeY>?$2(jJJH1pM;Hw>(E5E-AoE>|o9{?fnJ3TNqBrr%|kigdoJ$cz7 zdD>t|U}oRs3HuTlBrr%|PPX*G*^zpo=oHs+wMYVEdjf+51_{jBmmWAffKMlTiR*Z` zNCHzY2n-S!BrvCAdf@E1zEE_F>v)ey0#j!Q3=$Y5Fz07_;Oua}P;f|C$2B4eOno9S zNMMk_oUrMEv!eh*(JQXwT9E{%t`Qg{Fi2p|;IzPY{@s4^QMFnwu<6eWad%~#>pKVb z+m8uYFO}*oVyRTGP=Cb)>;sNp5aRze$8S6S)bUr2zZWu5;Jq_+W&d{7Ik5l!Jf*!U z;&MHO*y%pO^E}j>31vsh9ZM=hag1OuCX-C4K;}vy6C#kw3&_L-WEKK4vjCYbbf`SG z3xDVD*Z8xK4P+l1$UZiZ^v{3 z#nEUG$GxsW2AL4+UzXQKmE)5NuaG>3yrZIjU_LRboRC!hHMkA-h&QO(1L^S?3WdI8wu_F)3wFiyJ{8mL*#44^cUR_D|S{V zszV)hQUjX(LkAyB8rtY$X?6Sx*;XQ>t3~S{eE_3-MS&kMa|N9MnJLhPqQGyTxq?oG z%oOM*QFwc$ppzmq1-e2M-cc#&^vFzs?hb`_Rth>%GE<;SLxJCU^EBv`$xMN63k7~6 z&J}d>WTrsZgaW@V=L$NFGE<=YL4hBpGllGo%upO4#?G%rC2&HtAD(DQU&iH>YPmDT$pLD+DI`rA0GyYrRKcW+ge-PN{`F^;*?B8;& zHyLiOk+)qK;~MlwEFjTeJ>6ryxE?Z|*VsASd^91slp$HFA|&7J$k!!=?5Uk)&^g$A z4B@yCt^@rPE{3R=Jc5We3W?|fcQcX^9k~>3(p!P?kl9j zFHTMiIX<3AEpZ*{aS}IrM>`(lc&y_Z$45Jo2K0{;lE&kOq~&o!iu^4?`%MTtYO#Ny z`S)_$g(P*oSV?)il5oCy-**bXs-T~DywmZUj!P63Q=aW|+fPee_hx!!#qtu5xqQQ3v zAEkh-6oahj{@$m?tW)uj%VJ`C*cwELuYbe~5-&)+An}64yT;=MiT96HymNWH zY=t6T-VBKsBwmnsLE;68_t73NNW6cl;+@IkWos4j^3q7WAn}643lc9#ypQpCLE`;$ z6|W8|uk6NFE#l>Ul6XPl1&J3VUXXa{{HYHh@&2WXcRG)ktzX2;t0wV+#0wHHNW38N z9`Esj#QWD2FLT0!_VjAj!A>%w{eaYVNNuC=-Hsm;>K9+_%c#v4t6*$ABN%7I5$tRa z1`huiT34kun5ogFp+?qF>#o$4HapR-e?jvf#M;Grt}m;b+e^COK90+T zWPopno8*6x`|~Xj_ff(th4kOA6!H?Ts-cW6etWlW)ggXG-b4!$El9Lvm}oDTn`rST zTHHi?m5^v(CG==j5M{J<`9up6El9K!5YfI>ZlcAXXmJzmW+BnOTIkWL;L2#}>xmX5 zT99ZdP@=s;ZlcAXXmJzml#pm&BeZC{r?=|x6CLjnzlVi3hW4k*Bn-Vz6NW*ZyOL8})>z>)g zi-mmPxyX_4Dtr@US|7T%*_nZtpW-1q3Hd@X%rsNTS$8u(!JoMeXw#xTOJO`f-6OFa z6L_H_`-{pZ^v>)^re?NDZ4q6l8<5xra(dZ%~Nq2%DmhCQrzyX#C{dVUrUD{mxd zy~Q)PlMeB~5syvGdaJ|AJw5HDXM^i(bWC9^eSIr*oUac5RN z%-%xp)-Chn;dK{|dSRhzNcGB>|GJ}sJ`&PH8ogDuqf>2lP9#~KDTNa=^=tr6ra93PPa zbJ24=c~aC5UA0hTF$2XnREql9s}_nMb;WZlMg0g?3q=+>i1EBiQ9p^*LXl+;6wj{| z^#fTg6j=m8@q$WGKbO@)ktGon-&iT?$Fo`}-s*}MR*L#5trm)(aK(!%Mg6c=3&q=9 z@l8pweP;Um-DJ{wJ@}A+fuQgQ6I`|ol_hlyCY-oaF(+jjP2Lb-;=nER15MH)PEMN7pSUGb($aiXQ-M_lovmEw3y#hYC5 z=1Ot2rQ%0j@ne>& zF@D_jK2hn-w$i)R^=_;5wzSgwgzJ5>(%aEW?>5)_RHe7AmEI>^@6(mu_EvhIa=qIt zy}4F;pLV^^RC+V5^lo>(J1V`YR(iBDs^MoVz3EnZv^VrVSL)5wPRP0_8{Dpw9x zIfMmME%g{o;28mINuhUo&WSVpc_GF-yVJ8t9~^nOK^O*gdVD@ddweXE zo0B>@U$&~eytq2nUQ#g4lFmMig{5=G>sa2}G6eYI#vXBb+YsY(p`Z0!`i=sibLi$}3BGxO)wL!0Wu5z!CO>6u8sk>Pd5UMcSO zXiyc>)ADbm_e;L(^Q=%DVMYXTips*Ardt*KpT z!Sc}Gnbvd*EuIL=6EVKt9_AO2brlp0eGm0i{mDe^PtsRw?<=TfDAD$K?P=NRP^2hX zP4C^(veTjX(V@}GtXnAqz7an$>vE{N=+L0UpuJ*MXZd?Gwxm;#DAMAC&T8DbK(7-) z=FzgI)w|V3jI49Dn8-XjbY99|>p~#&DABV8on_dv5Xd}AbbFy!GhbzJe$Pwh(VK#U?k~vJl8TI*c&NU+Y33^C-pPXS8)8 zka?8i@S~T57KK3O(Xru2hvl`Z31l7}##RkJyDkJWk0OJvI`CZ-0+~n4#xni>29KOy z6VmW{G%@v!wk`xRPrEwCl*U>Y0+~mNk0a_#TNVPDM`w-cY_-?>`OSgMqw{EVbowQ! zt`8to1M-B{W6&BL-E~n>L@4r2Miq2^A#^etF=t^gd9dRFjt_M_P{{Y3gM^`X+x$2> zm=CbLMjZP)PB=~qc|LImontw_6}1zXH8}#0JMJgMazqw-=XNSf!mxfvmpE!VGxK$9 z!Uab>DL&#?3!JZOeF7Z1BwTZ-mbOXpkSYCy+D@6Vh)6U!rWDl+w|p26L5C6!y<1v7 zER`IkAKLXZbma>!&HYh{e$fh{#T5?5wjCgt2`iHq>w71MbB>deL^6U@>ud zIFIie+gXXa%9=7Wqo_2vvl1~u(g^tasxq~Ku=Y6GJtSq(M5#CXQ4fuJ`fH$rQK-rE zX<+QOR<<@0#z84|TeB^VgmKV`-PX32M#4DgShqEBz178Ey1YlWe2vURaj+$f!ZQty_Q#ZGafbE4^NZ&~aVH##SwqZ5o`h+?5t|ZL51X;=J*W9XA)FD z08AZfnVfY}AUOmK!z*|742<<;kMn7cPj@_(po7b;v|2vnsZyY`Az;vtHS97mD4-Y< zGr5bz*pSAw} zs8U1p!nEth^H>@~tf&+B7bkI{N}CA7qxY9mQiv+eCJc;?Fr)L0=-$e@sL#(6O$9p7 z@dC#;I$r2_k>i_$VPK?HbSLR)FL1TjJ8pD5+wmO7H#nXv3=6hS^Jy||FFPmNu#@;+ zXL}Ho(_sm6;@%5a^6s=Yb47RAG_FyU})qy%6hPK>Kw#hD(+hiAAhT*Va`!2IhcF|*)*5qc_*(SRvGLEa?+;z6eE{Y8FdU4^y zi2bHZ!)rJr`&Hr?N~cUmKjl={vG-~?y1;*T{H^2f9Dnb4uaLLEd2!e_iWzY{XRGP# zn6G2cf#W$pbNq$lFCBm7_-mow-{;3+&v~0Tp0mw#w$InG=fLrtA3FZn@h6Tyb-YIy z`nS(eQM0Y!dIk8Vh#g|7RD{wi%={-=YThA^)V#}dX1B~M8A}ZusrioM_Z;tb{J!H4 zgkfN%RbKzMtYh7f7m0bdXkOsni<*`(=RK-{&Nbpl4m#is=nhP_ijtuZHB$Bg)cW)r zAp5S~$9{**E0C>Cyk8{6fD(8k$^$D}#Yw-P)W{VJjq{S(MlwGnj;vVndbjPQL)zd- zn?0^~W+xq<1xJ!%$&I&4(&I(nBsEIoW})`Iv{K`V(4^{CQ=4d|Mr^luY*B5jg<1!( zL6iQkJATvgTaMor27V_n`;96ucANa)=6YXt{EFjO9ls_dwJFuqGThXCkhTU|yYHWx z=7np<4l78Nih04OTeaQvdaFv?x6mCwXS}4J>R^*z&?+C{oZ4_fqG%HuB5MS9S(9zee{-#T5S2QB(& zmHjT#MS9Sp2UpqeB3+~hE$gZKx9=idqz5f}e->A*erbzj8ZEIkJqbE-WZ1Hcw3BAE z7{_GAU8J2fqxB2Z+G<+$QHCdyX0#XsRSvsIJ84FX5t6mqR%s{A=-B9~TGP7RNi$lE z^=d!6NIPjp>$j#gyNk4wX0(_ms2p~YcG8R%vj~<~Tcw>eqhm7+)vVTOC(X=vt{|rV z>0P9qG^6zg)7r9&w3BAE{%BfLyGT1}M(a;#_0G0TJ84Ge&%y^a&flOqcG%b?G`nm(C+~=~%5x`y+K}9HmQJQ$fxIj=+ga9x^(@sE}j3POUM7zrTt%ZY5Xr; z+Sch(JV}?(cHuP-CPjUr_sMeiJVlr8r|Q!6Z@P3oO_z?R>(YLzE{)T4X**q);u*T= zB%oD?*?&K(Y@RKTuIK8~`8-`Zp07*$3v_9`P?xqB=~BE{m(aH9n!}% zfqsk8zDI00EWA|i;Z3>>U9QXjuIfB;8U~^;?AVjyRNw-U5JCt<*-)0DY$*rfI_zkP zW0S-J?m(}i_g>Gz_r^{ki%)*~|C!9_*&EOEOl}cmmq2D2q*p*{6(ozmE`fvt;$;wX zLDU0k4OkUmRzai&3=VVFFQF}Vco2DAyVV1Vl~&WtGLStdhH4 zv9#M5mEv`D5HKu7p+*qo4f9M|pG#|-L)v&%OYNc_0Ry}c?NV#KQeo1nU5R!rnyPrs ze@(SiK{pO@1Mznd`vB2TpuT|h4a^@9 z`2}oi+l1IA#WtmFzSPD4vrUU_Mr^ZUn-iOIOSNEdtcj^wwc1QT#Sa6?lV1;Lq}+0g Y>ApMK=ueREyyqN7wQzbkFClKg>q1qyPW_ diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_tech.vdb b/CPLD-old/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_tech.vdb deleted file mode 100644 index 96000204fc2ab7ef63f20a9438e4b8a7012e3b45..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 67067 zcmdU&2b^6;b^q@x+soV4N|r3QEwa7E21~YaUHb_mP_ZRCBqYJu*w`{PG}B#VTLw!; z*apc}TePfJ6k{2XO-l%!6nX-ocS1<`Cv*t@|GsBt-ktmQ?enTY!hSyQ&Yg44oS8Z2 z%$YND=iWW;xyKZmlVoS2zw&oqc}$`BZ{0^cE0;U$nAYO?7hm{n;7PeV*^@NxyLIBO zZF`Gv-oE3(BzMjgH{bi7ySCrG#X)ykX6Nm9-?x3Ihn#o$n>Vhyb?0RpH>|nf^3@mK zzVk8qU3T%B%O2SI*6m5xc>H(s%vkY^?$W^UQD+_5y|}|&dlnzl-Ld@e{v*3qbX+ri z#KfkMcDTH|jSwzIp8Qj^nz|?Y-gVn>KYEaQVTN8&|H~IX?cF-H*Fq z=gP-iwC|DG4qSZS+xk903 z^Nm+c-?;Uv8y;%9amx*x#y8KjuDM|4hVuILYgS+5Yg@2(&4yL$)~qeBS%3N33oj^d zxa6{2Z<0$)Cj}|$z`4XK&gIJ2h*{^lb?eT1Ymbc$_ z$8CFeyf4=<&pPr+Zj-NKZpLkA9=&k1d*RZBE0&y@Bv|@GjY(_LkmR~T*#+UIJKXey zn@8DAK50}ZR@r^4gG^*{)0y0i%QZU6-I?5;IM*t!8;mN+btug>>9QC=ej>m-fw$>m zt;|mccqzcGt@)V%r&mUA`pNJ1@Fd^vc~Hk;`X%{28614TEi&9>1_v*>43C?t;95Kk zoa4DGg98T6`cx?OZq>d1atJy{VMFAK8&_>u)r@y|?4~_qu~}3ZB(`(~95VhX=k*Fa zmlRfp&FBquukS{j!8dy zYmUhwE7uZ@$rXa+PSE9SQ0bV&GV+Yc)AS=fL%$>)o2QUFNte_0124}bNEoATEK>H7 z`azRK<8--)4J&o^X2&UYF;36YFUe=eDfKYk94{Z()C94^Jzqak^LMH0*MLVo7kK>Rm8PoCttE$wz+)m$&@Wa$ZW`Y3Sg&8~rtz4R zqrAhV>IVl5vvF-s)eleF3C}0$m*j)`ohi~rOwrnsy6Gg3Edr!MD_H0aK0L`&BS4JG zYAo~zWNw{cW>to$XH{7P@>ZppU}7FtRaC?Fb0U{xtT~Z;>+n|PbKa_44tq3Zt7p%m zdr0hZ{UYnQ2sl=8slh9g^~J!Ue~!U}BgheJ=dc0?&ra6xpv-0Z#a4h)pr@R}rB(nA z7&zCqUOocs-S5rC(9W#P~c^(va-8A8(jLC4TtUQAytWai^fzbHA9*B0T2k zNI@?Fj)JZG8v!3Ufxm#U0$0Sun5Tu@o=4FZ|y4PICD87T+R&+Ohk zFVP<|SC*Y1htzzDbWT^co$ev}yNqsP1BpO8xSXKNJ!I1f?sni!y4-E>Ao|=LP&Iov zlaMQy6+d+#{+;^8F0Dmb_>Ji2$_4Q!`4c@1)$G(S$%he>yHhz+jlt`xCZh$fn#sxW zb@Nb7ljiyT92MBMi!A&&@XN)lYCNvya?!c8ypLVH5`FCAa&6K0nL!XJ>)rZA<7ZER z-*52B_<5VM1(bNNexhhp~X7ey;?SFV6@yi-5Ycj<>B99>qq&|m=YtX3{CjKZ}q zRc^ar@T44VNR{j23t*IU?GNtIxk}oA!5A)%TCf;^Ag#hQYRSDrFbhl!QO{(u*5OTN ztu{#JVKRp>Fv*&X&t*&|4op5w_fXWw^@|MQ?|`G|Pa3>p2pGI+&!z8c`) zG0fj7LqtzT?B%)sB&k8-Y_)Of%F1Lw+BjOXhD*%V;#dd8!uHj;iA z&uiDNorg;DNpXLtfV|xE+*1I{kPT`&t^ao}i2eVOO~w8b;Eb35GI$WX3v!@k3_4sz z^gk8I#*)G7i9;QadY&s2>CSU3X)^2)wQ5T8_w5UJw-ZSi?9Om!MxZV^L}A79!&~w_ zOBOHaI(kVy-sNjLYhm9py**34QueIgvw9v%DQH)AKj&p_RMk|9n^D7-k;}2=8&vdr zI>!9kkkchq(L>~b7X@dYa`>Ps`5NI-Z9z99o-UnVohrdm79R0V0Mi&S$~nFvUd`nN zwpq;J_0*h|r5Etb%)FKZhj1;IbwsV|k-F+oRyfC1&*%W|IkRm)ChzlemW+hfs-LZFOdoxfkSpbQZx5>n0jO{lHPi zaR#p_aUjqS8oZ*!Wr6;9gJ+co59$~)T&g@21U*V{{8HsHw*Uj@%B9K!2MnBRcSU)} z3T(LJUxTVo5j@Xb@Oqahj2qS zJGQeCYIbaU13I7$+0zj?qx~_ui45orWz7Ybb;FE!p5ZHobf&VlgcdymvFe$&~Wv@DChbY49!gu zw3Yg-E%8zZ3k67nt>cBN4O)QIXk4QW+Oq|>8N?9v>^Eyr-pY2*&&vKtOJ{F^b)M?v z=Uh5J5kX*Af2o_uuAZc9>kk_HE`7QT7##e zWds02w607Lt&7)yfphhwBL|TK1LxWnJaO(d0-H(&%I1;rTXh3|#_$z=PXzpw zZm^SS!&me^sjLN1;F0-mr6UzSsU4t~Kto;ega-~76PIgW)()tXHp07l!UIM**Y4B~ znA3pK7ULP&!7jmVPBTP(JBU^8?O^xn)$_4~Ll_2m&DUIm`Ov#&8076|&M@e`2m-Bt zST~VX>{HglpbzN=$^Wh4D^~FUc&y?5x*`1e8^c$u;=zFbfNltJ96q%MMmJ^Q{Xr|6 z^$L{3nj9{*1}p^_JZW2O4TLzrum;zk)EdCi26$RuQfqMT1R$nj1q+pMI`=-o%~T9g z&s4Hj;Z0@Tx;6i&pB(%X-9v%@teeOHJ`> zS0+_7N&yDW)sre3958UMZNdHLJ}w|E8NrLEi?>$o-MQD+>CKvgXgfuN%t!(C`&SeHT2V06Yr&f#FkyF~Yzx ziX87$VT@YnQHtZ6RTwY^kt-Jz=C;FtQO@-vjLh8k1U6+Fyq+?%dJD>&-}uUF%XmLG zjnkccudvL+Tu!fSP;c~?`#D`e)W6kDq@rI0_-_neQPD4fBMJu(s&S7#fq`?pf?9IF zrVL}k;C026kw|buW9#N~5~M*@@28mNcZ?@%^L{+|8EZ(rqR**7^V;h&+iqjiE8TZ5 z0LNyV3|f83wPXtaTZ^cx7qTH=pIi|Jt#gMRX4_FV#(?p2L7Mp&x1Rih33Y`XdaU zRSP^QbClt-YJnbC<@lv)!9@WB=gI}OxXc+!jB9e z*|}W2TX7s6!fsF0O(g8&1N;PoSA;zX9OaA{JS%Kq*tsi{3L85I2F}$Jgq<6PA9#b; z6R7-7Bs(uqJ${{^%1nnFV{K1VHE?BjTP~innhYQZe;edg2JS&sy83NmAFnB%XW%U$B!+Gnb z=P?>ul8*hIHHdI(JtnUgDP?C3pY!WopR0Mw+mEnau0A*0bVRew8iaI$g!fe4L`q+) ztj#Lxb%Rkn!SEI3uM7AM9{)tcSB&6%WzCqLWbmvJz>`t^c*A9l0Dg=_hf9rsQ3z~U zscUO$1Q-_WgXdF>XD|Y1ShNk?lflJn9}3byDs;%o+Q$VX06`kp^ihIC0vr+2np5cO z-Ku;2!&sJUY#JZJ^BFE+kI!dZLKjf`M%_eaaVc=d z+7$*5=HSE(E#ueWDyDEbWtg=LUQZnAc+~S;`E@FkeQcQTy6>)S5ANG>*KH4$cRaXl z$KLI&<5Lq86VrRf_l!kv5;mN5S<%`d!4 zHyFt43?B?3cV)o8+T*V>e8m`Ep{yCuYYd+C3-H8%UTe6lUw|JtS1$Dn7#1*$(v?g7 z0ytp!4A+mWU!d*qyjtljzd*xb>X4CNfGa?TzHv?cLhhA<+t4>eJzLKjj<=>U%|!E< zbN*m^Yu;k~9;?sQ*-HsYkN`niW1>B@ zTLm}wXNYRXth)2F~#es?WVmU{jL8>nkbNhgZ^W{mog?eD#)`bTn~4>p*zJ8Z8e#v9ZX#iS2spNE@Oquew%d0`$GxLdl46t8d}w`84|=>+ z9r8EIKN3Xp$*f2|3Y-w|9}HfxzmEm_PZ>PeU+&`p{%M0}Z4ch?`bWcMZ4W-+{@!q@ z?V%2M5llKCov^RLR< zk*e?LhB5VB!&eODtKflu!{fha_=>@NO<6k{^G%Qcwo)9;_l+)VK=8mwzGb+q0Z|@h zJ6vi&7y__irL-}A_JeUz-$(_Q8W2wcp{JbnIW-{XW$7rM^an6a=Pie%mmsYdX`JKM z-2myLD_L3pI3z&YpQusYJk9oWx3Ge5V zg7g%A*1CKyvzm+F5?!E<+9uoKx{2(fC%~5&ykZXv1AMW;EBapq9344$R`0;TIbNyW z(H}5yuKu9+++pwoZ}578&dSsadQyM$67+sQxk?4?hhxoQ>;`B29IKxWF@TQOO(f`n z03S4X5VUiT&@$MD3>Sp$aL{Ai4i^NTJ5FGmv<+TQa9J4z|F<%Bieg_oIQxT5+TLF0 z;s3n&Ywk*VTxM6Y&ZK=3GQ;_uV{)F@VEvZ;DQiycRNa6dHGI%fZbiU9N;l~C48sRK zn594@sX>;xD%*M^FteWJkTXboOZ^jRr;(VzE!{N>$e(ml-lIl75Ne<5&0|7?R- zME|Tne~H0^=yMkVXGA!7R_ySgj!UgfDs~17^ptbBAa=JX0|w5uEeze<#R8iPH+Vgj zWTo#^lHJg*URqc7sP{9zP%D!uOsJ@SIOYD@A5@Y3U9@k@{A>2Dr>;SfIQ zaPxlrq~kRx6XUp6H<1Cp7B~iYjlqKfx-kw6!*FFPMtC)4Fe-!BQAcOC=YFiN)*-ri zh~p6M{$``kdJA%otN9w!kb2Dgnx0{~5kW8^-)h3EOxUki)=pNwQ8zfrTMQqBn|lLz z;5X|A;cqj1MZhz!-6~ zqk1&Li-F^C>1ZTO1x7j7uXHpr;AkV|4400^+`9$00cVJMc92zh7;tOWMCW_NzxKLI zudMFx&Oo}u?}iu)STDic$7wVg_om6+C{`gMn^`7~} z=?8TNkgI9#}dEiVQUov>bjK2`z z|7`HA`9ez_|6;h*-QTkE*C#}{TbGSv;UoLB3JSA z0ROGQE2H5T%33J)Yu(^teq;E`sQ9I_d@?-&PYNEV>-eOj1a|`sI9GQ%O2APLhwRFw zqXb6|44f+$MoI2h0-LWkc)gJ@p3%yFjf6rp5-Qd>ZyP+N%iG{JYJTUMHUF1BK;T_$ z%~L?$#A@!!Iirfd2-{lpMs=8OBBN?k){LiHHyF<%!&i)}9XzhKq#M!&hOZb`2Y6gy zm&f-QJ~b-bD`j!L&{Rhbz%WYcuZ|pmVT`WL!Khp`LOW>hLMscp5H^9Nbm_ zK#&&jfS9q>SV+GJ+bOu&u_5Z&an^vm%h7ZA`M8`v*mUe|#k(Az^H$|@@pQb5 z&Z6rf-9)x>EO2Cgg25{`a$JCq7`$Q|#{-AYu)(u70dIJnXt>nTU@y?4BF8UvG&mt( z;9MJ0n*aw4oZ}g6A~z_o#Zm^Zr@XA5!hA9FrxZ(_PG=GNsBR+VodKLdST=Y?d1nUr zxdyK&?=0Z(Imh5x<-r?XD-D-b9`rZ_$1hbL@e(j_t_`X3zySm2cvh5mw!juk8N8nI z^twqD^LpjY%h8H zHl=E3tkVwsz*DVUP`mTvw1M$#xN1KRa3nB|{W#$WHPIVuY2?QrFSuEgA?jIE)^I9* zd}=<{bO`tLyv6usmCt!Up0y_L)iZC-hf|%-n&N%EOA!Qi@*>?t_Vb(of1$xE_H!9< z?B;m}uh`Ay%GwUY6}kccT%SJQ=(6?$4R+w_%-Rp-z`1%;w}FjNCpPi|cK`F zF0~)#17Mhl@r>;U69EX)8k5>jZlmBf)f=LoiL6@}TZ1=|sqq*n{A$;{JyUpZs z@vB#_r9%wO>vR*T`gH-m$>0@LUj-ahUTg56YBwZ-F|b^jRJ{yyVBlOmLA|+a;0NB| z^#q!gofqiZwg0={Iric`1Y>Rsf?&*T*G;5|tpWZPgI5%Bv$FP`<6Crt0&i8yIC!(s z1;ymv2ptN0lWtG|bmVU{x>QMwC1@Bg@Qh<|#tSgUple?`22mb7!MQeO#~}5<)3qx* z27ximtX%aN1PIb9jK<(L!EFp0qMpfQtz*BIjqxT%`XSH*T0pwbe_o}Y%ySb%FK&%* z6S=ynyYT)>`p!yzoG+CdcC11-R-qdU@3!Q}0$t(gh26eLL+Rwxk8&BEQtpj1`SE>5 z!%N}e4nR>w79PE@@=uIZD9*N&Mte{0UUJyc3mfvwmzCNWjub~zv9@xG;_`<2F zsd?pqN!;qn39M8oU>;0zB3uMD&I179BC^^8s3iTdL^xpH;=V-r?TSsJ7w(&-N$uuPE*v7rV>!~6u^#dOFmC>HBd;oaf z8%O%=uiLz|jia^mTjC$WdEYBO^Bgap_x}Z9qPVZ=CK?A{29CnNZt#l2zXBYEIe4mQ zrVV&d<{MTfRW#EE^ptbBpy=FJ;RoK}^^}>FogY^^z&W2-`=GXITh%&5;~ru7QC8;> zM!Ze?1G*e*HcMW`ujD$4sJX4tgs#XA~k=Wv#CCh-z94G zEvfU5>h!M^bLH2}pKD!a3y(N6$=MN~@-f(c`RQ1C`8-{c;>2xlzK?&j+1%dTENLW7 zXD>T->!z+Ffpsnz7;vx=gB2T80+OL@b~u|I%w`9&*}iPHKb!5%W=q*@@2O`TwW8ZK zt-s$jtz@v0Yg*$6Cf~nd)$K;ny>uxQjUU(pXh9&@oypB)a?_dIL?$*wvT2aZ2#F?QuGaP3I5DV{ai%xUl;X_LsXZ&`LTA_6XX{x` z(jXo5tT>wnk{OIM197G=&h*Eb-Z)cAGsS(|_nJgIx*zcfq*1){?(HU?=Jp|-vDW+D zJMMIVj_wmrG>RSfyCNqpcSX?C3e();BP)<@2kAfFLykD|B-g2~MW>$*n})>!($eW5 zjr+FWb;kp)H9hB^Yr0Wu1Vb1dJ>{~xZx=(|cKf~*wGtE;L)}|JHFuUD4T_6;(^TBB zYTI3Y__wqcB+>@`8ue?^uUWqq{aW>F)305>4*iPv-@A9aADOv)ryyPW6}E2b@uRV+ zxm$o9{TAxCNWa7MTddy_{SMc!xbgA}Zx4g0d8r^r>UWfWN9%Wte!cqj>DRAcp=-ek zZ?U=jhyW+*m#-LUTl*uFKS{sk`mNCKWc^Oj?^OLBrQd1#ovz;*`kkp?{`S4zewv!k zQhrpwbM!k`zp{QS^?S5_=aFBo4@Olc=!Z0YNlJag%X&906E^vs0b0j*PnRby4qmsV zq5KTt%FooLko<}%&wYrzbK~Xf%QI!JqF*Jn_B1wJxN76-4VSM2yr@|0N*am_^egu0 z-zIgpwY4Q}rHM^jH;vwO)%aC6PmJ9#`jA#hicIvcUcV3PqW|x&4wP6O(^khsd2AKd zvgp|IYW2b{g=~fG*KND{rt7ZTe*I0iTz~zndMqqbJ^!566F^DpnEE_zeZCY)MBQwj z5bEN6+xAu?TKWe2M*GJ#L}p$gpSDJqt7Bna#p9Pl?&snDcp3 z(?x1{`BGg9$>&lvHI+7vcJ1C`Zbw9yLv&>|QRDck^6h)?N4}!r|IXRep3!&n#2&R> ze)=U<5^Cxj?i*dPpt~AgDvk7wUb}f>V$)66OfT>0^4xpT9$y+SbelAAKik@D zr6Vi&+tdmIC~2lr>`eWyvgM{?u{J+j#ERd0Q+@%IluEs$1Ebeod)4UB(BSCur6z!m z@@B-#rBGZrULJdJ`;NEVb6Z*eIeg_s8!ua5z9xU~JqyQb!@I^Gye&i9ymFfTv?u+++E&x$Ll2LKLtBPM{u-cg>vVLLuSH;73c0o$UcY7Zrm@$LZk-&<^+sc? zbFBQhwJUA9osk>dI;%~68fAW%IS0$|azkw{hifM|)W(*yjRBOjl!p37EyiTDS2>j4 z21>YCqni;p;K$+Mpnr`$D=*sC)21lHIRK4+KllOFcHVYMN^SdZ#o{6K;NX-%PWc2j z$gk_z^7oM+mnwrh@SvkKGBP}>h1s=N-K?H%>9H{GKUfwdExjffh1#_Q6FJT12{}!| z_J^aJ7TvgF1#_!EqQ6eEtusR0vjY!rsPCpIn+|7chITT4ypbPY8ftU6J{=*DEI*Tq z*G_06-lpD7qoaz2Eog{L@aT!@@DIKUhovbQh9d2?D>041%8CY|rO4SBYe%1!8tOSSWf%&l{}A_ihG;^6E6Z2JP#skDMkBQm(-^w|_fVL%xRL5j_n8G)uD5ILnvE-0ZQQVO<7Eo6H(YXA zuJ?thj6$KLb9Y8YRFM_^Ay~?JZ{m*0Ww|Seiabe81^e#(RoZxag%Hy=YfEF9T+h}` zSH0ot(aG`gtF9j1JT~oSxhOjA+*9do?S-pGnqNgQ>(*GZ+8d>+`+~GN0pvzn|LC$_ zjWn#k`w?fJS>;6z%#at1jqMuqb2Cx!xZB&#igE}i;XPDkBr6|cVP-|vMKwBQZz!u% z+FJ{gVE~0r^(`Ce-89=eS_y{ch(zsWeR1texdu?e()7BmS1tH!jhA@I9USst&Dy*l zv>w%5;@O84RAqNsWdJ1$CnMd5h#NJPjwwH0t*}d#x(odF=xo>fSH&sh;@wi$KKoX#iJis2!B>4s$X1hBc4f=owc5tG$LA&uv)K;+fWqvC{@!J7_DEi+err#DY;IeM zrfZuG`bUQm{p_f?O)h1D#(2#s&Ayu}IX^p_5YyzX0U01t}9e7o8Y+8wZ8eX8Jd1h`yh?a&6CMTj+%uLK2 zGSg(E_Ihp8ViL9Y`504dC+HbQZ6Vq}4p3(nru_?`#C9+GjJpEEeg%fJ%}o(@Y;Npg z)S4dcUqh&xTv|;4h3%?Zdr1f75=wos#~G~QT^WRRmZkbRh*Ri;|}%JQ1rp?9G3dhI_Se}r+7dv#rxS(ZTmbRmZfE><>-)O4QU)m z4B|C8FfzJu^ynprFFA5#>$Nx8k&BL|^4U^K`O&&m1v|$$J0ix8*F|%O+4hgSq|*1K zS`HxR(0fOh4a=d=mi~eCsT9pdBc=Wt^U?9qK=50felWO2Ls;3(^1S2YI#H>P>`Xfn zK#5h`J6e(??MWspp}|L#ZGaeGMQt+WSU_2DLvtd++p+JbCtAoeo{~2Xop^n{z0h=-dILq_SxcoLRKa zcFu10^4I>6xB7cp+TQ?5I)?N-fAZR^Hm}f8jfEJqwkWShB?A>=OER$2DM;%XM z7SS3w+bb~HUBUxiG_@&q-9Kj(o#3fFOj}yMO3k%Pm5V$mpHz}IgY^-`f1~!w_&{AA zyF!8efY{@Vvtsp660Y0s9ttabthK$OZ$8>g!a11m(9wgE(z3V?HYh}!1*{%2h6d%F*D;ZA^V)QY3ds`c<98scre%lbmp^;V{% zsxv$hY0Hovi#D;(ubw61L*i{ahSl9gi@T3pdb$F~hv{&~kcb!d zET0!~=d>Q}+_U4>9d}J_SbM?thhg0{BWgXN*|_S0^Df`_@br3c!2y^*v6CC^yTjwI zhwouq-~Rd+ziJs|+mETL)ZfujHA)UJ?$T_(pRR7#n2S{0<8<7v-|6xXG=^(WV1ACN z>@Lj;PQufRS`ReZdiS@PUSb0SHMcTtHm!AVE}UwsdC<*mob(N99nss{WoHc!JGp$Z z1Ynmcg~)BCo21Thg|kev`o3rN9$UTqk9c`+89;h)KH;B;X&C8=*d=RMuGx#!vu^po zH>O(0cTJbwY2;eI?w7R5J<~nhNkHqt(Nbx^7F46lMtMu&59GtTOX<-xJ*_AWZ^FrD zJANR`wKlr2U(1cwU3%KTKhIxVr}nqNt^?=qW|h&>ZmLIpBj4 zJmx+l6T*x}4GYxw(TO)Jgr>|d={EY_~IC+I(B zVsx)^2;v^9_qiR;^x8M7ZL_$h**mER`5ZqwUL7_d$5zdrCc}=S3&7^u4Aatt&9E=0 zT~kAtcmIcHBb}P z52~*wst;6uP1FFW-kK=PKpW@-3K*B1;a(`~Y%V_};g%a`uX7J1kSPsPd#P6KZPB4y zdvWVas#ouYMIy_9Q*mX$si-pGR7@FgDxwTH6;B47wmSkhaZ*_CMGoUEU7K6=TIAZ5 z7nQ?%kO4Yg6RI~Pjo7^sS)r`~ZPCk;)aGB9bfhh3kJJLX6U!S=={fJDtV*S4FpfU2sfOu9^|}<+f+*~q`USIqI-|Xz3TSUc zKA{R|FGW5n1-82Oa0>J(RZ#b8CS_s+X>7L3h`L&eViX*Mv^ggt|di-3B*KvrunsdS}|+%5=>QCfL38xK!KDe)Z<` zU=@s4eIJ*?%+}nQuxF&uaJJ)*we6;p*nfiCS4-VfsPvjXQ=1)1bXjPW9yFW%ph>Tn z*b#-l)nE-Fk_RQNyR=j02LHosH~5YI{`B#BzjmZ&x97k@wMC`=Xs6rlZ1mT7(0x!3 zM@l-!7Piybt)CtJ9q_3VZ`j&q_~Yy+M-T69yBkNG-HGAhebw8E?u0Lo>ip@JnrE3O zC-u2Dg`K-oa|xjETyl6=Ywpnz3=a^yo5ChFxaw z7ur*f^d$j3<={n-8n0W9&*(o6OJHwF1r|W=@mQaBC^O5BIlAT!iqt;rr6Z|!VvdKR z;Sr7pC7lz02rs7txc1O!ey{lk>iNIsTaqgYqj6w#j&n=)0;+$eKG(x7{-c@1|JRXZ zJSbT>z9;hn!yMbS;VGJbt~F;x;}cYLVO)^|xl=9udhz<2(ZPZKT8C*4=9#fu!NOc` zjMZYkOwZ&}Q`M8XSZ;H^vO7JwZjuf?HtkRVB`y8-&`qI#t$88ZsPmprdn$h%&*$sY zX3Za`J~k)fH|qaHPUd%v=t=128?L!(>yn3m;K(70Kfyk7?CMXj+1`S+Q~1ZRV0-$e zt*?=qFJc~&N!upZ3I7Idt+%)j`1pqpI~5+*-?uxku+xjCpHr3ixoik!XB~9TLGwX) zzhX{bx^jBuG`@5&^`!w6PPA*s_>ZG-Z(!P7{^Qiw(98FHY?0``=hM&+!1f3nAp8&d z<0Kt@5y{RG-F^4AeGgiGnOzs`PLD2)mB%N?YuV9(Y|Hs$OAokzZqsj-`PbwW#*)pd z{UEo1!y9^$sw^D+8CMaTB0@Aw>V`fAtN zBCPD2zNPwa`i4SD!$4p5C7klJwB4FCO>Eve+H>^bc5<~;ew;vd(HoS>8OQ7L_QoW6 zS20P(mA=!bZ}Tbe2@l^aQSDLwwMv1%L2&YUrO=bU-KX#&f3HuWCl4Hc$Ex0UD5d;% zPe%$L>LG7^S9i+f% zKYXEsFDdnd2Tlr{6nLN~rThcFK2rFSLJuDLai#Df1x^YaI^cnx6gd5GTJG*XlP8|~ z<3F+`7wgBV=>Mm5K)`#&C}~_>4*QDv=JQuyxPEo{vQ@d>&O7(sd;fzw?kVrvR(^2D zK2N2Osr_0t{EZlVLFtQ>{zmE9V)RAvdZAMCt9<$xpPuK_NBeZ8Ps=`im-zgT%Dqkb zq~Yi#{(FFp`iNLTALGpbP_}uOaQr{g256eD))uYbG|NS;R!3>ab)tdav&ENxANK{N zu8clKh`X#R1MMD_q3rca`G2TZPlLUjXCtMpr$^8v|69@0f8=lpd>hm6r*-r=q9Q~f z`S$+}o_M2DJ`jJs6>=XSgY%*zT%iL0SO8)QL(JFbjkw4s$*d8r3m4Le0-wY9kte-E zDUErq(xjnsw7Z@~n9*y?*EOBkhpB1%* zIup9M-F0!%BD!dDe!ft2?FGh$uGy;}?cI*12=0Z#VHe+2isYc>KeK$!%22hH=~?2+ z6uvCnn}j>d(@+K;YJ$FZ=9+NWVKkxfjELmrBH@GKx2ebf;#pu?pB6mtd!7{ZWi;c^ zvUo8Zn)omy7@2;;b4;3sMz7Y9BKKuNy*^1#8D*p=D1Dg< z>`;ouzDDWsV)RK>@F=C9Pzs|vl#VO?Tcvt#nPJ!pBZl8`z99J%l|M}RzgGbmzgGEE zJ;ROC*e?XPMb|F6q-iiyK?@8S=U4cG$4WEh($a3mDGO6g$KgGVZVx^MBMXYf+dAu7JPL4`?EUxr}=EyF{> z@M@*xPg43^-||JiMM+bCreG61QgsPg7>N>gi8UOD(Op=Lido;7kkD@ zLvLSi|5l#&>o3puruXXp3!#C9zP_z&GlKk{cz#CrjUsv@u%3RmzTG@JpzXDzM|pqQ ztiI#8ecy`uo0h=|A&K3TKN8L-PWqH!9tt^g6im*;*cO!>PUNm}86+;|8L@Mmd?K zEJC@>Q@&U!J)+B$IMqt*sZru?U*aW7`5ZM;q{O4F#O@j;?(rpFs+0*1#nArKti()> z60h<-eVHg85O2(hI!?C|(=|%$7KR?bTq))g`f-Mpn5Zg&{a|2}xKb&Sm{dv~XIcq# z8tJ1I%2#-bvwVsFQ7icj`fjC6GmOfgiSst4Od4-cdZWs{MfCr!bX4gp)c+3&?rb8e zp#`4`C)zS2N@{$iQa%vzb-`)diQPbm9)7zAup*%IcEO1u%$48q71=C;CC4&E# z?%{WX_%S));FxuYwQdlc7BWeESb0K(FDgx%{5zb^T^xHX(OqGmO5?N=rA#G6d%rbm z|C+)#1SAaP$Vec->k+$#r=R)R0V}9XY5%eo75*CKiHSEUC4ZYw->me0+NA=F>$!UFg#upDyret52Jh(myPMdj8v| z-}C8refn*s$Z?BL-|yjs;Yp)Arf+7~e!>Z2m*mfox&25;%nhYrO_lQ@CnXI`Ehzn; zg?f$}u|jmj!33Mc!T&0ww)j@%DrZfWC;4fW!x$)csml3<$BL~N2$(ES3cparZxo_g zglHGS%PWN8jEU!j89}fS0vm?@w2H@LUf?e?2E-NNqtoi*msA6@1q4qw0^)}%0wxOx z{tSZF^rNib5?p_s^p|PkBVvYof+nHor6?^C9s zR^@N@^f=6P2@oX&Hup5?XkIlz&?Qrk z+MsXOSG57uVUON`)sW1r;#B+rpVkRhqAU#Vaf~$pK*g<%O)u5wII*Mo1y*H+Ha$(b^WnBoxA^F*;i>9nVG$7`O3TogV0 zP6?AYl+X7R(K$O$!SoBo3p_=1l1hs?0+|QJkBRn1QQWB7cf!9d`Xr>yGTr4>0^7y5 zu=pP~gkrB!iCx(e?rZ6d-Q~wpf{TSk8$?Mk#y=@1{9`D-f|4zjr;G2Uk!tvvLJ_Yq zm5l*wkKZ)3_ADr~Z(|qZ4rxxLuTxPrnVzGR&8MU_eWlR~c9qvskc)NX^(si0VUSQ) zOXclKqc4@$LC?kLp|drtME7ngXsNtl>FLjho=b(Er7AJ&520^1h3kDnBkwG;S8f+0 zKUq2SO*I8;PUUU(yD5EAvH+ici%@IT0yetXpgKo+CL(Ge-Q`&j)iI&{ROz!-{C2@_ z5&Z|1pHcb&rF(_HOX=k*hseI7^fIMi6aBfOXG5Y>DN*sy1ZUyMhS+bEngklGiMGBz zDe0ton)el792@Ia{>du-eHEi;1b3fMdWYcO75p>G&xrm_%CAv+r_vXz+}{b#vS6$7 z?0?+s;qO-ZVZm=wDjzX9_Wpgg)zwidKUEOBAkN0miuq^7Z&jgR3jR;Z z<4}mS*q!-Lr8pdzor}X!`Ja2b8DF05BIsB@y~md)V*4jwkIL_k$``43T=h{85gwiR zN2RPIX@}@%VtVQc^hAcxQ4jS}PW00;J^Uv;|DsY>ZP2q%=IJM5diV!=B2nZ4J^lCe zI%r+#e+&F*=NqLAY=(ZcD*rj(U;2YQXva>$r$vWNEmQs`rL6g|CAE7z;@k8&m75g& z4N4h(%f#=OO5sn$OgrKCCSNZbCa-}H{|RULQm>xkGgW*>V5)zu(k`+2m1jc$F7lCx z9IlXcL}Uv^_AJjvYKC+|zi3n=(L&+QE=Mx$8D zh--gDMrqLK8*<24`G~AHA_L6Ah_-E4ig`jVvQiZp>peyZXt+bJ75%RAJ>}bX+&xSo zHJGXCX~Ga4G(gd!H}u`JkRwErYj;m@uGp~3p5ka?`n`BPTPW5}Or@lR3~UWv>GMp% zz?rH^nbJv_`bi(*Q$h*KJzwSYE#9dyHI9Eu(&)pDyj0OH8uk^4*Y$xzYn`6DoS^LN z)^)-C<-QfPh7}wO5?Z}SoX8V@l8*R10V{bTTT((`QetIRyad(ciM~k*(@9V9cmjWV zafSHmA?dI-LUqGm{?jV{9|Av79l`e|4TJg&?pBT-fj(AXMjbQC4-GxAOvl15_vl?J z&EWp5QU+_1pV<&_Y_T%1ZxW6Hob(LO-bMX}TBg6k8M4(+h=>hT=2%iDYi--=%ZQcc z+%KLuKOTDGtdrL1J<`ZTcwE%pQGSY`c7apjL*n}t5z_mQDE*>R_8pSesR?vhS^lc& zd|Zp=F|=1fQ_}yYIePSl&C74t(yf)kR=++hVdF4kh4oIQZ&dy|rOZdoYTesamU-%Y z<(YojJepFTdG4J`nXlfelxdP_`6tRVe|=Ob^VPp9Wm;vMk7@L4O5dwgjh?hdhxa$s zXodxA^P7DelJ-5;F}M8xow(>P-zX0MAgaGsN(=QFshVADBIMd4LcIMwNV|rAeu8ZiZ}3Fqi*C=>}hrJdD|r{iaf;2`XedeWSIozb3r|ESVuiH?|tp3ne&|F*xDR_&3%n?%s=86*w*7Y13= zV_wAcFhPCb(>_ue{x@Tif4*A6p5UvLew~^chDZDnN@eo91pczXSE^wj0^L42hBmXB zx>E=Q{321Art~iis&&3iO~BsxaEguD_B}N?y~J}MtR&h>T6a&wsj_pUfkvdow%kWW zi=~lbkvv=@pu;k;RGy{`!=tTN=l9J0`WJ)LOPGMfW(dEI^Mxriq&$+x#b~frccn<# ze$ikK&|pvJD^J-zrAg~Vb(uV48k&C>&0FBxpii;%Zr#eQN@$a$@d9}(B1{IZDhE*BJ;1rusAeg zT6L&e3;A7YDf?$=?A^v_NH33OrEEmEiRc~TVNGeB+*55BPxS@?!#7h|`|cWs!A=GS zLEpDqlV*&HLlOAn*^^c)+mXK!DJjb>Cabq8eWmid1ZT2gr3N1NO^W*_WsO4mdyjue zsm2!5h;H~RG6{WH;GZjH;dhqUvATP?1Y$#_VHsMvpOVt>Pj%rjz^f|*tygae1aA3X zc`nS!G%9IW2KueO^rHk}{lvIn5tMWf^r5!OdbX$QBsaSkUgInHrRVr@r5}e)Y1oQn zm!)bM9f82i%6Jdz=y}AuxBC%}ckzoN-67IfC`E*f0E%L^*6NOad)62~5s;{OnuutA zp!7LPPm_q4IJc^)Usakkj4bnCSX3teW0CC^cwFc&gYM~_a}v(KLHM_*)mQo^iB~uS z4zFQVg;QW*%%DiRrt}Fg99Z}w(5XHudY@|hl4?T?m_t$;3bkI%r-@cPj;4s^yG1}m z%jozmu!aF@e7#3AAXwmiOZ->>YIOFdu}k6c>Kv2M9$^>~UlF;VC#S4k3M1b~^{VMs z;XWu@oW>NaN|k6N+obo4DeDND^kWFy28N`;qwE_=fg3)CT@gCSV+Wo1h$VG_&`kU| zaoV4BNl^$40$?1WLpJ1BdWxfSmxfZJWfLH2tOX?`A%^m(8JAd0^Q3fG9o_2PAk*f6`? zS7~%_G}9H{rqUk}*>6O~cqetEZz$r{iGN{7jLCq-ASugBQWk}zEE@4D9{ds8GO1MQ5vKrS@oNQ$K7W?yoVA(y2{N(LmGNJ*izEF+=3fYiCzki99A zk^#BIko_r=I@#x;q-_Hg`cfn%19GXA97vIr-0n*rVaUN0Ny&iRgH{w z37lxL>A9ePTi{1};n#8mEb-RJikMbU5)pF~fq&I-Mj#AlM4EI>*PeB|zb@ptVrXMp zl%z}#q)Y^)xO`HaJ1K6Q6t_;=?^9efd7LpRZkH4XONuKc#c7h_L`iKqB|ll!0rWx# z=!gbYH5%cAhDLX!#kr6k8U3QDF4?a?tvao5io2^?*Ez$%?zw zxO+q}B|=suq%1sWv@I7J^eNJcr7C#^CsA;LSo~D{lR#e?8H^_19vpzkIj)|0~@OROB!a%YhL6(?YKo zbAm@!>8T&jzf|b^#r)+;3ClG%RqKF(jt+1Y3RtVrSUG4^rCm?{DZ2BTxwFJC_y5Eg zHhSM`Qa_v;cLu`BVGtpSTORqpn&;)lC^yyKhxYxUe{;0uXDjjDo=^97&a3a0;t zJ$Fth2#MmGJ-aq2<*x-XeL?7-Dg{eQvq`CjbhS^{_;jsL*ZFk4QpGk^&7lHn0^l>=W$G^pY@_Yy(E_Rt-m zi&Is6=@opR?D(eto<6rS@P#C4=*LKP!(ZWW>GAJ{U$1r(`LaX0L#ZOND*i|d{!elI z)Bkv%dvxFrNur}L{4e%+21dXXeX1*3N=12IC~7+3NC*FelMQp3;8KwPqC18tW5%TDOG|h5^BSIb%kft7vZ$!x6fHWC%bR$Cc1*8dxV;d2& zKOjv6h4A^HBsUZgPczx+Lqg#rLP>5U;GXVq;}Pz}fcrCFP6gcug_7JO0`AX!xd?Ys zz&!&T&!GJGd6L}nfcguE()pVej}%NwSP@Wv=}a>8m$f0z$CZJ9asEZv+r)mP~jDUK!L+LzCK%E&- zmw+m!TaLNM1k9y|N%!A#s{`ga4pZ6W&aDlY%dDdGQ$s>iizN5tfP0?d;#GIlP8vnkbI zE(hidx_coQ4sh`;AW(uA0`f%;IT9lq0`jjNawtYN2IPw!ayUjd1>{Q{axg|V2joi~ zav(;w1mw#cvM)xq2IR{fvOh+)1>}_u*&8F<1M(FPS&ESz0r|>MlJ6gR33UeKt3t^L z*%gql2B{+e@7ITje8KL3dyT{GiEupu_gaVB9pM%R-0K`}Cc-TWxT_p)I>H?ma92Cr zM1)%$aMw88ScF>=aMwEAc!WDV;5LD4u(!i}_XYy$I*-!hNRK);psoj19(;@{{jw_EEAq|CzeRa=X+NyIqPM6K4XjZ9N#JcN{z0X?m9oq~ z)<-L_xy*`<^be<77z9~A5twE2rwlz5p>13I^8&XC%}&QwrPnF_i0UUkR%{yYEwC-V zRbb@Fh6oZNkk_I+t&)}al!(5g7Jmk0!yw|ilUoJPwCE1qzd`7)8hRQ13{fiL*(Wd? zn--;Nw^{qOp)`^C-wMrnes=H_6M+s2r}R0h`zd1neM1jbxBsm|f7>^WCwfk!1B0nu z*^>QX4_lJC+b*R4xZ2&bsU^gn6fPpyI5o_*n7J4-C4ErPVP>C)V;OH+p~jh(tQ zlyoU}=~C#{CBHzIT#qhE-UnB0N$YQXpPm;CX%*I83S*=U+8eN*!>e9GQ zmxl9oDPEvUVZAQ-4Z7qWuZt?N{}*wb}8rpV3ObCJaCJO40`t``Ud?giZs{C zF^BRPU&2&jYjpcKkN?WM%4ooV;!xBBKgUkpDo%wA7(I7%7%?x-%=pYP>V_VL96hQJ zmM#yhTl6n-vXG+wD`JIjoBn5n(E5iY%a>XaF)2<=OgI8f&c$65(=G#Ma*xlbv#m4M z5&eho+pHv@J-g{g4AQQ(f2=NiQQy2u8$P|qXXxLakfDFOCL^+`sfcWBm(N(!#=N{? zJw4+yaGMMn$R_v1WRp>5DrBsT9yVA+QNU-=Ry> zow_vMrAx!zx)krxrLa?%y!1917@?{tvuh^G%>eBE&U5fA5rSJh=@*mVC_aR+W eDGPj7iiO)2-%ZqC6Ew6l)X{%^)^Hlw7=8L4t?~t$?U4Eg z>v!*bcYV~}Yp?a6wbx#2Kh8N-jSRVJ){-UjX3wdcK4-za*$oT7dFSjU4U6g~H7vTl zVbQE5^BNY`T{dsY;w6jb%vvzyx;cv$Lo%T*rpxEeT7YZnzA*0m^KP2A1t^^YIyS`JBb`<}R#TIBUV233byhoigT%>Dj9$P5FBLxbsI%%FbJG`)#8~ES&w# zA(t+ieapNhb7n8Oa}j_GZoOdcs8K_v&RVo&LR|tsZ|v8u`O4JMP+UH12~bnu*rj!M z&aE3gu5Qfe31i1i7(2FZ%&0M=hfHf|Ts)!ff(u3unbdF_xG`hL4dD*e&ZPJ9gQFo6Tf7yjYL}c@`C=9(g zB&7YQF8%iLpRYM8O}S;)&QIV2`fc_i}xsNEa`vNh_oY7z01Lvi;@yCK>hb z1{2%aWbI4CiD{J8&He0D#QeE4!mz4B+xJibe~KanaPT)Gxb1}OwAHMEiO7!`4lSZH z7m+DpVtENGGWCmYhei`KoJAS=8962`S;u$J z{Y$FK?U=C<)7AuSb4w*mH-te3PW53bd&_@Y2}7A|%=T>2g=Uf0{=N#v2~Jqk+tT=$ z-sg?`QWcEIL@Ca7nx^>ftlw0@SizHavDNV$@sA%?1tWoy-g@bB+ugOd5>qKY7*}tL zbiVGoGft~!M>0}u6hCJN#|~G+(2gUv)?WKLl`xnem^N`%&-q9t407Q}CRePrEX4V$ z8I>@UF{h4`741HSSP#r8Wpau1m|7amdLJ4#(xruo9dtU%5_i|zRGg63hP;KHvgd+6 zEFJge1r(LfABY^;ErFxJ1xx-0rBvt(*Gr#nfYO_PJ256{WJ+XR+s zC6BhPr=6y4e^&)VaS{IbvSGy3K`@)1R)VSReRdFxC(VM`T=hp{D$O5=du3JTmyE0FT+_a9uBtD8Q%nOZN05iVv z-YZ15_EH9n%{oP%602vu9kh$=I7)e9rv$>xkl;TpX#*x7VXR2aEiWNYl-=$&nY^d$ z#BLMkh?jM`+%@sOp_7YKjK2)S560e0QOXXMO+sFa>J-nr<8zdxFfqWyKae9fI$vD{ z(;)}7w5a{s_s%@A3Wj3rzTP(5-9Mo!<~8vi5O2IE56&;cn7mO2>hl2ZUQqNr56R#87i^UG6$cIZYHW1z8+5&7tzK`{n4b^D+gX5892Xneu|?fpdB4hB&o@Cf%m zPa`i?_Ge>s7w&&PW47;#cF>cn3SA-(HPTLHjDp4%`PL7N#mX297;cZ}OhHk_j)vx4 ztv9Y3S{*};2dBP!+2EMITFHL$;lVMTwsybs05K*2WCjq97We9xD6Tv%YP^FI9C!NQ z7})Wi|HI%IYLn+3HYyOFfxO4IQBmC=9Hs7KgYB7--9h>?^Cv)3*P_G0;uEZrV2Z(1 z_6Mm_K62iEjCLwxvQ%l2Ykp3qGRCJ0v+ShTSy#qTLmFgUTos_A{Nw}U zHhea7tor~QBQkz#zBKTbi)P8hfn3f$d;-PdvJ z5MO@wf%|HYyi*Nhnf=F-!!kJ#zJFlvn7BVo;z(et#X9Di{lzASd#>51Kz(WZJa=r|tC17oN~; zdHsi%mtp$l3k}>OegBR!44WltKzuUu%ym`Ar4Z-eEWjqz&!5}>WUPp;feK?R>JhPY2*?DEqMRWJ~15k>8LpJZ9V4t%a92C=#P zCsi<*Kbj99SYPj~se+-H1Nh3Tt^2{f;a=}hc* z740;~2$8Q<+vi((Sh$MF-c2kk%pdq;_AG2T7abs`g3lBa2D+`Y{r(L z;|FXE(ig@M-XB)$3jmDo)N1o5?hEyw_IyBJQ2&>&(^mG0^D8FPHt}&!Gt(XOC(KU? zW7=k1?&4Zri1;9$=KNw+A_X=$-t6-gVrZ^0bIg0L+VhvegcIdhwR`oFfB!}IKmFp2 zmEDi9zQXzQJ+rjTxGG@6YYtt>y298YH3uc?6F*fQgLP`+zxK=3G1P#pYp!Kgxi4x! znVr|M8Wi(k=9r%P@x+dsC0l_WIfue9KDtaFW>n<32NR4~Wtg}*<=Z7pEQ@P9Vx~S@ z9TQ`#tQ{TeSwCjx(*I3-FfCGa&8ut-wA0l}xhHs?>Ar2oK5&1YKNpZ5Uv@d-K2_yC z(n6XEMD0Q#=d5F6U|$^yV!Y}G#@l4h%7K_Gx}88v*4qW(@-u<2U}r_+Y~-e;`zfWp zvZCiO(ir{C|F15@-o(tK_Sm5Lv5`SP8BmKhS5J zVth^JYmsgLM7|u;E%P}GO~ypNxw;a@`PM_4Xw@Gw+ss< z>C&;no*Nlx1Q~ZTE%P#HrhNVCZ9W?60rg8^ww4-4N{uDqJ9a*U62pc!&ZA;*^ylPY0w z{)OG(`=(AAQC|sz0VyjSlrPd*Wgpw8MRL5{78zexR5L{b}FsD{8DA9FqGi|9>qr+Nmy*fz7f+I zse1_>3sL7)CTVT>4OyNkrT9>?u{2rS;hGYjUk9)PN@S^)xZ(adnLo+cjc$*MArk!d zf0D0oeknT!d0#R6VR(M&Sc)27^&*xbU7cZFlV_YY*@z0}yf6*##nr4C!vp;=jY`FB z_j7$Q#>R|Fkt*?*TuL53#|IHwO0Y1!5@z&B@+U|j`%f!LyF>w_LqTu{!x!Z}6ag+i z^eSqKoNM0Yn)oCE;TUuLJxN3lnR76VPc9a+-coAJyp#OEu|Jhj3FwsBF{aRO?9L1W zF~IYZeYJm1BbN>4=|9JIIDZ^-F$*g-u5rop1?Nv`XjW>S{2Mf7@u5I$SdB?lS?|rB ziXpNpSv%q;LNZ>CrRjXabh3<3!Su=&$qQR%5ccmVA?5&?gq9|p$fX}=gP63JDFE2( zma!ea?^R^8EJ&6q>Cp|zxc1j-N=+e%U^IAmG{mI9_)J7{dHlN!ik{xel9>KvrJD5@ zurcucGfzUCl?HjvM-2u=|FJ(8?(>S;O{sm1?U+0jb9mqT3GykQBb8PWgX+7dk|!p; z|8&REp;9h+n5Dsl8DbOZeIzyC{^AA72KLoXaYSdeks+o(St&btvqz=!6+^9D%*keR zA5KjF{YPt^V19o+S>tmeBa?MXYvk>xC?N)>(o}-T&%Z)NA7fRHvGFUC5~lsR7{k26aD2UkFN6t?$*b{KQjxjAE z1L(|-7!$?EiZQ^Gy2N@W*;mUG)*50^K2Ul-?Z5w+OFV=7sPW&7i-Ak1VAfYCdbqT& zCVDc*RH=DJl8dtaCxyAooL}fG-b^&FhaWAg$dKF<%{v*~|EOsGSQO)#D4$?npV&rO z4R(nB^T$rDomW2+V!~0956LQptMB|}lyU6b!lKxUuX^c|Az!A~lOcv@HmyN11 z<}Vs@A6a73YtYl8C@0zOj5}DDV{Gx1q5|Zp7tCu5(-$1TB*;-Noex+NWXUzalF1i= zoMQ<_mS-f;km5Hm!Q3B%iTWZJk)Malnhjhz)g0~Ys~rTOmhE&-=u1(myw}AC=Z_uwZYh)Mb=D= zwj?VQykB}}g%N;Oad2)rozy&RKAL# zDBwG|G@Y25Kam_iNX@1850P1Gsi8m7`K2)=ZhKo43XrYjPO~S(^CuLCTcZjafeHrn zh52WeS~zShe7rxb^i0j=*cRDAhMu^GCd2rk8Isq9sind{+c_+9R`SlFAiW8O`BK7H zF~xl%hpwT*jEhW2coAGw+zK(BYqf~UJ25ss5g}h5){Bv}Dk-*84vd*8U^PBIw3Y&c ztRC9u{)c&9MttJ^M?(?7eLJ=?8`FJxQJFj zo5&b5L<5YKrrv;jkvQ5%r;tlwG}{a_XHYnjv!A6T?2DYqsbM+{%hB#io|mH-S_S|c zA*0cp`2=OOW0OJT`xEUfpH7+a2j0i4+2?XF6yQvr_99tgxc`Kfe zX(?g$JRjLH@rh%I(KyXXUtQ6elFC!a<`al~?W?gJzQHO{Pm(*#jbe-`d;U_T^XtvV z7!&QQXexZV@qSFBCap-`ZzTR2Ojv}Nx=*v_z7h1!*=D!M?xN@nT>E7vTs^q-Gv;!$ z|Lom1$>~;49+PzniFBGI7n%v?j}Pr27c>35dQ!Y&m}wqT)*2!Z$``XS#(#9>14?(< z#qhBlu$Y#$MVL-Gv}K#`Ufg#~w1NX>Hif{|izAGzb@$#Ucb&WR%8sK79i(Xgo(SVC zmiGL3wR!K}2%(_BJOrJ;g=Gzz1xMp|=VSe1>ghuiwYN91@{Vj#V zY#f`1bly=nuMvyEF_%X8C&IngUL{9&?0ZG2kvHH=CIx>~6h`1?zCv&fLb!ks==Rn*%`}TD9S>UxlpJhI>kJr8K1jv*xFjq+j6#x@#~cl0D0@d znTq%zLHka7ZL-sydG;mnuGGgV^KhDl&tEO(sw79oI0KsacPwj)>(yZ;D`xD^=)PJfgY`mj zG`jCTPPV3qKQGeV`4(BGS2&DIo=!!yCcK|7;`X*JSYgXD@u*R`6z0@_r)Ve?Z*7td zoqcw2HwAHDhX2MeRwwjf{=8P)c%-K*y6cGip)&a4>8xt-DeTjB6W-pMO@hLNitm$U zE;(}8-L()iF!=;=rCAxti$@R;KQX%^T+X0v2pV_p~Uz}&7PFqsqv zldby>gFyy&*0wJlztomrQ9x7CeT9}!e~%L5v%XRGN;ll$JWcy^%V$DNt2+8?yb#1+ zTFUW>fZ4vb&p>s4IC-cp0noUpA!$k)hSQpoz^FGXMQtxrpn zqM}8R`f*<%TZ1Q*1D7#0O^zJLbdeuu&CkhhBLJ`sOFO#W3G~Rq2&t*!qZ7E1RjUu8` z&m_bBxlk*Pr(ivtrYZuU`poCZ(+*=hGQeS4RNz8Sz<`QBV_Ac+99N~29=(7t zJ;FThL!J9Wj5NNbWurXX6)5DwLjaqoK;T7wWKIY3e4!y}>Ds8JO@WI~Oqkqq7ux8{ zoP(CUazwHenBQ)gi2=;PA5dW8d`RAPvi7RHrv%{xDHdSYo=655tU-}w7XI!KGuWn3 zGz(yQFDFAgyL@R<-NC`5CRM>s)D{`YRmf1c1p-;ycyP=3|vn8FE6xVs{#n1DuXsa~*k;38l1lmW^*phd( z+bsKPC1>tX!X*)i!m+6ieN_tEsL}1>J`>LQ2hnUd%O;ygU+|f2V(__t z61kzTVSMY+V zTEQa|(2yFA)7;i{)q^V(bsp=DeWR3bzlcK67j94BqWEp#>>gRJ!hQ;fz_h)PAwDQJ z>%)#52B(gW%;pQ0eGo#1=G2d)z}PX=cIj-84qtK7Xmrzo&dvO(4fi_UwJK{Vrbkv| z(rEn7YB7Cm+*vDfb{#mW0mk1-uB%gf$dZ*`kcGdVQ_%Rkn;WUAL0s|D5PQ)jxZiLJ z8F^2kz|$(@{B8{~!?CGp>~-R2aF^7vUCZRAZepCBS#iI5D={@l`7V)qB^d?B&_TUY z797gsZ_?$H(V2o%xDzo8GPojR*4JTTWLM7i&Wq0i*?CCRx?BbxE3ZQ@Xkl_h?ZiR} ze*WXwc2k7QmLtdK`NOncRZ_*{=(F@NxR zD~BziaGtB-T6;T?6X_b}oO|t5V$hd;6bIKb1lxj5PTSTDhA2T6zhO9o81%*E7N|e% zAZG`%6}JPp$H#IM@1}YRhrvD17r&LWGxurc|8a?ZnFy{q6J z{1(FWg!GB`{pf5GneKVW=|nte;ECA3Lfy%WTU+7tzfC6#J8l8BLJ%#~PKVuqO1OzC zS@jL_>naTQId?N23dkA4yo)O5lbTM>#H27r^QJIw|D+kBBwd@-D%5ze-%| zX8e9^FJuUhb^OP`0E0Lb_424MDdz0CIrPpLNoMn@tZG;U**~1JCDtA4xG(s*+CnH=IG!yJ#++HA;y9~kK1TEpEiTWG6T(!ZRWf% z7}w8Rg-p9(U%jWe)#5hhW&FUEYbO$GFxXEuUU1^R?tR=LD~`<11j{hU|_-WW_yF1)yeX(k(z#UXiS2$uYK zGKDpeq=+qIR5;A#;k^XRRwG+RwNY2@PlQ*jZTb5>tby@fuFr6wDL-QNHmsEpvvqvq zIT!%{6SP0o&mVp9rWbpjJ^2m(KW2}FV>kahT4D#7w8@iJ8aw#^(6;$@N-=ukFA_WB b%^pr%Ii35;3(^13M$NarJ!HsbW{>$lb_ljh diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.ncd b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.ncd deleted file mode 100644 index fa3d1271d2b8a91aaa5c3455186366cb54bf9687..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 156017 zcmeFa37lO;l|TNP>`Ny=fRKbGtl3!lz1{230T3_E_sqW|$X(6-0 z1!-`)5e3O1-H3uTINgYXG&tRef;2eYh=MdY-H3uTIL-8eG*~;xH!YLr)q+iDP%RZp z_i(jTY+Q;t)l#vsX{=N%EjiUvu{3+Dr6-h;zPg#hP$NB&=5CGjM4G!b(i3U!)<}cX z+^vz)(%h|)2B(=*BMnY7r$!o_mWCQ>a8Z4w!CGJ6;7mEMl?JC9Q7a8jH=3C`Dn3k^2l{52M>7~`XiI?_|Zbk9+S;>VFrK6=+N=FOFN=FOtN=K_Yl&qTYGfu5m zO&F)`F3C4FT5;-t?P|Tov~JkEDU&a1$95AhY~4+~@O3xw!r0x!3uku|uLh=c{e`!w z^a1y@`X#xic5!r9b5o|!sevpVty0s4#91P%CUbPjXqB3h(JD11qg866=%C%&EhXEn z2DW6?)Y6uY7MUy^t*RJREf7gH!lhI1o~de#bP%n|RWe$2t7NpWD`nC5?dWdnX<6Fc zv$$z#&w}=jHhN$Cz3z3d>sf#4+MY|-UC`Ha{<`&RWptR-xpD0!y_?qcT`;|6L*JTp zo7QdUBl*~t&c%z{x?0+sI)rY_()J}{3U!cNdRluS#>>z#p7mUXp=b5XMjd^|TDrU> z3<%utX{FjrRmaIY=jsgN;b-;C`g8`;O+LOZ9WUbL<7?CL z(vv>ECLJ$b>Eo-@@zR$*zL1WW&h+t)0SW81{hq}Qsq{Jw`SfZ2=r}ZHrtm7P81=HHU5CAKNqo8yIzt%W zh2*L&X*TG{7Z4QXpyHb3kk(k8L5xe{)8eLc2_Ns6m58foTfoe;FzNW`(-*}HOMQG= z)^yV0(nG(cooFEUQObKWkI~_R>m(sYiZkDVaz`5OOjFrD@-vg+pXA$*rQ8O3;&8U z5#|-6g>l7bVOlX-7*>oHZWW`2NyTX4jiD2cWLH~LBdFbdOp0^Sp(F!eLImnc*a96xGV&?Ggu1AjN#{kjFu_KqFu_JvU|e_mLYWmV zUD3r^C=BVIso52>T)Ik1z@00E7b(xOX!d;b4R*2vZTJAsm8mD8gX~ zha*f!I0E5Fgrg9SMmPpxCc-R)*$8tGjzc&e;RFOSq6#68P(a{jQ4K;ZLLEXqLIXl0 z!d!%T2&W*NiZCCc385LG1)&w84PgPoLWI*0+7V7iScK4luoz(p!cv4~2we!>2+I*x zAe@1)65&jQvk+c|unOU9gw+V=AoL)-8le~AT!ixw)*!4!I3M8xgx4UjgIYta4Etjgv|*5gm4+c>kwX#@CJm-5#EUKCWI>xu0+^^a23Lv5w1pf3&J%B z*CJep@K%JkA^bDK+Y#P@a6Q615pFG+YgpVNHhVW5@+Yvs7@NtAsAl!lQNrXEQK80`>!lw~FgYa2|yAeKz@OgwU zAbb(wO9=NMd>P>@2wz3`8p78R?nU?p!hHzeMEDlMw-N3~_zuDY2;W8c9>RkN42tP;o1;Q^8 zo<#T+!cz#pM%a$91L0|e-yr-a!v8_|Ey6Ph&m#N|;r9sth4380PJ~?u&m;T+;r}B1 zH^LtgUO@OCgg+ttFT$S@{(|r#!b=GKs@@e9_`eUrFofXU*!Vw5ZA{>Qq zG{P|m$0E!?n29h8VK%}XgyRs7M>qlDM1(4YJVF7X8leWE7NHKI9-#rD5n(RENeCw+ z%tJT@;Z%hA2u%ph2rUS$2yF-p5EdewhR}|1I>I7^4ur)BOAtB{mLe=e=tAg5SdOp) z;S7Y82xlUkh43nbRS0JztVTEop$FmB2)zjBBAkb?24OA2`3M&vye0?xx}dwKw!Xfn zXB}FjD$D-?9xV*;6CHi;nl(K&J$bMwi1e9(MVWZ8Ex=ERGK(uPE~!{P&Mb(Cb4yh% z&dyg2y%|&;+vWvMEq$*iX%(ql_aV7R!m#?a=?Wyirzj+fR5r1Pott+^=-Zii7iEA>k zskM9axfiY5v}x^{p6Z5}S0gW~%FIlP=wW85Hn-%1%<5xp8HY+%*Yp&MavZ&`I-}B+ zEf=k6>+3yt{o0=D`dEI)^cAWb>d&si85MhAT5~XEx#7(#7O-1k+VBtyR1x?MbSY!8e(Q2h}gb{#cd1Qmh`muG}L6&{or-^hU$VgwTA`d8?^&i z_7*+UmNvZey_ObqO?}HkSNEilp;WJaN5uteL`jY`JZj#!s(td`bD@$7PV z9l7BPo0h{%$t~G}Kk|&`5-+qX?uv=%IM23xoVT|%l-NQXiTYqU7xjr*4OVtv0+Ee% zk0!Lv*>cuqi&P1J#xSHrk9ao3e#}_#Bvsw73Ti89nSXELDM6 zpvgk7gT-;`9TuBfUrwxb9v_dJe$dk#Y1Cp?jDa(d!Pb#0yIOnJ#fC_6u@F-kGTo|) zeTAxqsuG526zoS+&$_sm9WrL%XmowC(5HGNYrq;!?SgWp_{4J~;$Or~o7HmR3>W271v7n6E{k6aek=*DDHh<6A`wo+~O|Q65>uxcYCoQO*B7V%yc0x#`Q$3 z8x9$dSz-&b%o4;Z%V~0%#LRcdSg;OA`3`W)>*8dPutH6(NLcYag)@@G6^j`*-bG;` zZ-}LX>OT;x)TV9`!+<|t@#5+Swk)poXxOV_(MgF!%;d2mv>YkoGR6qLr@AI4=Y*!Q z-ifmak+Ytx^A`T$GZ|F%&XkojuC8Zd{yAhUak1Z&T3$&-#wi0%#l7KK8%rk#NX*fS z`+Q1~^Fj}|Xlmv}L;UK7xGr>>tKA5$bHP}1Q+5YatD@Y;;Ghj>N{w-)vpk=;+xA`8 zP-DGTEFb}w;wl9Zu^|Z*NNNcMN~!q~iCq&ZiPj`CWOP(Za~GWHxcJdHZv-=27q^yX zp|Luni;DfrEFL-ui`(Onv5ZVGZ4xsU8?01kWm+kE?$G(#xKU$>roP2BD6V{#xhST} zQom8ny?qy^2Uv%UwY)gLto4avD%8J7I9&lLeb%bPnm|8J2SmySCcwq&7V|gL@f~+& zXBSsrU}*|#1#;WxF{(!IiB(aon@&FT|`>{O?Bzn z1rL>B$vzlRJbfMMW8;RSNvrBg(bs}LwZK98+EVm2q>tG|`kGSo)ufL(Nc!qh^aaw# z9ZLE_Df&F=V}_DGUy8np^f9wZUlr4j_56?cJW7wxmLg8+wh*T{?{khTp*g46?up|T zDshVEo;YTo5~mpMiQ~Re;uN<%aoq7roMN>nj(smBPVspZ25gFX#AG=x8%p;WDkqg8 zE`FpOkB$2xA&mIMzKSPHCSfj`dH8QyS=rW0op$N(()4tcOaR(nL=j>!K2;v~euI=S+B1$_lAJV=BFMmOZo`)aq?r3jm>#54gp~D68mg~w_ zwbVBWY8c4B+|{;lac67W+yx7!%m0>^6@}?Q=I0*Oxr9KK0L$`omrY+*g+B|syXLmG zcQ-Y|-P8f(ncshy`#H}K-F~oXU0w4XDw+VwsQ&b8a;hMOXu8{PW)>p z691;Rw&w|U=K)m-R5hKInLD?;YF^dr&hPE*J+3?NAf9I&<*KgD8_vQM+-FhV0N3{6 zY!I2VPmZ7nNJVa+Wk$Em(d~nC1j4L+7C6i>G*?k07PD3gk6KisqA&|A4YO!prLLAz77!(OI%8=eSGAs(!1REvx#u zimE~^tMB!)DYE(=|1Pq6h{|d~H7k(S25(pIcE;(Ix2vzLK^^UdbkvZL%87jy($FmG zXCf+UX_HwmUw?S{4b#=j*AFVbv52noA}JzzfqxefZB-GiE)vnYmVZ=pI?hY#*da-k z?@2PuXclI#YWUEw5o?cYAT+RuyazC&UwpXOzWZ8ECoizQQU!^n0O^gG!scc8&bsYvb3 z{#~T@0F~OhBB`zahc&SWcv+n^B&+i2Xojp-?am#j(ji|KRMN`?1-(=y%f!CR3#a7% zHvcZd>ZVuq#lrdzyA&|l3+q8c!Ybc37Yl1a?<2^zo%M;Vs?iOnSq+IFQRPKZR;@Ps zcM;Xs`gal4!_=-e6pQLV>@;ht7u6|4qAH)>7mF%xTIR8MKa&clSp|0qt*oM^mkSz` z)z`e2Mak@1|1Prn7XL1?dbrAJV=Sw+Ew$zXOpyfp;M1p3SZo^(-()n_Gsfcff$_L` zU;@1GeR1Q!{#SMR z7gYgOHE-rjqFU#)%$hZ)c~-E$z^#P^`MC?GFQ~$w5}OQj=W?rI-cjvK&PeY!V7c6O z902xop3&CT)6!P7?=axT0lMYT+&+tBi{KFHA-5*2orUPb{?WzS-C;oqNiF{;MmB9o zkMhDO!rAEGDV(|eRXFphMF2>r8PX}B;x)w;BAFv0qkpq>wn7pijV-gAXL$jwQkk4P z7n0rGwtOCf?tA?e!m0Ady{tVbozqP^56_g&BZj0?ZdfUnPG?rdU5!>#ao0ieF49@& z-$gpBoph$gc}S;C`Z3f&J}I3v_M;%9154+}2C$tivuP_^XI~MD=gj6gt$V<1ddVDM zpLQ_z@+gzYBQquP=pl&=Br+c912-TMLBTEaA}Atxn17ei{7@&7so}h_rNIy99Lkw1 zRbg-j^MeD6WGk(ujpq5keE{F1k~q^OaYm*j&KisfA%t2oMW;$ zJ5v^q8C{$-usVj<$06Ks!X{o49R6+PD3X%UnN4uC5^Pz^qc*~ak>#j zD;(R?xBGVy=HvXklvC-1IprTTv^0b>`C;NC(Dn}>)J*=4-3v3lfhLG9`~XhruV|UW zw@AL5Alom0=2Q*~TVs-3ohiw+Ly}xVk_&o`a+V}(j9*U;4YOXFTqMc3T``etk>un3 zyGZgZC&{TbsX|MAEXg=XEVKT>N%H*zNHXL!klSX+Gi-C~>?Yb~7-&Jjbc>b7Dl1M$ zx6X5GRy?rn&uI_1UX`}n1FQtd0pz)h^V0{jBv5ZMUgu;SgIA+~vas4PB;z9Eql-;) za-6l;BAY^lRAQpNt<8&qPNV22=$i-BaC&!D zo&5xE5AGApHwis8Q$m}DBvd4HgqYw^LJRu4OWDqZ`!NAn+QfMfsh#iNMM4ko?=+_s zW1YmN++s+qcP5ylN-Q@JMh;>N;S;-;Sh^0$4s0O1kX6WS(_SU6Ehetbnc~_yB(5dA zVqCBrpCt%=-};g(W(~4eTjUkv?)yZrMUY?T-^DMuUIiKVp`{ipg1s=BUV6p46E+w@ z{?Y(~+%)Hk!1&Ibi3uJid;SQ)U$I@Ac7I`d%dl**z{I*OQ>+&biFGL}?0$JCW7(ow z1X1mCBCNE+xIjO77va6cze}2#PIyxXVX-*jSK4%)jZ->W;lUh&xqbJ-ixV&^t+Dga zG`kh66cB584|~VLM7zoAX_>Nm`jD&!CODjAi2=@Wi41VBoni=Cy_pkpg;L7 zU(SjQU+!c$br=Dw#oqT-&zCA?nHFq!GOXk%y9<~J2QoI>5y;+1~_zc^_L2>XKe1L z+tM?(ea`Y?D!xLCe;2WB_U~ffCp$4t?b21Z6uj?1!B@wZ^S8mQIs9<In_ul z$HA>Omju5rRzlF-v2ZL^aEA^)1MPBZW5aeig3XPhL*jphrB0jZ!S8T{wt9sZ)oSZYfQxZ9$}oDeI}jT>oHM2CsUMPJtWE{M7g@8DA$SbWs7oy*xX`K z-rz-7C{FP2lG}18%BiJfh_XL7%w;$gWqwgP7@y(`CA?(&o)W8XaFX3QAgmtK3Y&q( zI>*aD1fOA(%diV_uE}(7rc9qVB-15ix=?ayS$|AETc&H1<3RDeuG7n~$n>H9U1VCX zvg^UGNJcAlHL-ct4U)n8$pfs^#ojR;W^;x0Xq#L*TEYu1J?TsCAuZ3g-dYprHJJi^ z{*XYIaF7ehazyd@w#E`-dyN-U5!-+E?;^I-oheT3h~Qf|?^WoAG(X|cCFX&5M83a! zQ;cxSgHgn{-qj23&)waHx#=>@h^yabCxpX1*}qL=u0834vR2~U0Pna}$bHvQ_3s~Cgd zxc>C+MO>T>IeQ#<8Q2Nr>H5EYTQ9WGdukfE-o*IAOtXB^kQfJMIbL^@59qSi-D*Sv z)zOIDiHg*0uVOzhwIane^3$Ows_f#oZX>6nA#{}KB-2?Q{C>4wT3)o`2Im;_Jf4{k ztTRLwt8lVSJ>4wqbhk}6;A&X7)VrZrsx*`&yNJh0@ejtD zoTT>G>Owi8>&f*2olXtrE4Wse zHC`{|azdg93nJ4~otU0je!k@8h4NFezke6`S?LT*YS*dO|GWXeNbn~S2lv_J0B)Z< zddO9M@BZ<;i@1P$%Kt0A`*YCC`!CDwyVSL-!{nvZZf8CJii|7|0L&)oz6dl4W z(GMQ{`mRx)9KT;dK5AmJd zD+PRp*xBTfGc41}nMiU8v-#jFO?wFrGh|~j>Ou~ z9&1DHT>ma(!dLyfXv0^WHl%h0apE&@m2_^*kE90sx%H2BuMRVDMZ3c_UpZ{zhg+)1E(oGcrTKfZT0I!O3f<@69tonv@6vh85V!B zeWn+FahNXl?~-Dyf0ts1Ips)gCgS9hoz~a8wz(xU_(OOP52PEos*~Tk#tWz1L`TBE zRVji+qgTjNy1}&Kon9+$^jh(*A*~3sBKC$7E1xly&@+75epErfa>@G6w$3f8ruW%b zd(js!YK(su)wtWgi)wsURih!bSBX8Sa8XsgsKIZu|6up3As-1O;|14_;6TZBGJmJK z@gCEScYED&99y`!a0Y>)$2C zUH)AP{*=>=)c$OBcnLl=gL7@YIbkptfBb&;y1}I;`I=l;Q~gm_-Kk9!1i82$YuH08 zt-BdU$~?Ka$+YMFUVCo#+Vg=S?I|_62)_`c^Au^1UY@7g6HhK);{{y?i39z+D8d)~ zyC}lvog$?6o$(>CKW=CXPMli|Zr}MocdrP^f)jV(f(0iTDzHLZhNam5cPj8fQ-Oc+ zDsYQefe#I-K%fGltxx|zZ>#~qE=8;XZ}k!`8j!vTb%Ku<4fvYVfYjbI?t%`G>uf-{z(KBSTVNLdqKxFDc^; zc_|^U2re`gA)oApTJpQXzl)Gx?SwqFmyEf9H}m$RKZD;(9$>c(60P2UbmOTUv5?90 z9-b_}+?!Ef)+*`kCf^_R^8Ily-ya*2Z;|he!$tnn%d9D~><{>9KxqH<=E~xa+0tLg zpY3H`WdD=?U1a}OC;O?LX0D$W3;*Chsd#Jv9|yuOKX)aUo5&_}N)0d>@QX;uY!9v* zr9Xt*liO-{m?nI}Yr>sg6Fxbl34tbrtD(C&OjMg%MhexS!7T@+j}z5;1c^ z!ASouYH+$!gVfeC?ltk7YBmJ$d>yB~18=Gg9r!6EnXF!2A*)4$6! z<5ONUKI1jx(?gmenvrn|&;8gjjbQQV)bOVc)7uEOB~Rhiy6b?_YO~O*g{aMG{$122 zIH)aZ^F^mNMSIb8etN)TsXWa;_%A*NIE9CmBDwI*&k=Y=Np2KMR*Ck|+E7`&rn^le zKI=8&^Iju9H>454SP{){^T~)%e6LWxkUFm&4HqTk{tPeYBKMd1cai&l@$Vw{cR0CE z?K@FMa-(?%v{>4>%j`!Q4XcMQDie~;d8E+Uy<*3`gf7< zE1f(SZ4}pq@~mtAoCFN+6N3RhI^~%nxyiyC^1tp*00?o(gA#jGoWE@1d{3r0e`QFV zMVzy~{0b~~ydv4*MUkRek-A8zVv34pV``%o-+uY|DRb20ZanNZn9Znv-My(b#~k7* zXZwTQD2-AyU9!aT#%6f1-~R`zk!5u@zGkBPRqt%v>z$3S4~cFm_gnAHqE*dszw^5} z#ZxECH(7?dUW{<@`JS#=7jkR-yO`+@`FAn(A9T8r+Ni~40l@(u9)6=IqT5r0IT8Kz z?v+Ds0_Uk{uFwPr^APb&Ie=+*yU&Mw?V`d}uu-!t+5t{4A@y!byjB>sW;hwJ>oJRm)>t&=!A z^cf~9wbPqx@{%p1!YcnRl0VwNi{y`SlAqe?ErbVp>|=q!|3JmJ2NHZ)w~4-`$1eie z4{-1K8AMqmeyd6R_r1jbz)SqYLlR$V0#O@?Z+e}lV81e0>?VcZ$fQ-J(M=%ICq3Wf zKbN|vgnnPHlq%`s)Bk|MN{gEQC{LjBc}UzDe0U3 zT{PnBP9stq!L_k##Kk84WQMcqfnB2k?yZ)Yw4XEZOXIzHRsT^F{U15ek5~17JS6%e z`o*jI1>Kv<7U0PH3Fp!c!5UNK_*abc!Yjgklz$iDeuER{)b=dg9lxv}uAL6<{PF-l zAcqA~c=Y_G!*Fc~9{%5($Mf$d=8t&`|Ae>jj}M7?;NyqJIPY()&Y0rrse}?1UVreD z3KC0ta4uOS{RAH`lKyS~E@u2&PV!S5w1t*xFZpzAcsN1#3#d)gp8U+~$uGU0{9;H?N(~SGr<00@hk_k%D4r1O?V&nwM59A)qnCBjg(LmD=)xTT zF1m21>O#IMwW*8~|9;-9Tgtp-n*+pPhKB*pt#P|7Q3Y%asFVN7=)xek#|E|b`70Cr zC%xeR+6(?uLxNvY@RQa3V!_W>r?$nyDL}o5ZIN4)JKqa71wXgKzl-31#=lDrpYCd1 zRliy;>#bPY-kDq4Rc!*F%iXZFskOCzuKb}p!xHm|#*bLI5brsYkGSDYz%bxvR2 z)ow4#nI(!@KJTdR_JxdTUvkD=C79m6q_wSslDyYL996Iz+uo*M={ zp&hwVt}LY)sag3KWUnuyEq8q#yP*BdwpNtabe1C27tH0x9d!)yK4xkAlJ-`z^h7zE z6?TSB;LuI&1 z7+Q!K`u8ZIzfTzYUu9=#O$I|{yiXXKj~JSKjq&$1C_R@jbZ6NaTARU8ahMW@R>cgp zLqva14BeG5^!c(gv@V08;@>JmTQ_Xp6g#3F$&O<#x1q@ST++FuZO^%$RVlx-w4?cl zgk}G?>?}JlgJrU26tFDvH9L}9NcJX{{ddB$KbDz$$qm;dvuvutVx%K|4^M$E{o>!|il$lfDm{l+q^ zos@fNW?BZz0ykJ#7Wr)*$-ehqWd18~ltfpvD)uQm%MQt4S>Wh)w5b}p#ld`)pW1GG zIZj!=?&U8C4%#cLz|YS)hGAX4%*%h%GZ-eTxN6C|nwwTdTDiP_FZ3`fMkE}=w+?0I z*bx~V3tWG4EK!8|Z-+Pu+qditJGPi%4S~-OhDAG%%lArO44)A?hV5T= zhRw)eSm5!4VbOBW^6I@&!tlAiW7q*@XV}aPh6VmU7#1z|EZ-X!dn$0}uw&RkWoOu| z42A{XJ{T4)^(@~T7ZfXSd#7XA!DVOI>z|-&RYUviErE5IP8}~*Nc0|IjBg@XO`V4-Bj(*D`<&fi7 z{ocqgeE8$!>*%uctFf40je(!v*R?X_SJ&RSeN=((VjaJZEjz#FX7DR;^ZUBGnnH!_ z+A9s%jD%q`%g(TqG8h)P`7mG+!J zfiwC}C66mR!{%i$EOhh1u#SLXUCCDgdy|CWr#l?OPAEIWPRU?c;O6(OSg<(qD!On5 z*dMXmUM!xv zJGU`#-xoKn>x;KGV4M6|lX7wazd_(sr=jdzs3_t>p(=37g$vPsMRTKf0?NsR#)JuT z%g%&-GMEr}+QNir5288wjgwrioJ=?=VZzB}X9B-xkM*HY6?o6WglJ!(x$%`z2i!g6 z)Zvt}Ga=&!;;O(S7A8b{1a|Pv82i)4` zB%!(NOvt!|r7G}Tg$dD`eseILEGH9M5+<~koe9N1JXNR)d{JRSv`*h#`^wmawuA`_ z%Fcx1Usfqp1>U7FAv$K$9GrnDrz9*)m~dLznJ^~9CItSXFdW$p!iCez z&V{iVTnK!|mafi~@u|M%8o%mWP9`i$n9xynCX6d)LOyUAg$a=;G}pW`vxmhA6PA>n z3F9-E5V(x3m^?%tW5+8rI&>yHSXy=-RA%rX@EFO1$YJaVS1QV>4a*WHbd{Y66Ec_( zc#LF1@T#)&VE+sr1l}Tf5IKt-!727~ z@?cfMgR{%dgGm`Y2%JUoAaW2eFuXDz!s>(x=aiiZ2V^iI@D=-7;@!yR;0#?k<)9~F z!K=&8fAgx9lu9EQ1AspV+q`I`n`^li$lJrxcu* zuwYHuS#Wp;3j#N>E8fmp{tAr=YZDHfUv>^OWN;wx5oLd>Gv1%_%P1{yVM_0}WZ$ke z_#KxE5;nZ1>}+VxU_;<0KKw9uoi4#5bZ$WopEUAftz0gj%bov|{{C&X%K+&!8>sgK z^{vGTYPiNIWiEHYDgFIVT5!{sxr&z5s_7vFZ^WzWflnLjyXrhqxy`9^kM% zFSxLbk-40d8`6zZTvYC8hYQP?kjrg8y1#$N-9Z`qYW;RC3vl}}Z7$c`7U1?*x)(1G zaFaB@?cWM;2e>lo1KfeR+}z*6!mkK$2Wfsgdjs5LrUl&(1Kh!ii|R5Zmz&s}%hj9| z&`ovu{WQQ$)4FU6d4RrW8B<3EbcZ_J3jyvh#ZB7~;0{;Z%>4q~bS-yB*xn-)xApA- z9m*t6qjHZ?y6p=Cx?|brpbP6V!<7;Co2f)7YgJmm%3lQeQJbhbArGou8CM2$wGJ2Z zrOwqktV^Tj7s=^TmH(3#<9d{Nx!lTKly}7$thy4@wVy{_QJlf5KQSHk)ABP|btX0@-tXs~w2xn8%CX^!X8H zelK1g)3Hw5|55k69YU5J*A#U1SPe)kjUP#eR4Cow8x@j9ybjWY!a-Sbz(#^#9!N=;y zJ}@~onC;z>>R;;i*0*a~gGpyN%WviHeR~a7+p1}ej%Atr8O;2)FO2EhdwhEhRvR4C zvEPz*I9S?ocZ!bwgtKdG2Vv3~&g#d0n=oC|8chCG{vsnkCkK)rV9C$nEWh@(o-YPV zJFblLV;fCg3>G^05qvB^^48?VVBvF0URWoyrv|frhEwkeKiGQ*9K2Js41=vK{7T9Q z#7tb;)Ya3{(b=*HcfKxZ>VQX;tH_I&SYX1_)GZqhkOLsy#Lsv)alGHy{5$&FZDq}KAIn#$+J=UPdgktu*s4r&f?d;v%milx~zB%mJR|vAEP_F zslWfRKPk@O&KVHIS&B2b7v=u^e#IHg{B|53<1YPOfB$bWIP)=>2IA=h6=!MKOiOF= zG$2ZMW@lH!;g_XA(_U`ibRy9mFbc@TenLj@7uo|D`s-M{3y| z=bNsyTogwiq4EaHM$=lF*>CCZfA$kfXK?2<_)hSv`4}wBg}n@MOP)oC2q$wngQY`S zl+JLSJ)_5?bGa7GEhIk&FL^qbI~E+^W3c4c8{^twYA1o8d<@<0 zWBDzHP)&CE8O$=KEh3fivcLb2Fn!O6kLuxRJHRVfhQSB@80G$)X>&P)hoQ`q-l;f) zE0+TIeZ?7k@Fy`3-Ksc)t^bHM-uG_FloS=VNJl z&xZV5r8t9E--Kd5vDGwoK`B|b(++_v8;&hjJf`EePzqZDU# zCjBEqBS$zt1LO?geE7ll zE=w$+O$vs2zV3_apvYa>)K(kEN9)Rbe7-hOVJMJe;dqU@%K*k3}!oaKBQ@_ zjC0RK8JD~K9L(Xc`8|rW{P_Rzc8?>>{I&EZ&_kX8N(;6&va4Y!`X1!#l>*~kyC_Ad-N+&qT zj^LaO5l5L&Emu0`$NpC}4{+#z!Qnq2>qA+RbbZPE*f-42`pEi$u9Ec!>DW%vS$kP7 z`KHRQWsr_-Bc1V{IP#o0<2`XqOPujuaNxP%jQ5VeEF&y;D|jh5;b|ZI!(48e+ElK0 z&~{<0+Iea5SarJ}n2h&Ai4+)8cFt!`9%h|(-tKS?X8jZ=>ptR4c4^tnF|TEBo8|4f zt@}*zUX+>miQce{a>a1b2+hBs059rF#ToqS4|(-Bm{r^{Md=K;;TgYBW3Y%F)SZu| zVN)!v#gna13mwivTte#QzHumL;#=U4cYCK4y-0_@`6@pGG@=CL{KQtjg5}(G7W~9k zi)QAQA^yJ>8Dne`oP&{5?!-G3XK>fAa=GL0R-D0|Gce7?Fu=!PPGy>}Qk-|7zsE_+@-(R`$ z;?F$FM&o-tYBJ>=?K$3~Y%~tCH&l@Qp_*{&i}(#e)}4>-9e&>H!gQ_0j#a+PY#a~# zHbWii-Grkw{|Wc{vG+vH>!p)?yKRJi+G_wAMj?5`uVV;LffhbO0}ep6t2B+$)EBKS z(h8O0RaSA2d*TtxT5*<7Pah1BTi-Q!^_^Iq`IFKa+&vt|@;=2GEJ6SS%_qV+!)*Co z+S=d$!dsQj;0s3pcZuQ*?%jd`|F?=Wm_qmB&lG26)czk|7lXx+yFu3Kyb%Uv?gN_E zU@_#!XW(2rB0AR&tIK-y*!a6Nt--GaUnj3noWa&F#D=(OpI16-Z|4y(nt8<;%(8y& z+F>x4sm&=fSgaNLnNL!`c|O1O4weB4ioz$sjfF$Y^e5`G3$Ao(oXJxS5 zmrhcg<;VYzLk{^E%>1@KtvHi4Iw6la88TRm3V6uJkq-99|Y(6!(@W0VzOcV zF9W&bpY^pG2`xi#$Xjr(48b99!MQSsV}B86{bszB!QJuH_$D~VKc*$$nAZ4bd}E!B zf5syjw4Y}EXhdb~Jj0LMW<-NE53`upd0Q0>luv-g7fknN!eIKIkNrW}VDQp+pgPXP z7`)^$sQ(d4XE2umPk~wBW3Y71b&4}sx=C<2H!149(zk@mD;&sgD;^Fuc!L+TWZP|M z$x^h$?dwP>8=NrUKOc+lnd)0&@akUQ5`(+35IJ|VrZxBsY=*adR&fSfS%|Tb?M!V7 zEMbm4a5{#b)ytgz=5o`W(e3q3!Q@qBAn%8U`HBj61SV?1l#m^$FeQZl`DhvV|2Yih zcQ~AbFZ?rBOEKT&WAG(Q(73-S&fp%bcB~XROJ=Z@Ps)Vun*RR3{7}=b zcJM}=UKj~W$j4xjc*hA#%eYC)JRRZnQ(!?I&S0jkgn03>e3WY*$9UqDjvZ3X$g{LV z=wVJ*+1k`^}0oc;km*f3H!T!Rtq1qIZMh z3|9Y4)*3QLQQ$-vE1oW)Cv9i8A1FF2v=YRc!iX54@V{vlm-P7eOmp+8FDjwSP; zv5Rru3T&Ob4f()=@G)4~rnrReQD`sf$7imCh3*B#g>*@Npd&1F&YT(@&qE~VD;!J~ zMdy1^zm;FW3cvNFx`AL$Nf{jA26DD>>Fw9b^|TCkOp z%>TB_%lO&v>hJ&VaHTW&=wBmiOsx4BT!%qp5~P%m!Su?fKBl;Y4vyBO;}uuuVA4%< z5*6a6UKFQ2stLQ_Qomfz;3@ELrk|yB2D3dgGV+^tUtI3|MOglQT5$$b*sD9ncmsLX{~=M$dnyp`KM>QGkO>Y^s#@&DDP zNY}Ti37CQZeAvx|WdL?K(lxJBI{xQ#J`DfV+pRcaeBLw}j~+&xni9fG9>eql?c!rF z|NjLB+i-+A2dlh|m>|DPke_qVXFK?qS0jF@B+VJj!y?n)r#OSHjl>#VE!sc*YNazc z{|C$)VUqY5Of{&Hx@etQKknF=Sups*=W@A&f3Il`<|5G1n-yoU@ir`D#ueymr^W`4 zJ=fz5o`BvqlVY&-3-hzOaL#LWG5G8zf4IkB>p!M7o~vXMgR)%PX#$i7E4x}%vwOW} zQ+A=*GmiI~eOfMe?T>JB?Q7AnJz8_U_QQzzlu;;7GeAabkkLqobMVLuaGV8`UOomN z40oI`AA`?01TMB45DdQhgODV&oR7iR+=}wQtT=b~w#oX%dD=kM1qO$Er(19L zbIhYuIVRlXS7Hoxw_nzUIiLznnB#|RL$!FVp08AuU-N#$zFIpi715tX>9Cf33|{|2 zfB$`7P@KW*f7ajsBR7;7y#8?L7xamb!IZ_ZZU{4&ayj89rHgQPD=tdAIG_V8X<;Au zNLs_S6yqx4AoH>Egh8%c!J$0CxpFOUWn_>Rc?-^!K|1D5oR-nj+(q&=-W*#;-sB~9 zvZiRR_WfZUdAsj5Lj^|ytRoNi5@4u$F9Gvig}&$Au>)NjC{bwl=8pz_>);Jl{t%79 z7yTHv&dGqm>t|phafjw-@Oo&49Ue583l#IOc61IVznZHRXJv3f~>L4=U>SE;y&Xp@T zlqWb>uHYyPR8CheaV(EGEw?XUU6*GC)_Pkv1`LNMGxZw3?gEs!Qg;`xyC^A=tU(g^ z?|j7lf^2IV#aXSX70W7Q&TvKbpCX&2H88i%_b=2Fvs#{0h>)kFx{nTr$8+@ee z-j1$&t?xR6r5^WcoeX#CF&JK<&U_3Ox}Pe}(n#H1T8kH)(23^^FE5u{=Vgv=GK|Hp z)xIeh=_sdDFYso?)mteM{cX5=U(~V<-T-&WK1DFN50X^*9i=mPeLDu>0~Kd5)nh75 z3m=2E3dFd1vef*wUP?D&evQqC)Iy01}Y)L$h@wApZs5E#C=EC`u{S;@gG^@85H}f*ZS=#keFrq%GID@TxVl5wGkIRoZ z=0lw3*N47t*|5HQ?RuuUP?^Rl4B2x&m_4buyMu%E#>8M5!Da^={J3SP>x%h$tEVzn zf5lVTv?AC~H`HC83ND1K98~zc3^m15%~PtTTl{+bDQ=-O7-9_-?48ddXN(*8zW{v( zPgHOY-T+RSX)*Z1|MnAVgQb7Il1e)}mplBAire7e1s}-ej(nZs3_f6+pA{G^OM6a@ zBb<#yu6`WNCjU_LGq?c`^8vq7oWUbuK5T)*V0!koQ?L2*&P-Kw~-+@@O;XYG(OS{x2}PX#Rc7vfL{!MVCf9Z()|GhDe=FTuI`iR_>b zVvby0Or8YitZ|?oZ~%lyn9Mj$J4Q|1?T#lbZjSa)?Sf2$e1iC<3Ash zMZt+oa&5IojPZIujF?>sJ`+Pn@epKcbrpjmABj2DC=@4#3Dw(-5yHL;Gnk@s;5kZX zFuQEh<%%{!XWKmWBt!e*FhcYcT0{Jgc}c?em8!&f2Lxpg5~PmC4#+ zbrqbev(-U6LDO1!#96t-u{`3m+&dt8c_ciPKnvH=cnFX2(>6rQsFYxCrO0g5}3XZhcJY zER8VBr4<}il}vSMEsa*6Y4s8n`yfeJw85JUHXfem_D4O7;Gsy4_xrDpoNFZo-fz*a zVv-uTPa+p_bUZ9l`KY6#gaWoaM3);Fx6yYP-b8~My<$X)U^04JkEXSF!Cj^}%Udh2I7_3o z4{%5$I7y4IqZ%1^NikpA{PGkCBoSepD1))^XB3; z2(IfkV`ilB%os3MV0o;_;shsI`Z!mC^BDI!Eqnw(D}0$(LM!}cFKh?X44~WqbtF-+ z$H8Y#y}8^OV~gAmEI#m&7`Puwp*U&wGSu;7T7O~5q!bzg@-+JK5}jATyUoNN{qMe$`BtV zMxrlymP-|6vW<-JpO3{`TzY5`Z}bgX59;8dT90XC{Lq4qr;hJB&krq=K?ON#deWn; zt}8uiywm`@QA})^D2~->deU<7xMt|LaM0;Fn>h`G!sZ7tcR0(@IrySy(8HXW<1x7R zB}l@@6=(3;HwSK$gRM@aw7j_*YjZt=dGxjk!z~|!t?p?Y>+X73wDa=f-VL<#)i9IC z7AYB38IQz3$&^BI(&G?f7H>jqu>5yIZ1ANJF%x2gEnk|(>beGI{6=3(n~4P9IoonZ zeX#*!Ia9$Nb{GHi;Q=*%;cRh⋘g3t;L~iT-MOYs5fcZtoX^0kDq`rSirTiD}#ko ziYLko4{kV@K~uxWgGAVxu2h3Xvx@l7$Ko$-#cUsw2|fm22=-5UNpS}EZUN3Ilfj!{ zG;MXmU@MEML!52zGoG+kpHzK${=LHU0D8|(u6)kx-ZUn_@EN!Qhq*i+De?|9F`}U$ zm5?4j2Cs)X{L8(HGnoH>eVxYM6gqTXQ(qXS#ivy?71R+=%XF_&rus}S{6)gE7`a^*nIJgfVYdr7z++gmX zng4IFH0UyypG(UR;wRtea1NF}Uy(s~Q$Pn;=zi*OWH9m;W?31K4c_=6O|txmLmF)+ z)AFZYId-T^v&HM}er|+YSu8gf`>}tdmM>%BN~HLdA2@OrDI z;w%kM0zUUe#Tm?@@I}#3pLY+8V!$ z?>Bx2D`t>cJ_cJq6KCz<|0x#|qd3B(oBFikj7R)5`1?+-3}(4opHw<4clD)kiRLNJ zU^$#}ZwBtMxD4j^#CsHHGNvl6IO%K12@Radi{Kz9f^+gBILL(HoNO@fZRl4qFs^@v zZ|Dc&tUm+?UJB0f)c7HW)$xUNY&+?U2i8tiT5AV*iFOLkwU=qxPNvoNCWcy^>oEWqqS0;#j}x0$Ll}DfqhGH>wyjyw+C`p}EF4ik$&b*m1uXnn@~c z+aL9FEqFEL&5m}Da*fi&h~9xlJ*X8UJGY~uOv3+sh}+R_**Kg_!*i|n#iPNN2Ps1w z^N@8yS+%@Y8$xHVf+rauqrvB9M8TwPd(;oP){tAgI6{8Nt?gHPDmS&%{BX(v3jp>} zn!#K)+UDA3xHX@{81Vtt59f~@eBpEOf{$06!NQAM6lZj=|1r|OS#buRdn9m94-H<8 zd)e%;j=}8o?aoeFy|m$)pOq(F=*qP;+H}%kjj^8puPf$haDk)oG`KV=uMFIFq2CWS zRNxc2HAdm-XTa0>0Z$z)b^k5v;N!a=1`oOwXE5u&?ZOOP$OGgfJaGA08n)Nc3Jz%m zCuzB)CxZ1>Wx_rvWEe8y8soLWk{-E0+`EgH^pw`(S;^Qt6$N~|W>2$+ahH>nnTL9t zc_`Hc6P(}{Je!t1?&a1{n*%5FC`~!xMlZ{A6csv#rxFGBU+1kBmZbP_^qRI=Q}Dmw zq;|l48#{QHI-G;K`JQa1J6Q5q6w|GT_mdo1bMShM^2w1k2d@WX%_A^aHk5lcKZB)= z$1`xQ&X#sP1aZ9cW({WA2{&q5qa$uA>cGe7*5|SJ1-#-ECeAKOFqnhUW7jL4!PK}X z+}zjrpBvf!kV)n|iv`bs>&*ATFtkD~~uWx37@T%gFLhm4aa? z*rebp?{-Yqe3}pRj+4!{Z}t7_JVgCE&K-iwGtCX!K&;H8Nn&9SuWvS-qs(d6{}qA7w=BcgtaUDH?g%G8Ns&T5ZPR%Y7VX#K%@CkWNKGf zCW_OBAs2pe_!Gq$+&mM0-f+bk%oCv_g+cgM%)$Ae!K|F6bOy`G$R8Htcn>fiqre|0G+0Cc>cYohVTltFgEebXak~O5;JMt5S{>>RHS`;(POw#C zmg6CB9j%7JabU9vU-49l-_87x@6D(*`jwBtwcqvUwG5VuKBROJ&dFs%k<|4r88KjLW1kdi?w=>uMA7(%En?}nHf59*zuyD_5_vL4!JxZS0rvPxI!Gv zt5|+@o8k;+1$MmXD?^x-Q5-6xOWN<%>V(7RW?vnSs7HgJw+4G@hbwMotzS(ZrNzkj zxf#(g--+h~@tEeuHvZ>BRhtQMWY+vIIG2WT37y07RQ0iQl+Ix8Z5-`H#9+%eH9OK% z5AXMUw@s_VeV_MWtp33*{Y=QdtM)^=p#rzSdN@#Sa9S$& zq#y9H?n>Et=|rE`fv!1HH>CJBn&XCEgBN@b$2h?PJ_gg|+oj?LkDTKB*I=62n)hj1 z%kR?v@DoFWg|(eAoybtN;zBwb@*|v+p%6FqNlj~Yp1%Peu=7|A=A{LH{GQSoOqF{H ztm0$!7q8gb!LCPLg}YW~$~)?+U8QNI{wp00|M>_Gbrm{SXK6d?s=cLjRtN1a;`*wE z6(8{O4|ek-$(klF|3q=NbYYZaAgUKn_Btv6h%|OLR6XSmiGJ$y&oP*!+ zXf8MEbj2Bb9xUCcI>i}0|0-BLH`*A?`$Q(8&-fTDqxAh5IA;khUaIQo1c!L7KGX6u z;d%le^aAX-P&s0mn*gATR2mBRM)KnP6!uNw(?-F}loOS(@tZrq3D=hf^VrN3r`!hf zzujnP@D(3|zkH|WXE0@?=4!KEEc9cF3;wHff68oUoBP5+3dD%`7d#N|&^T>f;AJLX!&9dnz<9gVSv^*CBwT);?s z@Os4^?9`XIgWxxiZZcL$_z*X)OL5~a^0=|UlWy$S6lZni!rN2eB_D$?`Xtp$5dKG7|bp6{DXRvfV#E_4{tW)75g33i{U3(=hVAAJLQaXc~mOSHQby<(`O|PSOG<{kU@!XE4)J4ypR}GC1GjZCev$5vD@*9F|a@b}SVS$Ds2k zYF?*dX)5q2z4ESRDlwo&+4J|aRK)rC4S#{W-mN%;8^+>BVAuBs^Ma`P&ncb4{r!J> zBaHLsi8B~J5BgBQDl!;*jB}9|{wC=ZwmK1qGo|nha4@J=O#6p@^CzoiZhFiQa6}!A z5?(C!P3QqNy=d{w88D1;(;M*L#R=|w;2!gFRs$KaZY?hTr`|VNlgnNF(^7{XCFWG4 zkm6;CZ9)o`zeo!3Fmb29;OHED@zeg$qrsLh>!~NG>V#1r@l~CMT)EwTlxGxC*n-)% zIEzulTfD2l*Rh{)B`c?K$|zpESOf?%(t zlK8I$GBt3ptH~Ki2d_T{L-S^(GnilVJ$IJk3|@Z>Hc;*{I)!;O<*~0Q&R{FwaaH=} zqrNT1(cp&hbD>;6!nLJqBDEW>*;0*9f!R_DR}{Ns7Mk;IDxJYJCoG?j!B!_UvEYvT-|ky@psq-TS3LqnUn}dXNBUyC z>QUphoRi>MHq8gl#SzP;tEN@>@8VE)quw(4@#3O`3)xn{Rh&^uvm^$Zr4+7k7KE{l zh1-Q}2J^pN$YyXIq;(l8%g12Lmx7I-)Lud(l&>YlCwyi1RrhPxO0Qc)VFtYGs$$)u zi?eH`E)Cgt@|=e4y2{cpdmnFcRt3i0QCxWNjsAY_+v@kenD<3La-pU*n5Wn5 zCuj|}@-eP7^Q(OkqtEy@vNdo|o(MjA?WXqvw*NWuZ(OHci*o-A8Bhu>Uo${P3y{(N z4(H&$V_`d-ZW(;V>!5G{t#k%o{Xv}Gc6x5`HMgQHXCn-@^3mOLb*d~weOB9p*-8#s z^Xk;c|0Ob?5O@w=mEZD<;}h8@uus7iA%+TW;Q|E{h8N)+rwJ?f^ZgGYqA*Pjw#*C_ zte2yz%qtxFPIAk9+k9RqQSB}pLAwi{9<02g-d65s#Vbx$4!2o0n%2_rH$@-4MClA( z`YYrKbI-@%&Lf~O$b*l;9P=x`r8uMO{XUe<*;s>l+@bpON@pP*TP*sNW5)3JR(@L{rS*?jBRjVAI?bbF%kqj(6TquqkF*7>q}zg}?9#4`WrW zda8xn4T8RHlOD$xY+5g<%|4Ymn`53$emn+)$D+Vul!H?bs zOAr1)(;BW5l4@7#7=3p?-gH*4S?Br|+VeuZ`r#LG^q(p{a;}u2)+<)*3SW@!OVE)?gFTbd14d$=? z+O1*+^Vfb)*rap@^Vfb)_^jd#K6odz<|f4%Z2d>9@m#dV@!ohT8sd0ryc7*_JhgU; zm*m=O?G$a0_Tm&}LoJK?oF9iN;^)I`Uf|bEOj3i(y+<;YQR06)QlRSv=it7RV0ivj zaR&Foo1!Di$KaL7%T5UzELDX;;$yH>TW~nGEw%o<)_MephC%Up6ux#@UJ^Of8-NPF z*n^3ZX>xF9IAo5f@XHM3KvbA;f@Z2kV2X95Uq3NePI6zYbcUOcPpRzWy20IV#>xL{ zl+IwDYPa9oFqnS7-FR*Jk=}eQgGsl|m23HN?qFXR7)(0*AjIk_r^Q`bD^D4u^|Lg} zA&+Bu$|B<6f9J(v|AO`h`XWcRV&P%OJ>LH|<_DLr8S{hR!*hbAiLr8UI&9a2TC%~b zF9Nrm$7!(C{wpz^)E?r@$Ks`Rj?UtxbwU?^I8x7qU-X*625>RuJg*rCDIa!T>Q@to zGnG-I0Sz$T&SP5&pAA_ZCcPq|dsi)vvC9(Y1C|32$zNV}eq;Tg2UbC#GH)gN??Ysa1Sh{*%w7Ka0tvq2r4B=fERh8_j!xCwb`A56%ZZA5sn(xLAH$3+ zG5%vlWsB-8cNYwY=qC*b>0EsCYyC&&26Ogl;$<)`U2>b3gDqd?XLS74XS?XvVA8!L zg9_^4VAe%(v0W(0*!fju2-^e^V3)jjy*CVwAv>S-hQS=gDIRr{yRaz}6zRh9Glp7T`f6(pQ`qes=bd0vp$fn3^P$%9RuQeb;z+mSex>7oKH|DPXO&l+Xe>ldYp*yN^O2v7`A%%C zuB-1xJ@ZOuFw3$!Cv z*Cz%u4?9Y2Y5DD^-Q{jD=|{F`eg<#C!sBpMmyf|#C*mx>bFjemC}fn6!5b&wM}DqS zoWWeAvb*LYoYM!Z%WCwSeIaD9eBSIPp4KM1>DD&OTRTC^6&&&woGZif(QY6ew+3Vw z__`kkFyCQ^Y`eqT9J3QI_;DZN&POT1jx*r5ep+z`ukQ6KOSAU!AeK z>lfY`4wNh+&nO-ub&)#2E=v9I zpO3}!2V>2~8Eo`e&hhuHGtoDFr5xA7qr6tB;HykmDKc%^Ffi?{o@oX*e%9|M7%UZ7 z#1c{I$B`DWR9>y6&eAqrBs_1@x6q>yaA*W#HK1Plt!%PB36 zIEv}k8+}|Z*U{E0`>YL8fp7Z?K*&kG>t|lWtO7R%-=B_RwnnNdw)0nl(t=T#R2u*J zQ0*AcA3*x`se(%2)eqo@sGNl}m=4O;UpqPnGwtK>9rze5jg&I_Fj>HzXkzW|*XE$3 zl3PH7pM>C00)Fl~&~M3IpbP2`J{c{!kCUQsLao35!5F*_T9JAJ-|_vAVO+lS52mn0VF=yvWU&A$DdHhAHesc8-+>W9(=dAeO2rv0 znz}5eTk|<=dO>pd7`%QST%Y?CXE2ArN*FpmmXBz>%g^Gqs-(i*wT+^L-}RkfMk&|< zpXDq^@C7X88;=+zSi_Uv85ku8OBJ>%oxxHa!C^&72H5Xu$ux4vQWB zFYt}nyW9WlQF+y>kjm+g=7;5@gZ#*6uq?^A(Z_IdQNr)E&IVujXV?wLLW3zw+y5NX zz5a156+NLigL!}7BNr>qVCurQ#EVy08>w`bx3*GomWKMHX~92;PcHY6msQM_+1_wJ zk0|gjyu^08GHb`Bo`24uYGS1L5K>@<#m8VW>xp$St_#;{*^RXZug0u*`z)n1n3dmg zsY~nf(ON0a;@LQ*gIwx?q3?US9HY_}o-9K-B2d9Ka)DgZ(?4mWS384679Y`~4c2Uk zb6?sv65gt%gNgVN1zGob@W^Q0=Xk9^&00SRhfq_$!XI2T)Mo!R1C);4yX$6eFdW0V zI`rU?YFWSk@2rI?bIn>SOL4TkjKBJKxJC-Cipk$|=Fz+`#{u;#@%&VL1=kpAv(V5{^ks{n?O>6b*`GBvV z!5f~zDD^X?Gnm@3>t@AS-pcR*hr9*n%CI!ba?*9RE?az>4%iQS5o1T4kLm_T7{G~3 zzX$sGM?#&#NDMp*rEuj-2FR!d8I5;12d~HfnnM+5@H$BBLb1X4VHO9oU7fWqt%F&{ zviXWL*vf|?uN7nP18)q_74l8bV(&{qs>p%o$N33@p^oyKjcwH!y!sX_8#^m$FujQ1KA>q0X8%0zEOvxDO6e?KYaiecFF2uNpLS@U z{?PX+HSK(eOmK7$J;WmnPdyZQTq87JdM!_V)hJw9l7Z^v@8>9v`bU`mfA`-WN0=Va zQ=e9x!Im#(r@ejuUteDXre$%R{l5NyfJovG2xvqF7JmpXyU3r)?t-8aA&5qe;)+K7 ztRNa=t?@Hxty(WHukW+g{Mpo>m>TPq7@MYzv1v^jt!P?JRH&&|;%;JWK9!9%i7~); z-{+qDJ+m%$u4}LN-ZN)r&Y3xLW}bQGnYl>5``=Q`d8+ZA>U7l)gVclfeV3(=D31bc zn;wivyu#8~xEu5HZyyb3%vW5qiUT%Q)|3$=Njra^PUAsc#9&Yz`%Mx@lIqy+No6Ei zp90n+$+1Xr4^j+c=80n@DT@G(@45w}Mri>8>@2l%4Vs3Y#c)UbTDlt<{rLk!I-_l zS1lNa@K=_!943*Eq!P{Xp!br8Kh{joHr z$xKtvY3oQwM-qBY+qv0xhtpGFdb}hZ9UN>dfL0&HnN*#Lfd>$V*szAmraNpCsfF34 z+Rhcx@pJL-LAQuzyz0wXPWYy1#+Sf4{qn*%=IKiYr)@`_IIZ!bKgILIh2k@grQPFq zKwB-EWmWx%=JHfQqB$ScP(?#NL5uwGa)*z;A6ZIna*AlijN5!i@)2{?=pQbcF-Hs0Bsbr-Xl?De zl%Ar(mWt?zBhO97>Z*0-jsIyWw;zOXdb@DVq3JxGUMcUG3979o>$rEs13-= z3li!B=1AHNr0RgVL)Cvby_A&+BV#|=_*Qo5GLkDlWb%DPmr==>_YL@fIn#LhybmEY z=DX6?>+OQsJvY5X`LUh0q#PZp`jG0|v}>-*m!7Xuk?*%r)Sg9+f%Yt@brl4!ftju5v;WzKGoYWPJ$2IYs}Z=mwaz;7J( zb!p)%@!U4;?Ha}*{Ex&}(>T5|I3Ecrn$v{BC2dp;(nQ5XT2?~LF8+OJB~*(Tu7qk4 z!Pc&bKqw%4u$$eb3P}26PEgpXvSRh zc&1A<<15kco`J*+#;bpViY|`XI4bB>@ma2@)FPi{h)ON8I89V)q{SHDyZRGFPrfG7S*Vj8oW9$zh*F-6-jAeu47 z6pd{k>z6MM^-b8He2eSr9+w`Mf&bb?_&#jH+5qT(BD-PxiLjNs(UP={}I0) zRtt_AzP9ic8eh6TQCh$_RQ%a{_^|x#c{!KGiOJV@-(Wsg*|Rr_W=y_K@9u!F%!+~! zIOHo<7#OmKnwFW(0J);-Enks=w3aNTJkna_g0>NPM}AQT&fc8UPN7~(%NmZZH42I9 z`+eAM*#EMl`+dYKzv26RXzs*kcA+B5lCE(m)mAEk0s$*;()gw^1bRgR?F<@x3Qc|B z=`?juKpv~!gY2zINB_|kt@uqPhy7zNv1K#MDU*@Ml%@~Aru84~d_;2^&Z*uwS2SbJ zxBBLbW*l>`+mmlIa7=tk8IF%R7|IHr^#-vo8v*_*7L%*9`ap_xxrfpta*Bi?PW)mz zoNU9g{w|N8YST-RC`Nm;Vy@8ccpMFl)E}u zD1R9oKexXUxM1^p`*chbe+4Bzy!kXRkA zg2`6w`=~xKGpTyZfwtpgLwEJ1SQkwPCEk>q9^yrX#Vgx;V~|8@JSg#_Fy+EJprtUm zvmqtZ9QuE;rW9?#kH&kr`f$&sxkL<=&o(7rImQnCahIj=QsHk z@ZBq#@sa0aZ4+`b7_&t>|Bq;OemvEZVGwPFXwL6oSh8Hb@DSe&Y7&54x_+IVluiPNm| zL|PqnWm0u$9mZ-t{B8JFF4d2v)Rsk7_rx~RXq9Aj5>hgrpLG1-G}2&|1ux$j?}xuE zn(^ux`+bCkI~ZYx%i+Kr3Oe ztYKM2X3G`J3`M@6L9U>Me6d-QS^PqVg~713EAYl#b{Hei(tSoXMt(q+_MVq76m{$K zX?*+0O?H1SN1t)ZtY^$nQd=h6w{TsUK5@K!UgvfBz&TOIRll=5NTh++Ga(#Dj8paT z+i;@vxf7}zSKKSQD=ocz++NXUNb_w=^6{8+4QM{_bj1dj%f2#jYDM!dwdz!<1!CLS zQL%NgNsuL`FI#WK@3--Lc96o;^H=~1&tC?5qn-;zdEdQ4e8xPfk{3!d7_(k@84H8UWxhTn&N%#Jv2oYSh%WHm9!YhW9I)-5)Y=ZV zEfJq(Su-B9s4_n{W}I!!*!_g5ZTGQT@_Wk9Y56R$@xVeWn0a_1%$WJPZw)G=^mE&G zxFp+nv12)Cm0|m&Kd_yKjln+YUu>sAgM9|A*s1M_vryZW?Sy^b_TsjYvsJm2k9A2| zT&J#&*gYEcf@iwUP9}IQA0g=6u>w4>p}ri`<3e>p!*CTOUZnXkoWkZpE11VsO~3?z z!I+1~9|f1sU_A2Q(6Mh3&3G6(Q^!@J8ApW_V>OP-30mtkW3H8V9Lc1=OtnVoVXQtx z7$i2!gdNw-m-) zOL_j6;xl$xq&mN4TQTgc5TEgh-^8T;MA3{b6CSN`Lt#8Iy`F8fKTU6N&5(p{GI0l+ zb7}SyOPAp<1JAl;oj5LNk!8mDQ8h-+H&ptrV7y8*>^D{nn(ZGZ0Vdp^({Ut>>0LF$ zFsZsC@tAU^$ofnO-|cz)8!I$V-%`3l^x^wm7Wt6;VfYNJCGY=?em%Em8h)hv%l|Dt z<7F>nXZ-b|883&Q@sXFt{PxJNLA(+gJqclllBol z#^bL+=ebsV#`|Hfvky2mw%+7)d8%-w4I0V|T9nH*&RLAyqUPKM5dJCFqpRC`Na7-Y zbz9GY>NEA-frpB;X;V&~TRjhnzlKb(pRDzQs^C~heoX>8o{zk~t;d*~a-V=#VK8Qo z@=a*w;}=UlC&QS0n>Hz}%acN)IUo8G=jSw}DecAWu?1%!tBM+99=z#}T*jMHe97JU zxZrwuJJ){aifiNcw8eblet{!3>N(_xB zAd|x-q4AvkaGrDww2g*wJ0zO=viOYo>l=`bV+Qdh@j30Vqw{InctjUwS$BxfI0nh9 zMRPte6Dj#QJ_ga?!?H8k-%`Eo1h@cB3G;!C3v%0nE1_E3K-XdrCw@7#f(r;*!HYkS z4{iQLG~=js&F%NOe7wY)_;(Ni^e2K8DVDooL2u4#>?U#+sz}xl-(TpXPXy&^d0D%53Y@r}SLXZRP86UeZXpo!1c=f%YT`roj%c}Xf55j4U>5HEawtucm*TUhW!)cXg4S%WzOQ~e9q(B6wJmFNF$^=in6-W_9WUCL z?OXfeGK#XJZdr>=zK!3-6f`k+cKg$C^SNvhJ~jWoXvP=q z3L1tm2ICbYVLC60X3XMrEU0HKwC$VH(<5?b@BH z8CiW#-ys@6Csz0LAt6Vg&Y=US@b`QFYr)&h_RTDLQHF|-rElTx~Y ztE(2us4@DG{2*f(Qx#L_#xJ@gZ&wIf!JU{~c@MVnqAqm73&dy4z4^Wp%9veZG>nMB zIJ|t&FvQQnkltwgF2$yd=VN5so{Fh{PaH{2q@KKPi zny9syVZ58gcPZ1+RhK+i>Gr55kyY`dFGBQRWREmv@p}{>Ys}(BLytT%dgRtD+VixI z^adEKW1XlsHD}*NhzkDGkH~SV^!LbB(t!Ty^LN@glzJirCX`E|?m2XRNIGw<> zal@CgAuLXHGioKy255WvSCJwnpo!NVnh!$8Ar5Me!8pVT8s@NbXEADfio`GeWokpOjL|{&7F{ve`qWkTif&;<6M08 zc~j~0;zWwYNHL6sC%$<8_U+rdg48fxgNE~`OvWMFW#TgqF@qLo#vC7eO4)&$&6Ly? zRoydXNiP^Ws6^K2$dPsF&^&>gwdObq5p{nweoGu|#s{e%Nza3din?MAVD`rv82wt- z)js7xtU3n~#v9N7)w+ylurK5*S9S_CPQCN841KYPaKAI5j4l?xkD_=aWiVcY9`WHD zMKk{NQy7)Dh-S>YMy9_gnsL_(yjCy0$M{HedM}0;b2RapgsrseFnV3D{CI^AahxuE zifG2xhg7Fs`(JPvUlgA)7sCBQ!k8_!;RW&4G=E2Dx#H3B%i?nyR zxGbQ#vl^M5egcLs&f9)Aw2|vKptJ>gz2Uit<|bX2PVIvdNg3ja4c{316p9f2jAB0c zWe9&d+&PQpG<+BF2F5-HOPb#yg4l9M6jN2|~ubpNBD&zHZEym0mP8 zKJJq_0W)@496j5QfH!M2ho>s2bPBj>Mvk8L!Z+tD@iI1u6RONX_gi1YF8Hi*d2WF5 zs;%g?;&3-6>m&?=)YNf#_+S7V&O=EUD0FzAgBI&iFDEzL0p142CE z-H}V*v=tb}wsE;!=C)av31L25#Zf6-WrBTtTtc$W+m^a4(P$6b#yRKY>w!0pw!ts+ zQ$83m&cp%hUl+}o$B+K@Ri!l^`(u>#Ytf8HPQWtj?V=f5CQ{AUHW_?(h|iecTl@Q9 zW4i0k!sl}N72&U6Qd(o{NUGDe;hA{ehEhhsytil`rjZQBVW&|Ch%4o(VaSDf|7_aV$QMpqnPMIy^Pae_TIaRN&#!3oN62l~VHFK-Iz-!0(PpyPL#zj*b>?lt`Ug4|gE3otVzGi2AFW}2;VU>SqtMJp3z~&L z24m?Yn)%Mh-p_$Q7tL6D4(8*yxZ!5ejHUZ~_(o)YmlsUFffeuJLw*}k_YBOBSal>C z*RGqt%KDKvz~jo@h%a%Z@wTgBc=5t@ZQVPMH&3vW-4;1c`SApU{{0*$8qJRvnLCbD z*%sQm#F57O;|UD1Fj7*k^YOSe?PSr6xwCi5{-POksGi2oh2Zw(WN_TSie`N9i5U7X z6U}%$Y|58@8}9)dZ5=mWu;pZGm&fTql>0xeSI7BJNYvabJ3bst9F?jD5W`!*WwXoI|fe%b<2TQ3vPN{b*VGT6;>Ycd|z~LT& z6|l!C_{D%YdQG7fyrzk%08Ed;_?*R<_1_|zad_uDMKe}$q{X2LFp@@d-;@$_7*3qJ z*XC$pU%EEk@G#{mPNet)qyQU(@o>1a+ErN41i zUy$qYY$^nC;`dRcIGdEjd%;ZFpAyYDWGMO>hde>!DszZ4F~woo5NB3?{77OPBCMB)#vxA7qIzZ{wz+@Gz@+>6k&m5IR?;Im2|QUE5W;L#5b- zIFSO%b>fe~coll^hwl~5m`8a`{ntV(m`f{@t`p6emD&bx!C)*ANQsq!ko%yNn?jzC zRMpugAH${uOw!+TAk_$FQDPRDLo{W8jE+Y}BDL^3ECk!Z8q?fo93w{KE=)0d=(7-v zyP?iepA*fPt8dG`E1EH-UC||)F@H~joan_z&JCs8QfZVEuV=?(V|8GD_h~FU_hES5iSK_&rwb%DWGydc<(7rC3@ybg;TPm9IahTco%Ti;P1x;oj zaRm6A(}rrYbM_7@w;M*{19LHB7nKs4?2Krbmo+(cfUHhZ_)7f8p3I4^vH3$9xTZ$) zkd$UJ@;L!{ACUEGX(r}`qmpIgrB)jfR0KM1-Ifuf-^)jHh)M1gK9JnOKG4b`^o6H zSFqM1SeohrhvFb2r^8Cg_+?OP{9@c|z2ho)9i+D17_a_2*cW;WgYn1yJNb-VR!AN5 z-@{YtDOv;R>rJUAXpX*RYqn_VDO@e->qRuq0O*?(1;=COmaR@wXeFL|b6)zYXvW-| z^U|M*X1sh%9`a_)EmE)jv-pfTr)OEA2@J2%oIX^OmDy9M9M*mME*)YGp~*@_e;d(< zs}$zmcd3&UT8ZZir_FbWW^DeDCT2e$PHEsKSw{cAWW8FNi?UuzPZ1|pp|EJ^Hy)Au zMMWC?GC2OcKhCpTjLjd?;PJcBoH10IN3w1xAnK<7g&o#S|05_3Pl&ZN{VzC42-Au` z@6Rh~3Z^vV4{5?XACb~bgnw|th;_A7okRk}b(n}h7>mVE zye*pXtiQn`#(kn0uRII1D?~Hqdt37vyDUs2;%dv#r!)1T51=f{scm_|XCk$GOD$_+?4&FZB94bi} zAfv^|=*NXtFyEH@_8;T52V-_zTG4ndI*TtcH2%yVVXFBp#0%!}rz52-86C;xt}&cHVel z%=Y!0A7g4Y2^ujNQ>!*S5iuB3t0|Cy!PqiMLrnV{&DldWyfoW&k>sCz#2^iaN2a0S zWH>8oIGL^xAvC-czxPxc7R;Bv{+h}7GmqvIjB#jiZDc2HfT_V`JYO*wQyZ2qZQ$)1 z%{i$J3ZpQ!_d8ay?t9}PZA$-QZu_Ecsm;DOGFNAyIvv*(jnWbGckJy+Bc^2gt`W`H z`AW)}h-}UsDrNP?9ld}uj2k;h%3;ctxs5~pi$k6y*WFX55;=mdfv@93nQ7Y)1$@kj_47{$`Efvd!37Dj^`pv ze@Sb+_K@7tX1w_Gc&LWYVKC-fUwcGjmsRtP#GKyOzZ!F0sq@{)PVb9=r4nhe>mh3A zs5A@IL#%8pNhjiZc)O=KiAH>7fE>h$Urfe2Co#{&e0MancpBsJSSOhdOJ^_+8Q&Jo zIAjc3Ss2F{*JvIsDKWumti?9F=|kEOoiknqypf|>0j zkBde;@Rl=98smHM?9)$i5R5s`^m`0r=TY;`IS2<8oe)VI#>2P3#Q$0}<6)>quc;Uh zdp_4xjE9ZK5+fWWgE6bqJ8?{7S3Krr@fio-BceHtYOSInK4`%grW1{FOqvd>AJ0Pr zKTVI~x~{g47LyRCo_DrvRyln3A?601H&%&ey!h|%$?ZJRjK`h~NBEDT8IQtj+y^EZ zhfZG>pK<6Cv|?g0OIna7hAG)6*9p*;SVVd2gjmD*q3^6-QTjC}07t+S& z$r5|Mk}uED7U5wlv-^OGSC*&>&YjR}7~(DQ7o4$Zj=y*+%noM8V9cdZzF224=H=oX zt< z{0&U(2FYX0xADF>-gp|uHxH=BBazPg?~Oy};`NO~m!O5*$f?mhHoK7JzA8QPd{w}# z(L0tKu4(>XRdN^1cASd3WH6qFIjKiAV}8)?T?WRKb=GL5HKyF6#cS_)&|aK!)42Zx zEw?)5w!K$fzf26pi4^GK-DnmD+hb@PUuND~#j<&pBG~;O3poNU>h;1I9GP2X4 zU3*q#KXSW%D_vXFu05-e;=dqc@g2saQMW#!)0i@Jm;P@Y?HaU;=gtl*J0Yb(ecteG z*mJDO9WO<&ev1JF5-d1&htKH2ueFVi-!log(WMrwaw=uR=V!)W@(uKd%S1Dtb5Oq6 zHKt$nHh*E9Bey$zz_ltvSoDqY((;7?Hj+lKLOYe?H$p^h-e)^Hrdy(|kT3t(TtSVFQWB&C0^ybPP+jHtG%vWU` zbC*+*mhqRsE+=)1W;_S3;=tdDW;_i3u;W0{gwft8N8^BTY2!!8XDnThxl1&*cgQlI zzobF$+4yOmn0g;8y|t>>()&bdFmv#Imm`>m`K6a`UX|W6f8$i*W4$o`6x8kBn?*C` z3Ag&A7DjP2@9{-ZOtA8uboYptoqydk*57%Tn*f(nITnExmEl z1>3uQ@J(Ws-s8p=y`NB7?BDG-I2CCb&py4^0?~}wr}u%aGZ=GElK1xt!?u5bx>!#x zdJW+gE4jGq6&lYFw9N-BrS|KhF{aX!MNysJ5w5$_J*$_E!vy1 zgiNF@T6g)U;&7SfM^p3Tr1{8)sbfoXK2<`8uI~@hytEKUfRPUu&Ay}3SW4GVoQkxJ zzvQ``1sk(h^O=;vn6)#Wo)^KGza1AQt>Z$p{4db!Zz0WCHK1iBW7R;{PsGRC2>C)z z(qh+A*#C;G4a9P^?R+>lGh&)Cx)1DwjlXo#h=DPSgV{O*{Gw_)&kj-x5Bp`ca#ry0 zLvrQJn1k_hO!gU!M{mlPYQ`?Vs52Yv&683c&J>_d_Zg|qX=uBvhp4k_l%yD_BM#A& zfy7u^{4Yf!=I;qmgTZ*@O(~{v#Ue&?m=#!*I!9utF;hl+l|Vouy5d>mFh>itBI z7-T07B?!?kfaq^Sbk4XCj6e7rh<>kV#uV3YT#fhLo}wGOtWxVSLq8=&M~{e^<*6z9 znXu$V?N;ncs@8AVuE9E~|(h6Zem$ z=l*I^!}3N# z<7koZDy=cgwTi};si=sVOrv>fstC^%3pD4ZioJM=1fky3Cs4}_5d#&)A(}EkMvucW zf3wgEjy3Ey(Tsa1HkH&kslyd?Uwp=}nK-r#s;+Hqt^dRAtN zE<@F~yquMUfsxv6s7@Uzwkl3llFy@HB@v&ET>Lh|coiz^`L!$>XRQ^?%JlIX#ww0n z7tf5rwKoOiiUwTWtfSMYrh(Lhm67UJ=VDaiM07u#;w{WDG0$9{x>_`2_Vl*PMKe~` zq~SY<+2PmDPH{XXsRm4ob8Q+`B~CZ`Hm|1i$Y{)W@tvcJI0c6Y*H+>Hhd4pQ*%fnU zpLxU)N6hFs1(mTKA?3mho2^sdoJbPdaYr{Nid7BC+Y%Yr7`!o2X+&e=GTw2GW@9JB zj5+z#-s4yHE?mBB<;lG`53kv@Z0$Re4eMwuaO<^cVPCk!lq S>jO?xXeHip?: 1.302ns/0.000ns; real time: 5 secs -Level 2, iteration 1 -0(0.00%) conflict; 523(84.63%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.256ns/0.000ns; real time: 5 secs -Level 3, iteration 1 -0(0.00%) conflict; 511(82.69%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.228ns/0.000ns; real time: 5 secs -Level 4, iteration 1 -16(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs - -Info: Initial congestion level at 75% usage is 0 -Info: Initial congestion area at 75% usage is 0 (0.00%) - -Start NBR section for normal routing at 21:33:37 08/16/21 -Level 1, iteration 1 -0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs -Level 2, iteration 1 -0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs -Level 3, iteration 1 -0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs -Level 4, iteration 1 -5(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs -Level 4, iteration 2 -2(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs -Level 4, iteration 3 -0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs - -Start NBR section for setup/hold timing optimization with effort level 3 at 21:33:37 08/16/21 - -Start NBR section for re-routing at 21:33:37 08/16/21 -Level 4, iteration 1 -0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs - -Start NBR section for post-routing at 21:33:37 08/16/21 - -End NBR router with 0 unrouted connection - -NBR Summary ------------ - Number of unrouted connections : 0 (0.00%) - Number of connections with timing violations : 0 (0.00%) - Estimated worst slack : 1.213ns - Timing score : 0 ------------ -Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. - - - -Total CPU time 6 secs -Total REAL time: 6 secs -Completely routed. -End of route. 618 routed (100.00%); 0 unrouted. - -Hold time timing score: 0, hold timing errors: 0 - -Timing score: 0 - -Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd. - - -All signals are completely routed. - - -PAR_SUMMARY::Run status = Completed -PAR_SUMMARY::Number of unrouted conns = 0 -PAR_SUMMARY::Worst slack> = 1.213 -PAR_SUMMARY::Timing score> = 0.000 -PAR_SUMMARY::Worst slack> = 0.339 -PAR_SUMMARY::Timing score> = 0.000 -PAR_SUMMARY::Number of errors = 0 - -Total CPU time to completion: 6 secs -Total REAL time to completion: 6 secs - -par done! - -Note: user must run 'Trace' for timing closure signoff. - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1_par.asd b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1_par.asd deleted file mode 100644 index 878d798..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1_par.asd +++ /dev/null @@ -1,45 +0,0 @@ -[ActiveSupport PAR] -; Global primary clocks -GLOBAL_PRIMARY_USED = 4; -; Global primary clock #0 -GLOBAL_PRIMARY_0_SIGNALNAME = RCLK_c; -GLOBAL_PRIMARY_0_DRIVERTYPE = CLK_PIN; -GLOBAL_PRIMARY_0_LOADNUM = 39; -; Global primary clock #1 -GLOBAL_PRIMARY_1_SIGNALNAME = PHI2_c; -GLOBAL_PRIMARY_1_DRIVERTYPE = PIO; -GLOBAL_PRIMARY_1_LOADNUM = 13; -; Global primary clock #2 -GLOBAL_PRIMARY_2_SIGNALNAME = nCCAS_c; -GLOBAL_PRIMARY_2_DRIVERTYPE = PIO; -GLOBAL_PRIMARY_2_LOADNUM = 4; -; Global primary clock #3 -GLOBAL_PRIMARY_3_SIGNALNAME = nCRAS_c; -GLOBAL_PRIMARY_3_DRIVERTYPE = PIO; -GLOBAL_PRIMARY_3_LOADNUM = 7; -; # of global secondary clocks -GLOBAL_SECONDARY_USED = 0; -; I/O Bank 0 Usage -BANK_0_USED = 18; -BANK_0_AVAIL = 18; -BANK_0_VCCIO = 3.3V; -BANK_0_VREF1 = NA; -BANK_0_VREF2 = NA; -; I/O Bank 1 Usage -BANK_1_USED = 18; -BANK_1_AVAIL = 21; -BANK_1_VCCIO = 3.3V; -BANK_1_VREF1 = NA; -BANK_1_VREF2 = NA; -; I/O Bank 2 Usage -BANK_2_USED = 13; -BANK_2_AVAIL = 14; -BANK_2_VCCIO = NA; -BANK_2_VREF1 = NA; -BANK_2_VREF2 = NA; -; I/O Bank 3 Usage -BANK_3_USED = 18; -BANK_3_AVAIL = 21; -BANK_3_VCCIO = 3.3V; -BANK_3_VREF1 = NA; -BANK_3_VREF2 = NA; diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par deleted file mode 100644 index 8bf94bf..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par +++ /dev/null @@ -1,28 +0,0 @@ -PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Mon Aug 16 21:33:31 2021 - -C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO640C_impl1.p2t -RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir -RAM2GS_LCMXO640C_impl1.prf -gui -msgset -C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml - - -Preference file: RAM2GS_LCMXO640C_impl1.prf. - -Level/ Number Worst Timing Worst Timing Run NCD -Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ----------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 1.213 0 0.339 0 06 Completed - -* : Design saved. - -Total (real) run time for 1-seed: 6 secs - -par done! - -Note: user must run 'Trace' for timing closure signoff. diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.drc b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.drc deleted file mode 100644 index ec074a2..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.drc +++ /dev/null @@ -1 +0,0 @@ -DRC detected 0 errors and 0 warnings. diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed deleted file mode 100644 index 149b5cf..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed +++ /dev/null @@ -1,1745 +0,0 @@ - -* -NOTE JEDEC CREATED BY: Lattice Semiconductor Diamond Deployment Tool 3.12* -NOTE Version: Diamond (64-bit) 3.12.0.240.2* -NOTE Readback: Off* -NOTE Security: Off* -NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * -NOTE All Rights Reserved * -NOTE DATE CREATED: Mon Aug 16 21:36:33 2021 * -NOTE DESIGN NAME: RAM2GS * -NOTE DEVICE NAME: LCMXO640C-3TQFP100 * -NOTE PIN ASSIGNMENTS * -NOTE PINS RD[7] : 71 : inout * -NOTE PINS RD[6] : 70 : inout * -NOTE PINS RD[5] : 69 : inout * -NOTE PINS RD[4] : 68 : inout * -NOTE PINS RD[3] : 67 : inout * -NOTE PINS RD[2] : 66 : inout * -NOTE PINS RD[1] : 65 : inout * -NOTE PINS RD[0] : 64 : inout * -NOTE PINS Dout[7] : 3 : out * -NOTE PINS Dout[6] : 2 : out * -NOTE PINS Dout[5] : 5 : out * -NOTE PINS Dout[4] : 4 : out * -NOTE PINS Dout[3] : 6 : out * -NOTE PINS Dout[2] : 8 : out * -NOTE PINS Dout[1] : 7 : out * -NOTE PINS Dout[0] : 1 : out * -NOTE PINS LED : 57 : out * -NOTE PINS RBA[1] : 83 : out * -NOTE PINS RBA[0] : 63 : out * -NOTE PINS RA[11] : 79 : out * -NOTE PINS RA[10] : 87 : out * -NOTE PINS RA[9] : 85 : out * -NOTE PINS RA[8] : 96 : out * -NOTE PINS RA[7] : 100 : out * -NOTE PINS RA[6] : 91 : out * -NOTE PINS RA[5] : 95 : out * -NOTE PINS RA[4] : 99 : out * -NOTE PINS RA[3] : 97 : out * -NOTE PINS RA[2] : 94 : out * -NOTE PINS RA[1] : 89 : out * -NOTE PINS RA[0] : 98 : out * -NOTE PINS nRCS : 77 : out * -NOTE PINS RCKE : 82 : out * -NOTE PINS nRWE : 72 : out * -NOTE PINS nRRAS : 73 : out * -NOTE PINS nRCAS : 78 : out * -NOTE PINS RDQMH : 76 : out * -NOTE PINS RDQML : 61 : out * -NOTE PINS nUFMCS : 53 : out * -NOTE PINS UFMCLK : 58 : out * -NOTE PINS UFMSDI : 56 : out * -NOTE PINS PHI2 : 39 : in * -NOTE PINS MAin[9] : 51 : in * -NOTE PINS MAin[8] : 50 : in * -NOTE PINS MAin[7] : 44 : in * -NOTE PINS MAin[6] : 49 : in * -NOTE PINS MAin[5] : 45 : in * -NOTE PINS MAin[4] : 46 : in * -NOTE PINS MAin[3] : 47 : in * -NOTE PINS MAin[2] : 37 : in * -NOTE PINS MAin[1] : 38 : in * -NOTE PINS MAin[0] : 23 : in * -NOTE PINS CROW[1] : 34 : in * -NOTE PINS CROW[0] : 32 : in * -NOTE PINS Din[7] : 19 : in * -NOTE PINS Din[6] : 20 : in * -NOTE PINS Din[5] : 17 : in * -NOTE PINS Din[4] : 18 : in * -NOTE PINS Din[3] : 16 : in * -NOTE PINS Din[2] : 14 : in * -NOTE PINS Din[1] : 15 : in * -NOTE PINS Din[0] : 21 : in * -NOTE PINS nCCAS : 27 : in * -NOTE PINS nCRAS : 43 : in * -NOTE PINS nFWE : 22 : in * -NOTE PINS RCLK : 86 : in * -NOTE PINS UFMSDO : 55 : in * -NOTE CONFIGURATION MODE: NONE * -NOTE COMPRESSION: off * - - -QF130036* -G0* -F0* -L000000 -11111111001011111111011110111100101111111101111011110010111111110111101111111111 -11111111111011111111111111111111101111111111111111111110111111111111111111111011 -1111111111111111111011110010100100111101111011001010010011110111011111111111 -11111111111111111111111110111111111111111111111011111111111111111111101111111111 -11111111111011110010111111110111101111111111111111111110111100101111111101111011 -1111111111111111111011110010100100111101110111001010010011110111101111111111 -11111111001011111111011110111100101111111101111011110010111111110111101111001011 -11111101111011111111111111111111101111111111111111111110111111111111111111111011 -1111111111111111111011110010100100111101110111001010010011110111011111111111 -11111111111111111111111110111111111111111111111011111111111111111111101111001011 -11111101111011111111111111111111101111111111111111111110111111111111111111111011 -1111111111111111111011110010100100111101110111001010010011110111011111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111001111111111111111111100111111111111111111111111111111 -10111111111111111111111111111111111111111111111111111111111111111111111111111110 -1111111111111111111111110111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11011111111111111111111111111111111111111111111111111111111111111111111111111110 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111101111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111010111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111001111111111111111111111111111110111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111101111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111110111111111111110011111111110111100011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111100011111110111111111110001111111001111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111011111111111011111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111011111111111011111111111111111111111111111 -1111111111111111111111111111110111111111001111111111011111111101111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111000111111110111111111111111111111111111111 -1111111111111111111111111111111111111111101111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111001111111111111111111011111 -11111111111111111101111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111110111111111111 -11111111111111111111111111111111100111111111111111111111111111111001111111011111 -11111110011111111011111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111110101111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111110111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111011111111111110111111111111101 -1111111111101111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111011111111111111111111111111111111 -1111001111111111111111111110111111111110111101111111111011111111111011111001 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1101001111111111111111110100111111111011011101011111111101111111110111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111011111111111111111111111111111 -1111001111111111111111110100111111111101101101111011111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111101011111111111111111111111111111 -1110001111111111111111110111111111111111101011101011111111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111101110110101111111110110101111101101111111110011101011111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1110000001011001110111111000101101110111011110100000110111111101111011111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111001111011111111111111100011001111111011101111011010011011111110111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111101111111111 -1110101011011110111111111100110101111111011111111011111101111111111111111101 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1110011111111111111111111000010011111111111111101101111110011101110111111001 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1110001111111111111111111000101101111111111111101011110110011111100111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111001111111111111111111100011101111111111111111101100111111110111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1110001111111111111111111000111101111111111111101111110111111101111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111110111111111111111111111011111111 -1111001111111101111111111110111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111011111111111111111111101111111 -1111001111111001111111111100000111111011111110111111111111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1101001111111111111111110100111101111111011101011111111111111101111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111001111101111111111110110111111111111111111111111111111111111111111111111 -01111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111101111111111 -1111111111011111111111111110111010111111011101111111101111111111111111111001 -01011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111101111111111 -1111111011011111111111110110001101011111101001111111110111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111110101011111111111111110111101110111111111111111111111111111111111111110 -01111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111110111111111 -1111111110011111111111111010110111110110111111111111111111111111111111111011 -10111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111011111111 -1111111111110101111111011111010010110111011111111111111011111111111110011111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111111001111011011011101101100110111111111111111111111111111101111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111100111101110111111111111111111111011111111110111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111110111100101100010111111111111111111101011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111011111111111010111111111111111001001111111111111111 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111000111111111001011100111111111101000111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111100111111111001111111111111111111000111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111011111111111011111111111111111110001111111100111111 -11111111111111111111111101111111111111111111111111111111111111111101111111111111 -11111111111111111111111111111111111111111111111110110111111111111111111010111111 -1111111111111101111111111110111110010111011000111111101011011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -11111111111111111111111111111111111111111111111111011101111111111111111101111111 -1111111111111101111111111110001101110111011101111100010001111111111111111111 -11111111111111111111111011111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111110110111 -1111111111111011111111110110101101101110111111111110110111011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111011111 -1111111111101111111111111110110001011011111111111111110110111111111111111111 -11111111111111111111101111111111111111111111111111111111111111111111111111111111 -11111111100111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111110111111111101111111111011111101110111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111110111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111110111111111111111101101011111111101111110110111111001 -11111111111111111111110111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111010111111011111011111111111011111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111101111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111011101101110111111111101011111111111111101111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111110101111111 -1111111111111111111111111111111111101111111111110001111011111111110111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111001111111 -1111111001011101111111111111101101110111111111100010110111111111110111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111110111111111111111111111011110011011110111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111110110001111111111111011100001111111111111111011001101111111101111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111011111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111000111110111111111111100011111011111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111110111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111110000001111111111101100001110101111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111100111100010111101111111110110111011111111111011111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111000011101111111111111101111100111011111111110111010 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111011111111 -1111111111111011111111111100111111110111101101111011111110111110111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111111101111111111100111111110111100001111011111111011111111111111011 -11111010111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111110100111111101111111101011011111111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111100111110111111101111111011111111111111011111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111011111111111111111111111111111 -1111111111111111111111111111111110111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111101111011111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111110111111111111111111111111111111 -1111111111111111111111111111101111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111110101111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111110101111111 -1111111111101011111111111111111101111101101111111111111111101111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111001111111 -1111111111111100010111111111101001111011111101111111111111101111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111100001111111111010101111111101111111111111111010111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111110101111111111111111101111111111011111111111111001111111101111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111011111111111111111111111101111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111011111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111011111111111111111111111111110111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111101011111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111101011111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111110111111101111111111111111111111011111111111111011111111111101111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111011111110111111111001111111110111111111100011111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111101111111111111111110110111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111110111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111110111011111111111111111110111111111111111111111110101111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111101111111111111111111110111111111111111111111011111111111011111001 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111101111111111111111111111111111111111010011111101111111110111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111101111111111111111110010111111111110011111011011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111110111111111111111111111111111111111101111111111111111111101111010 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111011111111111111111111111111111111111001111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111101111111111111111111111111111111101010110111111101111110111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111011110111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111010000111111111111101011111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111101011111011111101111111111001 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111101011111111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111011111001011111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111101011111111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111110011111111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111010011111111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111101111111111111111111110111111111111111110111011111111111111111111 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111110100101111111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111101111111111111111110010111111111111101010011011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111110101111111111111111111111111111111111111110111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111110111111111111111111111111111111111111111111110111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111101111111111111111111111111111111111111111111111110011111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111011111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111011111111111111111111 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111101011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111011111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111110111111111111111111111111110111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111110111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111101111111111111111111111111111110111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111011011111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111101111111111 -1111111111101111111111111111111110111011111111111111111011101111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111011111111111111111111101111111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111100101111111111111111110110011011111111111111111011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111110101111111 -1111111111111111111111111111111111111101111111111111111111010111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111101111111111111111111111111111111111110011111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111110110111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111011111111111111111111111111111111111110100111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111110111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111011111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111110111111011111111111111011111111111111011001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111110111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111011111111111111111111011111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111110110111111111111111111011111111100111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111110111111111111111111101111111111110111010 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111011111111 -1111111111111111111111111111111111101111111111111111111111111111110111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111111111111111111111111111110111111111111111111111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111101111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111101111111111 -1111111111101001111111111111111110100111111111111111111011111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111101111111111111111111110111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111110001111111111111111111110111111111111111111111011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111110101111111 -1111111111111101111111111111111111000111111111111111111101011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111010001111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111101101111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111110101111111111111111 -11111111111111111111111111111111111111111111011111111111111111111101011111111111 -11111111010111111111111111111101011111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111101111111 -11111111111111111111111111111111111111111111110111111111111111111111011111111111 -11111111110111111111111111111111011111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111110111111111111 -11111111101111111111111111111110111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111011111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111011111111111111111111001111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111101111111111111111110110111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111110101111111111111111110111111111111111111111111111111111111111111 -11011101111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111101111111111111111111111111111111111111111111111111111111111110 -11111110111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111101111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111011111111111111111111011111111111111111111111111111111111111111 -11111110111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111110111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111110 -11111110111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111110110111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111111111111111111111111111010111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111110111111111111111111111011111111111111111111 -01011111111111111111111111111111111111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111011111111111111111111111111111111111111110 -11111111111111111111111111111111111111111111101111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -01111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111110111111111111111111101111111111111111111 -10111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111111111111111111111111111111111111111111111111110011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111111111111111111111111111111111111111111111111110011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111101101111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111101011111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111110101111111 -1111111111101111111111111111111110010111111111111111111111111111111111111111 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111110111111111111111111111010111111111111111111111111111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111011111111 -1111111111111111111111111111111111100111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111010111111111111111111111111111111111111111111111111111111111110111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111110111111111111111111111111111111111111111111111111111111111111101111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111101111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111110111111111111111111111011111111111111111111 -01011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111011111111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111011111111111111111 -01111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111011111111111111111111101111111111111111111 -10111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111110101111111111111111111111111111111111111111101011111111111 -11111111111111111111111111111111111111111111111111111111111111111111110101111111 -1111111111111111111111111111111110111111111111111111111011111111111111111001 -11011111111111111111111101111111111111111111111111111111111111111111011111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111111111111111111111111111011111111111111111111101111111111111111001 -11111111111111111111111011111111111111111111111111111111111111111110111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111011111111 -1111111111111111111111111111111111110111111111111111111111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111011111111 -1111111111111111111111111111111111011111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111111111111111111111111111110111111111111111111111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111001111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111101111111111111111111110111111111111111111111011111111111111111111 -11111111111111111111111111111111111111111001111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111101111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111101111111111111111110110111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111110111111111111111111111011111111111111111111101111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111110111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111100111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111011111 -11111111111111111111111111111111111111111001010111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111001110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111110111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111101111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111110111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111101111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111101111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111101101110101111111 -1111111111111111111111111111111110111111111111111011111011111111111111111001 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101101011011001111111 -1111111111111111111111110110111111011111111111010011111101111111111111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101101110111111111111 -1111111111111111111111111110111111110111111111010011111111011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111100111011111111111 -1111111111111111111111111011111111110111111111010011111111011111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101000111111101011111 -0111111111101101111111111111010111111111101111010011111111111111101111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110001111011101110110 -0011111111111011111111111111101101111111010110110000011111111101111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111001010011011110011 -0111111111110101111111111111101101111011111101110011110111111111110111111001 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110001111001111111111 -1111111111111101111111111011111001111111111111110011111111111101111111111101 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111111111110111 -1111111111111111111111111011111111111111111111100011111111111111111111111011 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111010 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111010110111111111111 -1111111111111111111111111111111111111111111111110011111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111110111 -1111111111111111111111110010111111111111111111110011111111111111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111111111111111111111111111111111111111110011111111111111111111111101 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110001111111110111 -1011111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101111111011010110111 -0111111111111111111111110111111111111111111111010011111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111110011111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111101110111111111111 -1111111111111111111111111111011110111111111111111111011111111111111111111111 -11011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101001111011111111111 -1111111111111111111111111111101101111111111111111110110111111111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101001111111111111111 -1111111111111111111111111111101000110111111111111111101111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111101111111111111111 -1111111111111111111111111111110101011111111111111101110111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111101011111111101101011111111111111 -1111111111101111111111111111111111111111101111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111110011111111111101011111111111111 -1111111111110111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111001111011111111111 -1111111111101101111111111111111111111111111100111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110100101011111111111 -1111111111111111111111111111111111111111110111111111111111111111111111111111 -01111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110010111111111111111 -1111111111111111111111111111111110111111111111111111111111111111111111111111 -01011111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111110011111111111 -1111111111111111111111111111111111011111111111111111111111111111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -01111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111011111111111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -10111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111011 -1111111111111111110111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -1111111111111111110111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101111011111011111101 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111110011111111011 -1111111111111110101111111111111111111111111111111111111111111111111111111111 -11111111111111111111011111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111101111111111111111111110111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101111001011111111111 -1111111111100111111111111111111111011111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101111010111011111111 -1111111111111101111111111111111111110111111111111111111111111111111111111111 -11111111111111111110111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101111111011111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111011111111111111111111111011111111101111111111001111111 -1011111111111111111111111111111111111111111111111111111011111110011111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111110111111111111111111111111111111111110001011100100111 -0111111111111111111111111111100101111111111111111100011111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111110111111111111111111011110110111 -1111111111111111111111111111011110110111111111111111110101111111110111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110110110011010001111 -1111111111111111111111111111111001111111111111111111111111111111011111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110101111111111110111 -0111111111111111110111111111111111111111111111111111111011111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111011111101111 -1111111111111111110111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111010111111111111 -0111111111111111111111111111111111111111111111111111111101011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111010 -1111111111111110101111111111111111111111111111111111111111011111111111111111 -11101111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111110 -1111111111111111111111111111111111111011111111111111111111111111111111111111 -11101111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110000011111111111 -1111111111111111111111111111111111111011111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101111111011111111111 -0111111111111111111111111111111111111111011111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111101111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111101011111111111111111111111111111 -1111111111111101111111111111111111100111111110111111111011111111110111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111011111111101011111111111111010 -1111111111111111111111111111111111100111111111111111111101111111110111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111110111111111111111011111111111101 -1111111111111111111111111111111111111111101111111111111111011110101111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111011111111011 -1111111111111011111111111111111111111111111111111111111111011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111011110110111111111 -1111111111111001111111111111111111111111111111111111111011111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111010001011101111001 -0111111111111001111111111111111111111010011111111111111011111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111010 -1111111111111111111111111111111111111010111111111111111101011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111111111111001 -0111111111111111111111111111111111111001011111111111111111111111111111111111 -11111111111111111111110111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110001011111111111111 -0111111111111111111111111111111111111011111111111111111111111111111111111111 -11111111111111111111111101111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111101011011111111111 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111101101011111111110 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110110110011111111111 -0111111111111111111111111111111111111101111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111110101111111 -0111111111111111111111111111111110110111011111111110011111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111110011101111111 -0111111111111111111111111111111101100110111111111110110111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101110111111011111010 -1111111111111111111111111111101111111111111111111101100111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -1111111111111111111111111111111000011111111111111111110111111111111111111111 -11111111111111111111111111111110001111111011111111111111111111111111111111111111 -11111111111111111111111111110111111111111111111011111111111101101111101111101111 -1111111111111111111111111111111111111111111111111111111011111111111111111111 -11011111111111111111111111111110111000011101111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111011111111111101101111110111110111 -1111111111111111111111111111111111111111111111111111111101111111111111111110 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111011111111111001111111110110111 -1111111111111111111111111111111111111111111111111111111011011111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111101111111111111111111101111111111110001111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111101111111111111111111111111111111111 -11111111111111111111111111111111111111111111111110111111111111101111111111101011 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110111111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111011111111110101110011111110101 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111001011111111110111 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111001111011111110011 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111111111111110001111111011111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111011111111111111 -0111111111111111111111111111111111111111111111111111111111111111111111111001 -01011111111111111111111111111110001111111101111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111011111111011 -0111111111111111111111111111111111111111111111111111111111111111111111111001 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111010110011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111110 -01111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111011111011111111110 -1111111111111111111111111111111111111111111111111111111111111111111111111011 -10111111111111111111111111111111111111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111100111111110111 -1111111111101111111111111111111111111111111111111111111111111111111111111111 -11111100111111111111111111111111001111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110011011111111111 -1111111111100111111111111111111111111111111111111111111111111111111111111011 -11111111111111111111111111111110001111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101110111011111110111 -1111111111111101111111111111111111111111111111111111111111111111111111111001 -11111111111111111111111111111110111111111111101111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111011111111111111111111101111111111111111111111111111111111111 -11111111111111111111111111110111111111111111111001011111111111111111111110111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01011111111111111110111111111110001000011001111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111011011111111101111111111110111111 -1111111111111101111111111111111111111111111111111111111111111111111111111110 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111101111111111111111111111011111111111011111111111110111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111111111111111111111101111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111100111111111110011111111111011111 -1111111111101111111111111111111111111111111111111111111111111111111111111111 -10111111111111111111111111111111111111111111100111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111101111101111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110110000001111110111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111101111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110111010101111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -11111111111111111111111111111110001111111011111111111111111111110111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111111111110111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11011111111111111111111111111110001111111101111111111111111111101111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111111111111111 -0011111111111111111111111111111111111111111111111111111111111111111111111110 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111101111111110111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110101111111110110111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111001111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111110111001 -1111111111111111111111111111111111111110111111111111111111111111111111111111 -11111111111111111111111111111111001111111101111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111011111111011111 -1111111111111111111111111111111111111111011111111111111111111111111111111111 -11111111111111111111111111111110001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101111101111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110111111111011111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111110111111111111111111111111111111111111011111111111111111111111111111111111 -11110101111111111111111111111111111111111111111111111111111111111111111111111011 -1111111111111111111111111111111111111111111111111111111011111111111111111111 -11111111111111111111111111111110001000011111110111111111111111111111111111111111 -11111101111111111111111111111111111111111111111111111111111101111111111111111111 -1011111111111111111111111111111111111111111111111111111101111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111011111111111111111111111111111111111111111111111111111111111111111111111101 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111110111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111011111111111111 -1011111111111111111111111111111111101111111111111111111111111111111111111111 -11111111111111111111111111111110001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111000011111111111 -0111111111111111111111111111111111110111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111011011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111011111011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111011111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111101011111111110111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111101111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111110011111111110011011111111111111 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111011101111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111111111111111 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111110111111111111111111111111111111111111011111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111101111111001 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111110111111111111111111111111001111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111011111110111111101 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111101011111111101111111 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111101111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111011111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111101111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001000011101111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110011011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110110011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111011111111111111111111111111111111111111111111011111111111111111111111110111 -1111111111101111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111111111111111111111111111111111111111111 -11111101111111111111111111111111111111111111111110011111111111110000011111110111 -1111111111110111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110111011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111011111111111111111111011110101111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111101111111111111111111110111111111111111 -11110101111111111111111111111111111111111111111111111111111111111111111111111111 -0111111111111111111111111111111111111111111111111111111011111111111110111111 -11111111111111111111111111111110001111111001111111111111111111101111111111111111 -11111101111111111111111111111111111111111111111111111111111111111111111111111011 -0111111111111111111111111111111111111111111111111111111101111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111011111111111111111111111111111111111111111111111111111111111111111111111110 -1111111111111111111111111111111111111111111111111111111011011111111110111111 -11111111111111111111111111111111111111101111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111101 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111001111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111110 -1111111111111111111111111111111110111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111101111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110011011111111111 -0111111111111111111111111111111111011111111111111111111111111111111111111111 -11111111111111111111111111111110001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110100011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110111111111011111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111011111111111111111111011111111111111111111111111111111111111 -11111101111111111111111111111111111111111111111110111111111111111111101101111001 -0111111111111111111111111111111111111111111111111111111111111111111111111001 -11011111111111111111011111111110001000011101111111111111111111111111111111111111 -11111011111111111111111111111111111111111111111111011111111101111111110011111001 -0111111111111111111111111111111111111111111111111111111111111111111111011001 -11111111111111111110111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111101111111111111111 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111011111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111111111111111110 -1111111111111111111111111111111111111111111111111111111111111111111111111010 -11111111111111111111111111111111111111111111101111111111111111101111111111111111 -11111111111111111111111111111111111111111111111111010111111111111000111111111111 -1011111111111111111111111111111111111111111111111111111111111111110111111111 -11111111111111111111111111111110001111111111110111111111111111110111111111111111 -11111111111111111111111111111111111111111111111111011111111110111011011111110111 -0111111111111111111111111111111111111111111111111111111111111111110100111011 -11111111111111111111111111111111001111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111110111101111111111111011111111111 -1111111111111111111111111111111111111111111111111111111111111110111111111001 -11111111111111111111111111111111001111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111011111111111111111011011111110111 -1111111111111111111111111111111111111111111111111111111111111111101101111111 -11111111111111111111111111111110001111111011111111111111111111100111111111111111 -11111001111111111111111111111111111111111111111111111110101110000111101111111111 -1111111111100101111111111111111111111111111111111111011011111111111111111111 -11011111111111111111111111111110001111111101111111111111111111100111111111111111 -11111001111111111111111111111111111111111111111111111111111110001011001111111111 -1111111111101101111111111111111111111111111111111110111011111111111111111110 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111110111001111011101111111 -1111111111111101111111111111111111111111111111111111111111011111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111011110001000010111111111 -1111111111110011111111111111111111111111111111111111111101111111111111111111 -11111111111111111111111111111111111111111001110111111111111111111111111111111111 -11111111111111111111111111110111111111111111101111011111111111001111111111110111 -0111111111111111111111111111111111111111111111111111111111111101111111111111 -11111111111111111111111111111111001111111101110111111111111111111111111111111111 -11111111111111111111111111110111111111111100010111111111111111001111111111111111 -0111111111101111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111111110111111111111111111111111111111111 -11111111111111111111111111101111111111111110110111111111111101001111111111110010 -1111111111111101111111111111111111111111111111111111111111111101111111111111 -11111111111111111111111111111110111111111011101111111111111111111111111111111111 -11111111111111111111111110111111111111111111110110111111111111101111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111101111111111111111111111111111111111111 -11111001100111111111111111111111111111110111111111011111111111111010111111111111 -1111111111111111111111111111111111110111111111111111111110111111111111111111 -11011111111111111111111111111110001000011101111111111111111111111111111111111111 -11111101110111111111111111111111111111011111111110011111111111111011011111111111 -1111111111111111111111111111111111100111111111111111111111011111111111111110 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111101111111111111111111111111111011011111111111111111111111111011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111101011111111111111111111111111111111111111 -11111011111111111111111111111111111111110011111011111111111111111001011111111111 -1111111111111111111111111111111110111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111011111111111111111111111111111111 -11111111111111111111111111110111111111011111011111111111111111111111101111111111 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111111111101111111111111111111111111111111 -11111111111111111111111111110111111111101110110111111111111111111111111111111110 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111001111111111111111111111111111 -11111111111111111111111111101111111111110010111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111110111111111111100011100111111111111111111111110111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111011111111111111111111111111111111111111 -11111101111111111111111111110111111111100011111111111111111111111111101111100111 -1111111111111111111111111111111111111111111111111111111011110111111111111111 -11011111111111111111111111111110001111111101111111111111111111111111111111111111 -11111111111111111111111111111111111111100011111111111111111111111111111111110111 -1111111111111111111111111111111111111111111111111111111111100111111111111110 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111011111111111111111111101111111111110011111111111111111111111111111110111111 -1111111111111111111111111111111111111111111111111111111101001111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111110011111111111111111111111111110110110111 -1111111111111111111111111111111111111111111111111111111111011111111111111111 -11111111111111111111111111111111111111111111111111011111111111111111111111111111 -11111111111111111111111111111111111111111011111011011111111111110111111111110111 -1111111111111110110111111111111111111111111111111111111011101111111111111111 -11111111111111111111111111111111001111111111111110001111111111111111111111111111 -11111111111111111111111111111111111111111011111110011111111111111011011111110111 -1111111111111111000111111111111111111111111111111100110111101111111111111111 -11111111111111111111111111111110001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111010011111101111111111111111100011111111111 -1111111111111110110111111111111111111111111111111101000111111101111111111111 -11111111111111111111111111111110111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111110011111011111111111111111011011110101111 -1111111111111110111111111111111111111111111111111111110101110111111111111111 -11111111111111111111011111111111111111111011111111111111111111111111111111111111 -11111101111111111111111111111111111111111101111011111111111111111111101111110111 -1111111111111111111111111111111111111111111111111011111010011101111011111001 -11011111111111111111011111111110001000011101111111111111111111111111111111111111 -11111101111111111111111111111111111111111110111101111111111101111111111111101111 -1111111111111111111111111111111111111111111111011011111111011011110111111001 -11111111111111111110111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111110011011111111111111111110111111111 -1111111111111111111111111111111111111111111111011111111100011111111111111111 -11111111111111111011111111111111111111111111111111111111111111111111111111111111 -11101011111111111111111111111111111111111111011111111111111110101111111111111111 -1111111111111111111111111111111111111111111111111111111111011111111111111011 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111110111111111111111111111111111111111111111111111111111 -1011111111111111111111111111111111111111111111011011111111111111111011111111 -11111111111111111111111111111110001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111001011111111111 -0111111111111111111111111111111111111111111111100011111111111111110111111011 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111101111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111110110111111111110011111111111111111111111001 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110110011111111111 -1111111111111111111111111111111111111111111111110011111111111111111111111101 -11111111111111111111111111111110001111111011100111111111111111111111111111111111 -11111111111111111111111111100111111111111111111110011111111110111111111111110001 -1111111111111111111111111111111111111111111111100011111110011111111111111111 -11011111111111111111111111111110001111111101110111111111111111111111111111111111 -11111111111111111111111111100111111111111111111110011111111110111011111111110101 -1111111111111111111111111111111111111111111111100000011111011111111111111110 -11111111111111111111111111111111001111111111101111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -0111111111111111111111111111111111111111111111111111110110111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111110111001011110101111 -1111111111111111111111111111111111111111111111100011111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111101111111111111111111111111111111111111111111111111111111011111111111111111 -0111111111110111111111111111111111111111111111110011111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111101111111111111111111111111111111111111111111111111111111111111111111111110 -0111111111111101111111111111111111111111111111110011111111111111111111111111 -11111111111111111111111111111110001111111111111111111111111111111111111111111111 -11111011111111111111111111111111111111111111111111111111111101111111111111111011 -1111111111111111111111111111111111111111111111011111111111111111111111111111 -11111111111111111111111111111110111111111111111111111111111111111111111111111111 -11101111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111110011111111111111111111111111 -11111111111111111111111111111111111111111011111111111111111111110111111111111111 -11111111111111111111111111111111111111111111111111011111111111110100111111111111 -1111111111111111111111111111111111111111111111111110011101011111111111111111 -11111111111111111111111111111110001000011101111111111111111111101111111111111111 -11111111111111111111111111111111111111111111111110111111111111111011011111111111 -1111111111111111111111111111111111111111111111111110111111011111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111011111111111 -1111111111111111111111111111111111111111111111111110110111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111110110111111111111111111 -11111111111111111111111111111111111111111101111111111111111111111111111111111111 -11111111111111111111111111110111111111111101001111111111111111111111111111101111 -1111111111111111111111111111111111010111111111111111111111011111111111111111 -11111111111111111111111111111110001111111101111111111111111111111111111111111111 -11111111111111111111111111110111111111111110110111111111111111111111111111110111 -1111111111111111111111111111111111100111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111111111111111111111 -11111111111111111111111111101111111111111111110111111111111111111111111110110111 -1111111111111111111111111111111111111111111111111111111110111111111111111111 -11111111111111111111111111111111001111101011111111111111111111111111111111111111 -11111111111111111111111110111111111111111101110111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111011111111110001111111011111111111111111111110111111111111111 -11111001011111111111111111100111111111111111111110011111011111111111101001111111 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111101111111111111111111111111111111111111 -11111001110111111111111111110111111111111111111110011110011111111111101101111111 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111111111111111111111111101111111111111111 -11111111111111111111111111111111111111111111111111111111110111111111111101111010 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111110111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111101111111111111111111111111111111111111111110011111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111110101111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111110110111111111111 -0111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111101111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111011011111111111 -0111111111101111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110001111111011111111111111111111111111111111111111 -11111111111111111111111111110111111111111111111111111111111111110101011111111010 -1111111111111101111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110111111111111111111111111111111111111111111111111 -11111111111111111111111111110111111111111111111111111111111111111111011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111110111111111111111011111000011001011100110111101111101101111111 -11111101010111111100111111111101111100111111111101011111111111111111101011100011 -1111111111110101111111111111111111111111111111111111111011111111111111111111 -11011111111111111111011111111110001011011100110011010100001111110111111101010111 -11111010110111110100111111111010011101111111111111011111111111111111111101110111 -1011111111111001111111111111111111111111111111111111111111111111111111111110 -11111111111111111111111111111111001101011010111111011100111101111111101011011111 -11111111101111110100111111111110111111111111111110111111111111111111110111111101 -0111111111111111111111111111111111111111111111111111111101111111111111111111 -11111111111111111111111111111111110110011110100100111000011101111111110111111110 -11011110111111111100111111111011111011111111111111111111111111111111111110111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111010111111111111101001101111101100110111111101111110010011 -11001111101011110100111111111101111101111111111011111111111111111111111111011111 -1111111111111111111111111111111110111111111111111111111111111111111111111111 -11111111111111111111111111111010001111111001110111001000001111111111011101100010 -11000111110101001000111001111111001001111111111101111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111101110111001111111111110111011100111100110111011111111011 -11011101110011011100011111111111011111111111111111111111111111111111111111110111 -1111111111111111111111111111111111010111111111111111111111111111111111111111 -11111111111111111111111111011111001111110111110111111110111111111111011111110011 -00111111110111111100111101111111011111111111111111111111111111111111111111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -01111111111111111111111111111110001011111111111111111000100111100111101111100011 -11111111111111111011111111111111111111111111111110011111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111101011111111111111111 -01011111111111111111111111111110001111111111111111111000101101100111111110100011 -11011111111111111001111111111111111111111111111110011111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111011111111111111110 -11111111111111111111111111111111001011011111111111111100101001111111111101110010 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111111111111111111111011111111111111010111101111111110111110011 -10011111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111110111111111111111111 -10111110111111101111011111111111111111101001110111111100111111110111011111110110 -01101001110111111111101111111111111111111111111111011111111111111110111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111110111110010111111111111111001011010001110111101100111001111111011111110010 -11110101110111111111101101111111111101111111111110011111111111111111011111111111 -1111111111111111110111111111111111111111111111111111111111111111111111111111 -11111111111101111111111111111110001111101101110111010100101111111111011111010011 -11011110110111110111110001111111111111111111111111111111111111111011111111111111 -1111111111111110111111111111111111111111111111111111111111111111111111111111 -11111111111111110110111111111110111100111111101111111110111101101110111111110111 -11111011111111111111111111111111111101111111111011111111111111111111011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111111111111111111111110101010111011100101111010111111100111101 -11111100110110011111111011111111100111111111111110011111111111011111100100111111 -1111111111111111111111111111111111111111111111111111111111011111111111111111 -01011111011111111111111111111101111000011001110110000100101111110111111101010011 -11111011001111000111111101111111111111111111111110011111111101001111111101111111 -1111111111111111111111111111111111111111111111111111111110111111111111111110 -11111011011111111111111111111111111111111111111111110100011101111111111011110101 -11011111111111111111111111111111111101111111111111111111111111001111111001011111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111101010011111111111111111110111111111111101111111100110101101111111111100111 -11011111111111111011101101111111111110111111111111111111111110011111110101111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -10111111011111010110011111111111111011111101111011110100111010100111111101111111 -11111111111001011111111111111101011001111111111111101111111111011111111111111111 -1111111111111111111111111111111111011111111111111111111111111111111111111111 -11111111110010110110011111111110111001111111111111111000111101010111111000100011 -11111101111111111010000111111111011100111111111111111111111110001111111111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -11111111110011110111111111111111101111011111111101011100111110110111111111110111 -11101101111110001111111101111110111111111111111111111111111111001111111111110111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111110011101111111111111111111011011011111111101000111111101111111111110111 -11101111111111111011111111111111111111111111111111110111111110011111111111110111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111101111111111111111111110000110111100111111111011100111101011111110101110 -11111111111001111000111111111111111111111111111111111111111110001111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01011111101011111111111111111110001111011000111111001011101111110001111101101110 -11011111111111111000111111111111111111111111111111111111111110001111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111110 -11111111111111111111111111111111001111011110110111111110111101111011111111111110 -00011111111111111100111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111111111111110101111101110011111111110101101111001111111101111 -11011111111111111011111111111111111111111111111111111111111111001111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -10111111111111111111111111100111001011110100111001111111011110100001011100111111 -10111111010111011100111111111111111101111111111111111111111111001111111111111111 -1111111111111111111111011111111111111111111111111111111111111111111111111111 -11111111111111111111111111110011001011011001111111111111101111100011011001111110 -11011111110011011100111111111111111100111111111111111111111111001111111111111111 -1111111111111111111111011111111111111111111111111111111111101111111111111111 -11111111011111111111111111111101001000011111110111010111110100110111011111011110 -11111111111110110100111111111111111111111111111111111111111101111111111111111111 -1111111111111111111010111111111111111111111111111111111111111101111111111111 -11111111111111111111111111111111001111011111010111101111111111011100111111111111 -01011111101111111111111111111111111011111111111111111111111111001111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111010111011111111111001010110101111111110111111111111011111101111111 -11111111110111011111011011111111111111111111111110001111111111111100011110111111 -1111111111111111111111111111111111111011111111111111111011011111111111111111 -11111111111110110111111111111101101011011101111111110111111111111011111111010000 -11111111110111011111100101111111111111111111111111010111111111111011011111011111 -1111111111111111111111111111111111111101111111111111111011111111111111111111 -11111111111101110110111111111111001101011111111111011111111111111101011111011111 -01011111101111111111111101111111111111111111111110101101111111111111011110110111 -1111111111111111111111111111111111111011011111111111111111011111111111111111 -11111111111111100111111111111110001111111011111011111011111111111111111011111111 -11111110111110011111111111111111111111111111111111111111111111110111011111111111 -1111111111111111111111111111111111111111111111111111111100111111111111111111 -11111111111111111111011111111111001111111101110101111111111110110011111111011111 -11101110111111111111111110111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111110111111111110001111111111110111111011100101111101011111100010 -01010110111111111111111100011111111111111111111011111111111111111111111111111111 -1111111111111111111111111111111110111111111111111111111111111111111111111111 -11111111111111111111111111111111001111111110111111011110101110010011111111110010 -10111101111111111111111111110111111111111111111111011111111111111111111111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -11111111111111111111111111111111001111111010100111111111111001101010111111110011 -11011100011111111111111011111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111110110111111110010111011000110011111111101100101111 -10111101100011111111111111111111111111111111111111011111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111011111111111111111111 -11111111111111111111111111111110111011011111010011001000101101111111111100101000 -01011111110111111111111111111111111111111111111111011111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111101111111111111111111 -11111111111111111111111111111111011100011110110111011100111111111111111001111010 -11011011101101011111111111111111111111111111111110111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111011111111111111111 -11111111111111111111111111111110011011011111101110111000101101111111110111110011 -11011111111011111111111111111111111111111111111011111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111011111111111111111 -11111111111111111111111111111111111011101101111011111110100111111111100111111111 -11101111111011001111111111111111101111111111111011011111111111111111111110111111 -0011111111110110111111111111111111111111111111111111111111101111111111111111 -11111100111111111111111111111111011111010111111111101110101100111111101101111000 -11011110111110011111111111111111111110111111111100111111111111111111111111111111 -0111111111111101011111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111101111110011111111111010110111001110111110101011001 -11011111110111011111111111111111110101111111111111001101111111111111111111010110 -1111111111111110110111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111011111101111110111111111111111111111111 -00010111111001111111111111111111111111111111111111011111111111111111111111110111 -1111111111111111111111111111111111111111111111111111111111110111111111111111 -11111111111111111111111111111101101111111101111111111111100111111111111111110110 -00111001111111111111111111111111111111111111111011011111111111111111111111111111 -1111111111111101111111111111111110111111111111111111111110011111111111111111 -11111111111111111111111110111101000001011101111111110111101111110111111111010110 -11011101111111111111111111110111111111111111111101011111111111111111111111111111 -1111111111111101111111111111111111111111111111111111111111011111111111111111 -11111111111111111111111111111111001110011011111111111101111101111111111111111110 -11011011111111111111111111111111111111111111111110011111111111111111111111111111 -1111111111111011111111111111111111111111111111111111111110111111111111111111 -11111111111111111111111111011110001011001111111111111011111110111111111111101111 -11011111111111111111111110111111111111111111111011011111111111111111111111111111 -1111111111101111111111111111111111011111111111111111111111111111111111111111 -11111111111111101111111111111111001111111001111111111111111111110110111111111111 -11011001111111111111100110100111111111111111111111111111111111110111101111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111110111111111111110000011011001111111111011111111110111011111111111 -11111101111111101111101111110111111111111111111111111111111111111011110111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111101111111111111111111001100011111111111111111011111111011011111111111 -11011111111111111111111101110111111111111111111111111111111111111111011111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111110111111111111111001111111111111111111111111100101111111111101111 -11111011111011111111111111000111111111111111111111111111111111111101111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111101011011111110001110111111111111111010110111111111111101101110 -11111101110111011111111111111011111001111111111111011111111111111111101111110111 -1111111111111111111111111111111111110111111111111111111010011111111111111111 -11111111111111111111011101111110000111011111111111111011101111111111101100111110 -11011111111001111111111111111111110101111111111110111111111111111111110111110111 -1011111111111111111111111111111111111111111111111111111100011111111111111111 -11111111111111111110111111111111001111011111111111111111111111111111101101111110 -11011011101111011111111111111101101101111111111111111111111111111111111101111111 -0111111111111111111111111111111111111111111111111111111111011111111111111111 -11111111111111111111111111111110001111111111111111111011111111111111110011101111 -00011111111101011111111111111111111111111111111111111111111111111111111100101111 -1111111111111111111111111111111111101111111111111111111111011111111111111111 -11111111111111111111111111111111001111101001111111111110101011110111010111111110 -11111001011111001111111110110111111111111111111111101111111111111111111111111111 -1111111111110111111111111111111111111111111111111111111111101111111111111111 -11111111111111111111011111111111001111111101111111111111111101111110101111111110 -11111001110111111111111101111111111111111111111011111111111111111111111111111111 -1111111111111101111111111111111111111111111111111111111111101111111111111111 -11111111111111111111111111111101001111111101111111110111101101111111111101011111 -01011111111111111111101011111111111111111111111111011111111111111111111111111111 -1111111111111110110111111111111111111011011111111111111111110101111111111111 -11111111111111111011111111111111101111110001111111111111111101101111101111110111 -11111111111111111111111101001111111111111111111111110111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111111111111111111 -01111111111111111111110111111111101111111111111111111111111111111111111111111110 -11101111111111111111111111111111111111111111001011110000110111111111111011111001 -1111001111111001111110111111111111111111111111111011111111111111111111111001 -01011111111111111111101001111101001111111111111111011111111111111111111111111110 -01010111111111111111111111111111111111011100110011101101110001001111011100101101 -1101001111111001111111111111111111111111111111011011111111111111111111111001 -11111111111111111111111011111111001111111111111011111111111111111111111111111111 -11011101111111111111111111111111111111111111110111011110010101011011101100011111 -0111001111111111111111011111111111111111111111011011111111111111111111111111 -01111111111111111111101111111110101111111111111111111111101101111111111111111111 -10111101111111111111111111111111111111101111111101101111101111011110011110110111 -1110001111111111111111111111111111111111111111111011111111111111111111111011 -10111111111111111111111111111111101111111001111011111111111110110110101101111111 -11111111111111111111111110111111111111111110111110011110010101001101101101111010 -1011111111111111111111011111111111111111111111010101011111111010011111111111 -11111111111111111111101111111111001110011001110101101111111111010111100000111111 -11111111111111111111111111011111111111101110111111011101110111001011011011110111 -0110000111111111110111011111111111111111111111110110100111111101111111111011 -11111111111111111111111101111111001011111110111111011111111110110111011101111111 -11111111111111011111111110110111111111110111010111111101010111001111100101110111 -0111000111011111111011111111111111111111111111111011110111111101010111111001 -11111111111111111111111111111110101111011111111111111111111110101111111111111111 -11111111111011111111111111111111111111101111111110101111100111001110111111110101 -1110111111011111111110111111111111111111111111111010110111111111111111111101 -11111111111111111111111111111110111101101111111111001111111111111111111101111111 -11111111111111111111111111111111111111101011001111101111110010100111111110111011 -0110111111111111110111001111111111111111111111100010111111111100101111111111 -11011111111111111111111111111111111011011111111111011111111101111111111100111111 -11111111111111111111111111111111111111101010110111111111100110101111111111111101 -1110000111111111111110011111111111111111111111110010110111111111111111111110 -11111111111111111111111111111111111011111101111110111111101111111111111101111111 -11111111111111111111111111111111111111110011111111111111111111101111011111111111 -0111001111011111111111111111111111111111111111110010010111111111110111111111 -11111111111111111111111111111110111110010101111111111111111001111111111001111111 -11111111111111111111111111111111111111100011111111111111111111101011011111111010 -1111111111111111111111111111111111111111111111110011100111111111011111111111 -11111111111110111111111111111111101111111101110111111111111110111111011111111111 -11110111111111111111111111111111111111111011111111011101110111001111100111110111 -0111101111101001110111001111111111110111111111111110011111111101111111111111 -11111100111110110111011111111111101111111011111111111111101101111111011111111111 -11111100111111011111111111111111111111111011110111111111110111001111111101110111 -1111101111110001111110011111111111110111111111110010110111111111111111111111 -11111111111110000111111111111101101111111111110111111111101110010111011111111111 -11111111110011111111111111111111111111010011111111111100111101111011111111110111 -1101101111111101110111111111111111101111111111010010100111111101111111111111 -11111111111111110011111111111111101111111111111111111111110001111110111111111111 -11111111111111111111111111111111111111110011101110111111101111111111010111101110 -1111101111111101111111111111111110111111111111111111110111111111111111111111 -01111111010011111111101101100011111101101111111111111111111111111111111111111111 -11101111111011111111111111111111111111110001111111111110010111101111111110111111 -1111111100111111111111111111111111111111111111110011101101011111111111111001 -01011111011111111111110111110111110011111111111111110101111111111111111111111111 -11101111111101111111111111111111111111010010011111111110100101001001111111111111 -0011110011011111111111111111111111111111111111011111110111011111111111111001 -11111000110011111111111111111111111111011101111111110101111111111111111111111111 -11110101111111001111111111111111111111110011110111111111110111001111111111111111 -1111110111011111111111111111111111111111111111011111111111111111111111111111 -01111101100011111111111011111111111111110101111111111111111111111111111111111111 -11111111111111111111111111111111111111100011111111111111111010101111111111011111 -1111111111011111111111111111111111111111111111010111111110111111111111111011 -10111111110011110111111111111111111111111001111111110111011111111111101111111111 -11111111111111111111111110010111111111111111111111011111111111101111101111111111 -1111111111101111111111111111111110111111111111010011001011011111111111111111 -11111111100010100111111111111111111111111101111111111111111111111111111111111111 -11111111111111111111111111110111111111100000111011111111111110001111010111111111 -1111111111111111111111111111111111011111111111100010110100011111111111111011 -11111111110010110111111111111111111111111111111111111100111100110111110100111111 -11111111111111111111111110100111111111110011110111011111111111000111111111111111 -1111111111100101111111111111111111110111111111110010111111111111111111111001 -11111111100011010111111111111111111111111011111111111100111111111111111111111111 -11111111111111111111111111011111111111101111011110111111111110101110011111111111 -1111111111111111111111111111111111110111111111110111110011111111111111111101 -01111111100011111111111111100111111111101111111111111010111111111111111111111110 -11101111111111111111111111111111111111100011001011101111110010001101111101111111 -1111111111110101101011101111111111111111111111101111111111111111111111111111 -01011111100011111111111111110011111111111111111111111010111001111111111111111110 -00011111010111111111111111111111111111100010110011111111100110001011111111111111 -1111111111111001100101011111111111111111111111100111111111111011111111111110 -11111111110111111111111111111111111111111101111111111111101111111111111111111110 -11010100111111111111111111111111111111110011111111001101111111001111111011111111 -0011111111111111111111001111111111111111111111110011111111111111111111111111 -01111111100011111111111111111111111111110101111111111111111101111111111111111111 -11011101101111111111111111111111111111101111111101101111111110001011011111111111 -1111111111111110111111111111111111111111111111110011111111101111111111111111 -10111111110011111111111111111111111110111101110111111110111011110111111111111110 -11101111110011111111111111110111111111110010001011110101111111001111111100111011 -1111111011111110010111111111111111111111111111111010111111111111111111111111 -11111111110011111111111111111111111011011101111111111110101101101111111111111110 -01111111110101111111111111110111111111110010110111111101111111001111111111111111 -1111111001111111110111111111111110110111111111110010110111111111111111111111 -11111111010011111111111111111111111101111111101111110111110111111111111111111111 -11000101111111011111111111101111111111010010110111111111111101101011111111110111 -0011111111011111111111111111111111110111011111010010000111111111111111111111 -11111111010011111111111111111111110111001011111111111111111111111111111111111110 -11011110101111011111111110111111111111111111110101111011111111001111011011010101 -1111111111111111001111111111111110111111011111110011110111111111111111111111 -11111111111111000111111111111111011111111101111111111111100111111111111111110011 -11101110111111111111111110111111011111110011001111111111111111101100011111111111 -0011001111111111111111111111111111111001011111110010111111111111111111111001 -11011111111110110111111111111101111111111101111111110111101111111111111111010011 -11111111011111111111111111011110011111010000110111111111111101001011011110111111 -0101001111111111111111111111111111111111011111010010010111111111111111111001 -11111111111101110111111111111101001111111111111111110100111101111111111111110011 -11110111111111111111111111110111111111110011110111111111111101001111011111110111 -1111101111111111110111111111111111111100111111100010110111111111110111111111 -11111111111111110111111111111101011111101011111111111100111111111111111111100011 -11111111111111111111111111110011111111100011111111111111111111101011011111111110 -1110101111111111110111111111111111111111111111010011100111111110111111111011 -11111111111111111111111111111101001011101001111111110111111110100111100111110011 -11111111101111111111111111110111111111111011111001111101111101101101101101111111 -1111001111111111111111111111111111110111111111010010111111111111110011111111 -11111111111111111111111111111110111001010101111111111100111111110111110111110011 -11111111110111111111111111110111111111101010000011011101111111001011011111111111 -1111001110011111111111111111111111100111111111100010111111111111100111111011 -11111111111111111111111111111111001111011011111111111100111111110111111101111111 -11111111111111111111111111111111111111110010111111011011111111001011111101111111 -1111101011111111111111111111111110110111111111111011110111111111110111111001 -11111111111111111111111111111111001110111111111111111111111111000111111111101111 -11111111111111111111111110101111111111110011110101101111111111101110010001111111 -1111101111011111111111111111111110111111111111110011011111111110111111111101 -01111111111111111111111101111110111111111111111111111011011111111111001100100011 -11011111100111001111111111111111111111101111001110011111111010001111111111111001 -1110111110111111110111111111111111010111111111101101111111111111111111111001 -01011111111111111111111111111110000110011111111111111011111101111111111100110010 -11011111100111011111111111111111111111101110110111011101110111001111111111111111 -1111001111011111110111111111111111110111111111101110111111111111111111111001 -11111111111111111111111011111111001011011111111111111101101101111110111101111111 -11011111111110111111111111111111111111110111111110111111111111001111111111111101 -0111001111111111101111111111111111111111111111111110010111111111111111111110 -01111111111111111111111111111111111111011111111111111111111001111111110011001101 -00011111111111111111111111111111111111101111111111101111111111001011011111111111 -1111111011011110111111111111111111101111111111110011110111111111111111111011 -10111111111111111111111110111111101111111001111111001111111111111111111111111110 -10111110111111001111111110100111111111111111111010011110111111001011111101111111 -1111111111111111111111111111111111110011111111110111111111101111111111111111 -11111111111111111111111111111111101111111101111111011111111001111111111101110010 -11011111111111011111111111000111111111111110011110011111111111001111111110111111 -1011111111111111111111111111111111100011111111110111111111111111111111111011 -11111111111111111111111111110101001111111011111110110111101111111111111111010011 -11011111011111111111111110110111111111011111111011011111110101000111011111110111 -0101111111111111111111111111111111111101011111010111111111111111111111111001 -11111111111111111111111110011111001111111111111111111111111101111111111101111111 -01111111111110111111111111111111111111111111111101111110011111101111011011111111 -1111111111111111111111111111111110111111111111110111111111110111111111111111 -11111111111111111111111111111111111011111111111111101100100111111111111111110011 -11111111111111111111111111100111111111111111111111111111111111101111101111111111 -1111111111111001111111111111111111110111111111111110111011111111110111111111 -11111111111111111111111111111111111000011111111111110100101001111111111110010011 -10011111111111111111111111110111111111010011100111111111111101101001101111111111 -0111111111011101111111111111111111110111111111111110000011111111111111111111 -11111011111111111111111111111111111111011111111011110100101101111111111111110011 -11111111111111111111111111101111111111110010111111111111111101111111110101111010 -1111110111111011111111111111111111111111111111111111110111011110111111111111 -11111101111111111111111111111111111011011111111111111100111101111111101111110010 -11011111111111111111111111111111111111111011110111111111111111110111011111111111 -0111111110011111111111111111111110101111111111111110110101111111111111111111 -11111111111111101111111111111111111111111111101111110100101110111111111110110011 -11101111111111101111111110110111111111111111111110011011111101100110111001111111 -1011111111111101110011111111111111111111111111111110111111111111111110111111 -11111111111111110111111111111111111111111100110111111000101101111111111111110011 -11111111111111111111111111101111111111111111111111001001111111101111011001111001 -1111111111111111111111011111111110111111111111111111111111111111111100111111 -11111111111111111111111111111111111111111110010111111100100000010111101111101111 -11101101111011111111111110110111111111101111111111100111111111111111011111111011 -1111111111111111111011111111111111110111111111111110110111111111111111111111 -11111111111101110111111111111111111111101111111111111100111101111111111111111011 -11110111111111111111111111011111111111111111111110101101111111111111111111111001 -1111111111111010111110111111111111111111111111111111110111111111111111011111 -11111111111111111111111111111111111111111111010111111000111111111110011111111111 -11111111110111111111111111111110011111110101111111011111111110111110111111010111 -1111111111111110110111011111111111110111111111111111111111101111111111111111 -11111111111111111111111111111111111111111111110111111011111111111111011110111100 -10011111100111111111111111111111011111111110110111111101111111111111011111110111 -1111111111111110110111111111111111101111111111111111111111111110101111111111 -11111111111111111111111111111111111111111111111111111111101111111110111101111011 -11011111111111111111111111111110111111111110110110111111111111111111111111111111 -1111111111111111110011111111111111111111111111111111111111111101110111111111 -11111111111111111111111111111111111111111111101111111100111101111111111111111010 -01011110111111111111111111111111111111110111000111101111111111111111111111101111 -1111111111111110001111111111111111111111111111111111111111110101011111111111 -11111111111111111111111111111111111111111001111111011100111110111111111100110011 -11111101110111111111111110100111111111110011011110011111110011001011101111111111 -1111111111111100111111111111111110110011111111111101111111101111100111111111 -11111111111111111111111111111111111111111101111111111110111111011111111111111111 -11111101100111111111111111000111111111110000100111011111110111011111011111111111 -1111111111111001111111111111111111010011111111111110011111101111110111111111 -11111111111111111111111111111111111111111111110011110111111110110111111101011011 -11111011111111111111111111110111111111010010110111111111101101111111010101110111 -1111111111111111011111111111111111101101011111111111110111110101111111111111 -11111111111111111111111111111111111111111011110111111100111111111111101111111011 -11101110111111111111111111110111111111110011110110111111111111100110011101110111 -1111111111101111111111111111111110111111111111111111111111111111110111111111 -11111111110111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111110111111111111111111111111111111111111111 -11111111111111111111111111111111111011111111111111111111111111111111111111111111 -11111111111111111111111111110111111111111111111111111111111111111111111111110111 -1111110111111101111111111111011111111111111111111111111111111111111111111111 -11111111011111111111111111111111111011111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111110101111011 -1111111111110101111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111101111111111111111111111111111111 -1111110111111111111111111111011111011111111111111111111111111111111111111111 -11111111101111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111011111111111111011111111 -1111111111111011111111111111111111001111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111001111111111111111111110111111101111111111111111111111 -1111111111110011111111111111111111101111111111111111111111111111111111111111 -11111111011111111111111111111111111111111111111111111111111111111111111111111110 -11111111111111111111111111111111111111010111111111111111111111111111110110111111 -1111111111110111111111111111111111011011111111111111111111111111111111111111 -11111111111111111111111111111111111111111111101111111111111111111111111111111111 -11111111111111111111111111011111111111111111111101010111111111111111111111101011 -1111111111110111101011111111111111010111111111111111111111111011111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111110101011 -1111111111111111101011111111111111111011111111111111111111111011111111111111 -11111111111111111111111111111111111111111111110111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111110101111111111111111111110111 -1111111111111111110111111111111111111111011111111111111111111101111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111011111111111111111111111111111110111 -1111111111111111110111111111111111111111011111111111111111111001111111111111 -11111111111111111111111111111111111111111111101011111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111011111111111111111111101111 -1111111111111111101111111111111111111110111111111111111111111011111111111111 -11111111111011111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111110111111111111111111111111111111101111 -1111111111111111101101111111111111111110111111111111111111111111111111111111 -11111111110111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111111111111111111111111111111111111111111111110111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -11111111111111111111111111111111111111111111111111111111111111111111111111111111 -1111111111111111111101111111111111111111111111111111111111111110111111111111 -11111111111111111111111110111100101001001111001111111111111111111111101111111111 -11111111111011111111111111111111101111111111111111111110111111111111111111111011 -1111111111111111111011111111111111111111101111111111111111111110111111111111 -11111111111111111111111110111100101100110111011101110010100100111100111111111111 -11111111111011110010100100111101111011001100010011110110101100110001001111001011 -1100110001001111011010110011000100111101101011001010010011110111011111111111 -11111111001010010011110111101100101001001111001111111111111111111111101111111111 -11111111111011111111111111111111101111001100010011110110101111111111111111111011 -1111111111111111111011111111111111111111101111111111111111111110111111111111 -11111111001011111111011110111100110111111101111011111111111111111111101111111111 -11111111111011111111111111111111101111111111111111111110111100110001001111011010 -1100110001001111011010110011000100111101101011001010010011110111011111111111 -* -C489E* -N User Electronic Signature Data* -U00000000000000000000000000000000* -46DE diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.log b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.log deleted file mode 100644 index d0353b8..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.log +++ /dev/null @@ -1,4 +0,0 @@ ----- MParTrce Tool Log File ---- - -==== Par Standard Out ==== -==== End of Par Standard Out ==== diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lpf b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lpf deleted file mode 100644 index 2743d95..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lpf +++ /dev/null @@ -1,4 +0,0 @@ -#BLOCK ASYNCPATHS; -#BLOCK RESETPATHS; - -#FREQUENCY 200.000000 MHz; diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lsedata b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lsedata deleted file mode 100644 index f0b54bc..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lsedata +++ /dev/null @@ -1,5981 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp deleted file mode 100644 index 279152d..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp +++ /dev/null @@ -1,402 +0,0 @@ - - Lattice Mapping Report File for Design Module 'RAM2GS' - - -Design Information ------------------- - -Command line: map -a MachXO -p LCMXO640C -t TQFP100 -s 3 -oc Commercial - RAM2GS_LCMXO640C_impl1.ngd -o RAM2GS_LCMXO640C_impl1_map.ncd -pr - RAM2GS_LCMXO640C_impl1.prf -mp RAM2GS_LCMXO640C_impl1.mrp -lpf C:/Users/Dog - /Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1. - lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/RAM2GS_L - CMXO640C.lpf -c 0 -gui -msgset - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml -Target Vendor: LATTICE -Target Device: LCMXO640CTQFP100 -Target Performance: 3 -Mapper: mj5g00, version: Diamond (64-bit) 3.12.0.240.2 -Mapped on: 08/16/21 21:33:30 - -Design Summary --------------- - - Number of PFU registers: 102 out of 640 (16%) - Number of SLICEs: 65 out of 320 (20%) - SLICEs as Logic/ROM: 65 out of 320 (20%) - SLICEs as RAM: 0 out of 192 (0%) - SLICEs as Carry: 9 out of 320 (3%) - Number of LUT4s: 129 out of 640 (20%) - Number used as logic LUTs: 111 - Number used as distributed RAM: 0 - Number used as ripple logic: 18 - Number used as shift registers: 0 - Number of external PIOs: 67 out of 74 (91%) - Number of GSRs: 0 out of 1 (0%) - JTAG used : No - Readback used : No - Oscillator used : No - Startup used : No - Number of TSALL: 0 out of 1 (0%) - Notes:- - 1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of - distributed RAMs) + 2*(Number of ripple logic) - 2. Number of logic LUT4s does not include count of distributed RAM and - ripple logic. - Number of clocks: 4 - Net RCLK_c: 39 loads, 39 rising, 0 falling (Driver: PIO RCLK ) - Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 ) - Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS ) - Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS ) - Number of Clock Enables: 13 - Net PHI2_N_114_enable_7: 2 loads, 2 LSLICEs - Net RCLK_c_enable_6: 1 loads, 1 LSLICEs - Net RCLK_c_enable_4: 3 loads, 3 LSLICEs - Net RCLK_c_enable_24: 2 loads, 2 LSLICEs - Net RCLK_c_enable_3: 1 loads, 1 LSLICEs - Net RCLK_c_enable_7: 1 loads, 1 LSLICEs - Net RCLK_c_enable_23: 8 loads, 8 LSLICEs - Net PHI2_N_114_enable_1: 1 loads, 1 LSLICEs - Net PHI2_N_114_enable_8: 1 loads, 1 LSLICEs - Net PHI2_N_114_enable_6: 2 loads, 2 LSLICEs - Net PHI2_N_114_enable_2: 1 loads, 1 LSLICEs - - Page 1 - - - - -Design: RAM2GS Date: 08/16/21 21:33:30 - -Design Summary (cont) ---------------------- - Net RCLK_c_enable_25: 1 loads, 1 LSLICEs - Net Ready_N_268: 1 loads, 1 LSLICEs - Number of LSRs: 9 - Net RASr2: 1 loads, 1 LSLICEs - Net C1Submitted_N_225: 2 loads, 2 LSLICEs - Net n2299: 1 loads, 1 LSLICEs - Net nRowColSel_N_35: 1 loads, 1 LSLICEs - Net nRowColSel_N_34: 1 loads, 1 LSLICEs - Net LEDEN_N_88: 1 loads, 1 LSLICEs - Net n2291: 2 loads, 2 LSLICEs - Net Ready: 7 loads, 7 LSLICEs - Net nRWE_N_173: 1 loads, 1 LSLICEs - Number of nets driven by tri-state buffers: 0 - Top 10 highest fanout non-clock nets: - Net Ready: 19 loads - Net InitReady: 17 loads - Net RASr2: 16 loads - Net nRowColSel_N_35: 14 loads - Net nRowColSel: 13 loads - Net Din_c_6: 9 loads - Net MAin_c_1: 9 loads - Net Din_c_5: 8 loads - Net FS_11: 8 loads - Net MAin_c_0: 8 loads - - - - - Number of warnings: 0 - Number of errors: 0 - - -Design Errors/Warnings ----------------------- - - No errors or warnings present. - -IO (PIO) Attributes -------------------- - -+---------------------+-----------+-----------+------------+------------+ -| IO Name | Direction | Levelmode | IO | FIXEDDELAY | -| | | IO_TYPE | Register | | -+---------------------+-----------+-----------+------------+------------+ -| RD[7] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[6] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[5] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[4] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[3] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[2] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ - - Page 2 - - - - -Design: RAM2GS Date: 08/16/21 21:33:30 - -IO (PIO) Attributes (cont) --------------------------- -| RD[1] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RD[0] | BIDIR | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[7] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[6] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[5] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[4] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[3] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[2] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[1] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Dout[0] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| LED | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RBA[1] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RBA[0] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[11] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[10] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[9] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[8] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[7] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[6] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[5] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[4] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[3] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[2] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[1] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RA[0] | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nRCS | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RCKE | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nRWE | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ - - Page 3 - - - - -Design: RAM2GS Date: 08/16/21 21:33:30 - -IO (PIO) Attributes (cont) --------------------------- -| nRRAS | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nRCAS | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RDQMH | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RDQML | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nUFMCS | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| UFMCLK | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| UFMSDI | OUTPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| PHI2 | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[9] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[8] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[7] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[6] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[5] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[4] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[3] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[2] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[1] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| MAin[0] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| CROW[1] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| CROW[0] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[7] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[6] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[5] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[4] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[3] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[2] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[1] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| Din[0] | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ - - Page 4 - - - - -Design: RAM2GS Date: 08/16/21 21:33:30 - -IO (PIO) Attributes (cont) --------------------------- -| nCCAS | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nCRAS | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| nFWE | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| RCLK | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ -| UFMSDO | INPUT | LVTTL33 | | | -+---------------------+-----------+-----------+------------+------------+ - -Removed logic -------------- - -Block i2 undriven or does not drive anything - clipped. -Block GSR_INST undriven or does not drive anything - clipped. -Signal PHI2_N_114 was merged into signal PHI2_c -Signal nCRAS_N_9 was merged into signal nCRAS_c -Signal nCCAS_N_3 was merged into signal nCCAS_c -Signal n2302 was merged into signal nRowColSel_N_35 -Signal nRWE_N_172 was merged into signal nRWE_N_173 -Signal n2307 was merged into signal Ready -Signal RASr2_N_63 was merged into signal RASr2 -Signal n1377 was merged into signal nRowColSel_N_34 -Signal n2306 was merged into signal nFWE_c -Signal UFMSDO_N_74 was merged into signal UFMSDO_c -Signal GND_net undriven or does not drive anything - clipped. -Signal VCC_net undriven or does not drive anything - clipped. -Signal FS_577_add_4_14/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_16/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_12/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_2/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_4/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_6/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_18/CO1 undriven or does not drive anything - clipped. -Signal FS_577_add_4_18/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_8/CO0 undriven or does not drive anything - clipped. -Signal FS_577_add_4_10/CO0 undriven or does not drive anything - clipped. -Block i1962 was optimized away. -Block i1961 was optimized away. -Block i1963 was optimized away. -Block i1070_1_lut_rep_25 was optimized away. -Block nRWE_I_49_1_lut was optimized away. -Block i604_1_lut_rep_30 was optimized away. -Block RASr2_I_0_1_lut was optimized away. -Block i1069_1_lut was optimized away. -Block i1_1_lut_rep_29 was optimized away. -Block UFMSDO_I_0_1_lut was optimized away. -Block i1 was optimized away. - -Run Time and Memory Usage -------------------------- - - Total CPU Time: 0 secs - Total REAL Time: 0 secs - Peak Memory Usage: 30 MB - - Page 5 - - - - -Design: RAM2GS Date: 08/16/21 21:33:30 - -Run Time and Memory Usage (cont) --------------------------------- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Page 6 - - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. - Copyright (c) 1995 AT&T Corp. All rights reserved. - Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. - Copyright (c) 2001 Agere Systems All rights reserved. - Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights - reserved. diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mt b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mt deleted file mode 100644 index 2d70ad1..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mt +++ /dev/null @@ -1,9 +0,0 @@ --v -1 - - --gt - - --mapchkpnt 0 --sethld diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.n2e b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.n2e deleted file mode 100644 index c5da0e1..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.n2e +++ /dev/null @@ -1,574 +0,0 @@ - -comp 0: SLICE_0 (FSLICE) - -comp 1: SLICE_1 (FSLICE) - -comp 2: SLICE_2 (FSLICE) - -comp 3: SLICE_3 (FSLICE) - -comp 4: SLICE_4 (FSLICE) - -comp 5: SLICE_5 (FSLICE) - -comp 6: SLICE_6 (FSLICE) - -comp 7: SLICE_7 (FSLICE) - -comp 8: SLICE_8 (FSLICE) - -comp 9: SLICE_9 (FSLICE) -n1361 = ((ADSubmitted*(~MAin_c_1+n2290))+ADSubmitted_N_234) -ADSubmitted.D = n1361 -ADSubmitted.CLK = ~PHI2_c -ADSubmitted.SP = VCC -ADSubmitted.LSR = C1Submitted_N_225 -n2080 = (~MAin_c_0*(~ADSubmitted*n2122)) - -comp 10: SLICE_14 (FSLICE) -n2386 = GND -C1Submitted.D = n2386 -C1Submitted.CLK = ~PHI2_c -C1Submitted.SP = PHI2_N_114_enable_1 -C1Submitted.LSR = C1Submitted_N_225 -n2098 = (MAin_c_0*(~C1Submitted*(MAin_c_1*n2108))) - -comp 11: SLICE_18 (FSLICE) -CmdEnable_N_236 = (ADSubmitted_N_234+C1Submitted_N_225) -CmdEnable.D = CmdEnable_N_236 -CmdEnable.CLK = ~PHI2_c -CmdEnable.SP = PHI2_N_114_enable_8 -CmdEnable.LSR = GND -XOR8MEG_N_112 = (~n2290*(CmdEnable*(MAin_c_0*~MAin_c_1))) - -comp 12: SLICE_19 (FSLICE) -n2387\000/BUF1 = VCC -CmdSubmitted.D = n2387\000/BUF1 -CmdSubmitted.CLK = ~PHI2_c -CmdSubmitted.SP = PHI2_N_114_enable_6 -CmdSubmitted.LSR = GND -n2308 = (~PHI2r2*(CmdSubmitted*PHI2r3)) - -comp 13: SLICE_23 (FSLICE) -Cmdn8MEGEN_N_248 = (~n2296*(~Din_c_5*~Din_c_0+Din_c_5*n8MEGEN)+n2296*n8MEGEN) -Cmdn8MEGEN.D = Cmdn8MEGEN_N_248 -Cmdn8MEGEN.CLK = ~PHI2_c -Cmdn8MEGEN.SP = PHI2_N_114_enable_6 -Cmdn8MEGEN.LSR = GND -n2296 = (~Din_c_4+(Din_c_6+Din_c_7)) - -comp 14: SLICE_25 (FSLICE) -n2387 = VCC -InitReady.D = n2387 -InitReady.CLK = RCLK_c -InitReady.SP = RCLK_c_enable_6 -InitReady.LSR = GND -RCLK_c_enable_24 = (~InitReady+(~PHI2r2*(CmdSubmitted*PHI2r3))) - -comp 15: SLICE_31 (FSLICE) -RA11_N_180 = (~n8MEGEN*(XOR8MEG@Din_c_6)+n8MEGEN*XOR8MEG) -RA_c.D = RA11_N_180 -RA_c.CLK = PHI2_c -RA_c.SP = VCC -RA_c.LSR = ~Ready -n2385 = (Din_c_6+Din_c_7) - -comp 16: SLICE_33 (FSLICE) -RCKEEN_N_115 = (~Ready*InitReady+Ready*RCKEEN_N_116) -RCKEEN.D = RCKEEN_N_115 -RCKEEN.CLK = RCLK_c -RCKEEN.SP = RCLK_c_enable_4 -RCKEEN.LSR = GND -RCLK_c_enable_7 = (~n2119*(InitReady*n2308)+n2119*(~InitReady*~FS_5+InitReady*n2308)) - -comp 17: SLICE_34 (FSLICE) -RCKE_N_128 = (~RASr3*(~RASr2*(RCKEEN*RASr)+RASr2*RCKEEN)+RASr3*(~RASr2+RCKEEN)) -RCKE_c.D = RCKE_N_128 -RCKE_c.CLK = RCLK_c -RCKE_c.SP = VCC -RCKE_c.LSR = GND -nRWE_N_178 = (~RCKE_c+RASr2) -CASr2.D = CASr -CASr2.CLK = RCLK_c -CASr2.SP = VCC -CASr2.LSR = GND - -comp 18: SLICE_35 (FSLICE) -n2387\001/BUF1 = VCC -Ready.D = n2387\001/BUF1 -Ready.CLK = RCLK_c -Ready.SP = Ready_N_268 -Ready.LSR = GND -RCLK_c_enable_23 = (InitReady*(RASr2*(nRowColSel_N_35*~Ready))) - -comp 19: SLICE_42 (FSLICE) -UFMCLK_N_212 = (~n2076*(~InitReady*FS_4+InitReady*CmdUFMCLK)+n2076*(InitReady*CmdUFMCLK)) -UFMCLK_c.D = UFMCLK_N_212 -UFMCLK_c.CLK = RCLK_c -UFMCLK_c.SP = RCLK_c_enable_24 -UFMCLK_c.LSR = n2291 -RCLK_c_enable_6 = (n2076*FS_10) - -comp 20: SLICE_43 (FSLICE) -UFMSDI_N_219 = (~InitReady*n1895+InitReady*CmdUFMSDI) -UFMSDI_c.D = UFMSDI_N_219 -UFMSDI_c.CLK = RCLK_c -UFMSDI_c.SP = RCLK_c_enable_24 -UFMSDI_c.LSR = n2291 -n1895 = (~FS_10*(n2103*(~n2293*FS_6))) - -comp 21: SLICE_55 (FSLICE) -n2128 = (((n2297+n2301)+nRCAS_N_161)+Ready) -n980.D = n2128 -n980.CLK = RCLK_c -n980.SP = VCC -n980.LSR = ~nRWE_N_173 -n2301 = (~InitReady+~RASr2) - -comp 22: SLICE_56 (FSLICE) -n8MEGEN_N_94 = (~n4*(~FS_10*Cmdn8MEGEN+FS_10*~UFMSDO_c)+n4*Cmdn8MEGEN) -n8MEGEN.D = n8MEGEN_N_94 -n8MEGEN.CLK = RCLK_c -n8MEGEN.SP = RCLK_c_enable_7 -n8MEGEN.LSR = GND -n4 = ((~FS_11+n2300)+InitReady) - -comp 23: SLICE_58 (FSLICE) -nRCAS_N_157 = (~nRowColSel_N_35*(~n2117+~Ready)+nRowColSel_N_35*n2287) -nRCAS_c.D = nRCAS_N_157 -nRCAS_c.CLK = RCLK_c -nRCAS_c.SP = RCLK_c_enable_4 -nRCAS_c.LSR = GND -n2287 = (~n2248*(~Ready*~RASr2+Ready*(~RASr2+~CBR))+n2248*(~Ready+(~RASr2+~CBR))) - -comp 24: SLICE_60 (FSLICE) -nRCS_N_132 = (((~RCKE_c*nRowColSel_N_35*~RASr2)+(~n2117*~nRowColSel_N_35))*Ready)+((~InitReady+nRCS_N_135+~RASr2+~nRowColSel_N_35)*~Ready) -nRCS_c.D = nRCS_N_132 -nRCS_c.CLK = RCLK_c -nRCS_c.SP = RCLK_c_enable_4 -nRCS_c.LSR = GND - -comp 25: SLICE_61 (FSLICE) -n33 = (~Ready*(n2244+n2297)+Ready*(n2244+n18)) -nRRAS_c.D = n33 -nRRAS_c.CLK = RCLK_c -nRRAS_c.SP = VCC -nRRAS_c.LSR = GND -n50 = ((nRowColSel_N_33+nRRAS_c)+nRowColSel_N_32) -RASr.D = ~nCRAS_c -RASr.CLK = RCLK_c -RASr.SP = VCC -RASr.LSR = GND - -comp 26: SLICE_62 (FSLICE) -n1369 = (~n2308*nUFMCS_c+n2308*~CmdUFMCS) -nRWE_N_173.D = n705 -nRWE_N_173.CLK = RCLK_c -nRWE_N_173.SP = RCLK_c_enable_23 -nRWE_N_173.LSR = GND -nRCS_N_135.D = Ready_N_272 -nRCS_N_135.CLK = RCLK_c -nRCS_N_135.SP = RCLK_c_enable_23 -nRCS_N_135.LSR = GND - -comp 27: SLICE_63 (FSLICE) -nRWE_N_167 = (~n2292*(~Ready*~n2164+Ready*nRWE_N_174)+n2292*(~Ready+nRWE_N_174)) -nRWE_c.D = nRWE_N_167 -nRWE_c.CLK = RCLK_c -nRWE_c.SP = RCLK_c_enable_3 -nRWE_c.LSR = GND -nRWE_N_174 = (~nRowColSel_N_35*(~n1+n1627)+nRowColSel_N_35*nRWE_N_178) - -comp 28: SLICE_64 (FSLICE) -n1368 = (~nRowColSel_N_32*(nRowColSel+n1627)+nRowColSel_N_32*(~nRowColSel_N_28+n1627)) -nRowColSel.D = n1368 -nRowColSel.CLK = RCLK_c -nRowColSel.SP = VCC -nRowColSel.LSR = n2299 -RA_c_4 = (~nRowColSel*RowA_4+nRowColSel*MAin_c_4) - -comp 29: SLICE_65 (FSLICE) -n1628 = (nRowColSel_N_32+nRowColSel_N_33) -nRowColSel_N_32.D = n1628 -nRowColSel_N_32.CLK = RCLK_c -nRowColSel_N_32.SP = VCC -nRowColSel_N_32.LSR = ~RASr2 -RCLK_c_enable_4 = (((nRowColSel_N_32+n2299)+nRowColSel_N_34)+nRowColSel_N_33) - -comp 30: SLICE_66 (FSLICE) -n1135 = (RASr2*~nRowColSel_N_32) -nRowColSel_N_33.D = n1135 -nRowColSel_N_33.CLK = RCLK_c -nRowColSel_N_33.SP = VCC -nRowColSel_N_33.LSR = ~nRowColSel_N_34 -n2117 = (~nRowColSel_N_33*(n1*~nRowColSel_N_34)+nRowColSel_N_33*(~n2304*~nRowColSel_N_34)) - -comp 31: SLICE_67 (FSLICE) -LED_N_90 = (~LEDEN+nCRAS_c) -nRowColSel_N_34.D = n1135 -nRowColSel_N_34.CLK = RCLK_c -nRowColSel_N_34.SP = VCC -nRowColSel_N_34.LSR = ~nRowColSel_N_35 -n2154 = (MAin_c_4*Bank_7) - -comp 32: SLICE_68 (FSLICE) -n2168 = (FS_3*(FS_2*(FS_0*FS_1))) -nRowColSel_N_35.D = ~RASr2 -nRowColSel_N_35.CLK = RCLK_c -nRowColSel_N_35.SP = VCC -nRowColSel_N_35.LSR = GND -n962 = (nCCAS_c+nFWE_c) -CASr3.D = CASr2 -CASr3.CLK = RCLK_c -CASr3.SP = VCC -CASr3.LSR = GND - -comp 33: SLICE_69 (FSLICE) -n1348 = (~InitReady*n2076+InitReady*n1369) -nUFMCS_c.D = n1348 -nUFMCS_c.CLK = RCLK_c -nUFMCS_c.SP = VCC -nUFMCS_c.LSR = LEDEN_N_88 -n2076 = (FS_17*(FS_11*(n12_adj_2*FS_15))) - -comp 34: i1912/SLICE_70 (FSLICE) -n2244 = (((~RCKE_c*nRowColSel_N_35*~RASr2)+(~nRowColSel_N_35*n50))*Ready)+(((~nRowColSel_N_35*n50)+~InitReady+~RASr2)*~Ready) - -comp 35: RCKEEN_I_0_419/SLICE_71 (FSLICE) -RCKEEN_N_116 = (((~FWEr*~CBR)+~RASr2)*nRowColSel_N_35)+(((FWEr*n11_adj_3*~CBR)+(nRowColSel_N_34*~CBR))*~nRowColSel_N_35) - -comp 36: SLICE_72 (FSLICE) -PHI2_N_114_enable_7 = (Din_c_5*(~n2296*(n2298*XOR8MEG_N_112))) -n702.D = n703 -n702.CLK = RCLK_c -n702.SP = RCLK_c_enable_23 -n702.LSR = GND -n2298 = (((Din_c_6+Din_c_7)+Din_c_5)+Din_c_4) -n701.D = n702 -n701.CLK = RCLK_c -n701.SP = RCLK_c_enable_23 -n701.LSR = GND - -comp 37: SLICE_73 (FSLICE) -n11 = (~n2168+((~FS_11+n2300)+FS_6)) -n706.D = n707 -n706.CLK = RCLK_c -n706.SP = RCLK_c_enable_23 -n706.LSR = GND -n2300 = ((FS_16+n10)+FS_17) -n705.D = n706 -n705.CLK = RCLK_c -n705.SP = RCLK_c_enable_23 -n705.LSR = GND - -comp 38: SLICE_74 (FSLICE) -C1Submitted_N_225 = (~n2131*(~Din_c_2*(n2295*n2122))) -n710.D = n711 -n710.CLK = RCLK_c -n710.SP = RCLK_c_enable_23 -n710.LSR = GND -n2295 = (n2114*~nFWE_c) -n709.D = n710 -n709.CLK = RCLK_c -n709.SP = RCLK_c_enable_23 -n709.LSR = GND - -comp 39: SLICE_75 (FSLICE) -n2119 = (~n12*(~n11*(FS_10*n2294))) -n708.D = n709 -n708.CLK = RCLK_c -n708.SP = RCLK_c_enable_23 -n708.LSR = GND -RCLK_c_enable_25 = (n2119*(FS_5*~InitReady)) -n707.D = n708 -n707.CLK = RCLK_c -n707.SP = RCLK_c_enable_23 -n707.LSR = GND - -comp 40: SLICE_76 (FSLICE) -n2131 = ((~MAin_c_1+n1285)+MAin_c_0) -WRD_0.D = Din_c_0 -WRD_0.CLK = ~nCCAS_c -WRD_0.SP = VCC -WRD_0.LSR = GND -n1285 = (~MAin_c_5+(~n2170+(~Bank_3+n26))) -WRD_1.D = Din_c_1 -WRD_1.CLK = ~nCCAS_c -WRD_1.SP = VCC -WRD_1.LSR = GND - -comp 41: SLICE_77 (FSLICE) -PHI2_N_114_enable_8 = (~MAin_c_1*(~n2286*(~n2290*MAin_c_0))+MAin_c_1*(~n2286*~n2290)) -RowA_2.D = MAin_c_2 -RowA_2.CLK = ~nCRAS_c -RowA_2.SP = VCC -RowA_2.LSR = ~Ready -n2286 = (n2114*(~Din_c_2*n2080+Din_c_2*n2098)) -RowA_3.D = MAin_c_3 -RowA_3.CLK = ~nCRAS_c -RowA_3.SP = VCC -RowA_3.LSR = ~Ready - -comp 42: SLICE_78 (FSLICE) -n10 = (((FS_14+FS_13)+FS_12)+FS_15) -CASr.D = ~nCCAS_c -CASr.CLK = RCLK_c -CASr.SP = VCC -CASr.LSR = GND -n2294 = (((FS_16+n10)+FS_17)+FS_11) -PHI2r2.D = PHI2r -PHI2r2.CLK = RCLK_c -PHI2r2.SP = VCC -PHI2r2.LSR = GND - -comp 43: SLICE_79 (FSLICE) -n1627 = (nRowColSel_N_34+nRowColSel_N_33) -WRD_2.D = Din_c_2 -WRD_2.CLK = ~nCCAS_c -WRD_2.SP = VCC -WRD_2.LSR = GND -RCLK_c_enable_3 = (((~Ready+nRowColSel_N_32)+n1627)+nRowColSel_N_35) -WRD_3.D = Din_c_3 -WRD_3.CLK = ~nCCAS_c -WRD_3.SP = VCC -WRD_3.LSR = GND - -comp 44: SLICE_80 (FSLICE) -ADSubmitted_N_234 = (~n2289*(n4_adj_1*(MAin_c_0*n2108))) -WRD_6.D = Din_c_6 -WRD_6.CLK = ~nCCAS_c -WRD_6.SP = VCC -WRD_6.LSR = GND -n2289 = (~MAin_c_1+n1285) -WRD_7.D = Din_c_7 -WRD_7.CLK = ~nCCAS_c -WRD_7.SP = VCC -WRD_7.LSR = GND - -comp 45: SLICE_81 (FSLICE) -n4_adj_1 = (n2114*(Din_c_2*~nFWE_c)) -RowA_8.D = MAin_c_8 -RowA_8.CLK = ~nCRAS_c -RowA_8.SP = VCC -RowA_8.LSR = ~Ready -n2114 = (Din_c_7*(~Din_c_4*(~Din_c_1*Din_c_0))) -RowA_9.D = MAin_c_9 -RowA_9.CLK = ~nCRAS_c -RowA_9.SP = VCC -RowA_9.LSR = ~Ready - -comp 46: SLICE_82 (FSLICE) -n2166 = (Bank_6*(MAin_c_2*(Bank_5*Bank_0))) -RowA_0.D = MAin_c_0 -RowA_0.CLK = ~nCRAS_c -RowA_0.SP = VCC -RowA_0.LSR = ~Ready -n26 = (~MAin_c_6+(~n2154+(~n2166+Bank_2))) -RowA_1.D = MAin_c_1 -RowA_1.CLK = ~nCRAS_c -RowA_1.SP = VCC -RowA_1.LSR = ~Ready - -comp 47: SLICE_83 (FSLICE) -n2245 = (InitReady*(Ready_N_272*(~RASr2*nRowColSel_N_32))) -CmdUFMCLK.D = Din_c_1 -CmdUFMCLK.CLK = ~PHI2_c -CmdUFMCLK.SP = PHI2_N_114_enable_7 -CmdUFMCLK.LSR = GND -Ready_N_268 = (n2245+Ready) -CmdUFMCS.D = Din_c_2 -CmdUFMCS.CLK = ~PHI2_c -CmdUFMCS.SP = PHI2_N_114_enable_7 -CmdUFMCS.LSR = GND - -comp 48: SLICE_84 (FSLICE) -nRowColSel_N_28 = ((~FWEr+CASr3)+CBR) -nRCAS_N_161.D = nRCS_N_135 -nRCAS_N_161.CLK = RCLK_c -nRCAS_N_161.SP = RCLK_c_enable_23 -nRCAS_N_161.LSR = GND -n1 = (~CASr3*(CASr2*(FWEr*~CBR))) -n703.D = nRWE_N_173 -n703.CLK = RCLK_c -n703.SP = RCLK_c_enable_23 -n703.LSR = GND - -comp 49: SLICE_85 (FSLICE) -n12 = (((~FS_4+FS_9)+FS_8)+FS_7) -PHI2r3.D = PHI2r2 -PHI2r3.CLK = RCLK_c -PHI2r3.SP = VCC -PHI2r3.LSR = GND -n2103 = (~FS_9*(FS_7*~FS_8)+FS_9*(FS_5*(~FS_7*~FS_8))) -PHI2r.D = PHI2_c -PHI2r.CLK = RCLK_c -PHI2r.SP = VCC -PHI2r.LSR = GND - -comp 50: SLICE_86 (FSLICE) -n2291 = (~InitReady*(~n2300*~FS_11)) -RowA_6.D = MAin_c_6 -RowA_6.CLK = ~nCRAS_c -RowA_6.SP = VCC -RowA_6.LSR = ~Ready -LEDEN_N_88 = (~InitReady*(~FS_10*(~n2300*~FS_11))) -RowA_7.D = MAin_c_7 -RowA_7.CLK = ~nCRAS_c -RowA_7.SP = VCC -RowA_7.LSR = ~Ready - -comp 51: SLICE_87 (FSLICE) -n2122 = (~Din_c_5*(Din_c_6*~Din_c_3)) -Ready_N_272.D = n699 -Ready_N_272.CLK = RCLK_c -Ready_N_272.SP = RCLK_c_enable_23 -Ready_N_272.LSR = GND -n2108 = (Din_c_3*(Din_c_5*~Din_c_6)) -n711.D = nRCAS_N_161 -n711.CLK = RCLK_c -n711.SP = RCLK_c_enable_23 -n711.LSR = GND - -comp 52: SLICE_88 (FSLICE) -RDQMH_c = (~nRowColSel+MAin_c_9) -CmdUFMSDI.D = Din_c_0 -CmdUFMSDI.CLK = ~PHI2_c -CmdUFMSDI.SP = PHI2_N_114_enable_7 -CmdUFMSDI.LSR = GND -RA_c_9 = (~nRowColSel*RowA_9+nRowColSel*MAin_c_9) - -comp 53: SLICE_89 (FSLICE) -n2290 = (nFWE_c+n1285) -LEDEN.D = ~UFMSDO_c -LEDEN.CLK = RCLK_c -LEDEN.SP = RCLK_c_enable_25 -LEDEN.LSR = GND -PHI2_N_114_enable_1 = (MAin_c_1*(~n1285*~nFWE_c)) - -comp 54: SLICE_90 (FSLICE) -PHI2_N_114_enable_6 = (Din_c_4*(XOR8MEG_N_112*(~Din_c_7*~Din_c_6))) -n700.D = n701 -n700.CLK = RCLK_c -n700.SP = RCLK_c_enable_23 -n700.LSR = GND -PHI2_N_114_enable_2 = (XOR8MEG_N_112*(~Din_c_5*(~Din_c_4*~n2385))) -n699.D = n700 -n699.CLK = RCLK_c -n699.SP = RCLK_c_enable_23 -n699.LSR = GND - -comp 55: SLICE_91 (FSLICE) -n2248 = (~InitReady+(nRCAS_N_161+nRCS_N_135)) -CBR.D = ~nCCAS_c -CBR.CLK = ~nCRAS_c -CBR.SP = VCC -CBR.LSR = GND -n2292 = (~RASr2+(~InitReady+(~nRowColSel_N_35+nRCS_N_135))) -FWEr.D = ~nFWE_c -FWEr.CLK = ~nCRAS_c -FWEr.SP = VCC -FWEr.LSR = GND - -comp 56: SLICE_92 (FSLICE) -RDQML_c = (~nRowColSel+~MAin_c_9) -RowA_4.D = MAin_c_4 -RowA_4.CLK = ~nCRAS_c -RowA_4.SP = VCC -RowA_4.LSR = ~Ready -RA_c_0 = (~nRowColSel*RowA_0+nRowColSel*MAin_c_0) -RowA_5.D = MAin_c_5 -RowA_5.CLK = ~nCRAS_c -RowA_5.SP = VCC -RowA_5.LSR = ~Ready - -comp 57: SLICE_93 (FSLICE) -n12_adj_2 = (FS_12*(FS_13*(FS_16*FS_14))) -RASr2.D = RASr -RASr2.CLK = RCLK_c -RASr2.SP = VCC -RASr2.LSR = GND -n2293 = (~FS_11+((FS_16+n10)+FS_17)) -RASr3.D = RASr2 -RASr3.CLK = RCLK_c -RASr3.SP = VCC -RASr3.LSR = GND - -comp 58: SLICE_94 (FSLICE) -RA_c_1 = (~nRowColSel*RowA_1+nRowColSel*MAin_c_1) -Bank_0.D = Din_c_0 -Bank_0.CLK = PHI2_c -Bank_0.SP = VCC -Bank_0.LSR = GND -RA_c_3 = (~nRowColSel*RowA_3+nRowColSel*MAin_c_3) -Bank_1.D = Din_c_1 -Bank_1.CLK = PHI2_c -Bank_1.SP = VCC -Bank_1.LSR = GND - -comp 59: SLICE_95 (FSLICE) -RA_c_8 = (~nRowColSel*RowA_8+nRowColSel*MAin_c_8) -Bank_6.D = Din_c_6 -Bank_6.CLK = PHI2_c -Bank_6.SP = VCC -Bank_6.LSR = GND -RA_c_2 = (~nRowColSel*RowA_2+nRowColSel*MAin_c_2) -Bank_7.D = Din_c_7 -Bank_7.CLK = PHI2_c -Bank_7.SP = VCC -Bank_7.LSR = GND - -comp 60: SLICE_96 (FSLICE) -n2299 = (~Ready+nRowColSel_N_35) -XOR8MEG.D = Din_c_0 -XOR8MEG.CLK = ~PHI2_c -XOR8MEG.SP = PHI2_N_114_enable_2 -XOR8MEG.LSR = GND -n2297 = (~nRowColSel_N_35+nRCS_N_135) - -comp 61: SLICE_97 (FSLICE) -RA_c_7 = (~nRowColSel*RowA_7+nRowColSel*MAin_c_7) -Bank_4.D = Din_c_4 -Bank_4.CLK = PHI2_c -Bank_4.SP = VCC -Bank_4.LSR = GND -n2170 = (Bank_1*(Bank_4*(MAin_c_3*MAin_c_7))) -Bank_5.D = Din_c_5 -Bank_5.CLK = PHI2_c -Bank_5.SP = VCC -Bank_5.LSR = GND - -comp 62: SLICE_98 (FSLICE) -RA_c_6 = (~nRowColSel*RowA_6+nRowColSel*MAin_c_6) -Bank_2.D = Din_c_2 -Bank_2.CLK = PHI2_c -Bank_2.SP = VCC -Bank_2.LSR = GND -RA_c_5 = (~nRowColSel*RowA_5+nRowColSel*MAin_c_5) -Bank_3.D = Din_c_3 -Bank_3.CLK = PHI2_c -Bank_3.SP = VCC -Bank_3.LSR = GND - -comp 63: SLICE_99 (FSLICE) -n2164 = (nRCAS_N_161+nRWE_N_173) -RBA_c_0.D = CROW_c_0 -RBA_c_0.CLK = ~nCRAS_c -RBA_c_0.SP = VCC -RBA_c_0.LSR = ~Ready -n18 = (nRowColSel_N_34*~nRowColSel_N_35) -RBA_c_1.D = CROW_c_1 -RBA_c_1.CLK = ~nCRAS_c -RBA_c_1.SP = VCC -RBA_c_1.LSR = ~Ready - -comp 64: SLICE_100 (FSLICE) -n11_adj_3 = (~CASr2+nRowColSel_N_33) -WRD_4.D = Din_c_4 -WRD_4.CLK = ~nCCAS_c -WRD_4.SP = VCC -WRD_4.LSR = GND -n2304 = (FWEr+CBR) -WRD_5.D = Din_c_5 -WRD_5.CLK = ~nCCAS_c -WRD_5.SP = VCC -WRD_5.LSR = GND diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ncd b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ncd deleted file mode 100644 index fa3d1271d2b8a91aaa5c3455186366cb54bf9687..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 156017 zcmeFa37lO;l|TNP>`Ny=fRKbGtl3!lz1{230T3_E_sqW|$X(6-0 z1!-`)5e3O1-H3uTINgYXG&tRef;2eYh=MdY-H3uTIL-8eG*~;xH!YLr)q+iDP%RZp z_i(jTY+Q;t)l#vsX{=N%EjiUvu{3+Dr6-h;zPg#hP$NB&=5CGjM4G!b(i3U!)<}cX z+^vz)(%h|)2B(=*BMnY7r$!o_mWCQ>a8Z4w!CGJ6;7mEMl?JC9Q7a8jH=3C`Dn3k^2l{52M>7~`XiI?_|Zbk9+S;>VFrK6=+N=FOFN=FOtN=K_Yl&qTYGfu5m zO&F)`F3C4FT5;-t?P|Tov~JkEDU&a1$95AhY~4+~@O3xw!r0x!3uku|uLh=c{e`!w z^a1y@`X#xic5!r9b5o|!sevpVty0s4#91P%CUbPjXqB3h(JD11qg866=%C%&EhXEn z2DW6?)Y6uY7MUy^t*RJREf7gH!lhI1o~de#bP%n|RWe$2t7NpWD`nC5?dWdnX<6Fc zv$$z#&w}=jHhN$Cz3z3d>sf#4+MY|-UC`Ha{<`&RWptR-xpD0!y_?qcT`;|6L*JTp zo7QdUBl*~t&c%z{x?0+sI)rY_()J}{3U!cNdRluS#>>z#p7mUXp=b5XMjd^|TDrU> z3<%utX{FjrRmaIY=jsgN;b-;C`g8`;O+LOZ9WUbL<7?CL z(vv>ECLJ$b>Eo-@@zR$*zL1WW&h+t)0SW81{hq}Qsq{Jw`SfZ2=r}ZHrtm7P81=HHU5CAKNqo8yIzt%W zh2*L&X*TG{7Z4QXpyHb3kk(k8L5xe{)8eLc2_Ns6m58foTfoe;FzNW`(-*}HOMQG= z)^yV0(nG(cooFEUQObKWkI~_R>m(sYiZkDVaz`5OOjFrD@-vg+pXA$*rQ8O3;&8U z5#|-6g>l7bVOlX-7*>oHZWW`2NyTX4jiD2cWLH~LBdFbdOp0^Sp(F!eLImnc*a96xGV&?Ggu1AjN#{kjFu_KqFu_JvU|e_mLYWmV zUD3r^C=BVIso52>T)Ik1z@00E7b(xOX!d;b4R*2vZTJAsm8mD8gX~ zha*f!I0E5Fgrg9SMmPpxCc-R)*$8tGjzc&e;RFOSq6#68P(a{jQ4K;ZLLEXqLIXl0 z!d!%T2&W*NiZCCc385LG1)&w84PgPoLWI*0+7V7iScK4luoz(p!cv4~2we!>2+I*x zAe@1)65&jQvk+c|unOU9gw+V=AoL)-8le~AT!ixw)*!4!I3M8xgx4UjgIYta4Etjgv|*5gm4+c>kwX#@CJm-5#EUKCWI>xu0+^^a23Lv5w1pf3&J%B z*CJep@K%JkA^bDK+Y#P@a6Q615pFG+YgpVNHhVW5@+Yvs7@NtAsAl!lQNrXEQK80`>!lw~FgYa2|yAeKz@OgwU zAbb(wO9=NMd>P>@2wz3`8p78R?nU?p!hHzeMEDlMw-N3~_zuDY2;W8c9>RkN42tP;o1;Q^8 zo<#T+!cz#pM%a$91L0|e-yr-a!v8_|Ey6Ph&m#N|;r9sth4380PJ~?u&m;T+;r}B1 zH^LtgUO@OCgg+ttFT$S@{(|r#!b=GKs@@e9_`eUrFofXU*!Vw5ZA{>Qq zG{P|m$0E!?n29h8VK%}XgyRs7M>qlDM1(4YJVF7X8leWE7NHKI9-#rD5n(RENeCw+ z%tJT@;Z%hA2u%ph2rUS$2yF-p5EdewhR}|1I>I7^4ur)BOAtB{mLe=e=tAg5SdOp) z;S7Y82xlUkh43nbRS0JztVTEop$FmB2)zjBBAkb?24OA2`3M&vye0?xx}dwKw!Xfn zXB}FjD$D-?9xV*;6CHi;nl(K&J$bMwi1e9(MVWZ8Ex=ERGK(uPE~!{P&Mb(Cb4yh% z&dyg2y%|&;+vWvMEq$*iX%(ql_aV7R!m#?a=?Wyirzj+fR5r1Pott+^=-Zii7iEA>k zskM9axfiY5v}x^{p6Z5}S0gW~%FIlP=wW85Hn-%1%<5xp8HY+%*Yp&MavZ&`I-}B+ zEf=k6>+3yt{o0=D`dEI)^cAWb>d&si85MhAT5~XEx#7(#7O-1k+VBtyR1x?MbSY!8e(Q2h}gb{#cd1Qmh`muG}L6&{or-^hU$VgwTA`d8?^&i z_7*+UmNvZey_ObqO?}HkSNEilp;WJaN5uteL`jY`JZj#!s(td`bD@$7PV z9l7BPo0h{%$t~G}Kk|&`5-+qX?uv=%IM23xoVT|%l-NQXiTYqU7xjr*4OVtv0+Ee% zk0!Lv*>cuqi&P1J#xSHrk9ao3e#}_#Bvsw73Ti89nSXELDM6 zpvgk7gT-;`9TuBfUrwxb9v_dJe$dk#Y1Cp?jDa(d!Pb#0yIOnJ#fC_6u@F-kGTo|) zeTAxqsuG526zoS+&$_sm9WrL%XmowC(5HGNYrq;!?SgWp_{4J~;$Or~o7HmR3>W271v7n6E{k6aek=*DDHh<6A`wo+~O|Q65>uxcYCoQO*B7V%yc0x#`Q$3 z8x9$dSz-&b%o4;Z%V~0%#LRcdSg;OA`3`W)>*8dPutH6(NLcYag)@@G6^j`*-bG;` zZ-}LX>OT;x)TV9`!+<|t@#5+Swk)poXxOV_(MgF!%;d2mv>YkoGR6qLr@AI4=Y*!Q z-ifmak+Ytx^A`T$GZ|F%&XkojuC8Zd{yAhUak1Z&T3$&-#wi0%#l7KK8%rk#NX*fS z`+Q1~^Fj}|Xlmv}L;UK7xGr>>tKA5$bHP}1Q+5YatD@Y;;Ghj>N{w-)vpk=;+xA`8 zP-DGTEFb}w;wl9Zu^|Z*NNNcMN~!q~iCq&ZiPj`CWOP(Za~GWHxcJdHZv-=27q^yX zp|Luni;DfrEFL-ui`(Onv5ZVGZ4xsU8?01kWm+kE?$G(#xKU$>roP2BD6V{#xhST} zQom8ny?qy^2Uv%UwY)gLto4avD%8J7I9&lLeb%bPnm|8J2SmySCcwq&7V|gL@f~+& zXBSsrU}*|#1#;WxF{(!IiB(aon@&FT|`>{O?Bzn z1rL>B$vzlRJbfMMW8;RSNvrBg(bs}LwZK98+EVm2q>tG|`kGSo)ufL(Nc!qh^aaw# z9ZLE_Df&F=V}_DGUy8np^f9wZUlr4j_56?cJW7wxmLg8+wh*T{?{khTp*g46?up|T zDshVEo;YTo5~mpMiQ~Re;uN<%aoq7roMN>nj(smBPVspZ25gFX#AG=x8%p;WDkqg8 zE`FpOkB$2xA&mIMzKSPHCSfj`dH8QyS=rW0op$N(()4tcOaR(nL=j>!K2;v~euI=S+B1$_lAJV=BFMmOZo`)aq?r3jm>#54gp~D68mg~w_ zwbVBWY8c4B+|{;lac67W+yx7!%m0>^6@}?Q=I0*Oxr9KK0L$`omrY+*g+B|syXLmG zcQ-Y|-P8f(ncshy`#H}K-F~oXU0w4XDw+VwsQ&b8a;hMOXu8{PW)>p z691;Rw&w|U=K)m-R5hKInLD?;YF^dr&hPE*J+3?NAf9I&<*KgD8_vQM+-FhV0N3{6 zY!I2VPmZ7nNJVa+Wk$Em(d~nC1j4L+7C6i>G*?k07PD3gk6KisqA&|A4YO!prLLAz77!(OI%8=eSGAs(!1REvx#u zimE~^tMB!)DYE(=|1Pq6h{|d~H7k(S25(pIcE;(Ix2vzLK^^UdbkvZL%87jy($FmG zXCf+UX_HwmUw?S{4b#=j*AFVbv52noA}JzzfqxefZB-GiE)vnYmVZ=pI?hY#*da-k z?@2PuXclI#YWUEw5o?cYAT+RuyazC&UwpXOzWZ8ECoizQQU!^n0O^gG!scc8&bsYvb3 z{#~T@0F~OhBB`zahc&SWcv+n^B&+i2Xojp-?am#j(ji|KRMN`?1-(=y%f!CR3#a7% zHvcZd>ZVuq#lrdzyA&|l3+q8c!Ybc37Yl1a?<2^zo%M;Vs?iOnSq+IFQRPKZR;@Ps zcM;Xs`gal4!_=-e6pQLV>@;ht7u6|4qAH)>7mF%xTIR8MKa&clSp|0qt*oM^mkSz` z)z`e2Mak@1|1Prn7XL1?dbrAJV=Sw+Ew$zXOpyfp;M1p3SZo^(-()n_Gsfcff$_L` zU;@1GeR1Q!{#SMR z7gYgOHE-rjqFU#)%$hZ)c~-E$z^#P^`MC?GFQ~$w5}OQj=W?rI-cjvK&PeY!V7c6O z902xop3&CT)6!P7?=axT0lMYT+&+tBi{KFHA-5*2orUPb{?WzS-C;oqNiF{;MmB9o zkMhDO!rAEGDV(|eRXFphMF2>r8PX}B;x)w;BAFv0qkpq>wn7pijV-gAXL$jwQkk4P z7n0rGwtOCf?tA?e!m0Ady{tVbozqP^56_g&BZj0?ZdfUnPG?rdU5!>#ao0ieF49@& z-$gpBoph$gc}S;C`Z3f&J}I3v_M;%9154+}2C$tivuP_^XI~MD=gj6gt$V<1ddVDM zpLQ_z@+gzYBQquP=pl&=Br+c912-TMLBTEaA}Atxn17ei{7@&7so}h_rNIy99Lkw1 zRbg-j^MeD6WGk(ujpq5keE{F1k~q^OaYm*j&KisfA%t2oMW;$ zJ5v^q8C{$-usVj<$06Ks!X{o49R6+PD3X%UnN4uC5^Pz^qc*~ak>#j zD;(R?xBGVy=HvXklvC-1IprTTv^0b>`C;NC(Dn}>)J*=4-3v3lfhLG9`~XhruV|UW zw@AL5Alom0=2Q*~TVs-3ohiw+Ly}xVk_&o`a+V}(j9*U;4YOXFTqMc3T``etk>un3 zyGZgZC&{TbsX|MAEXg=XEVKT>N%H*zNHXL!klSX+Gi-C~>?Yb~7-&Jjbc>b7Dl1M$ zx6X5GRy?rn&uI_1UX`}n1FQtd0pz)h^V0{jBv5ZMUgu;SgIA+~vas4PB;z9Eql-;) za-6l;BAY^lRAQpNt<8&qPNV22=$i-BaC&!D zo&5xE5AGApHwis8Q$m}DBvd4HgqYw^LJRu4OWDqZ`!NAn+QfMfsh#iNMM4ko?=+_s zW1YmN++s+qcP5ylN-Q@JMh;>N;S;-;Sh^0$4s0O1kX6WS(_SU6Ehetbnc~_yB(5dA zVqCBrpCt%=-};g(W(~4eTjUkv?)yZrMUY?T-^DMuUIiKVp`{ipg1s=BUV6p46E+w@ z{?Y(~+%)Hk!1&Ibi3uJid;SQ)U$I@Ac7I`d%dl**z{I*OQ>+&biFGL}?0$JCW7(ow z1X1mCBCNE+xIjO77va6cze}2#PIyxXVX-*jSK4%)jZ->W;lUh&xqbJ-ixV&^t+Dga zG`kh66cB584|~VLM7zoAX_>Nm`jD&!CODjAi2=@Wi41VBoni=Cy_pkpg;L7 zU(SjQU+!c$br=Dw#oqT-&zCA?nHFq!GOXk%y9<~J2QoI>5y;+1~_zc^_L2>XKe1L z+tM?(ea`Y?D!xLCe;2WB_U~ffCp$4t?b21Z6uj?1!B@wZ^S8mQIs9<In_ul z$HA>Omju5rRzlF-v2ZL^aEA^)1MPBZW5aeig3XPhL*jphrB0jZ!S8T{wt9sZ)oSZYfQxZ9$}oDeI}jT>oHM2CsUMPJtWE{M7g@8DA$SbWs7oy*xX`K z-rz-7C{FP2lG}18%BiJfh_XL7%w;$gWqwgP7@y(`CA?(&o)W8XaFX3QAgmtK3Y&q( zI>*aD1fOA(%diV_uE}(7rc9qVB-15ix=?ayS$|AETc&H1<3RDeuG7n~$n>H9U1VCX zvg^UGNJcAlHL-ct4U)n8$pfs^#ojR;W^;x0Xq#L*TEYu1J?TsCAuZ3g-dYprHJJi^ z{*XYIaF7ehazyd@w#E`-dyN-U5!-+E?;^I-oheT3h~Qf|?^WoAG(X|cCFX&5M83a! zQ;cxSgHgn{-qj23&)waHx#=>@h^yabCxpX1*}qL=u0834vR2~U0Pna}$bHvQ_3s~Cgd zxc>C+MO>T>IeQ#<8Q2Nr>H5EYTQ9WGdukfE-o*IAOtXB^kQfJMIbL^@59qSi-D*Sv z)zOIDiHg*0uVOzhwIane^3$Ows_f#oZX>6nA#{}KB-2?Q{C>4wT3)o`2Im;_Jf4{k ztTRLwt8lVSJ>4wqbhk}6;A&X7)VrZrsx*`&yNJh0@ejtD zoTT>G>Owi8>&f*2olXtrE4Wse zHC`{|azdg93nJ4~otU0je!k@8h4NFezke6`S?LT*YS*dO|GWXeNbn~S2lv_J0B)Z< zddO9M@BZ<;i@1P$%Kt0A`*YCC`!CDwyVSL-!{nvZZf8CJii|7|0L&)oz6dl4W z(GMQ{`mRx)9KT;dK5AmJd zD+PRp*xBTfGc41}nMiU8v-#jFO?wFrGh|~j>Ou~ z9&1DHT>ma(!dLyfXv0^WHl%h0apE&@m2_^*kE90sx%H2BuMRVDMZ3c_UpZ{zhg+)1E(oGcrTKfZT0I!O3f<@69tonv@6vh85V!B zeWn+FahNXl?~-Dyf0ts1Ips)gCgS9hoz~a8wz(xU_(OOP52PEos*~Tk#tWz1L`TBE zRVji+qgTjNy1}&Kon9+$^jh(*A*~3sBKC$7E1xly&@+75epErfa>@G6w$3f8ruW%b zd(js!YK(su)wtWgi)wsURih!bSBX8Sa8XsgsKIZu|6up3As-1O;|14_;6TZBGJmJK z@gCEScYED&99y`!a0Y>)$2C zUH)AP{*=>=)c$OBcnLl=gL7@YIbkptfBb&;y1}I;`I=l;Q~gm_-Kk9!1i82$YuH08 zt-BdU$~?Ka$+YMFUVCo#+Vg=S?I|_62)_`c^Au^1UY@7g6HhK);{{y?i39z+D8d)~ zyC}lvog$?6o$(>CKW=CXPMli|Zr}MocdrP^f)jV(f(0iTDzHLZhNam5cPj8fQ-Oc+ zDsYQefe#I-K%fGltxx|zZ>#~qE=8;XZ}k!`8j!vTb%Ku<4fvYVfYjbI?t%`G>uf-{z(KBSTVNLdqKxFDc^; zc_|^U2re`gA)oApTJpQXzl)Gx?SwqFmyEf9H}m$RKZD;(9$>c(60P2UbmOTUv5?90 z9-b_}+?!Ef)+*`kCf^_R^8Ily-ya*2Z;|he!$tnn%d9D~><{>9KxqH<=E~xa+0tLg zpY3H`WdD=?U1a}OC;O?LX0D$W3;*Chsd#Jv9|yuOKX)aUo5&_}N)0d>@QX;uY!9v* zr9Xt*liO-{m?nI}Yr>sg6Fxbl34tbrtD(C&OjMg%MhexS!7T@+j}z5;1c^ z!ASouYH+$!gVfeC?ltk7YBmJ$d>yB~18=Gg9r!6EnXF!2A*)4$6! z<5ONUKI1jx(?gmenvrn|&;8gjjbQQV)bOVc)7uEOB~Rhiy6b?_YO~O*g{aMG{$122 zIH)aZ^F^mNMSIb8etN)TsXWa;_%A*NIE9CmBDwI*&k=Y=Np2KMR*Ck|+E7`&rn^le zKI=8&^Iju9H>454SP{){^T~)%e6LWxkUFm&4HqTk{tPeYBKMd1cai&l@$Vw{cR0CE z?K@FMa-(?%v{>4>%j`!Q4XcMQDie~;d8E+Uy<*3`gf7< zE1f(SZ4}pq@~mtAoCFN+6N3RhI^~%nxyiyC^1tp*00?o(gA#jGoWE@1d{3r0e`QFV zMVzy~{0b~~ydv4*MUkRek-A8zVv34pV``%o-+uY|DRb20ZanNZn9Znv-My(b#~k7* zXZwTQD2-AyU9!aT#%6f1-~R`zk!5u@zGkBPRqt%v>z$3S4~cFm_gnAHqE*dszw^5} z#ZxECH(7?dUW{<@`JS#=7jkR-yO`+@`FAn(A9T8r+Ni~40l@(u9)6=IqT5r0IT8Kz z?v+Ds0_Uk{uFwPr^APb&Ie=+*yU&Mw?V`d}uu-!t+5t{4A@y!byjB>sW;hwJ>oJRm)>t&=!A z^cf~9wbPqx@{%p1!YcnRl0VwNi{y`SlAqe?ErbVp>|=q!|3JmJ2NHZ)w~4-`$1eie z4{-1K8AMqmeyd6R_r1jbz)SqYLlR$V0#O@?Z+e}lV81e0>?VcZ$fQ-J(M=%ICq3Wf zKbN|vgnnPHlq%`s)Bk|MN{gEQC{LjBc}UzDe0U3 zT{PnBP9stq!L_k##Kk84WQMcqfnB2k?yZ)Yw4XEZOXIzHRsT^F{U15ek5~17JS6%e z`o*jI1>Kv<7U0PH3Fp!c!5UNK_*abc!Yjgklz$iDeuER{)b=dg9lxv}uAL6<{PF-l zAcqA~c=Y_G!*Fc~9{%5($Mf$d=8t&`|Ae>jj}M7?;NyqJIPY()&Y0rrse}?1UVreD z3KC0ta4uOS{RAH`lKyS~E@u2&PV!S5w1t*xFZpzAcsN1#3#d)gp8U+~$uGU0{9;H?N(~SGr<00@hk_k%D4r1O?V&nwM59A)qnCBjg(LmD=)xTT zF1m21>O#IMwW*8~|9;-9Tgtp-n*+pPhKB*pt#P|7Q3Y%asFVN7=)xek#|E|b`70Cr zC%xeR+6(?uLxNvY@RQa3V!_W>r?$nyDL}o5ZIN4)JKqa71wXgKzl-31#=lDrpYCd1 zRliy;>#bPY-kDq4Rc!*F%iXZFskOCzuKb}p!xHm|#*bLI5brsYkGSDYz%bxvR2 z)ow4#nI(!@KJTdR_JxdTUvkD=C79m6q_wSslDyYL996Iz+uo*M={ zp&hwVt}LY)sag3KWUnuyEq8q#yP*BdwpNtabe1C27tH0x9d!)yK4xkAlJ-`z^h7zE z6?TSB;LuI&1 z7+Q!K`u8ZIzfTzYUu9=#O$I|{yiXXKj~JSKjq&$1C_R@jbZ6NaTARU8ahMW@R>cgp zLqva14BeG5^!c(gv@V08;@>JmTQ_Xp6g#3F$&O<#x1q@ST++FuZO^%$RVlx-w4?cl zgk}G?>?}JlgJrU26tFDvH9L}9NcJX{{ddB$KbDz$$qm;dvuvutVx%K|4^M$E{o>!|il$lfDm{l+q^ zos@fNW?BZz0ykJ#7Wr)*$-ehqWd18~ltfpvD)uQm%MQt4S>Wh)w5b}p#ld`)pW1GG zIZj!=?&U8C4%#cLz|YS)hGAX4%*%h%GZ-eTxN6C|nwwTdTDiP_FZ3`fMkE}=w+?0I z*bx~V3tWG4EK!8|Z-+Pu+qditJGPi%4S~-OhDAG%%lArO44)A?hV5T= zhRw)eSm5!4VbOBW^6I@&!tlAiW7q*@XV}aPh6VmU7#1z|EZ-X!dn$0}uw&RkWoOu| z42A{XJ{T4)^(@~T7ZfXSd#7XA!DVOI>z|-&RYUviErE5IP8}~*Nc0|IjBg@XO`V4-Bj(*D`<&fi7 z{ocqgeE8$!>*%uctFf40je(!v*R?X_SJ&RSeN=((VjaJZEjz#FX7DR;^ZUBGnnH!_ z+A9s%jD%q`%g(TqG8h)P`7mG+!J zfiwC}C66mR!{%i$EOhh1u#SLXUCCDgdy|CWr#l?OPAEIWPRU?c;O6(OSg<(qD!On5 z*dMXmUM!xv zJGU`#-xoKn>x;KGV4M6|lX7wazd_(sr=jdzs3_t>p(=37g$vPsMRTKf0?NsR#)JuT z%g%&-GMEr}+QNir5288wjgwrioJ=?=VZzB}X9B-xkM*HY6?o6WglJ!(x$%`z2i!g6 z)Zvt}Ga=&!;;O(S7A8b{1a|Pv82i)4` zB%!(NOvt!|r7G}Tg$dD`eseILEGH9M5+<~koe9N1JXNR)d{JRSv`*h#`^wmawuA`_ z%Fcx1Usfqp1>U7FAv$K$9GrnDrz9*)m~dLznJ^~9CItSXFdW$p!iCez z&V{iVTnK!|mafi~@u|M%8o%mWP9`i$n9xynCX6d)LOyUAg$a=;G}pW`vxmhA6PA>n z3F9-E5V(x3m^?%tW5+8rI&>yHSXy=-RA%rX@EFO1$YJaVS1QV>4a*WHbd{Y66Ec_( zc#LF1@T#)&VE+sr1l}Tf5IKt-!727~ z@?cfMgR{%dgGm`Y2%JUoAaW2eFuXDz!s>(x=aiiZ2V^iI@D=-7;@!yR;0#?k<)9~F z!K=&8fAgx9lu9EQ1AspV+q`I`n`^li$lJrxcu* zuwYHuS#Wp;3j#N>E8fmp{tAr=YZDHfUv>^OWN;wx5oLd>Gv1%_%P1{yVM_0}WZ$ke z_#KxE5;nZ1>}+VxU_;<0KKw9uoi4#5bZ$WopEUAftz0gj%bov|{{C&X%K+&!8>sgK z^{vGTYPiNIWiEHYDgFIVT5!{sxr&z5s_7vFZ^WzWflnLjyXrhqxy`9^kM% zFSxLbk-40d8`6zZTvYC8hYQP?kjrg8y1#$N-9Z`qYW;RC3vl}}Z7$c`7U1?*x)(1G zaFaB@?cWM;2e>lo1KfeR+}z*6!mkK$2Wfsgdjs5LrUl&(1Kh!ii|R5Zmz&s}%hj9| z&`ovu{WQQ$)4FU6d4RrW8B<3EbcZ_J3jyvh#ZB7~;0{;Z%>4q~bS-yB*xn-)xApA- z9m*t6qjHZ?y6p=Cx?|brpbP6V!<7;Co2f)7YgJmm%3lQeQJbhbArGou8CM2$wGJ2Z zrOwqktV^Tj7s=^TmH(3#<9d{Nx!lTKly}7$thy4@wVy{_QJlf5KQSHk)ABP|btX0@-tXs~w2xn8%CX^!X8H zelK1g)3Hw5|55k69YU5J*A#U1SPe)kjUP#eR4Cow8x@j9ybjWY!a-Sbz(#^#9!N=;y zJ}@~onC;z>>R;;i*0*a~gGpyN%WviHeR~a7+p1}ej%Atr8O;2)FO2EhdwhEhRvR4C zvEPz*I9S?ocZ!bwgtKdG2Vv3~&g#d0n=oC|8chCG{vsnkCkK)rV9C$nEWh@(o-YPV zJFblLV;fCg3>G^05qvB^^48?VVBvF0URWoyrv|frhEwkeKiGQ*9K2Js41=vK{7T9Q z#7tb;)Ya3{(b=*HcfKxZ>VQX;tH_I&SYX1_)GZqhkOLsy#Lsv)alGHy{5$&FZDq}KAIn#$+J=UPdgktu*s4r&f?d;v%milx~zB%mJR|vAEP_F zslWfRKPk@O&KVHIS&B2b7v=u^e#IHg{B|53<1YPOfB$bWIP)=>2IA=h6=!MKOiOF= zG$2ZMW@lH!;g_XA(_U`ibRy9mFbc@TenLj@7uo|D`s-M{3y| z=bNsyTogwiq4EaHM$=lF*>CCZfA$kfXK?2<_)hSv`4}wBg}n@MOP)oC2q$wngQY`S zl+JLSJ)_5?bGa7GEhIk&FL^qbI~E+^W3c4c8{^twYA1o8d<@<0 zWBDzHP)&CE8O$=KEh3fivcLb2Fn!O6kLuxRJHRVfhQSB@80G$)X>&P)hoQ`q-l;f) zE0+TIeZ?7k@Fy`3-Ksc)t^bHM-uG_FloS=VNJl z&xZV5r8t9E--Kd5vDGwoK`B|b(++_v8;&hjJf`EePzqZDU# zCjBEqBS$zt1LO?geE7ll zE=w$+O$vs2zV3_apvYa>)K(kEN9)Rbe7-hOVJMJe;dqU@%K*k3}!oaKBQ@_ zjC0RK8JD~K9L(Xc`8|rW{P_Rzc8?>>{I&EZ&_kX8N(;6&va4Y!`X1!#l>*~kyC_Ad-N+&qT zj^LaO5l5L&Emu0`$NpC}4{+#z!Qnq2>qA+RbbZPE*f-42`pEi$u9Ec!>DW%vS$kP7 z`KHRQWsr_-Bc1V{IP#o0<2`XqOPujuaNxP%jQ5VeEF&y;D|jh5;b|ZI!(48e+ElK0 z&~{<0+Iea5SarJ}n2h&Ai4+)8cFt!`9%h|(-tKS?X8jZ=>ptR4c4^tnF|TEBo8|4f zt@}*zUX+>miQce{a>a1b2+hBs059rF#ToqS4|(-Bm{r^{Md=K;;TgYBW3Y%F)SZu| zVN)!v#gna13mwivTte#QzHumL;#=U4cYCK4y-0_@`6@pGG@=CL{KQtjg5}(G7W~9k zi)QAQA^yJ>8Dne`oP&{5?!-G3XK>fAa=GL0R-D0|Gce7?Fu=!PPGy>}Qk-|7zsE_+@-(R`$ z;?F$FM&o-tYBJ>=?K$3~Y%~tCH&l@Qp_*{&i}(#e)}4>-9e&>H!gQ_0j#a+PY#a~# zHbWii-Grkw{|Wc{vG+vH>!p)?yKRJi+G_wAMj?5`uVV;LffhbO0}ep6t2B+$)EBKS z(h8O0RaSA2d*TtxT5*<7Pah1BTi-Q!^_^Iq`IFKa+&vt|@;=2GEJ6SS%_qV+!)*Co z+S=d$!dsQj;0s3pcZuQ*?%jd`|F?=Wm_qmB&lG26)czk|7lXx+yFu3Kyb%Uv?gN_E zU@_#!XW(2rB0AR&tIK-y*!a6Nt--GaUnj3noWa&F#D=(OpI16-Z|4y(nt8<;%(8y& z+F>x4sm&=fSgaNLnNL!`c|O1O4weB4ioz$sjfF$Y^e5`G3$Ao(oXJxS5 zmrhcg<;VYzLk{^E%>1@KtvHi4Iw6la88TRm3V6uJkq-99|Y(6!(@W0VzOcV zF9W&bpY^pG2`xi#$Xjr(48b99!MQSsV}B86{bszB!QJuH_$D~VKc*$$nAZ4bd}E!B zf5syjw4Y}EXhdb~Jj0LMW<-NE53`upd0Q0>luv-g7fknN!eIKIkNrW}VDQp+pgPXP z7`)^$sQ(d4XE2umPk~wBW3Y71b&4}sx=C<2H!149(zk@mD;&sgD;^Fuc!L+TWZP|M z$x^h$?dwP>8=NrUKOc+lnd)0&@akUQ5`(+35IJ|VrZxBsY=*adR&fSfS%|Tb?M!V7 zEMbm4a5{#b)ytgz=5o`W(e3q3!Q@qBAn%8U`HBj61SV?1l#m^$FeQZl`DhvV|2Yih zcQ~AbFZ?rBOEKT&WAG(Q(73-S&fp%bcB~XROJ=Z@Ps)Vun*RR3{7}=b zcJM}=UKj~W$j4xjc*hA#%eYC)JRRZnQ(!?I&S0jkgn03>e3WY*$9UqDjvZ3X$g{LV z=wVJ*+1k`^}0oc;km*f3H!T!Rtq1qIZMh z3|9Y4)*3QLQQ$-vE1oW)Cv9i8A1FF2v=YRc!iX54@V{vlm-P7eOmp+8FDjwSP; zv5Rru3T&Ob4f()=@G)4~rnrReQD`sf$7imCh3*B#g>*@Npd&1F&YT(@&qE~VD;!J~ zMdy1^zm;FW3cvNFx`AL$Nf{jA26DD>>Fw9b^|TCkOp z%>TB_%lO&v>hJ&VaHTW&=wBmiOsx4BT!%qp5~P%m!Su?fKBl;Y4vyBO;}uuuVA4%< z5*6a6UKFQ2stLQ_Qomfz;3@ELrk|yB2D3dgGV+^tUtI3|MOglQT5$$b*sD9ncmsLX{~=M$dnyp`KM>QGkO>Y^s#@&DDP zNY}Ti37CQZeAvx|WdL?K(lxJBI{xQ#J`DfV+pRcaeBLw}j~+&xni9fG9>eql?c!rF z|NjLB+i-+A2dlh|m>|DPke_qVXFK?qS0jF@B+VJj!y?n)r#OSHjl>#VE!sc*YNazc z{|C$)VUqY5Of{&Hx@etQKknF=Sups*=W@A&f3Il`<|5G1n-yoU@ir`D#ueymr^W`4 zJ=fz5o`BvqlVY&-3-hzOaL#LWG5G8zf4IkB>p!M7o~vXMgR)%PX#$i7E4x}%vwOW} zQ+A=*GmiI~eOfMe?T>JB?Q7AnJz8_U_QQzzlu;;7GeAabkkLqobMVLuaGV8`UOomN z40oI`AA`?01TMB45DdQhgODV&oR7iR+=}wQtT=b~w#oX%dD=kM1qO$Er(19L zbIhYuIVRlXS7Hoxw_nzUIiLznnB#|RL$!FVp08AuU-N#$zFIpi715tX>9Cf33|{|2 zfB$`7P@KW*f7ajsBR7;7y#8?L7xamb!IZ_ZZU{4&ayj89rHgQPD=tdAIG_V8X<;Au zNLs_S6yqx4AoH>Egh8%c!J$0CxpFOUWn_>Rc?-^!K|1D5oR-nj+(q&=-W*#;-sB~9 zvZiRR_WfZUdAsj5Lj^|ytRoNi5@4u$F9Gvig}&$Au>)NjC{bwl=8pz_>);Jl{t%79 z7yTHv&dGqm>t|phafjw-@Oo&49Ue583l#IOc61IVznZHRXJv3f~>L4=U>SE;y&Xp@T zlqWb>uHYyPR8CheaV(EGEw?XUU6*GC)_Pkv1`LNMGxZw3?gEs!Qg;`xyC^A=tU(g^ z?|j7lf^2IV#aXSX70W7Q&TvKbpCX&2H88i%_b=2Fvs#{0h>)kFx{nTr$8+@ee z-j1$&t?xR6r5^WcoeX#CF&JK<&U_3Ox}Pe}(n#H1T8kH)(23^^FE5u{=Vgv=GK|Hp z)xIeh=_sdDFYso?)mteM{cX5=U(~V<-T-&WK1DFN50X^*9i=mPeLDu>0~Kd5)nh75 z3m=2E3dFd1vef*wUP?D&evQqC)Iy01}Y)L$h@wApZs5E#C=EC`u{S;@gG^@85H}f*ZS=#keFrq%GID@TxVl5wGkIRoZ z=0lw3*N47t*|5HQ?RuuUP?^Rl4B2x&m_4buyMu%E#>8M5!Da^={J3SP>x%h$tEVzn zf5lVTv?AC~H`HC83ND1K98~zc3^m15%~PtTTl{+bDQ=-O7-9_-?48ddXN(*8zW{v( zPgHOY-T+RSX)*Z1|MnAVgQb7Il1e)}mplBAire7e1s}-ej(nZs3_f6+pA{G^OM6a@ zBb<#yu6`WNCjU_LGq?c`^8vq7oWUbuK5T)*V0!koQ?L2*&P-Kw~-+@@O;XYG(OS{x2}PX#Rc7vfL{!MVCf9Z()|GhDe=FTuI`iR_>b zVvby0Or8YitZ|?oZ~%lyn9Mj$J4Q|1?T#lbZjSa)?Sf2$e1iC<3Ash zMZt+oa&5IojPZIujF?>sJ`+Pn@epKcbrpjmABj2DC=@4#3Dw(-5yHL;Gnk@s;5kZX zFuQEh<%%{!XWKmWBt!e*FhcYcT0{Jgc}c?em8!&f2Lxpg5~PmC4#+ zbrqbev(-U6LDO1!#96t-u{`3m+&dt8c_ciPKnvH=cnFX2(>6rQsFYxCrO0g5}3XZhcJY zER8VBr4<}il}vSMEsa*6Y4s8n`yfeJw85JUHXfem_D4O7;Gsy4_xrDpoNFZo-fz*a zVv-uTPa+p_bUZ9l`KY6#gaWoaM3);Fx6yYP-b8~My<$X)U^04JkEXSF!Cj^}%Udh2I7_3o z4{%5$I7y4IqZ%1^NikpA{PGkCBoSepD1))^XB3; z2(IfkV`ilB%os3MV0o;_;shsI`Z!mC^BDI!Eqnw(D}0$(LM!}cFKh?X44~WqbtF-+ z$H8Y#y}8^OV~gAmEI#m&7`Puwp*U&wGSu;7T7O~5q!bzg@-+JK5}jATyUoNN{qMe$`BtV zMxrlymP-|6vW<-JpO3{`TzY5`Z}bgX59;8dT90XC{Lq4qr;hJB&krq=K?ON#deWn; zt}8uiywm`@QA})^D2~->deU<7xMt|LaM0;Fn>h`G!sZ7tcR0(@IrySy(8HXW<1x7R zB}l@@6=(3;HwSK$gRM@aw7j_*YjZt=dGxjk!z~|!t?p?Y>+X73wDa=f-VL<#)i9IC z7AYB38IQz3$&^BI(&G?f7H>jqu>5yIZ1ANJF%x2gEnk|(>beGI{6=3(n~4P9IoonZ zeX#*!Ia9$Nb{GHi;Q=*%;cRh⋘g3t;L~iT-MOYs5fcZtoX^0kDq`rSirTiD}#ko ziYLko4{kV@K~uxWgGAVxu2h3Xvx@l7$Ko$-#cUsw2|fm22=-5UNpS}EZUN3Ilfj!{ zG;MXmU@MEML!52zGoG+kpHzK${=LHU0D8|(u6)kx-ZUn_@EN!Qhq*i+De?|9F`}U$ zm5?4j2Cs)X{L8(HGnoH>eVxYM6gqTXQ(qXS#ivy?71R+=%XF_&rus}S{6)gE7`a^*nIJgfVYdr7z++gmX zng4IFH0UyypG(UR;wRtea1NF}Uy(s~Q$Pn;=zi*OWH9m;W?31K4c_=6O|txmLmF)+ z)AFZYId-T^v&HM}er|+YSu8gf`>}tdmM>%BN~HLdA2@OrDI z;w%kM0zUUe#Tm?@@I}#3pLY+8V!$ z?>Bx2D`t>cJ_cJq6KCz<|0x#|qd3B(oBFikj7R)5`1?+-3}(4opHw<4clD)kiRLNJ zU^$#}ZwBtMxD4j^#CsHHGNvl6IO%K12@Radi{Kz9f^+gBILL(HoNO@fZRl4qFs^@v zZ|Dc&tUm+?UJB0f)c7HW)$xUNY&+?U2i8tiT5AV*iFOLkwU=qxPNvoNCWcy^>oEWqqS0;#j}x0$Ll}DfqhGH>wyjyw+C`p}EF4ik$&b*m1uXnn@~c z+aL9FEqFEL&5m}Da*fi&h~9xlJ*X8UJGY~uOv3+sh}+R_**Kg_!*i|n#iPNN2Ps1w z^N@8yS+%@Y8$xHVf+rauqrvB9M8TwPd(;oP){tAgI6{8Nt?gHPDmS&%{BX(v3jp>} zn!#K)+UDA3xHX@{81Vtt59f~@eBpEOf{$06!NQAM6lZj=|1r|OS#buRdn9m94-H<8 zd)e%;j=}8o?aoeFy|m$)pOq(F=*qP;+H}%kjj^8puPf$haDk)oG`KV=uMFIFq2CWS zRNxc2HAdm-XTa0>0Z$z)b^k5v;N!a=1`oOwXE5u&?ZOOP$OGgfJaGA08n)Nc3Jz%m zCuzB)CxZ1>Wx_rvWEe8y8soLWk{-E0+`EgH^pw`(S;^Qt6$N~|W>2$+ahH>nnTL9t zc_`Hc6P(}{Je!t1?&a1{n*%5FC`~!xMlZ{A6csv#rxFGBU+1kBmZbP_^qRI=Q}Dmw zq;|l48#{QHI-G;K`JQa1J6Q5q6w|GT_mdo1bMShM^2w1k2d@WX%_A^aHk5lcKZB)= z$1`xQ&X#sP1aZ9cW({WA2{&q5qa$uA>cGe7*5|SJ1-#-ECeAKOFqnhUW7jL4!PK}X z+}zjrpBvf!kV)n|iv`bs>&*ATFtkD~~uWx37@T%gFLhm4aa? z*rebp?{-Yqe3}pRj+4!{Z}t7_JVgCE&K-iwGtCX!K&;H8Nn&9SuWvS-qs(d6{}qA7w=BcgtaUDH?g%G8Ns&T5ZPR%Y7VX#K%@CkWNKGf zCW_OBAs2pe_!Gq$+&mM0-f+bk%oCv_g+cgM%)$Ae!K|F6bOy`G$R8Htcn>fiqre|0G+0Cc>cYohVTltFgEebXak~O5;JMt5S{>>RHS`;(POw#C zmg6CB9j%7JabU9vU-49l-_87x@6D(*`jwBtwcqvUwG5VuKBROJ&dFs%k<|4r88KjLW1kdi?w=>uMA7(%En?}nHf59*zuyD_5_vL4!JxZS0rvPxI!Gv zt5|+@o8k;+1$MmXD?^x-Q5-6xOWN<%>V(7RW?vnSs7HgJw+4G@hbwMotzS(ZrNzkj zxf#(g--+h~@tEeuHvZ>BRhtQMWY+vIIG2WT37y07RQ0iQl+Ix8Z5-`H#9+%eH9OK% z5AXMUw@s_VeV_MWtp33*{Y=QdtM)^=p#rzSdN@#Sa9S$& zq#y9H?n>Et=|rE`fv!1HH>CJBn&XCEgBN@b$2h?PJ_gg|+oj?LkDTKB*I=62n)hj1 z%kR?v@DoFWg|(eAoybtN;zBwb@*|v+p%6FqNlj~Yp1%Peu=7|A=A{LH{GQSoOqF{H ztm0$!7q8gb!LCPLg}YW~$~)?+U8QNI{wp00|M>_Gbrm{SXK6d?s=cLjRtN1a;`*wE z6(8{O4|ek-$(klF|3q=NbYYZaAgUKn_Btv6h%|OLR6XSmiGJ$y&oP*!+ zXf8MEbj2Bb9xUCcI>i}0|0-BLH`*A?`$Q(8&-fTDqxAh5IA;khUaIQo1c!L7KGX6u z;d%le^aAX-P&s0mn*gATR2mBRM)KnP6!uNw(?-F}loOS(@tZrq3D=hf^VrN3r`!hf zzujnP@D(3|zkH|WXE0@?=4!KEEc9cF3;wHff68oUoBP5+3dD%`7d#N|&^T>f;AJLX!&9dnz<9gVSv^*CBwT);?s z@Os4^?9`XIgWxxiZZcL$_z*X)OL5~a^0=|UlWy$S6lZni!rN2eB_D$?`Xtp$5dKG7|bp6{DXRvfV#E_4{tW)75g33i{U3(=hVAAJLQaXc~mOSHQby<(`O|PSOG<{kU@!XE4)J4ypR}GC1GjZCev$5vD@*9F|a@b}SVS$Ds2k zYF?*dX)5q2z4ESRDlwo&+4J|aRK)rC4S#{W-mN%;8^+>BVAuBs^Ma`P&ncb4{r!J> zBaHLsi8B~J5BgBQDl!;*jB}9|{wC=ZwmK1qGo|nha4@J=O#6p@^CzoiZhFiQa6}!A z5?(C!P3QqNy=d{w88D1;(;M*L#R=|w;2!gFRs$KaZY?hTr`|VNlgnNF(^7{XCFWG4 zkm6;CZ9)o`zeo!3Fmb29;OHED@zeg$qrsLh>!~NG>V#1r@l~CMT)EwTlxGxC*n-)% zIEzulTfD2l*Rh{)B`c?K$|zpESOf?%(t zlK8I$GBt3ptH~Ki2d_T{L-S^(GnilVJ$IJk3|@Z>Hc;*{I)!;O<*~0Q&R{FwaaH=} zqrNT1(cp&hbD>;6!nLJqBDEW>*;0*9f!R_DR}{Ns7Mk;IDxJYJCoG?j!B!_UvEYvT-|ky@psq-TS3LqnUn}dXNBUyC z>QUphoRi>MHq8gl#SzP;tEN@>@8VE)quw(4@#3O`3)xn{Rh&^uvm^$Zr4+7k7KE{l zh1-Q}2J^pN$YyXIq;(l8%g12Lmx7I-)Lud(l&>YlCwyi1RrhPxO0Qc)VFtYGs$$)u zi?eH`E)Cgt@|=e4y2{cpdmnFcRt3i0QCxWNjsAY_+v@kenD<3La-pU*n5Wn5 zCuj|}@-eP7^Q(OkqtEy@vNdo|o(MjA?WXqvw*NWuZ(OHci*o-A8Bhu>Uo${P3y{(N z4(H&$V_`d-ZW(;V>!5G{t#k%o{Xv}Gc6x5`HMgQHXCn-@^3mOLb*d~weOB9p*-8#s z^Xk;c|0Ob?5O@w=mEZD<;}h8@uus7iA%+TW;Q|E{h8N)+rwJ?f^ZgGYqA*Pjw#*C_ zte2yz%qtxFPIAk9+k9RqQSB}pLAwi{9<02g-d65s#Vbx$4!2o0n%2_rH$@-4MClA( z`YYrKbI-@%&Lf~O$b*l;9P=x`r8uMO{XUe<*;s>l+@bpON@pP*TP*sNW5)3JR(@L{rS*?jBRjVAI?bbF%kqj(6TquqkF*7>q}zg}?9#4`WrW zda8xn4T8RHlOD$xY+5g<%|4Ymn`53$emn+)$D+Vul!H?bs zOAr1)(;BW5l4@7#7=3p?-gH*4S?Br|+VeuZ`r#LG^q(p{a;}u2)+<)*3SW@!OVE)?gFTbd14d$=? z+O1*+^Vfb)*rap@^Vfb)_^jd#K6odz<|f4%Z2d>9@m#dV@!ohT8sd0ryc7*_JhgU; zm*m=O?G$a0_Tm&}LoJK?oF9iN;^)I`Uf|bEOj3i(y+<;YQR06)QlRSv=it7RV0ivj zaR&Foo1!Di$KaL7%T5UzELDX;;$yH>TW~nGEw%o<)_MephC%Up6ux#@UJ^Of8-NPF z*n^3ZX>xF9IAo5f@XHM3KvbA;f@Z2kV2X95Uq3NePI6zYbcUOcPpRzWy20IV#>xL{ zl+IwDYPa9oFqnS7-FR*Jk=}eQgGsl|m23HN?qFXR7)(0*AjIk_r^Q`bD^D4u^|Lg} zA&+Bu$|B<6f9J(v|AO`h`XWcRV&P%OJ>LH|<_DLr8S{hR!*hbAiLr8UI&9a2TC%~b zF9Nrm$7!(C{wpz^)E?r@$Ks`Rj?UtxbwU?^I8x7qU-X*625>RuJg*rCDIa!T>Q@to zGnG-I0Sz$T&SP5&pAA_ZCcPq|dsi)vvC9(Y1C|32$zNV}eq;Tg2UbC#GH)gN??Ysa1Sh{*%w7Ka0tvq2r4B=fERh8_j!xCwb`A56%ZZA5sn(xLAH$3+ zG5%vlWsB-8cNYwY=qC*b>0EsCYyC&&26Ogl;$<)`U2>b3gDqd?XLS74XS?XvVA8!L zg9_^4VAe%(v0W(0*!fju2-^e^V3)jjy*CVwAv>S-hQS=gDIRr{yRaz}6zRh9Glp7T`f6(pQ`qes=bd0vp$fn3^P$%9RuQeb;z+mSex>7oKH|DPXO&l+Xe>ldYp*yN^O2v7`A%%C zuB-1xJ@ZOuFw3$!Cv z*Cz%u4?9Y2Y5DD^-Q{jD=|{F`eg<#C!sBpMmyf|#C*mx>bFjemC}fn6!5b&wM}DqS zoWWeAvb*LYoYM!Z%WCwSeIaD9eBSIPp4KM1>DD&OTRTC^6&&&woGZif(QY6ew+3Vw z__`kkFyCQ^Y`eqT9J3QI_;DZN&POT1jx*r5ep+z`ukQ6KOSAU!AeK z>lfY`4wNh+&nO-ub&)#2E=v9I zpO3}!2V>2~8Eo`e&hhuHGtoDFr5xA7qr6tB;HykmDKc%^Ffi?{o@oX*e%9|M7%UZ7 z#1c{I$B`DWR9>y6&eAqrBs_1@x6q>yaA*W#HK1Plt!%PB36 zIEv}k8+}|Z*U{E0`>YL8fp7Z?K*&kG>t|lWtO7R%-=B_RwnnNdw)0nl(t=T#R2u*J zQ0*AcA3*x`se(%2)eqo@sGNl}m=4O;UpqPnGwtK>9rze5jg&I_Fj>HzXkzW|*XE$3 zl3PH7pM>C00)Fl~&~M3IpbP2`J{c{!kCUQsLao35!5F*_T9JAJ-|_vAVO+lS52mn0VF=yvWU&A$DdHhAHesc8-+>W9(=dAeO2rv0 znz}5eTk|<=dO>pd7`%QST%Y?CXE2ArN*FpmmXBz>%g^Gqs-(i*wT+^L-}RkfMk&|< zpXDq^@C7X88;=+zSi_Uv85ku8OBJ>%oxxHa!C^&72H5Xu$ux4vQWB zFYt}nyW9WlQF+y>kjm+g=7;5@gZ#*6uq?^A(Z_IdQNr)E&IVujXV?wLLW3zw+y5NX zz5a156+NLigL!}7BNr>qVCurQ#EVy08>w`bx3*GomWKMHX~92;PcHY6msQM_+1_wJ zk0|gjyu^08GHb`Bo`24uYGS1L5K>@<#m8VW>xp$St_#;{*^RXZug0u*`z)n1n3dmg zsY~nf(ON0a;@LQ*gIwx?q3?US9HY_}o-9K-B2d9Ka)DgZ(?4mWS384679Y`~4c2Uk zb6?sv65gt%gNgVN1zGob@W^Q0=Xk9^&00SRhfq_$!XI2T)Mo!R1C);4yX$6eFdW0V zI`rU?YFWSk@2rI?bIn>SOL4TkjKBJKxJC-Cipk$|=Fz+`#{u;#@%&VL1=kpAv(V5{^ks{n?O>6b*`GBvV z!5f~zDD^X?Gnm@3>t@AS-pcR*hr9*n%CI!ba?*9RE?az>4%iQS5o1T4kLm_T7{G~3 zzX$sGM?#&#NDMp*rEuj-2FR!d8I5;12d~HfnnM+5@H$BBLb1X4VHO9oU7fWqt%F&{ zviXWL*vf|?uN7nP18)q_74l8bV(&{qs>p%o$N33@p^oyKjcwH!y!sX_8#^m$FujQ1KA>q0X8%0zEOvxDO6e?KYaiecFF2uNpLS@U z{?PX+HSK(eOmK7$J;WmnPdyZQTq87JdM!_V)hJw9l7Z^v@8>9v`bU`mfA`-WN0=Va zQ=e9x!Im#(r@ejuUteDXre$%R{l5NyfJovG2xvqF7JmpXyU3r)?t-8aA&5qe;)+K7 ztRNa=t?@Hxty(WHukW+g{Mpo>m>TPq7@MYzv1v^jt!P?JRH&&|;%;JWK9!9%i7~); z-{+qDJ+m%$u4}LN-ZN)r&Y3xLW}bQGnYl>5``=Q`d8+ZA>U7l)gVclfeV3(=D31bc zn;wivyu#8~xEu5HZyyb3%vW5qiUT%Q)|3$=Njra^PUAsc#9&Yz`%Mx@lIqy+No6Ei zp90n+$+1Xr4^j+c=80n@DT@G(@45w}Mri>8>@2l%4Vs3Y#c)UbTDlt<{rLk!I-_l zS1lNa@K=_!943*Eq!P{Xp!br8Kh{joHr z$xKtvY3oQwM-qBY+qv0xhtpGFdb}hZ9UN>dfL0&HnN*#Lfd>$V*szAmraNpCsfF34 z+Rhcx@pJL-LAQuzyz0wXPWYy1#+Sf4{qn*%=IKiYr)@`_IIZ!bKgILIh2k@grQPFq zKwB-EWmWx%=JHfQqB$ScP(?#NL5uwGa)*z;A6ZIna*AlijN5!i@)2{?=pQbcF-Hs0Bsbr-Xl?De zl%Ar(mWt?zBhO97>Z*0-jsIyWw;zOXdb@DVq3JxGUMcUG3979o>$rEs13-= z3li!B=1AHNr0RgVL)Cvby_A&+BV#|=_*Qo5GLkDlWb%DPmr==>_YL@fIn#LhybmEY z=DX6?>+OQsJvY5X`LUh0q#PZp`jG0|v}>-*m!7Xuk?*%r)Sg9+f%Yt@brl4!ftju5v;WzKGoYWPJ$2IYs}Z=mwaz;7J( zb!p)%@!U4;?Ha}*{Ex&}(>T5|I3Ecrn$v{BC2dp;(nQ5XT2?~LF8+OJB~*(Tu7qk4 z!Pc&bKqw%4u$$eb3P}26PEgpXvSRh zc&1A<<15kco`J*+#;bpViY|`XI4bB>@ma2@)FPi{h)ON8I89V)q{SHDyZRGFPrfG7S*Vj8oW9$zh*F-6-jAeu47 z6pd{k>z6MM^-b8He2eSr9+w`Mf&bb?_&#jH+5qT(BD-PxiLjNs(UP={}I0) zRtt_AzP9ic8eh6TQCh$_RQ%a{_^|x#c{!KGiOJV@-(Wsg*|Rr_W=y_K@9u!F%!+~! zIOHo<7#OmKnwFW(0J);-Enks=w3aNTJkna_g0>NPM}AQT&fc8UPN7~(%NmZZH42I9 z`+eAM*#EMl`+dYKzv26RXzs*kcA+B5lCE(m)mAEk0s$*;()gw^1bRgR?F<@x3Qc|B z=`?juKpv~!gY2zINB_|kt@uqPhy7zNv1K#MDU*@Ml%@~Aru84~d_;2^&Z*uwS2SbJ zxBBLbW*l>`+mmlIa7=tk8IF%R7|IHr^#-vo8v*_*7L%*9`ap_xxrfpta*Bi?PW)mz zoNU9g{w|N8YST-RC`Nm;Vy@8ccpMFl)E}u zD1R9oKexXUxM1^p`*chbe+4Bzy!kXRkA zg2`6w`=~xKGpTyZfwtpgLwEJ1SQkwPCEk>q9^yrX#Vgx;V~|8@JSg#_Fy+EJprtUm zvmqtZ9QuE;rW9?#kH&kr`f$&sxkL<=&o(7rImQnCahIj=QsHk z@ZBq#@sa0aZ4+`b7_&t>|Bq;OemvEZVGwPFXwL6oSh8Hb@DSe&Y7&54x_+IVluiPNm| zL|PqnWm0u$9mZ-t{B8JFF4d2v)Rsk7_rx~RXq9Aj5>hgrpLG1-G}2&|1ux$j?}xuE zn(^ux`+bCkI~ZYx%i+Kr3Oe ztYKM2X3G`J3`M@6L9U>Me6d-QS^PqVg~713EAYl#b{Hei(tSoXMt(q+_MVq76m{$K zX?*+0O?H1SN1t)ZtY^$nQd=h6w{TsUK5@K!UgvfBz&TOIRll=5NTh++Ga(#Dj8paT z+i;@vxf7}zSKKSQD=ocz++NXUNb_w=^6{8+4QM{_bj1dj%f2#jYDM!dwdz!<1!CLS zQL%NgNsuL`FI#WK@3--Lc96o;^H=~1&tC?5qn-;zdEdQ4e8xPfk{3!d7_(k@84H8UWxhTn&N%#Jv2oYSh%WHm9!YhW9I)-5)Y=ZV zEfJq(Su-B9s4_n{W}I!!*!_g5ZTGQT@_Wk9Y56R$@xVeWn0a_1%$WJPZw)G=^mE&G zxFp+nv12)Cm0|m&Kd_yKjln+YUu>sAgM9|A*s1M_vryZW?Sy^b_TsjYvsJm2k9A2| zT&J#&*gYEcf@iwUP9}IQA0g=6u>w4>p}ri`<3e>p!*CTOUZnXkoWkZpE11VsO~3?z z!I+1~9|f1sU_A2Q(6Mh3&3G6(Q^!@J8ApW_V>OP-30mtkW3H8V9Lc1=OtnVoVXQtx z7$i2!gdNw-m-) zOL_j6;xl$xq&mN4TQTgc5TEgh-^8T;MA3{b6CSN`Lt#8Iy`F8fKTU6N&5(p{GI0l+ zb7}SyOPAp<1JAl;oj5LNk!8mDQ8h-+H&ptrV7y8*>^D{nn(ZGZ0Vdp^({Ut>>0LF$ zFsZsC@tAU^$ofnO-|cz)8!I$V-%`3l^x^wm7Wt6;VfYNJCGY=?em%Em8h)hv%l|Dt z<7F>nXZ-b|883&Q@sXFt{PxJNLA(+gJqclllBol z#^bL+=ebsV#`|Hfvky2mw%+7)d8%-w4I0V|T9nH*&RLAyqUPKM5dJCFqpRC`Na7-Y zbz9GY>NEA-frpB;X;V&~TRjhnzlKb(pRDzQs^C~heoX>8o{zk~t;d*~a-V=#VK8Qo z@=a*w;}=UlC&QS0n>Hz}%acN)IUo8G=jSw}DecAWu?1%!tBM+99=z#}T*jMHe97JU zxZrwuJJ){aifiNcw8eblet{!3>N(_xB zAd|x-q4AvkaGrDww2g*wJ0zO=viOYo>l=`bV+Qdh@j30Vqw{InctjUwS$BxfI0nh9 zMRPte6Dj#QJ_ga?!?H8k-%`Eo1h@cB3G;!C3v%0nE1_E3K-XdrCw@7#f(r;*!HYkS z4{iQLG~=js&F%NOe7wY)_;(Ni^e2K8DVDooL2u4#>?U#+sz}xl-(TpXPXy&^d0D%53Y@r}SLXZRP86UeZXpo!1c=f%YT`roj%c}Xf55j4U>5HEawtucm*TUhW!)cXg4S%WzOQ~e9q(B6wJmFNF$^=in6-W_9WUCL z?OXfeGK#XJZdr>=zK!3-6f`k+cKg$C^SNvhJ~jWoXvP=q z3L1tm2ICbYVLC60X3XMrEU0HKwC$VH(<5?b@BH z8CiW#-ys@6Csz0LAt6Vg&Y=US@b`QFYr)&h_RTDLQHF|-rElTx~Y ztE(2us4@DG{2*f(Qx#L_#xJ@gZ&wIf!JU{~c@MVnqAqm73&dy4z4^Wp%9veZG>nMB zIJ|t&FvQQnkltwgF2$yd=VN5so{Fh{PaH{2q@KKPi zny9syVZ58gcPZ1+RhK+i>Gr55kyY`dFGBQRWREmv@p}{>Ys}(BLytT%dgRtD+VixI z^adEKW1XlsHD}*NhzkDGkH~SV^!LbB(t!Ty^LN@glzJirCX`E|?m2XRNIGw<> zal@CgAuLXHGioKy255WvSCJwnpo!NVnh!$8Ar5Me!8pVT8s@NbXEADfio`GeWokpOjL|{&7F{ve`qWkTif&;<6M08 zc~j~0;zWwYNHL6sC%$<8_U+rdg48fxgNE~`OvWMFW#TgqF@qLo#vC7eO4)&$&6Ly? zRoydXNiP^Ws6^K2$dPsF&^&>gwdObq5p{nweoGu|#s{e%Nza3din?MAVD`rv82wt- z)js7xtU3n~#v9N7)w+ylurK5*S9S_CPQCN841KYPaKAI5j4l?xkD_=aWiVcY9`WHD zMKk{NQy7)Dh-S>YMy9_gnsL_(yjCy0$M{HedM}0;b2RapgsrseFnV3D{CI^AahxuE zifG2xhg7Fs`(JPvUlgA)7sCBQ!k8_!;RW&4G=E2Dx#H3B%i?nyR zxGbQ#vl^M5egcLs&f9)Aw2|vKptJ>gz2Uit<|bX2PVIvdNg3ja4c{316p9f2jAB0c zWe9&d+&PQpG<+BF2F5-HOPb#yg4l9M6jN2|~ubpNBD&zHZEym0mP8 zKJJq_0W)@496j5QfH!M2ho>s2bPBj>Mvk8L!Z+tD@iI1u6RONX_gi1YF8Hi*d2WF5 zs;%g?;&3-6>m&?=)YNf#_+S7V&O=EUD0FzAgBI&iFDEzL0p142CE z-H}V*v=tb}wsE;!=C)av31L25#Zf6-WrBTtTtc$W+m^a4(P$6b#yRKY>w!0pw!ts+ zQ$83m&cp%hUl+}o$B+K@Ri!l^`(u>#Ytf8HPQWtj?V=f5CQ{AUHW_?(h|iecTl@Q9 zW4i0k!sl}N72&U6Qd(o{NUGDe;hA{ehEhhsytil`rjZQBVW&|Ch%4o(VaSDf|7_aV$QMpqnPMIy^Pae_TIaRN&#!3oN62l~VHFK-Iz-!0(PpyPL#zj*b>?lt`Ug4|gE3otVzGi2AFW}2;VU>SqtMJp3z~&L z24m?Yn)%Mh-p_$Q7tL6D4(8*yxZ!5ejHUZ~_(o)YmlsUFffeuJLw*}k_YBOBSal>C z*RGqt%KDKvz~jo@h%a%Z@wTgBc=5t@ZQVPMH&3vW-4;1c`SApU{{0*$8qJRvnLCbD z*%sQm#F57O;|UD1Fj7*k^YOSe?PSr6xwCi5{-POksGi2oh2Zw(WN_TSie`N9i5U7X z6U}%$Y|58@8}9)dZ5=mWu;pZGm&fTql>0xeSI7BJNYvabJ3bst9F?jD5W`!*WwXoI|fe%b<2TQ3vPN{b*VGT6;>Ycd|z~LT& z6|l!C_{D%YdQG7fyrzk%08Ed;_?*R<_1_|zad_uDMKe}$q{X2LFp@@d-;@$_7*3qJ z*XC$pU%EEk@G#{mPNet)qyQU(@o>1a+ErN41i zUy$qYY$^nC;`dRcIGdEjd%;ZFpAyYDWGMO>hde>!DszZ4F~woo5NB3?{77OPBCMB)#vxA7qIzZ{wz+@Gz@+>6k&m5IR?;Im2|QUE5W;L#5b- zIFSO%b>fe~coll^hwl~5m`8a`{ntV(m`f{@t`p6emD&bx!C)*ANQsq!ko%yNn?jzC zRMpugAH${uOw!+TAk_$FQDPRDLo{W8jE+Y}BDL^3ECk!Z8q?fo93w{KE=)0d=(7-v zyP?iepA*fPt8dG`E1EH-UC||)F@H~joan_z&JCs8QfZVEuV=?(V|8GD_h~FU_hES5iSK_&rwb%DWGydc<(7rC3@ybg;TPm9IahTco%Ti;P1x;oj zaRm6A(}rrYbM_7@w;M*{19LHB7nKs4?2Krbmo+(cfUHhZ_)7f8p3I4^vH3$9xTZ$) zkd$UJ@;L!{ACUEGX(r}`qmpIgrB)jfR0KM1-Ifuf-^)jHh)M1gK9JnOKG4b`^o6H zSFqM1SeohrhvFb2r^8Cg_+?OP{9@c|z2ho)9i+D17_a_2*cW;WgYn1yJNb-VR!AN5 z-@{YtDOv;R>rJUAXpX*RYqn_VDO@e->qRuq0O*?(1;=COmaR@wXeFL|b6)zYXvW-| z^U|M*X1sh%9`a_)EmE)jv-pfTr)OEA2@J2%oIX^OmDy9M9M*mME*)YGp~*@_e;d(< zs}$zmcd3&UT8ZZir_FbWW^DeDCT2e$PHEsKSw{cAWW8FNi?UuzPZ1|pp|EJ^Hy)Au zMMWC?GC2OcKhCpTjLjd?;PJcBoH10IN3w1xAnK<7g&o#S|05_3Pl&ZN{VzC42-Au` z@6Rh~3Z^vV4{5?XACb~bgnw|th;_A7okRk}b(n}h7>mVE zye*pXtiQn`#(kn0uRII1D?~Hqdt37vyDUs2;%dv#r!)1T51=f{scm_|XCk$GOD$_+?4&FZB94bi} zAfv^|=*NXtFyEH@_8;T52V-_zTG4ndI*TtcH2%yVVXFBp#0%!}rz52-86C;xt}&cHVel z%=Y!0A7g4Y2^ujNQ>!*S5iuB3t0|Cy!PqiMLrnV{&DldWyfoW&k>sCz#2^iaN2a0S zWH>8oIGL^xAvC-czxPxc7R;Bv{+h}7GmqvIjB#jiZDc2HfT_V`JYO*wQyZ2qZQ$)1 z%{i$J3ZpQ!_d8ay?t9}PZA$-QZu_Ecsm;DOGFNAyIvv*(jnWbGckJy+Bc^2gt`W`H z`AW)}h-}UsDrNP?9ld}uj2k;h%3;ctxs5~pi$k6y*WFX55;=mdfv@93nQ7Y)1$@kj_47{$`Efvd!37Dj^`pv ze@Sb+_K@7tX1w_Gc&LWYVKC-fUwcGjmsRtP#GKyOzZ!F0sq@{)PVb9=r4nhe>mh3A zs5A@IL#%8pNhjiZc)O=KiAH>7fE>h$Urfe2Co#{&e0MancpBsJSSOhdOJ^_+8Q&Jo zIAjc3Ss2F{*JvIsDKWumti?9F=|kEOoiknqypf|>0j zkBde;@Rl=98smHM?9)$i5R5s`^m`0r=TY;`IS2<8oe)VI#>2P3#Q$0}<6)>quc;Uh zdp_4xjE9ZK5+fWWgE6bqJ8?{7S3Krr@fio-BceHtYOSInK4`%grW1{FOqvd>AJ0Pr zKTVI~x~{g47LyRCo_DrvRyln3A?601H&%&ey!h|%$?ZJRjK`h~NBEDT8IQtj+y^EZ zhfZG>pK<6Cv|?g0OIna7hAG)6*9p*;SVVd2gjmD*q3^6-QTjC}07t+S& z$r5|Mk}uED7U5wlv-^OGSC*&>&YjR}7~(DQ7o4$Zj=y*+%noM8V9cdZzF224=H=oX zt< z{0&U(2FYX0xADF>-gp|uHxH=BBazPg?~Oy};`NO~m!O5*$f?mhHoK7JzA8QPd{w}# z(L0tKu4(>XRdN^1cASd3WH6qFIjKiAV}8)?T?WRKb=GL5HKyF6#cS_)&|aK!)42Zx zEw?)5w!K$fzf26pi4^GK-DnmD+hb@PUuND~#j<&pBG~;O3poNU>h;1I9GP2X4 zU3*q#KXSW%D_vXFu05-e;=dqc@g2saQMW#!)0i@Jm;P@Y?HaU;=gtl*J0Yb(ecteG z*mJDO9WO<&ev1JF5-d1&htKH2ueFVi-!log(WMrwaw=uR=V!)W@(uKd%S1Dtb5Oq6 zHKt$nHh*E9Bey$zz_ltvSoDqY((;7?Hj+lKLOYe?H$p^h-e)^Hrdy(|kT3t(TtSVFQWB&C0^ybPP+jHtG%vWU` zbC*+*mhqRsE+=)1W;_S3;=tdDW;_i3u;W0{gwft8N8^BTY2!!8XDnThxl1&*cgQlI zzobF$+4yOmn0g;8y|t>>()&bdFmv#Imm`>m`K6a`UX|W6f8$i*W4$o`6x8kBn?*C` z3Ag&A7DjP2@9{-ZOtA8uboYptoqydk*57%Tn*f(nITnExmEl z1>3uQ@J(Ws-s8p=y`NB7?BDG-I2CCb&py4^0?~}wr}u%aGZ=GElK1xt!?u5bx>!#x zdJW+gE4jGq6&lYFw9N-BrS|KhF{aX!MNysJ5w5$_J*$_E!vy1 zgiNF@T6g)U;&7SfM^p3Tr1{8)sbfoXK2<`8uI~@hytEKUfRPUu&Ay}3SW4GVoQkxJ zzvQ``1sk(h^O=;vn6)#Wo)^KGza1AQt>Z$p{4db!Zz0WCHK1iBW7R;{PsGRC2>C)z z(qh+A*#C;G4a9P^?R+>lGh&)Cx)1DwjlXo#h=DPSgV{O*{Gw_)&kj-x5Bp`ca#ry0 zLvrQJn1k_hO!gU!M{mlPYQ`?Vs52Yv&683c&J>_d_Zg|qX=uBvhp4k_l%yD_BM#A& zfy7u^{4Yf!=I;qmgTZ*@O(~{v#Ue&?m=#!*I!9utF;hl+l|Vouy5d>mFh>itBI z7-T07B?!?kfaq^Sbk4XCj6e7rh<>kV#uV3YT#fhLo}wGOtWxVSLq8=&M~{e^<*6z9 znXu$V?N;ncs@8AVuE9E~|(h6Zem$ z=l*I^!}3N# z<7koZDy=cgwTi};si=sVOrv>fstC^%3pD4ZioJM=1fky3Cs4}_5d#&)A(}EkMvucW zf3wgEjy3Ey(Tsa1HkH&kslyd?Uwp=}nK-r#s;+Hqt^dRAtN zE<@F~yquMUfsxv6s7@Uzwkl3llFy@HB@v&ET>Lh|coiz^`L!$>XRQ^?%JlIX#ww0n z7tf5rwKoOiiUwTWtfSMYrh(Lhm67UJ=VDaiM07u#;w{WDG0$9{x>_`2_Vl*PMKe~` zq~SY<+2PmDPH{XXsRm4ob8Q+`B~CZ`Hm|1i$Y{)W@tvcJI0c6Y*H+>Hhd4pQ*%fnU zpLxU)N6hFs1(mTKA?3mho2^sdoJbPdaYr{Nid7BC+Y%Yr7`!o2X+&e=GTw2GW@9JB zj5+z#-s4yHE?mBB<;lG`53kv@Z0$Re4eMwuaO<^cVPCk!lq S>jO?xXeHip?brJ=1G0gcL~IP!d8CxFm!S5@4w|rd=QbLd*C6&)eDCyOqQDefRsVwC_J}-n=*S zrtHk@tX~PL?WwlTl=^L9wB8Zmu4(4HsVUsMxNlzmwZ+Gtvh0M#`wv|FtRd#N#`^W?4+ZC*!=sXV0H8bza}R{)JO#_s*G12`XUjzg^1@=O)bH)ZbRxre9HVn@@=o>_;@>B?C>L=;Ew)P;RLwCPr#5qjoM zojiYP|NOpLQ|HZ}JS$I0DqzZjzL~xK^C!=pJ{5m@W-uUNj4&XI0Nown&4MqSI(J^* zoY~<1)Q@p1F+H<-#h}fbnEWw2ZMnfoiK07 z34p40Jp^7@W3>L;Pv9LLz&|jPcnI9Od$K`;6`qQN>8BV z0Y8F}J-MPAk&+vqI}rdoCs6qTvkq!U=^SU(K^>{)4PPxsP+xy;Vt<<|)^y7^SOB6! zU%%C#YwwOq{8}dp>X-nrqsB$NVXnVyf}?qPPtRmlwFg1a4_NT654l3jRY4b-ppXhE z7JKfl7%hff3ICMJqrX8|Fb;?Y)EGg6wI$Imey~e3wi$Gsz)@bl_ z(jl+)YFNhv#`-A$m1D>x7+i_Ml_Kffk4=R@kud42$`Jr_N9`$^AY5zC2q+Nddb(w1 zRdJJ2xqi4`qa}O(W`zO% z*^&<`TlR~aGmcx{C7=CZ=0ypxvZK9LWHu8fcuk+iX+#5%;MwwS$p zH}N8hVHde746T2nINfLlORGF4rCF%AKWQx)HX%KQ+Y@9!E zG)13!-PIIj{xi@Ni*HF;Q?!3dMX2^1rM&Nj)I_H6dskDG@;hHs;2dW}Vbtv0f)1l? zw}PPE?QmuEn6{?qR3}-QqAuSKb!neSM_i+IQEq*`=2SUxS~iS_ik;3aay2yp)Q6hN zb;n9k#uMq50Ua~PyiP^Dor`cwrbjmX_&Z%57cDzf}lguC#S9-=|hXs*^Hr^hqu2jJm}23RvFOp&I=SLbY2H zDCj1e%n+ms{!%xj+Sq)p(o{P;t$znP4B6DOQmfOZl5`}@nn*K_u7sQx3*uWLWp&4@ z1@QX{VH~uM#<*sY(AJGsG~H4vs<5{1ZdQbkJ)vsl0hSX)Y+Lt4iA>f)#}_dy_(>X%#A zSRn;NFov>q7&GP+6}GH9H=$p-N>oCh`N9UC+lzABuIl+(Q>YHqsC3IM3mloqRGXRb482w8au^n-CS~hfX{e^c(NSfyjxE)e1;MK(*Vt!>ml_ zerJb~YN73x4sH2vHWAvUt6lSk{1B2kZjy2JvO2vx6Ux5!rncxBl4eX zB3-&7?AB_?7wNZzb6H{DwhUBsaIGCaR*UYA`&2ut*EO2GBlKF85p68;yLCdH`k6i5 zxtSA6@4~Zu_=rCvIRlQpn`;k~7588TIlCCL z*Q$BuSte*4alq)&Q(Ak*w4ObA42pjXM;NWHsAcTT0HT9LU0iN{$kox+rS-1$jmE(5W~n=m(uB>NmiGhoH1H24Ee0iy5U)%hy%_ZJ$iIc>)E}qc}i&a$dd{>Z1UJC$cT|wDp_Qn+^RB& zpn9l5mJvi;AmQJN(cBn=*kOhimRUJ#KQc!6jUKV9S z6va4VdJs=*Y%e9oRN0zJs;oxtjYcRPOtRx=RupqARG2(Av>BR~bqEux6-`Y$@~Wi7 zXo*dIwI$!pYY~*IL&8*IF_|&f*2$GB5Q{{XZLGMsTHY}SCnF!h@=CdZMd`v|xLH`z z%;Zs<*QthL4z)+tP|lr=a#*UN;^Gp$j!ub|sXQeEBRhpykgm%kpSNOYSU4(zam2PY zS+&v$h5aqkq%H@MPUcfe9Em1F<*q8!Sow`-#LXDmin?JOu^yyqVBn=n&4qaYs2}KO zMoy~WKB|oIx;ScUrUEt$1V_%U;y$fpstPx1JH|sGYoMx3+WTh|5im>zh~A-d|CADt zP`n=BS%_m{2|P+rB-adQ?k>*O0^&tF^09b~utG`I3YE%yQq~DctFlEDgRU%&TZ@5g z($x~l_7!!qM960x(dx%!k}vXBQKwO|al{sOEJjq% z>!XRa#u2A_abDKpv7wKswsKCLK{XF%r8_$$ncrvS<6>%=M0Fc0#pYm@LJKoAVo=tF zJ}|zT;G%L;jYTRPbx(gtuKHYJQ${7#$X~geBpjCb=#R{LAu17F)KZ}((;?#=8XES% zNQEL)O=;dRwM;38&h71A#;3l=Y?6n~nahb+PV;jZH*$B2C_KC7u2RuP|HG^c(Q@$& zWh2J%N1(4fl4)y^puehw=_EQZG19zY-zs^O7JQz2HP9qyCM^{~1#!cdVr?giP7z(DS-eN>D*}WoWM(vF#=EQZbX65v3XB3fXPATXxDzulSDbBDS zoYxc@pWGuJsf9D=K;qlDO*PG(d}LeOQ6K{qghi>z{mVG7_%qVYT$`JDFvwqkQslf| zM*gJ<_T-t!$vY9$e8l|2^H_gsxwOdOdHvJo&FWvq9J{F+ z1L$J!*)f2RGOMf@fN8($pDO^^4vXbIVE=CbV(Gj*9j8J@@c_EjSQSxdJj0JmdkEiY z9z=|53e+uq_}~~9UDK{2D3rpLfVOgt=A))ntkHDyIoTS`N?=uLG#z+mjOJ|8gD%3G z=ZaTe>?`Ia$1FabWzKcUmP2a-Imsz2cFGDSH?nvUazV*sCE$w0n0!Ri zw0&!FnLm@tQ79EXs2GtfcUcvlt5l0tEr37fE4F$Zs~KIabT3OF)8}l^)IvS4JbJfH zRP%SMBs~fO>tWRzD{?|jdmm}lunskCdcUcV-Xpt?qN{m|nmTXle5Cz-5Gc6bBPZ-< z9W{Ca+WOo|AJFgTPMywRPX{CFs4w?gIe!*<4`A|?+0;kspWJ6a=KET|1#4*Kt`;c+{9m$knCQ`iUJPs*!s@Q#m$yKM2CGPMS0}8&Oi{ zM~%&gNKQ-pp%)?@Dn>D)bS4BL(yqcQ5fw^o&4x&umiSW-Nb}s@LuRqA|AZ9Fz+(K? zd_+n7+-zSEaEEKaca>`h7lHQ)6^CjG`wc*^AdMQn3& z&x3>uf`-y+z4SpJ2wL2OxufSwPX(*IK>m%(YBKcDH%$eE(b+-lDe0;N*uaPN40Qi8 zHt1LRI!6C8HrTIvA$=sOEVdw2Yh;+lJFbF44LPp4%Z?$)tK3_lVTG`uWzWeNE(ACu z{f4VJP|&DrSd8^GsmfioCNh#jR)8gRkJmh~H3J$AHa3y3I{cM^r+GKFf8l~fanxEbo{&RkVo}3-_w-p3r6eo+qK4H zboyamfIKMZ_)+)pJs_#3S(BG7KXM}5qlxVJ9&rffXY{q74C*Z#RAw$bQn@4sj6Q(o zXwT!H7>)v)cXEG6CyQZ&CjbWis)#=U8eVbp$r}VkQtiv#LKcOpP1vtLIal{cW!1Q`Pl*z9z*6Ciw%e9%EnCT6XF{sn=zVMfJMu zhmh)c!*O4%-v8N=@OdX=dbpG0?7xRG=ABIH&ov6+9GI`PYB6F@GA&og(_9h^CQW2qM;wPVN&K@It^srv)Xie?ALf z=^@x&+L>_~mR~Tpzi;+Dj{Y7sLAM{mAO}Is2m*S)jr;a|-|YS=3+DCp9!7}48k#+M z7CVIs*~B5CPbXS}mg_1J)ZdX~BlNr@$l>$)deno>AA^X6S@^IWk<4QbhadH85XgH$ zrOuZvo~wDkd7isHfA8Z~rOrHR%jppXAKhQHqcC*2xm#9!}rkqp9!;bf0w+>==a;sMdv6Gx-)*(|4yi$jdwN(3$HhhZ(>R~gA>6dje?bXbgW@Ig=pS0M)< z_NHfJ9JK5(Cjut+qv~PAW?7h~(hEVkMgEWs-BAqT13lfxx?Kd8EVT-8|)z&qUE&CQRa{g(b{Lu@`hy4O;Nh#@cfVr(aKux zzF%MacgJ>G-YZX(`fykqdC+_WYm|VysQl&9uCN(xBK_?_OnT?sE9F;t@SA? z<6|JE5-|O!;;Y^lojB$mR8&P{7=kBII~7(T>77-N_M;k;>ataL_y8E={kZB&f9%$S zs?+_oSr4k-`Byx+Iz@C{4duGj<$H&j>pU8(?sImLHxZiH(JocySdA|+atZ#PT{QiaL z2eARC2r%xY*rA3A9i>jpBe6jmd+8D=AMIvW8YHOgf^*7^D7xX6+1W;v4-5+u5?Cu1 zBzURW{A!~Fw9G9>6dO=Zb+#N{Xh0`&2s5wTi1NWt1_*JB!Fp6_%R&E~_+x%!T6`#p3-L zY*)4#c(H3T-SYKfBg$;}dcF~*!6zknsqTtOBQH(6$Z9|_4T+t?*+!IunCH9(lq#H7 zZ3LN*E6a^2#h%rOs#(f!B~WI=cM1&>@D@+HuNTO=h_4-EnAfkOE0~y*dU;j64oS8+Hix_ z$jiLBCEJLye7pq8yty%fau9yA(;y*7-g}FUC^fsi+KAGM+sch7OZc8jBg%ZZKOvV^ z+@Ejc<;28Vw-M!#=~lZDWm#U3;H7OJ1P#1u!|QuaBg)kN(8$Zgu5lY!)th3MB~U(# zTxvJ+@+sru1WM1jG9iH~Twyj!V7@q1T;w(IVrMs= zClV;FxGsUBh&wYuqXaw~Vy~*%2*Y2fZ?v4qk;rXW41`baM;dG%>*|z>DTd3HKLK;F|Cc0; zb~N`UnCs2q8!EX+g9Ho(bMwpu3@dYoC1F^ZTNNa@p?7Xs5=IW@N=|~C#qc#V0n?nF zg9OadaqI+4D`zEOysv{I4jQ@J$$h_omf6vnHLp$xpy^;~7bq zj`NT?M5-^`3ayuZ!1_jZ#jB9cdFqq%)8c{w*b4UwJLY$t1Ptc4%mm6Dc_+cl2a4Qz zNtj-;0W#|Ik8gIM7#%Z=RFI*7O96SCWsXI2v2G=5AHRt2AgnS*vdA%s@;S_zn0HL;w4SvvbB zVOrUll+6nNqMHzcNBf%+DPrt}ZM8|5YPV(^WndJDf={G~vj);F2PL`DwY2mU6GG56 zx5zfyxW8KH<65R!32wIYFL((U%*l4%qR;=*X=DcYL;!HpUd%1azN*&8O#mx6GmOwD zNi_8>U$#Q+Aw-Y(x=&>0)Lz;8rOqsBx@Bf~W|7evK8#K6RojEqjOZ-M7ev946;$L^ z6Ed111M(i$^0=!>%`VTXhAB+N8u6$-%ONEb^ex9LI?@OmpI9P$XUT;#m$)SrJ9y|9 z<12NTs%-f>h=tZ=)j@2}{Q!^wVSTd~_V>)3({nKRtU?{1O0-x9u~v*P*FjWcdbJLs ze^1NTL9AuARR>|K6&$;sO}&fn``}AMH?7x6_E9_5#DH7&;s|g~;#nezU&W2Tkpn-_{Rt<5Er>Vg36! z5TtKD$5*F&pqA3vaB|grtm2PL5rK@p`HVl@1l2Tc9@kHE6I>8G_VHkpc|T*iG9?!!F?9oxzM6*UI#!}5WGA9v6%`!w6Aym3~KGF@OfC|#q%%2X+r0O33x@B zCx>vZ>OXb}bEx%^9}*b+wyZ6N1ln2TDTs#XZ7h{Tk~rImg@pt=N6wE237DoWNW$s` z>YK6&c0Qixo*9Cl;t#fy{E*+`STP*clFol%Jw~V_U&qF}HLhF-u@4!Ot%F#3JL0TzJT6DHQ4;Oje+Ot<+(xsJVJ!)c+e+9Ty4&Sc5dy)IGEHd?=unIhA^hjr)vh zJ;pTb28@;D3Rm+%_*WW)Bm4$ihr_4%rUWLk%OS)G;Tv(UoQ5uOk4}K8-E4&+Di`|m zqusCYG5IjWdO*iw6Y&R^k>Jqk=$l&#nxcU>S2V?x zFVNA{&e2;ViapoczUHL%cjq+)Z~D3mV(GML;Cj)-t*I30#WU-{^dGoV%csp@A^AYv@9mLr+>`|@b&k<)|aCEs2r|3iS zbr1?PSM}>4P9;cN7`YJBIILb)8`EIye|mMX>F9s8HN=+zK${V*UI4&m1jGXt%Q*Vr zGmeI^_a8|fUNejP&s!q0_G-X0W-SVL9I71=&&A{rR2vnZZQxW}8vYv8M_0Qn{?@>$ zc0|17)^n;A`@h@uAU0H_N9}G!V6yUE8z#M<4Mv zrJu+^6Z6Hck`y{QYtA14z&5o%3JrH>v#n`X1A5z9H;yPC01}5hbhA(FIKolJM_wFZ z>r-?zqP8mMK8k2cp@&@o2CWPG;U_gMZ>A`pcuixnYrW;Nd@T-J!z+t5niJEj%o@$c zcwQ`=wc!Z_t2F7>^e;O^b--Es*!(;NL3+K1*!OT(UjN!#jV4X(?4C0DWBiX(tMH2|1n}yp82$= zIh4cy&e5du_uUFLGIaOd${LEiy%K0hIrnbW8W~1viS&qE`{^i>^6Zu znWh4QR|;`xCAKRlJpn8akNxZG@2;KFai-ES7K*p7)bVV5tZI4#8&k&_Hquzx%U*V2 zK1@!_>geWZ;S#4F2IqX8s=V3Q-=Q|VoRAF}qxT*2l^VjsE7hi<+lny|?`>j(ezgt( zAFI@7PKeGs<=7LKtyr;ydtv7m8bNS#Fj2I^0Ld#nOSWSM3agf8J>J3j@XoZWP-eB% z=yYxh5M;K7*z4^tiAjO5gdUHg#ooMm+}080We_up1v;#8zwsg-)olM3q$DPS)Mhuc z9D$L;JnjK&rdIMY2M$ZKfo#@;?X-LX8s=zO+>>YDK<;7A zBOa7!$MY+;B^7}l#}^i{GK$;ORy}J3{_ZIP$ZebhTzx0sC~9aylyJVwxfb40{E40U4~zqdh7!V9e9(k4Q-@8P`D z>}rjPPa0B{#2^l<7Fe}#KKvXSGnk{N1+hdpk~_3q<7Y118Gzno3a2I1e)!qbu&uh~ z&T7O(B^K6%vhMWNWbhGYYK`Or=Rt)iE)|r@suZR^hvuVDrA{#-;cP7f7RcdKxS1Ox zUmrwv3W_l|+QIFnQ}|H6mxqVY^}ucha5D#YlGaY&0`ivu$ZhFVH}OvKEnN!o1}7qqMXr1bDhyqW(D!xdVlby$zFR;j z@?hx_2nESvqo^fH)RSa3KrUX&=KVW5^q3`NzM+cHO{|rj_(Af90OUw(<)UL2G5;7y zQHz#>8QYN@kegX6m*5Aj7LjB^mU^rBGgFg`nb740kkATBQOUI=p$1f53a6e~49Ufc zd3i2o-y!t01^px;|JzD^I@n36Vffc*^um7 z#G1FF9FoT;(&`?qs)gtl32wD)6ENUK}9$|s&C)p4b7 zyC9oKPc}#M=puAGHt6Nl=m%xoA!Dp|oEePwDykvbp=#X+3Qt(PwlW;L?(+o92 zMzCePEgOb*sL*R8QIL%BnTb$jswLTWnvRRCK-@L?FfPo2anfTbtr+@E9g4OAqOP{J zDDD_(IjRuGg$*EYC~K0UI){N$CNNX+EaHINOdX-a(@$E?vp^$fcr?~%9Eif)xtu)1 zG=>w30F(Dz@-{{IZc)JHm70*u%~Gsg`pYUF4bw zQgRW7doxS|uj-iK8L3JT3{bRnHu`ao) zTPIHRkR)T`nOXlLXY=UYm@POU3rXUD9~#C$<!MU9c!eLyR_aHV46S6#8QD0$ z6h};zD2pxv8;y}{iG)c`1C>l?L4KfqWkVknP7UPf*?22E|g5{6JQN;#!Lc&N6@oe%t2!rJretW&8KDYHqOTh*&DcOX7j zaT>yqB~13ncpUPF?p2H0a#^+(6)pn?af44ijmOGDX{A~Vlo0bBY1~$gG4gP@D7#fe zNmJ*Kig6OPGL$7!*F$ZuEW~joJ-4^7r9&>;g}S<=#CaB2Hla9>7|m0PJ+M%BCZ|$oNz(gh_Ybt)%I&4~BYs8PJm@Wj+K?}~4}8dGt~FLC zi0ItPt_9Xr1Ij-tUki-txhRFM(?OtCncfuF_?rbCQ^%`?8m*uBQK-0VD09xvRRrL- z**75i2Dw)^ONT7Elntc z%7bDmr8b03u<%jRRWMrr z=#pv;K&8N`!deT^ylAv?mdz;b1u3lvd&VKPr*D+TBJ8iWq9A3giPzs#r1l7m(wMT* z`mC=gNEu@rt$%YBh49f8yf&n$-HV_cqxBV=VY{0~Y457h`jSJcr3}i&=|`rbY*1Nn zDr&b1^zlIX4Eu6mly-xZS5?@Tk)8=DuX-vB;U=WK;gZ@J>6wu7c1lsEQQ8$!Zc|}9 zA-!EKIm*H)?PMFJU3^duId?>QyX5gHB^7TQ#M=?+-KD6l5pM^icehW@tr2erq<4>^ zzJz!?AiaBqa*WdUNbh?#Ik&2ULV9-#a@z5fnIoqBzfRjncOf_7laq zGB8SqLYD_q`Y^Zb8;;jnZOJhgIcMEJmq6i)=@FPnt~OYmQMm zu8eA`s3jJ-^Qfi)!6>1YeDqIogv#y+v_0Z_v>N=rN(JzfnI9q1%jMAS>sOiWwol!cxg1D|s>On;pibKka4rML_=aHs5M4^`JUq8tEp zIDZf1T-#`U-DTMC5$_35>lEjqo>8hm%6gMw6~y~p5tL8ri86En=NqY59&PuNZ2^DR zF-mS;CLxiyiy}$Mj203IkYm0a5C<;bDE$_yys1Qf$1_Ubu|T;@;3*ezvvMqtQF^=z zPN?#>;(R;{#Dz>n{l+p%zwt0HtEgY+jnc1u%)ObE@>l^^fC)<#_Gl3lX26EK411(( zlpZxP0qu}_xB|oml_K@4Di8;AbwXuARt93?^goATKdl(0pV{(J4Wsl>6^MhmIhSD%WpTm2&yxO{vg$)n720apMjAoaZ>sFZv^$tc}d24c!5+l-BbZ$%a^Q-(v4Lhj(qV7R2G*DP9^0A%P8I8$p^}e z(waOjAB&?NQy47Z3goOcqjY@{R7yTUXOylh12I7;fN%g_k&eQs)Oi@^ac7C?W+& zQJm+QM(Mnwe0B-F5;Qxej7>5sg%vLPDrkMPqE0QNF?Hn37^8F=^gPX!MG>R)Ez2ma zgw|`7%rmk;5U=Dg^)nr#bVe1FPwFfiNJTzqg=Q5pzm0e|*$n$Lu0KJS8&$kN2S5t4 zbIB+@?c>VJx6_Q$Up!ov>_Re1&$zg<@`WLz^j8N|RnAs1O3&IrDwqt-GljpIK+5tR zE~E4uk)nKt2~>cqkZ!rFOwPaixbm`!VwC>j;j&~?l2Llz#g&z9R7U9q2UJzITN$Mn zZ6Fo-tdCI&Odw@E=oTsSC0s!fAIh;56#yy71}UTTvX3h-Tm6jED;_QjZ%G%(`KpU6 zi%)o|c&|C2s`7Clqx8CMlwPaI=e>;5Iul4)KK*5s)~A3JWrr8209Qf2wPcju@NwnA zsZ!YB8Kn)Dd_>JCz3Jl0f>VXP<$$VUZd0ZHwr!N&s>tWIjM6)%QF^v|M9-jm#)1rTRxGed+mQi}o#g)ao=0%3R?|`bxXS9sczic3t zv^t*3DE-?6QkIopqx1o||6P<5jz9&t3i7cgqx7MVE01~YJY|05;j-jZLZkE_7gts` zqZy@-9Z*$JS#o}21F6VI$&AvcCXljxHp(b{hVV~|^5HR10j`34LC+|C?&Hd1WuQPQ z|MhTL^3^P3ZOX-!l~bCGwM`DFs(k;=Sles^so=ZixT#@gW?E=xX=Wvt!A#gzr6WNzw!s>(sc#@fwnW9_CD`8=etc5@R* zSw6*StR0a8Qk0LpfeLUH zu*0gbXwu&UAc%J;b(!>cUIjUx&zSU_iK{4^ULe6WCjG4pDo@U5E1+!oejzBwnDp1I zeAy6pRb$dKp6rYOg?P_c@|8hj(qHnpeDzhy+SssTRpC=(($j%4>CZ@xyU9TnK$)Oa za!&*K6B0kzVpt`Mt12g3Hm`dLoKGQv=?H6H_iVX&9UBxbkv6aUn~AFks!Hm)09Q&D zSDV-UJrAlNUvdNGH?L#mpI#>C^V#NgY*4uF3d#iqgQhAe&sUq*z2L~#^^AebZBUhT zi(P?c16PV*{zkR}YPW)h3X#eD=^77)Svr%J&&T1-J_GptaU(Dyao%*Mc-4yY<} zx5%)&Y# z0F`CfD9b30^yOQpMsbTQ5Klg73aX0Bl~11<#cTzaBl|6l;+ADxwjAVX6t^nkGUXId zqxhu)F3fn|SVGaHX(CU4`wG2NlSd1C8R&mQmcvmoEnz#V==pc=BaG zP*q&6d|A^d?oz?!$k!Q-;;v;}kcUUQR8M#+9th6a35dBB)M(5F-0xt5#Kb4D@3#@M z+4lQ=BSQ|(=kb3NMm-M<#kuJUbK4(;ocyOaf8-1ybCc!!?e-7h>@I4~AGHc=e=|-Gh#Q8*^Wp1+n#ixx5IkTGciCXG4&f%x~n;tKm z`*@o3clHo6hvi<#?ig}fn)At;o;8{2qklbOYvCN{YtD*4giIs<`W~$zXI^vu(HnxZ z`NXfD(kYy+f#!VL9fGrIdG^@ekh7pU|1uP3>h$x!cAaqU6U)7kU4DEqqpBpIQIznpPbJQB{RKE%gB2|PGu1} z|27n-v1fMN(;+ASDVfhXL!{ok^7WpP!nu1Dse!DwF>YILj^!%B^xno6h-eC^Gxyj_?M=uu6ZA{I1 z*HAKtTX#(VzHn|G>3O#|gv?=QKDyC8c6J{Ha|MS9+A4v%tE%Swo-B@af`C1hTw~!6Gd~6XXWXAUyd!q0$^Q!Tct`96i~osn)rCK#i0xxNGV*)p(J83Ne;j!5&obUlJg*6OnQt9$d5T(s>jXaCT`rwkS&hg zy@GgY7?KSQ8HS}Oo5}`exJVQz)iK+4L|HZ|Lto6&agiuAxr)`73f8DpyqBQjB2}tQ zsZbbzfC5waH9@`K1+?RM*!E(W8zLBML(Gh<=A(G7K>@33jEQh1Dm~&QLdXLM{DY08 z8tnap59AL4>}3wn@F!~}xaf{aV-R_mv1WuD8jb=H!(R$yU5i>Gm4d8m{oYoYQj~Qq zG4*Ai>spWlt0GeuQ#C}5o7$hHAdOKJ7Kt2WNl{}kl{xcNYH`i+$^F?mE^@ZDU%s<>%vw5}AZC}pI0^uVb&3wg+yX~tk7wnjD%x&p~FNe0r z+;+F)4;~?I`wApU9*Em6b<-nWb%|}%ZU59@(w|Uhs!Bd$Z=@!6pFHs|khlpk0%%pD@cgC75YvQX_i6Qlw3zX>*uT_X`)TBQ< z^^FTAi@w05g+JQ$6G+_DShHEB(WDoY+DbQ+((88IB+lO+A5fW?N!0KKr6K(YIU$Y9 zPUnvKtC;kr7%BH_5Lz={fI$(z>D!6vsOT#k#&gY@;TjTGVv`|FFNvq(hf(`721= z+*q?|xzVK8oN$faV_jMw#7%m`M_cJ2X56H2TJWO~jG1)$fipK3lhy|kLzmK@%=Cyi zd}13n$*VW%dFP8v+H%ta7ee9)m}EAZ^m>_U>r&ca#Z7uM%jI?|6DuWZ_BdcZ z%i*2@Q84PLso{EJurp@T^cg#DDJH$?>Oe8+FgHEoEr-~~O$wq?deKBT^`gtS)N!-X z7H`W@GdN@$36oNxNuh>tiM(uX5R=}j$bNPd@|_w=mWW3mTpGT`2N347tSU zcT8z;q-_6banA{riPgvNR*)OS>YaD1XTLqjO+_d(QwNGkzeo1{(;~J}lU_F?=T(-x z?tPI-yPY}wH;|ZxN%=;THh8i*Moi)=-xA0Zleo%Pai~npq~D|DHh2QYO0Mo z_s=L$Oga><`JT%N8#QTN%{7%t>zpq#>EP|R{1zl`X{<^6jV8St#5;obLe~_N-mh@K z50#0T#DaJ?5HM!a=0^|vu9);*RR@Ym6%_0H6=EATX}yEtk&KO1ZCek=TW14VkL>;5 zZB6f!qq-n^E7)c=R=cV%JCvljxYX+6fgk{TdIXnR7o~LJajA8oj{sO2wKY$aQDZ_d zX6Y7xT3Fx~r}T(_*%CvyHRrgLt1+?u_d$BZ2ZT0m{e~f)4Blh|`zA^@dNSA$M2|#m z%gw_f@Jq%T!>idWPX=6G{w->qJQ=)~l?_$$Wbm)DY^aha11>24)}dyxCj-|Ol(pUeuQeh7LBrv9s}vFOQQ`RbOx6VyNULh@wrcoq2&5LZtIZ#&7Szqei3%!i=2 zYo_kd`}JoLXKR?6t+}q4`e9!7fQhLepiq9}=_kC8EbakA%(!unXVW7-%nPWE`;7&? z3K~N`QRSyny+?O85!64Tk(J-PWyU!=fd6Y&{yLu?@sUMLS&7z6@2Gw!fN}3Se0SI| z#-*U>yEc%IgYf2E7n`;`27%{uPd(8`1)lBQ|F4j_jj^WL4Rc{6I88b-cLF?y{bmLj z(j)$p<^DE#trJu=q#TBQT#>zR!&JOa%Ch%uxC;BUD4d++N{{%gAkkf zo~5y7?H$V>-tQuUw4eXcu#Fq$Fv72@%ms}xAAn_<7aC)3a0elCL@-2da-#-NwduM6 z9M=SIP{<=TnjllT1^$ewO%ohGbMHGLXIo=UQ?*t@I9fugj@p?RcEQ}kaePWQdED*s zi+~!$8uwr38A-rcleg^g`3K7Q@pE4XK5EjAU!VX#Bec=xZCn$deO2Q=&hT+V+kVMP zfW}LZjoP-usQnN-wH<78Y7L#(mU7k3O7$h>^oUPQ*;y$~{l`w+(vLjuv!sr(#{K6- z6qGBVe2y7R@SaaijX@N02Gd*%#xB`etpC{2fnxnn;T|7(#NYu#PjmgZHULo_84BSG zdb9)Yn)w7IZf~qfRT_*Q@rkWAiG~HrEjldF0PN|EVak?Ks+P{AQ*7%I5I6dvDyoMq zV61pg?AmctG4QiM2l9s7P$^eqVl4Jc|<^M?@?To823Wk^hswM6iSvB~;epE!SUep*9;l^4fM64NP>t{pQ`w4xW_I~0; z_BO9SneF(F#^8U;wW6hp>CA9Twwx<_GsAq@t1I@V1DW{}3pdGf=Pu;LEyUCnL7|Bj zRgjJJ(lm^;xRIVZ#cIgCFlba%8`!=`gSdq*HFc~DcjzNCiV|5je)nWyctK-QL+-ZH znUNMTRYU%5CtHMnxnYa&uUd;R{;E@chB&(zgBV`QYk^T?zd3BW%h&dffK7)x+TKiF zUH}k#M`h&&0I}CVyt7TJ60`TNJStIShuDiLl+1|84rvkY1eVE5WNq&qMdVdhV`48B zhBAhdr|f;-PZsq1DcJiy3M_hD!*iHkiW_~-l0zYJS7Y$gpwaMcV9sGxZTQw+Y#}GJ zwIy$1h~b-+-jZ>+LgTN;z5@b)sA zy|Tu{@Y^boS0$zlf6tEQQq)l9Jrt3;+35If&s9gaAhrq1^P%N>A~3U!EAMAun1xEX zZ6!XG*$%ee>V_lL%=SfA2FQ^@7JYB6LN`~y*ifdhaL$+1PzDlpV1OIG0g}#a7a91d zNsKjP`y%-^I+Uq;$y8CDediSc_&3mdxwC-KI$2BbB@DyAO=uGLR=ngQeI=?=C@eLUPoh+dpCn`J6mH? z+87*Wb_j^6(!Mwvw&ToE*sF)__&ya+AqBG0Vn1!o{%awo1zBK)Spar1Y=?rpUL(c6 zqaPcnXLj=VvJHQ+N0PM#s8tX!R?t(9Th=TEy`!%KrBF-7G$aw*XrW#hB%7>@ScN%W zTT^SYj^Fvv%^_!ZWAJ0Iu`G9X<4xAiS!tG-CBE%nM+w>>MHYPhkU9m*9_4&{lSO5*P|?> zChN&sleLwE%zQ#(q%95!64TVl(}GSChE1d9Y^lAWgjvrs9U@ zdE>xcUp+}jmA2!n-?|$S_cR9oeM!%bp0Rw+nh(ok=Sd@%!Jcyw!}K)yvrp~RF)*Lp)gN#jFuU-Trs zaOd9D5VMyt_))cHp!la*khjzR8_pyGcwAm7b`fUzfO z2+|npx;#mrR^4ToRN59#2TG+~lTT-McZqGZ(q8Lq#QJN^FS7pB%)VPd^xm-EX|%ot z_6`Q3IC48L@83!Dw1*S7eovck<55S|3XAoFg>CB!sv5i_kP@DB%?ZBN^+dLZ@Hydq*CqHx`hq0rL!4E5qM(BXs{<($5~`G|F)?}| zOX>V=)acbgG~&3%gRR%NxOlTsqfh*mA3V-j|HnJJ5k*^fmc(X0%Uiqefq4COfCAS<>vB zuC}8#Yxj@7@($#TH3mN@ho(m3=`ohP)bf1@>K{=QtpuiDSTv{u_@Ahh@nwZ{W*?K7D&s4LGS`OzSC}z#FRhu| zv(|nbk@tbQsYd4>Uyyf*#oX~Iga;h`u((fACXB?nN9X1JV#$hqL0udcRrJhsX)&D{ zU!bB?o+9~bJTO8E6X(WOxXeC9jfrz#VxuzWH715%48DC8rVPK#PnPrLEa%JInBkYz z3_qhX{~<^mZw&sc&}g{j$wPq{Zdo$lOZ||hFEa*W`1l|`E70b$sS`aT!}$ncc>-#~ zIo)en8WY3$jI^(>F)^I80^`x+we zYYe_0G#cJsk$1tx@ODSu1sB8Hsl zn4>W<9IJ(ywyMU&aO`i)v}H9WhF{>PGaY4O%J554QFErcs*7Ro|L(KKA2H+Sh;700 zd}w*}l-yyGo9(m6WeHWc7c<0^9ur$_62b_KR<{{+giE(sSwy^48G@wHiO%@ z>B>11b_G&2=}c#e>r}c#yL`_4BWAorm!hO{rhvLcu`q?G8bgUni`7}lKCIC24^d>5 z1diIV^Zmv^DPyh+bomTZWqk7x&6(<=Z?$929qX$noq9kSk=tRe)#%*aSvizIoO^;N z?kvtd!IC2_SeoclY&o!iI;At+RW@#r8qbQ8^9aZasI!8OJi*hLI5($uCRiGycRbtBUTTDF$$A?BxVC&s*qsGk+KRp8E5#+!lrh2-#!o-`vgJ9~_53>42l7jCql%`oNpH+f0h9`j%=ZF|g(8n&_C#4jOE zmofNmwdUqN1f??vdU61W)bs-_nLv`7{*{y*F(MVBGmsf@$&*Pgj|!nGv2N_oN}zzc zrgIwUKucp%)0Ni}(uN};&W3je8WVf}9HcXoTw==J8~vocH?o4$-WzJwdi&$2J&ZUL zV6W3?uU)~C4A{k9+u;!>dZ0F`iWR9q>MRn@$`M0i@8mMuIjRz~7h5|rJS0Huph%vY z0?g5v*!vi4n^e`9v~1r;zD&w$OzdTAH@Qqq*?WT>b=wb{1`c8=LO1h&RoFvj+bH~b`@5#@qyzZBX71n2bY@zX zn3y|6$(F6KWWQgHN_MYj7IQ$eKddwxE9Z2Frvqs#r&VRlB_%t}l`)q%^HeXszhZ{X zbA+f$EC-%Tr8CnV0d)>=R5q=uF)3$`%BHy*qjyKSG?Z7=v#W8%uRYIbN#MEAdk8EAliXCKt1br8=W5ptgynI=!MX*d(Po zZPfDR1of}3R8M`pFi8jSf2~yi?x!<-MPkaz`?B>-(7h;~|J?*l|JGElEFJ)RQ#E^~ z)cVZW_Up_+1=(mXqlAO=JnxAKW6UFM7Ec9)Hl~2FCTN>qZ#r9Tt%nR9C`}NKbjci? zC$`Zh=U~yJaNj=q+nj$t&=`EP(CDZ$Egnau9ra+Jt*7oqdf-3LS9Pm< z5U3X_x~k5~a>119#R~O#6yVIza$TrwN)PshQ3UhUD>Ph$RB?%;zG&kmnpWB)3#P<7U z4oUH}G3pe{0S*uHrhqyJUP`4ibIKZ%9N^r}99v^j*uSx0q@yt@?3aQx65>x8en;j1 z&bd!GV#~`AXR|`L6MJ8EfrXi+YyWEw@~Wu$#NOBKbY`AKObR;D$?}ME6!dN7SV7;0 zq7UCeUO9jF=MZ@c47VB$pXbSuk5bTced%zhUpjRPj5}VB4O6Fp^T%PwpH7{cey zDP}l#q|EaK)P}RGnd@sz3`ds);Tn^I#`ZoWp)oOhoymlVDZ_8ACOv#@8HV48(uq8L zZ6Pvz`&VZzM&ur2aJ|=Hc*lL2$KqFghb`nKNumii93-6FPQ2aNZffTsrHlvj_`IX+Jf zL?k#~w11toYkmmEHow6PVMJuysf}qcMq| z8)3MSG|qN3HY_0ah7a>>jWyfQos;@j`>-a0u-4>%^xxe4CV^XAeAcG~kpcXt0+}Jm z43C+jv9w3*O_y0C7zX0E4ADk-7OILDuPQfGqpC9NuCq5o?5W1!IyZEU=J4So^__xD z`~K3VHfN!#yczm+Q~@xV3pOTC^C!nM!nM-(+d0<$|Vej^IO4N+7H3EX$( z?!RaZWcoN3(xDCvle$rjMr&BM!ikZ^FIu)bd)i6cBla|7@bzkFYB>0Uk5*#4p;BjB z^1v8?9kl@2@Kz<<4V5|#ocFj0fWpxX4|YRAgn+TJ+i{~-fEz2$kf;L#+~8D8n{qWK z<2lUgLprgIj^}PHCtJcB^RWH~E7lUO0TOlrJ3M~!8VH;Yo1L1?zD>K%$;bA-rOwL6 z_P(XgK-h8Ru(wNn*W)>y)GXHMW49Yb2&mgW>~@17jY-?bHu8*!FDmHy*B`w%8TF6F zF9rRMnMR7lRY9*A!sgXk+FXd)d_y_1dFx%&-m@9T;A@r8=Fnf$sdKAwo6mLQHlK?e zc-#&9`_y->cn+Lb;Vd6@YRG|eLol8L=eoiu0|^L4uACd?inRXBmG4@bPYlPjU+TOH zF=hC`5aXM{V)&GKZ8frY#G0;)5T_6J+Ku*JV9GdEdb{(>v0YNB^KG7|s_i|`k_15z#;!FLLs|lLDhnhL+h~2gF3}wGyIHX9TGXv@?q&m zXZ0d`Q+sX05&cYK@Ree%1f_ktq{>r#ML7u1%JY}_=cN^TGJmo9_)iaPRROh40rbBl ztFfpLYWZmI{}R-{x;|*sZTp?11NgtzG|}5Htq_wMT1*+R=}r?z4HxQnv~9C_hYz+{ zFf0`smX?oKLV_a)60e4&bn3D)Cv;?_l1^P-jiJ3e; zS=Aj-7vrIP`bE_W ztNN)ch$*L$YQ?9X%WREBE;xJIHxnx=$VWQcQ>h8%$EiV3EA0Q6*B5hk-2iEr}?B|QN`uW^yr`u*ElZbwxmJ(>c)Vs;b7KQeC+9b{h!l zUtPnp&k5grOb76PtyDiiuB|E)Q&twU$#Gs0h1qhE6?0;EpdRO?XMX#1M4k(Ct;XVA zor?Dx*A(OZ#xkF{OO1-?*lzG5QU1G)SkeF*PQ| zTlHZiLhB3%-|B#vG8|2H!&^M~uqq9|JYIV*FGPk)nP#mH^GUUAQiWGq_AEx$zgl50t)^4g zdc>8@mlmT&SUt(0-}{31I>ui=@?ONA52Ld+qooY5wRkeUZiKIMr4bImo=#owNCVBr zG@Tl>*|;GV8)?I@cQi)HkcwOyw25uBnZ7jt ze^-wWdiji>Le2tX@CCQA9$%M@?SxNVSB>p`PYss&mKIfrmFS^fI(1!EKwYBP=Z>fv zi|X+XyBu;8LH(<%$Mc^)?h_rr|FxpUJ6uSbm@3-y3XN8x>&b>g`16X97w>)aq-_vq zp)rWrblF`Pc`+Vr@o@oBH=SBzichnap{ogE$Lgipm#-}F*t*G@hPeOw;-s0HB+&+h!lw{Q!h?5%>1ZfXzE2ZQ|I5Z)8>eCC`@%4 zO~s=u&T!GD-T>o%SJWfi8{k)*l$2)rCX3%#VB!tMdt+WeZ7PfRhCpLtD!ZN=2q_yQ zQxD#1|NRvj{;8SzA2bfou_5VFNImw+o<~{cJpO5lpzR5lJ z`#tB}o12@PM7udpa3mFH37JkR9@SrncDJliearCPH=yu7M(Lw!tT{RO`mQnqtznub znsesx-t6<7Gq>!U0(z5#c%bain<|_Szp$1SPOk<=?|d=xGZ|Z*m-f#4?Yauh1MLgpb~e~^Y@TfA zqj0%OawOP(Et*`6gMEudr|I-jq+;q%n`1L5QX{&tPekgX5b|rXUlQz*yYl5U+CZOF zGtFV#Vi6W;KBv%L^Z8Y1z90=Yy=6RU%f(IBpM=6wjM9gdY_M-F(s*7H{8o6qts+;#phG7F;AidI~6|QyW#&t;r$@o$_l^TSA%_fUJdqb0SB9yfqlp` zG~CYbaOo{5#7PlOp}*Z{P=r(HZ_f+H!YTB(1%k0~nzgz$6pV%cj{WX%35$fETg!IZ z^#11f-1QgCOvH0*<1Sy7W^wm7N*{Pxy?0i*@8aaX)8T%NTlF0^eySYny(7mraIxOI zDs-zcQBm|#ABKxv5h!};PHyF71w-V5}EUW6Ij!AgE9;=OyEVGu5+zk}Sl^6742rmU zIS{l3gE(rP^R@2hPxzKV`49?~ym7sjgI1Fb^fztLxG57=(~ko5|vx@*n52f z_*O7 zJj+eHCr{g0V7`67j5mYfg-Pg9lVj0LbXak7-LKe}EIUwI$Trt|U0$rg@w_)jFI$p+ z`5s@LTALT}V~si1_c`<;CgP;{1PeK|dtC-4)_(-Ra)QAXTJ+Fj?H*q+Zmx7{FfR~{ zh5rd3-{%k(2|s-q2P8xm?`v0uD7c* z_~c3wS#6b3ub|9S2+U_Ad%s7^;y4VZcE80heWL)Ns)}+amUds&z-zQ*c=bS$CdY}2 z;)?ein214%>_0;?%R9=LNC(D;l=hI%poExC@E^(xmNwo8 z1HriQ(wxTwp}_gXvlm_cDX%qYF%W`#de zRn{|Wk2rj(hlM{}qZhx)X!7A4z4%RX`_T%`f5ECM9P632N2&~paJu00h$C1ed`^=M zL9y4^yn*-10n5pLoZB>K_9#(j;U~9?IBre}A^hZ2xG~~FAhGZ*#~ph(3LkEi-mb;M zlR?H~77r^p;*Z)qp5mxJu7QuoT#`(u0mWk$gCdSbOOM)uK^$lQ;RBuf2$T<@NrLav z|MZt+1N}`Be2xkCJsxd};#@2yb2`ss#5io42P+l?ykO&hYZ>=v?DRy-6DWU#QTnHy z>ZQa2b&u9Q$ehmO$l{~Hiso8O?TLU!&s4KAvHq<~g&0(3akeNp+wm;UCa)Mv1C4uYm+v@#c=ST$3iG?I{8Bd~d{wvQBz;qPy_i9UyvyT_m z{`V0IOQJV*vjai`<&#E_lKQC};ZgKX z$XpgV5tKWQUXKI2Qhi4udev<7p7f)2Ed0W& zo6gaDswNvbdUQhcbd|7%(K{iNkjE4{lXS*+Thq+X(MIX5N>~c-A7Uv7|!#zVuk?OONrCzV!HNl9_`h zHxGxwW1z^26(!R!0lJMY@CkP*&wBjgX6{R$cKBSJ8{-oNdKsqlr9nuKFCd<2p3dnK zm|8#>K*>`)vQB%}6D;aW%RfWp(~e+dp5C>IHeC>m`%*mQKnp^`qVzm2mlcj@)lx5W zTqO~XmmQ^j>8MR6P~l^Z(m%XxlYA~P<}~L-_;V1~T$3gl6xB5l&-(`6?Ma3dsm-pb zJr^(-OP$jVvYtyMu+$@f1x48}X_A9a9&jmv@=2RyciYsS_X%gs#jIv?*ijxd9|^X} zh~c<2*lYJ6d?pGX2hCbG*e{?BPA-V%7hHZnGY9*H9FLng*w5$T0d(1XY~IOp9B-7W#Wd_J>_z1C*+|YmLpq4OREb{M9(PeMdun_BTal80 z_(Yd%EPPKwj9r&A5m0-s;r6`b;&WUD13rZ zdNatjvzNyheUFf6ung*zESPV*2(p-4Q7A*1gfGxJ4MxcD^ ze0^}&^#;oZ`kOSR^3|THy%}waeC=dLs)wKjbv#nt=8wsrp!_LD>2){N%Lj!wJbDYa z4C~$u)x*I2fUZ+9nPgbk54yCKHWi zC|Oyvw`|S(eYE%SC_EjSovh}6pij8MmmcCDt`V&aWX)BdFDCPN=B+ACAP@^hGhH11 zM~y+zJk}F~8POe3 zOuCCT3kQru;nR%LtJPR@GJJpA;%9Yooc~#&t40!MoKNHZ@zLR!7&yGjmp$+fM%>(a zC?3G`IkmSfB1+`RB+P}T_Ror7kq7W%dSJF-d=#LyzHd2#MMr@-G)8`hAQrx#*IxL3 zl;8){6yf`OiSY679!5br9m4Ib@OKNixgwNczZ3F(+#Kw8ik$A;xZn2pZg3X@`ObZ-#_1AC_4oa zj|R6d*yu&9Wz3E=FV2sFnHfgu@0B#)S=hS)Pu}xTb^+S421jE2iD)mVDbK6W-me;C zKaTiBqM0~N*S{OUcto6xVCKO=(VSq(PL_MZ%-6Sy;=-pZ<9;L2OI^)PGP>d+-mD0DlyiHyRGBzX-$^xD3ib z9?wwH=EplKz4~E~O!}QuWaxxOwTk*uXtJY zAK2{{}`V6sm8n$^>iBZfz4p7e(Z-KLg@&W#D2XaTfS%Y9~EUI ztN$79`nW(?L-qT%>+9k(_B|>|ldn5tA2L~68wSsWW-Zkm*p$<_x<2+pc#ZqI_k86s z7TQOea$mLf2^#qaQC!kSKK8@N1kp`GEwuZ5t;aLtBCXLD z{6i?W_75x*H4+}R_PuKj@_nCtXA$3b+mQ#s%vnYWC!fi3C~oZ^2gca<;WcjUpXRXC z5We8n{%K8Z?O~PfUL`(hYajawRDB#UDEW>zg=(MX1WO{m+5Y{$;MV?WO*V4GKhsPt ztP<8R;(IpA_dRQ!sULFT=!GzSwo!UH%&Pwst^J6qsQ)Nb)qhq|)qjq$TyxAj$#?4O zK6QyWg)Qpq=sl_0N1$OA{!(1(e%#e9$^jDPcAfw{a)bSaZf+_ zl~FmEcA>tIRsWeyms>@B=+OF9iTxKvRsEL*dPW)ZPSn$d)X!`NMg6ZKLg@&Ws9(MJ z_J6SYFN(5}V~BdfK+K# z|K+CD{TB72i<9TV75Uf|a?`5zWf0w_9^bV3MaVapj&rGd{JIwZa_HB>D*ck1Ru@&G z5fQ(!LHowzJ$FDgrnb1qzt*zo*S7`!bwsr7J9MP)+wmJ4wC{7K_MIQUu|fO3W|S+T z+_d@wh8XYVDa`NIz;(1d>a ziv)<@*ib0~s|luukrBt#ey9p&`h>k#GqoQpjNuHuP;JmmcI~v8oIbp78FtPyN-z4^ zX7Yo>SC#kx@ok`Xk&7YOEy$&_MK+?7Cq7Cuq3cOMI1EZBL0#mxfnZ59*=osNxKHIg zDCLz9)Tc(xWbAt`LSj>ahRtMBwf+j>uGP-Y+?y73UlSJ3H%iafV$qo3;G^Q=&=~tp zAbsJFwmS0p+NCw6LCGt2qp}d|zj?Ck?cRKnd24KT7>zJ5HcHP`V>K8i zaOVAzqo?yo=KV`+TKGO9%cs-}ou-9Y^cc6gp9=U@l&t)v*0fL=Z;~+>@=fiR9Py(( zqO62D3)%>ZFft4?A&ym!V3ZO~3qLGCZ$U81L0|#w)d-99+L;{ODc4yJ9=q9Io1pYx zpxn;p;4f~|LQ;+%PBXQCSJfQ+wL-J!RB@7nO$$HHfsMhB>%nJ{v;3kON%+?J{R zw?KHLdHZ5EveC_5JSBfQ#K(sP^H7V1WS1JHXT7Y{C3*Gg)_>8tT;Pe+-$M0bNLvnZ zu2SWx)aY8*l01VF=L?8sAQ(%fiQnHs!4jzlj-UQ4f$~YDwq9~ zXFYy_ak&G|$#o@!A`+QETZ|Km{n3ZwLN7;8?}X8#IZKh@;W z^Jhp;)~Bk{TrdCZps%$VjC2vR`C9Jwv%VrwK7>LbZ){#^+goJ={UtO}dhGKpS7kR+ zw-P;tU!(DMl>JSM*UYISBm6FOc2qiLpDiY%vqpTd2)|@r*tSDh&gG z)B6@BN+vv=49WE_9$jfcNmbIlaJrsnP?0qB^2r)YGApu?CE?-sB|W2 z>NRKQVDTDA%4H=rxtOd#o94FE6|_=GT|>HtMr4#I-RB{XTm}_M)7Mz%QI^z|wxNWy zr3dn+-Zdnwp`?v!^-&sK=uFb|F=u`Yi`N>ZC)}(g12ZmI{vJzeE~t{aA%d3?7ex|H zL+D18K}FKpd+xSAOKL92Mvf9DSoCgr!Wv52I$tko%TA*-xyP{Kuy~zOdc2mE)CK2L zm_&*tb@Nq8-4VgJV}i-q`~nAgWD!FO1cHkQV(C?%MOY*jbr0Qq*~pSG2c>s+32P{6 zt7^TZ%{!HJ_{2>~QY$1mSxH^diC>i$N!@Fzq#htCBQ=rKdXy?J*tE?lr$>Smh3`jiMP|Nu{2X~y-|9snw8WX7_C<)QR-={l3FZ!Fe(#F zBwg*AdUL>_5~bZv+k6;H>S@bHmh_2Z>Ma&w4JD1q)kkR@eBAMIY0!%Ue}TmtAj!^3 z>Yjt7nnbC^Qzi8((sN*mOxiuPUIT{RV^EQ_^`4u&&yrd^E+O3mPPFu1MZy|NveUMN zO9f4fw<~rwYd)i!wh=7OGD^6$q5gW2-or6kuc^jEX1!Oayum~79jF5P`1Gz1rW=pA zjn->zWR=6943aO@pdl12X>DU3TlF#)-8+zt9LEboQ}5#w)==~Yg(QxV=rN#-FYu|2 z|Ce>1aKOc@tqO}Jh_jC*G`i+61`2Qq6aSBh^}GGHcF3pSeD_Gwph|bki(ftwk^zhz4B=-xyK)%ZjqPY4NC9XX(A_S$iym#hZ-M!^N!V6+EN$`htk= zS5ZX|2vpGneR2;`Q$$}MAV{$%RMGt^yra^QO_{RG;VgPUARA-R@Z3P3u!f>{%0zm2 zzBADigKhJ$c(YM@D9nl;P%xsEywPH}g> z72UtcJ1U|Jmv8kRi(b){jVzixw~|9xL(!XLL~j9-9d~47&pqr1So9&fkQKcm+Vl-@ z8;c%T*QQslYtw@)at~2UMYrDI!#6nwRnY_Uf+gv_(p8i9WYH_zvM~}Jd2WzJSVPg9 z7V6V`e62IlT^_P;fW@*=dce<$UI}e_R!&5(Sl6Zp*R|=vXwx^uT9QN7EEhql5?>lQ zk>`*qi2jN-!4lEOwoH4BMGvNJQ2~xLd2Vn>SVPhIV!i0Cyv{^#x6h!nVR4R8dN9a} z9)vbM3vS~`uUyxrhXiVy9*Q^MX&AEi{84^NbmGh_rqZE7KqMgMGq+$t);4n9$eR^S8-L*tD;TMiUXKLdbVxq zLkh&VKGK7Wf+eDlzDDQ8Tly-lY-G{oxm6v)8j4=OS}%IjVrP*aw8c&*z~Ze)?_5^& zDrnQQDaXlVI_7qg1J7 zMX!c7eN$0HTXk)E^}05_2HNzE9#K<8hX_&(s-mq5@2H60?anpsW6`SzvXMoT=hpBE zYbbhrpNrk!uZZYjb!~dhx;DKQ+Vo9DqLzxLD-`bfrKn8lGFrA*`Y3bu*$z<~kES;=~;;fW5WNYU5 zLJarbYvk3z$=Y>odN_{L-QxgCM^?rq7=6tg@vZO3*2oK%wCP>EiGx}6+O}+rL`R+* zZV}d4bS{bXrxs2vM`C$glGu59GQo8y@JdJbU?C2f(mwkYwoDRdU4O=s-72o~=_7t=0E>lXOI9xQ3S zsw!#yiqSf!N@SEM;jtY$dbtQx5^umJTVQD?CEj{*35n7zwyEO)h)+XFV>6O=^g5F? z&fe)7SiIM0`%ufar4c^gjl+^QuvAHT&1k(PBBMz9%{TQCJ~6E8GWI+5zz12<29|8( zDBWtAd_khIqx4rEGdsPOuL5-3r6d$9urKu z2duZ#N7jUOl6cb|Ikgu{!f6IRq?aY#rkVPN1;QFeX`ELtX_HP9Z^w6cZ-T{&(e{oU z(37^bzRfGnS<;5+<5Ec*`9|w)F+q_;Ys~faZDLp#r5#q<1nrO#Z^J+~#*#u)-^eGd zp`>-wC|&2E6W<7lhcC!$8~-nBesHfP2OkTI_Zv%1KYQfbz~gJ=ENSB$AGxl>?xwym zBA6bzM&THDg-6uVF-jR%$Mp?jP-$7iZ+9N&BiF_`E&-1C!bh%+Yl5+8Tz1z-RS9b- zT3Ou`9j?dcIy+oX`(g8+VDSNCX%9OqdSlmUy&ajrqBqK`hwIT5RrDsF(RxRo=_ZHk z+XMKz%N`qDMKZXq?6=k=_ig^UfO6O+?@6n)+y)K}Gaoa>Xj7852O?}HMVGTv+y+m{r>4}BTB0bz0-4_-gG1@+n zzB%q{;bE7T_Oa;AeKpc!>e}>Ho>BgLL`~_)&hg0v@vZBr4?gz5Gg$QIzHAKfg(E$t zB3LB4>;|U3l}A`((P^Y3(K~c1delvQwuZ$=jiqa}i}V<@>3d*-MQ`b-qPH%pksezx zysiQ4D80AWwP5OFYzCD`?_1n=MHaoKBO60}VbNO`1&c&`%{YvWEfCgF^u&zlv9-=3 zy~V&C)`!K%jHRn<+4SBTi(fy$Ab>@0WvQa=yefK|oZ&V5L@kMQw7ym+hh`#u(A#U` zPIcY}k*fuwt3yV(}ZSNQ2NGId1ZCv#X)Ap4&r|R2PXkjmrQAWjd zhPjQ)ppwu-`cK29$@w)?w|&`2lA_hVutZoxNd+&vK(T3Yp^AZ5p^_}tYg#Yx~btM4Y8reX3R;~=pM8C`R=}8k zLCKMDA+N9+XJO_uj8`%0;{$rK7OaA2Y%F>`vA#VFF?n_cO&4un6pRexu1JTB3l?3+ zE+3wQNJ}sl-qklz5n++=-EvvsO^bIcLild^L^#}&PvSiJ`L$0*;irwI8~Rz{6LP#L zlZEf#vm03W4(OvuDhnT9P?jp|6KXVHMw}GkSiY%G$T29(QgH`_zJo6q3n!5~#9m|b z>kC>f7wZ913?h6*gw-rY1^1W3+wSuFXzx)hU!6t7@Cw32pX+ zB2}!kS(-wb;4-MR*=ZN|eu&%bj#b&nZ5B5}=sQ&iYuILS&#hc!j=G^etDQ;OZId&4 z!{W2X(k}V5(Q=4(bkr%7oh?<;M06tWdrVMH`^q$hvZKSGBI%StXJTC$bwfK_vXLdd zj{*}3YAk85jHJB^ok<#TTHjM(F+e|5%SzhLR;N%V7F9{RV5rc|B{E8sXbNRJn?Xg= z+1HQx2TPh5$2C4V_qsvmE(I#kFiLx65^t|cXOd1mcIY;+_?*%9qn(ws6D0kXkGN^5 zI#4C;>Kk5nhsY?BXbNQ~n?Xg=boU@E4yGvW639l15-e0reOI5bhLZNq)hFKGAk=YN zn&R&CGb}!DEbSU*CGG5~Qz(;is-#IZ!|P!a8ATFJq3j%Iqata|1qU9*k|yQ2ghZ(a z3QVdI)>u-dUeZ3f&LnNHR!?e6FBolKRkD&MhUyeb!BHjcW*c5li^!ysqA8S#A%lvf z{_`fhz>*4%Y~(2Q^h|v>o3MtGcB>{`eJMZ>kBcWG@WmYUH16y@CWvmuNV@s6KH2MC z7<+O0y*dzYi#?g-w%BDUGIZI7biwZKfQjf$hF zOC}g(BSsldZ-*hlUZefWee&Z9(&U^`9spl) zlqRE5^$LiABIysQCG9~Fc1$FJ{^T5CsifYB+~gWzk)$d4`Y5dqRt*#Hl#`F8 zl7BOnTKTM`y?mMz7D;=evr8rIjhOWbMbbVM!|Ux614R-|2JaPvs-!&w-ccm=L6YrV zB`lTHCui#WR0xYC?U}2Wv`?V}NhfT!(_9pM1^st5D`{_s=7dGkWLK56uLns<;!QzY z>f;gvMG{R0@9i+CN}B8n#%-y$XX^WUgej70raq-eSR@JRT|wqPvj4A<26D-f1S z%2iE$|2$!lr2SJ#(HQQm63u6L-WbjTX5l$sJU7$p-mllfYo{nzDAkhb7MD8{b5alha8Z~VM%{pBGKavA=Y zitrsrtrWZnjFj$|X=3nm<0f|8#RKj^(btT&Z*tjuoP-QK-!822Rd|26gmf0K*yq5QaaI5?m&mZ zgigWK52_Fr(K#?fXN`{N>{i`;2@1Z6GZz_cCEnTJr{kH>aXh2EPE?CRhmKJD`&2dM zA4T6NuYmwMksBjAyA|q#wMM=J?{qU)eGbOv8*RT7vfeoeqoH1b&^fpWI;gMCJ9Kn8 zCbxNIQa#IjR<@bj(qUgAVBIetUFVU)5+^+Adg*MaL1LGqqrphgYc<#XEFl za4ZHBI%oz{3xq{P6u?h9>3DLDEOAq_FFX@tb;@9zlF}BIitLG zjcQToP>+0Y$e?&Re5;`@|aj6!C z4)rlp0|tf8Fkpv9ydyeaXL9Gqj=Xd7Q~iI0wYQD77o04eLwtHlhtN5!YLu;vcgEt0 z8u}rAJ)NONQ$MUqSVZUJjCU^Rh|USmuXYj&zGJk#-j2?pF0H{4I)_(4$E8|Q??j7f z4vj(a&QMT1yh1xhbiTFfbEoEa5G?bmQ)!ayU8C*ycKyy_j#2iKR6fE3ogCGY(kXj6 zc*0>&=nU~p{Roe+h|UjgJ)Ol`2Xr?3Xx&Fp@I4G#v}~{rxAAy~oOtKRqETMer&<&` z2m0`Y&0s2Fxoz{vUHBHjB;z@oudk%lc!n~I<4@| z5o7?M&>37Y^`i=eMRdLl>%H?sN4c|R|B<_*;QL0~+jf@Dks94MF5WphZSQ$Hq0SVZS*r=HHDj=VGC%^B~Z;D^RitsR}C(C@4e#{f0djPf9dYEkH{ zP()w?1|?VnkUPiJ2#e^9$OLP%j&f(^3)ky|f*)a3jh7A9(LU&e;+WeC3k`3@BzI2Cfleek zrQ@xLJed||=pcY6<_L@E{Kc=Q^MuubcbqpT)4AFgM%x#~taplmQGUu6@0?h}TVg}1 zC8bk-5_F0IgW{e2JkY5T7STB{tf#YLM|7Ib8vP^+erYUSsgR{}f^U?c35Cu{Ripf7 z6a$6MGerc(r>fIn&4+hRsuC8_@iMuyvetoj1`prvYZUy-X#2Qb%R13D%Cjt?b8^Kf zzY$O^3Y{A&@Px~tc;`*u)K9Ju7SWk&)qCely8}9BjNfSj3Vv<0wdJzjISKvF98Kt) z;u+=B1FA)#Q$}D;au^gkrx#596pyfo&bM|wof|p|mepf}4^eQT(N?Qv>6~mE<=KJI zIkjk%PqnEQh0bhLeR2#6ozse@erl0+jOfe^>gjwGbl@GWy5apOxCkqj+U3qEmQh|% z5jxWgM)|lL)uPa$t3{_+3<{k~flV(E7SY)?LuZ!X0Uh(VHL0P0V=P^>n)S}9no<6+ zAaqX4immCwX1EFCoJ8BRSNTH-p>BP^n`n;Qo!_Rbi)13JgO+Vc$*{1Hn8a#=cO z*hYCvU+A1!q$R0bv*b>Ba}A!b8RQ+YQ()?67762E`So;cs{=YmA3NY;6s%#3uy>q5! zl(z_k&e^!mc2_k^$ATvaOZ%NxTCjO`p0J3{D5u^#6M_!Bv&!|yO+~?e7Ny_W6b=2%kU{YdEv0mGghh1L4C?8O*E*ncP`{qrqTtWQ($&H&owEX? zylK+!xafDzi9{=OHiUQ13aIL|SEfadZjG>r&dy;yoyYtR=oD`~eHIG-Vzm9En5A>J zZrKRl;OSVU(RtDep-b_aBNUv>PYDEMz&@AI>C zTo-g4p>r-RI-yGH=pavAmqFf<7Y3eNA&lu{a%Tgl13GKXS&60$e#N!AcBy=hW0XhN zgwA>Bcjg9Ei{hOPs-}L9!(i;4Xyw;=9$^ukjWfA}d&U~~%9G}f{uTxQW3;{NX1#N+ z4LYvSIloBniltgqI*zHIYcnWx@CI1@{32lyoo%(cV2w7d4(N1$d(&wyex6EA-?MQS+n{I|q*a4lbULCdo*8Xd>Jzvez zIbSo%qkN%rVcsZT>2S>{9T%P;3@#Jzc%XP;p0LO}S7hik`5n-iGIfVC3N8VicHTKZ z1f9IlxhO~LA*mK6SnKCNCuC6Q&^pMAa)d?RF~WN97;Xo2M!IL8i-K*qfYk1obwOa1 zHx3dyHCpOLwJ3DfLmzWNz@X5fC0-ZR2#dTkr`|iGzV9elBX*s65DG3e+5#;btP6e6 zDF~g5tF%muYfgf-9;&|3XHe+S@~n%a9V74HAHF8RNA%H69nop}WB>lJ_Pf!xILOku z$Ti9vg+k{q63q3xu(EoOxQTduzaF30IjxSnOVqZ8ti zQBJ&bc@D4LD{{>VonbcUgbYfsPCx)J&k+{U**B>3&S<@(U`@Vtt>K_!7;XP9X6alS z80EG6giehf2+uVqbXJ2WE)5uzVBtaV`sFpkB07&+b#zAEA9UcI(F0d`2Xsta&#GnV zT;?0))f}O7MU~zS$TcT)hN9}rdmd>T&5bmH|XFTvm6S~v?`f)epM z^t$H)?|A6mKf+$Kv9x<74wxzH(61`eZG60{$<(jHHJsZEvJTy|mFEKMR~P7l3b9C6 zv$WE^dRG-0jF(15=V4b76iL7$77fc0A;H5p#-0zNP4l(F)`jB~758Liv@w-=`D^-%t8aOj5heOl}ad0~ovRY=bxH28%n`Zalexyd*eqbU8_ zT>Q(4e%0t#{K6Fdx+*=I(cl-R=&cpra|cj2_3J(UwU$M{ZYc7vBcg4y;Lw$=_=PEY zDR1ht(Cjvd_MDxgm#M@rOwni8qNga1G<~viBhDhO%EJVln7lCM69<6^8B|`F0vUpt z76k$?Oo14|3_HPU35GAY`NB3KOt7ww?AKaJFk7(ey#&J-uH%NHU|m9B-pZ(VRza{P zJ5GRgCN|O80aTUp^tVY~m_lz@(P!rb(?b|(z1js<6O6n8Px#~!#x!%(gm#U?9Nh&A zSVwp9lg43=TB@PB{0ZOxX%{xz62lg~J05zFk24~VMfniyAFYVdD#jPesM)tm|bH9mt9e7aHP zN=q@GY>{VzhlH$E~Ct_~^IVD$)*ojozRv2Z@_;Zx#+KaXYvU;;F?@ikf=4 zV3cpbw>noWwM^YFG8nsJPERC;Pf#R?8W`45V!xX`!6+MzGPR-W9KpC9TwkS%2#bUt z(!K*2b^+a*gyj-)bTo&Zm)@n-bKHUJquyRPZ;=ilIXceC^ZU{QWIJXncx8PuNCql@YZ*lqFc-B0}S4RZ5 zVs+;V5xX?gTkk@MZmAJUbR*5Jce=n_!B{hm2Z&Fjs6rR!~aA56pN(#|cgDWJDoYBRpAVwCUpC9iL@4Q~(> zP~xFtM{VMFAdWZsU;>Rvuqiihw-}6tm+#J-`fU}#BH`X3=(x=mjLdWYeybxG=k<*! zdj~)Aeb|j_Vuzcz9Up#`K;ejq!$i}@Y_AL3EvqQb8`$zjK8)`{jPXNIffq^0L#mM9OFeHv=s#782=4==hg^|^set_V{DFE8-tfo zWhaTTIjYr4I_&|A)))qY{fwo(rSD2Q?RmCQe$8W+rha$Tm}B4<1qQzyqg;he7CzTC z%JBCD;P`jZZ@ay)sz>}>-Ttk12OBJSK*tBxv#!AG|JPX z%9YU4Dd@dKC`!jjVRSq3J)U5!aBcyfs0apyl)bpcxc}Ju2$WBn)6+c|4hfH>z7p1* z$&9)tOwMG?QCGUqyaWht;=@0#G2##3Fh*()eZlDV8Z8NZi?#C%aP4m_U01sB%@K|8_ljj@NU1j84`9wvyQ zJhDreVED#ZlN~33of|%tKxhx>Z*nFRo%%fL3l^Qpl;819{V`WCK9jk|G2s!$FmbPi z?c2n!LEI+FVUh^jIotgTE(46EO-{D+dkC%I2y}Op&InqO?=a*x@o1iJbmczau^c~8 zfLq7|=quYtHNv|VRkfu>sm(R)8M9gW7sdhf5%qwA&5 zd$6M3Tz*pp2m6pICw(5BoK+GX+#}G9ObvNnxp&=S)l*^{0c)h8|1%vt<|P46?4BiU0S z4dIxcc%V#2GFsZmoYSa2=?TVBC9gbb2^M8By}(R=!WN99O2fIQLc*df#*WPh&s~V& zwLZQqa*YuSZOA+-?Cja0$GY8?fYr*z(tcKYaARRl6^-(@H8~A`Ixyz+%F7Mi&-m(z z_p>g&1(f(iO*bN5{tjZEDl!X$W09sT^OH8357L8_K&=Hz}1Cn9qzlH5-h_<2>_n4ih3 zCnAAEtC@*e+FiBYZK2D7aRTG+Dp~~bbU`oRP(cmZxYQ1`MtlS9p#g1rq$QaTZBiNced*XG5bL34{F9L%*!tC$%B}`IsA(UF|XMCiw7}(w~X@hj!ehC3cG*zWDxTzdXm9`3}RjjqL;13 z)3LAn^g>X027yQhF|Xthhzf)1Am#;vD8ojkgP0dW!O}s@i$Q|ni&3T%ikE!B(m~A2 zZi3mg9}SBB<|G)tF!lfdtf3-IR3Giwt z7*EGu2~w{Gg3%x*dfTb~I=;n;@`u%+JA5bTtUFx!)EQfY@=%=H1Zgutu7cfb1*80L zG>kt(`t=Gj_y^n44~q8CwydrL79jAF(gBRCEejs z#~&~@dVe+S%EqWWEZ<-_}jP?J3=>JPI8N6WA10Y}(V`=|dwwe5`YLu~7 zHXiH04!KG*DaOAXa?GF}Q1Oy=ACiVB`&WA|#J6hF)#2OrlKuKlZ2Q%ngQu z>#7(+RI-WkPo&0|=oiUTre5_psN+Dw)ZeVeza0AYMqQ%3SfE# z%Dc9jDDU9_H8_w&Spd85CH?6F^ru67NtE}4=(XN)qI}@fYuYt@l>YQ92R=-aqKlOT z%;O!^{`AeNU}>VfkxMXqfgl%$je=llqP(2!*VUFf-f@!s@P!lQU2Nr~;6$PB@jXkh zCP|coF5h=80!@7Z{Yeug%B%&UU{Rusts>jr4+M)6W$ZfzQ~$tc42hEWvol0Iz#84p zUG`vO(^p6L15pdlA(o6tW_sVnSx>VsgN+541^hsh!N&WD`nfq7NxxmATYiW|I@s{K zf$qB%2H6O&6kJdgEFEmTFTPGsA;ykBVJ7V;pLDQ6cMrW?BfJT&8{IE#pt&1b$+8BO zt6#d!V_>?P(e{&{9ytP=;_$pj(|V%$)uQ1Ibw%?#RrQ>3e#I!y@QFpLxl9vw&wC8U z11xVS=)RiKd<63KovQ4IqYkN?uO0a2FrH4JE%+pw%V)ru^DBgr=28E#vYO3dSK^w* z=)T|{Yc_{nR!z>I#y&Roci0<-yL#mik(?#Ig;=J~ntP+B&h~wnGs;V2LCHB1U732T zAO!gwiDvQMs0oJ1vZ8UdZ2|)2Luewm?}eC_RX(ZQ-w}!rbF?Xv``RKF*A$N5g5uG^1iQEreRqopmcmakA&)O9Jj~u#^MfEB{CAlBE48}o?-nQ^| zM36`)au0p=?3HOp`P9iBJY*e=Skg}_m#%qzSR1+)-@!(evI*dU1B$}gU@rgxvq`7yyjE;M*UO}R{?i$q|k8JW! zFkRDV`@5EH#h+pj(iP=c^CuoZ>y?N?OhxUvKE;t{fKMz^&C!EdKP4KHY*yEK<$oa}mEVU{I2rRxXDH!BWki76nT*uY1*y z263jl89(!>KosYmepWNT!FzO1JBf4M^K^C|+2t}YUDs&))Q#hewPKvxpXb!eZlBkT z@@+2Fr0Al?`+1JRNEbz8zP7`XLH{98K7>LbZybO4R=ddt`b%h{^mOkGKKY6FppDdd zpza*1su3J1ZE@oXJacr5gFgEfWS=@izwP10RbYDr?t=_tVUbB4Yv-s0ES?Yn^pB3h zqg(J#Jb4s#dN1KwL~|-YG7lC#ylKox6d7qOZ7#-^>_R`t03NAR0WHY##^;Q#sz~b zHB4im!p~j7*n^>E>R(xeMIP+ow$nShhsAnFcMp^9Z{sOjZ3PPJ;hD!?R`1t1g!h0Z zB4_Gf;}kT6Cf56vhv`75CqnQ{g=dgh@4^Ck227M>@_InW*F^@|WYfYA12QWZ8OB*| z4LUr*STEgR^JVP7IAc8W)xrYp7wPTpw9`9m-ZHLd&TKk*GI*_zTUM)Cy^DOlAkTUi z<<+ilVL;EP6un=E^w28>Ro+(RwqYLwkdm6h7gNYo0v*D%n7P3562gxb~$7+?d@6Nxbnae{CnbG168(m9*7p zTNKN#u4Y7S)g0DZtZ(=`9^Yqo)R{Myj*{kSVlVg<7SN+D^vq$jw$9WSSB>)56+U?} z^=~Wc{QY+xZk3LxVD%Fh$MxyR1fc*UBQR%?A;#o9h-R<9t;AT|eLanUediI@q@jm> zCWr;#YgjMf)Okbyi`{gy`P5on&($5PkYj6U*VP0ru=i{lY|KI9w}b!Pdc&JM(sD7? zZ%i6&*BK@(>hsYjhS($epie~H*!w5tV-VzZXdiW2fX9MSr^`XWZ=}=d7M@b+(ifjH zt$B@I&xMQ)jY%UdV|I%#CSaCl5zL++y8=@DU%)PA&rci`d(k57Q(G`Z->i19C6b>Pq&BLF zBl(4|VjRgYUBQ;S_B~GPGX=GeHk(h+qjpCOD7Al)7ci~;%Y-IjT>Dp5*>AaPKc;DV zAJo1HY7dOr-4g>!?VluITKm_w)Rfk~&=PF9YoFAknMN_2n$0r`s68>N)c$2otfsXu z^i+(sFDeSQ+_i5rW7}&{`(~&;G-h|JhykVcuN(o>+82c?#=`EPTAEqCoJ-a29;YTv?ao~aqLn>{h0)V?Ue(%Qdu z1x#!I&Jj#%@6w>}M6dAy<{9D+bl|bOYy=8hf`SJMVg!Fp=|I0J2%1v(u9{+)8+NH{ z`o5B4(inb7H2rV1wiz_#9?%+NHlJx3v%40>vO?>7Tfmgox3v@#T0bU*Q*YG@vY*iE z^hh(xp4tnHwgRJyF}q7B29#L+m|!WRA1ndW+W(aoY`JT9o;vIp)V{UZe3p&c6Z%T+ zi+!=0*8Z1zSkzuMW}AT+P-_1%6fmv*r<#g!?LSuqliHUr zPggteguOt)Hk;3OKq2vZdOYRdB0qKXkq^+WqLX32M2(*DE}m&59ZahpV=H;Xpt=BVRC+V3>W zpk8P@v-zByF}ukH7JuYoanJt*0-^SiT`cNx{+q}l%w(*l?q}Gv-;!z_h9726o+Bij z%64@K<7v_avkCiM^+a?b$?W+@f%c&fjZ>qmWjRQ%|`X(;6>kE%7w!mIGXyEv8ea{2r!Q+;OxRR*JnD)Xz4Z$Pq%M!U zw-tgE%VcD&LAyEln*Hcdwllow!HZHJf8}hurFUIiqjuBMNA)b9ZMXFGq%`FO$GTrp z#W>cz@`7bEvVWu2t$noK0bn`NY`)MkO6k!hl1*^X7fchs20QujKm#y%K%qEq7@xOS_W2AOgiut>u5#Cm6nw}*)Qw7 zbsB~E_}foD1&k(vQPn851!6#P-pUC^jM#ZAB-B&q4RmBj!q|B$+A7A*TR9X=oY%<- z%d_Dzza4%ZSQgCYiyg4civeXcuyRqr)Ng|V6=NR__65uOX#GZwb=8@B;p&XO8)~l^ zr6q|0#YaP2v6}j5NKVB#!B(p1qwqDF?c_<$;m-Dj-7f*T zJDwt(qQw#oyKPh1=6wIXkY}#+NK(OUbsf~IZ^bHv8 z2}ZtA`YlmRj7IXY$SR6esy!OwwG7Uy7&p&hzKU_0t?ml8+>Cl2xZgN1+RJSIYaWao zF`zISR#!VSTCE^E5=&;ZMpBqCX0)a)`w64v>9d{MnXMhxpt`T z$Br5v2nI*h4KT-68ywCjbls*IjP?Pe&?xGNjOJV1j{;a z{YnGp+25UpLySJfY`$DGO6ibaao)PFSWTTb!cj4Dp8BEv8h2dlS+Z}I<&Ithmg^22 zj?t*TA6R-|Ddq7u8L_Qj6f|YIzCh{qk(Tv50fnX6GQ6N-9O4ZUEX#62BbJA3txX5Z z{mtepETi;GLP%km_tly>5%YN!<3!vbP%%!#^+Oe7mK(Z)Wm!&Y#B!r;5BLf!4*<)G zQTjPCs<7OsDppfpZse#Kv)r(zV$5>GoQg5ajVpqI7dEVReoH2Pw&JkV_RY_Ked z0i~sEYzs&%xj!D|sTlXiql+rW-OMJ5!K~kQtu@HOBOY4wOE5YJjH*WIr$CG^B0aKQn`YOiJ z-83mIEX|gYwz?m)+^i;;M7JxCKX^2vTx~@Ub6Xz0dUDy|*>L5NGcN+)gTc3El+tNt z;v0_yHgm;3@!&XbUQIFS5x1zMm>i3@^i+&vKc*;{@Lj%R@$exhO$ODeX7g1psOH3q zl9F3m0uohDzs;Q#6RKmv6qC+-t3a@9uqPK9q~wh6j{gXZ4l$dr&KaeeD+ZEarvP>X zBkFL}4`CE+^+Qcqpb=m#y;BCQnAMQHZG9;vMd{R z)O#!%{~A~x36`Nz`o1Ct6qeiP1x#6vYsXUdp}!KzEXI)>pA$UGa$2LqNtc&bb71x; zv-!FrSXyF0VYvf#;7`hOd`-pJm*ZU(<9yrRS21Qe!4|9?%SI7jYxX)%g5}X>bE{^Q zzVpO@!g4}Iz?9_ZfC0?wC{pmdn>F_8vHNJXjtBmL6Ctb;;tLmK`lYsdjD^ zI~7%oTgA==!LmL&#cR+iCd}FWIn;iv*?hfal)g=jDn6Q+7ptj{b`DgGBe|VGNxqpa zJJn$v$z5^+W>_|!q1ft|0jq-LabQ_7N{d4=ps?JfCSb~P7gxoY}4Sac6)8tja@_4iP1{*93VnAV8umnt5PO7LFvz%nB7`KXD9Tj6=?j}&X3oi{L ze&)Qh-UiEQU|BUv-vo(;^mJtRgnG(yw@`K@j9KnhR54~*@KlUh?vWQP>q}hwS@sp% zLDvnq0xXMW^DGA}69bAb_wdDP%5wKW#Uhs4DM?}4FJh^kQdc%­gWvRRhrHez{d zzhmwP%M-w|W|S5sMpKsBbVsZvEVWZ>D#k2NbybX6o@T2UvplUL7_nTg3onP5`<(=q zCz{PA7c3K*%7vFb6A&!dBz$8>O!k zD=;EKJF6RYRd9_SH+m+`E|^>IiHtT_hXh9B$Z@YUf+o2-nUM>7c8fn%{LXm(iWo%%Zn@l zQW#5WAE4H?#G7kOfp zSaJ?t9I99}tkM1w2$uCx!`ZC96HfmCwV!S_-&{oPmKaccbcruu>Z42QBE&vAy^baO z=;ESUmVNYBSFkM0hVz%>N6{S@`V6z#*NoB^Nkt0Fzt+TR%JQ!{6(g4FhxQ|u>W469 zd1+PfD3aGZnxW2jT<^rI%kK$R2=<*1a%X^?2Xayj9lzYFrCsU>nv%P$qGIgA%RLoi zB3Bdzi-?>SpviW!%>9&;r*{FPnPzj@GD@E(25=mdZtZeQtP&$mslOCdj8n=>Fw|Z* zQ-52oLFX`KNz)Ce{Y=zeF-o6>VpIv%6$z&J!xoUR|1DTo=A~?wWqGY9phVo% zuC-K*eR++oV(iQ7Lcy{u?`p)d_XnHL1j}>4vSyS%^~Hd~vNaGeW!YL#F^>3kMHOq@ ziCpi?eqi}Oj7xT&aL`krdal`gs|%_*v7(GiuFnfdRJj4);HH?2OJ+GKCgYNlEm+oh z4HL0jk3BswI?rrIyDxp>iUGxWHzYJu=iN|~9VNu_oBk5k*mz5&bLGY;JxEH5ydZ_k6J zBL)70`7_+=lpiX>wb6$4LvTQgq7;P50!n^CamnlP`i`#CuP% zaw3N?X6e_|{TfI77EAWa`tqelUC&`ZjN26~F9yrdD1BHF1Bx$iEee>jyj7qmy3Fzx zPe9s_eK{vFNErL_wu0=JW%*(wmR)B~KOHRpVm9Ad1WQW{C@gRH1x#7qo>wtud0U`j z%<|Sy#hB$Cu3%Y~4Oh%fnw^6o{SveJF3l)?khnu(d1qCurY!GtRE$~PQByHyc}Gsg znB`p+!LlqH_Lq~!4 zH>7`eu)Nf4o@*JU_lt>zl;zzCn6jMf$&Q5mZ!G5(q->UD!wH4a+IIVaYiim@*rb_L6_9OyJ)xz$J8>0o)a z*?e!#D7}*yP*^@v6{{)BM;sMnmJiodj9EUM$fmN)^3jUySI^Sy)$pR@7W?SmfaNt{ z=^G_}Bo!M;diBRFv6`}c)K)QKseWibVyS*omjAD^^8k~g*xK;SRLPKOG9sZ-q98O% z2B8&HK!s5d35Es{5fEBbM3SRd(Q9nus%w}+uj#6H%!nv0U;@Mz6Cz@Z0kdPgUT4++ zRMnoY+Wl>3=;wj``rSI``=&Zf&rI*`GZBWA<$X}r?#?m%qpF)|`ac$_eAA9Pz^SYH zt?1PrLhdAAJvquT`4nBQn=g zzTjh5wI97u!(FEIU42~qezZP^<7%cPQ+vkE<*-M(z6mKSA*BZ?u^zrgXM_y}q$=fx ztj=W04TjF-T&}ltCg*ZvfUNBOt!JV$yaAKEou(f*NoC+-1K6y1M%d^ew=c}MAQf@MICilTtb2^jz;48k)@hVvAZ?YnLKu1_mS0{qrZ8E zZ?k6fRp5R%O+Rgr%FWRR(&i|zu&bJ*mk~wRy)?1aMaaj+AG>cDIIirJoem$g5>nm+ zDRYq0!3J8&H=^h&6mwq;gZ# zKuh_SiCtC7H}g7^DbH%M z`yR5g6ZKj(>qtoS08OuPN#!e111-@G8@sAR?>Rb?iFUd=lZigaAgg%<{LOV<^JR-q zgG3L~^s@;_g!S+>x)M-tMe;=QYV_S4j^s@4TRRbnGfez(y34|WWzXA$!^Q8H{Wqla zNTs^q(dO*~AG@k~`_RytoVO1PI+ODj2FS`zWL7NR)>}8El{>`p8D85`~V=WTKBL=DSM zG-$PW4tRv7pR-Bji#|5h5`7#om1wt#P|eY&md<3N&kSUcsJvUuPJhen2XpivNEAS# zsHwWeEb)ntUBSKj7PF5-olz4WKtkQF#K#evvps=6j=%~{e4f`?9fz|oyc*2r>`S)> zgB6VTk2;>^e7}MkjnMZL)Tu> ze;Hy|wO4%?IdN9KS7mV=->Yuh6#Ztw`1hrzjZVx5_s3{@T^8oUzy{hm^a~RqcarDO zZ?Zb$=TLp&iOEmJ8NE%ExKiH%R4%EH=>QXjjx#%~8R_fohJvcaaJA zTb$UtbDFK}ej=DY0j4?FFb>vfcjpvL1#xP=4|OJ=UViX(CNEQd%pt42v10ElwiXTJ zr^zR2`UQ(rz7SvoZDaiuZ9%oMzK_OnCKK&(5OT&h7ESz+(V0y7Qvq4o8|(C8#zIK> z6r}VarHu`=jrCI&A$OOD)6ap<T|%OLL>n%v7i{<{8AMt zhI{Dmd7a5U^bZeNtyztKdvaWd<{3!&ETr^E8^AXkg`}dgxDc92UizcajE{r;;i|b!(gqRZ7`B&Wbwb|dK3~?-O`;zx7 zcDm+_O0aqkQsyBg%quR@2Nr)u6U-Bdb4@bg<1o&|mP{sd$jWvf_|)L5!Toufe#s`4 zYon&>OqWbVk(7JNKquv%Dj+M{z1ivp{0)G0;2waxg$CmzZ@nT#SScbZ2h_1O3Ekd^Iz*w%qJgZm5MUI2Fk8))vSEJEd8 z2a&pDOV$YymhFCS!%+jleLYRXUzMv|lf?#_I|&df_jE>Qa^~w=$jWw~HLPPZaNj`F zuNtKCnF2P@-1jjND)+iMok{n4S!89qPrRZ-7jWMQ?m2LGuz}`Y$3>{z>qRj+lkW8c z99On`mu17|f%}Uzy~!e#tD^>*d)mjY%DsWDGwI&YL{_$Y=a~(lDESh&``~V41I@jj zfl#?O^mHcO8@b5Jc0cCe^R5T?muY%)hE%H0py7G4`bAu_zJp!4yLfg>HVSpNAqT^g zjeVWTL`~p3aw$7e<5T)~gG8@Dq7V|9*g#9vID=42gh(8PGLex*$j8YZj;K#a@asi)Fwcv z616VqOeWe7k+vW07a}Y>QK!XCc%s)KQ9vpm_pyPNsI7-kCE7QyGnuGeRLsZ8IclH7 zab+hO->&y^NEFa?;6Ne^8)%8zWe}=F`?)%ki8^F;CKGjZkd>Wir5ui>(dyqG61_>&+YC~fkBqcLojmNS5}A3O$wUYEI+JtMIftz5L`_!@ zc^VSE1&MN`xaO}C9gxAUD$)L~&Sau4S)Iv5T^(d)Cpvl2u?r#5+cf>AMT*Z^*g%`3 zE(SuCsB<)sGnuHHt&fw5x|_%_Q8~ZzW{+)NzO*I8dIw_pq_{citi|dYU~3hjOF^;f z7u?Anh_s!lM~Dz(m3%>XLGLB4A32=|^Qci%&AnFv+bVa< z)tPkfn?Y9gW8e4lHfMnQ2jHG3#kV6P&AqpcU6p%ZUuV+&;2bh^FXu$CE^M%7{%DA> zi>9~Rq>4sev=c!e4_m7UhZu_CiQteZKOZM!^$T#^-o)Bt4;bR4A=ZZwDi^16{>1 z)}Rcsy^D3`&4Y(PtdAg8ffRR#*hPyqFk&j!023kqBL#i3Fg!WfQVe4aF_7&|taag_ z?upG1Yd1~r$dcl>dF-Oa8ssBXu?B{UVXPqq#W2>;0NLKe+GC#?%$p9eKBj5-!?(p< zQD-gIkSw-Vu?FW9!&t-eieaonJ!E?qYx1In??S9kAXbi4b&fh~v4+{$TE!abD2B0y zyNY3~5gBBA7praEv0p;0PicB5{4u)&unByPUIq`3po(>5yJ)dS_y|?3;h|zUTZcu(e4IRQ9UkB~j8*aiChga44T<*9^amMI zd@q9yw3GQ^S%fN)ozt0gADKr6?%Kz}Wa{VetoWBdinMfW=PGdj9Na@v)yKgG>a&UD z;SuESn?snYqy_)Wg|jU-t|=D#u77frhoftzgwG~2F)4=+Xp)4KU(oa}msIWRVgoJZ z5g|gA@`z|4rliRuaG1F4;)-i$33q~z}4BWq_>Chxq`&igOb06a%RPINcI+O0lAS(Z| zZPLAsmx22?;GT!QJ(@hteWZJ zP`MxLqZ4P+eOwM%+3th8&TIqj-+?<^8r2E0f#!a+hfukXH*_Z5#}|;5?S9Tf7v2r- z1)ARNkm9F#Y@oRx6CzaZ8AoT*{Wu$$aDOff=QjD9WkJ^XJ@?&qv>Fel--Bs^R2}SN z9ULmvzvn(V&O*pdZ!`Jn;J7FqXYz!1yoci`A7}G%6I^7af}At1epd+c15JMnS2W*5 zy|f_5gSA{#kW8R68DwGxnF?}(g{)MN^pQPgLy#Y7`V)gxbu_R}3o;=@sDeyDBu<(# z$fT%P1({U9fu(}%*Q?3V5acHa0#_|&^Z>LVC*-i13Nq2xnGAAbfJ_CMoJUqFh`EF8 zf*?QB^rsdalu<7&$cYX%Q$Z$WbtZ#M@sOz?Q(a`Gg7lfU-**t?7YG7ZCVxh~v>;PV zY^H)twsj_hOv@lsK~A!eK@jcQ;BW2-NEza9Sp1i!KZ7fiO?hmfJ)cbt5%NUbU0*oc zyK94~QF*E9YBu@kD`n8+lKq|rgCZF4D}oT~G=r0J2$g~3AuDCzbm-47IjU&-3zHOo%3z&l zaH@?^8BBMPl`=T{w+T(b;CC>{lVX*Hb((==B2)%5q9mmZj_P{yCNTJeroXh|vc<<< zn!)slDTC9ZB&7@{%^Y|R82kwa0V)0(M9tJ*G(AM93}zb0N*OGi+H(e^P0;jL4k`XO zk9FE3nBgPT3<}6f8Js`-_)*X-2?hmH+~8oHW^h^#p)xouTAKdGAjRK9?4><|(;bBB5uD*6D`j9E*$a+?6a|AE zoZ_(zzDB2tSvFE-Fxy2|%3$a%Lr;Ka`_S~a7AfvDu}(8MBZA7{%nS}JWpMOw3r>e- zb-=(U#kXv%(+uGHqIyvVb1Y<~34FPyOnX?T8O+HcR0i_`WTgxyhut24 zX7y?M`vkmU5MrHXFgJ@(8Jv|zR?1*x=b;RmH2?#T6t`g+e2sR~c@9!#Fh6>zr3~sf zKC2EiYe>^Sn56iOjlDF3vm&Sr7PvUDl)=C&4mb#!H39>8o!7v@I?Z6diBK818Dyml z#?6{A2AVad=^x?wpg{raG=l{ZQwC>S2um5P=+NU1Xx0P_;MH3F0PA#v5TPy9N*PR?-5TEYOEm=pxWaFf!8*<0>>NU6a87`% zltI&}2cHSeno(o4MT#HTSf?2*%pz0<=jM@>GMF}Q><7@SIT*mnPTi=i8Jy!_Gi7j| zhpd#r@m+@<56xOoV@!q=3k9sx49>L?DuYEXvQh>EKkGgjnzaOj5Z>U=V4Y@go{3Ny zoF5G=WiYt=avPepqQ+R4RCNikmu9diV#;8#g|L*tfNNI3i_cVRY8(wGyO*P2xs9_nyCG;0F}aI)KEVx4AizK>8dC?G3k(7E5(7opj{)HnuCc3 zL1nNcz=3~nFfcpBnRzQTYYPT&vTGIf(hM%hVl!p1G>@#5!EsfIPS9*WY8(qEJM~$a zX0XJ;X3Aihhpd#r0m}~k4VtwB1320BHLy-ISZX6w2FqP!r3}tb_1O;1+EZhk1D`0F zSf?2*i=Z-Ck->qb3_1;&!FSURU{D~%?LO9N2FoL+3@)?~mNJ;RWOzqt){z?Hv!tp& zmciHPIeB@AR2gKWxh!Qc;;K=6Bb(I77^JFG)Jrp1;s1p}0a+;nJC)*3IGw;CM~ZK_ zSf?3Wm_w)@!9@YGQU)hAp7Iei+n*Z8S@7Og)JrqSX0e$vxHyljl))ft*)(W&02ui2 zo|TVvn!!a5LS^s|4_PULjzd!CL9@=(I3Au4-pOK}W^l2MP#Ihj&1ETrGv=SiUqW>O zgAh*FQ7_HlALjozxHN;TltIfKb>Ve#sw*`nxTLr~z&g#~l8C8Cu+luV(+n=lB2)(d%p)shFrnYb zby}_V27!=@RW(VuEM{s4tl)=?el2QiA zT(66vSs!Xl&cgdecGOJmMOTIhmBBRzvQh@^!cloJu&6P`AjO|utkWLBRX#$^pn$BD z!SE-#JqOMDfMT8F+ad zSju4D<;SjtW`}@*Pl|uISf?3W>mXDH*LldmKz}Db{wE0c{j5zR=-Q7O(=w#0UX)OK zC;s}#o;z(0`FqT8VOza$w#DYmga75i*?J$>6kBKWaW`b}J3M7se-o$U_L2M=r9Y$$ z;ZrWGhp&xDuY@TQg-F3&{=$Ofjh4=+7sv#e$!%Sk(;45^Gz&--V{bKI0w4DYD9!B-6LJGj%<*+!Gs z-Ua{5rREXzH}|=Weq_o$ka7^D^x#7j9~)@1dSecun$_z}o$*=K7d|fj2)e_;u{BfD zMx(u$J4lAEKWhi1988TheOH&scMqJ2HIRcXdqN6AIRxU zrhLHCnVie}qlUaz&gFvz99KK#*0_7wnyzuiLCO)-m=3Sx8%IW3%7<+1s#5+t(3wp6 zZ%1b`<%3zB$&?S9$ZDsAA5!}3`vS)gnKTzt+SHg~kSZ&S4YZVxL`3@8GzyQ_kJCoWBkm z4Jkd?x&b!OQodj#R4M&vAZIe=x`I9~KIM87$MKY9ox9Jye(C*?att-jFyYxc#0J{A z`-MD0NK}39-hhbTiYWhrYH~wV%$YoQZ}f4XV6Z}yFXnV6&)qL&YcQLSd)cYMV1*`M zf$zvgox5K(bv8W5$HCj5)r&fJZweK|bN6OnXY$;=C8t=K$4Ha)hx1p9V__Eaa5WJ% zg(x@^n={xIW}^BSc}1Vu>N~t&skzjJWz7Zl++jX0-7;e`$b2^jT^{uR8WgZ=!*N>b6vv>^5VgTp9JT}l~@l6Y% zn#I7=nViL~fzISCzGl>5utJj?Gdh#A_)?8=b!(?jbwcFu;x$wUwoP;%+e9x~&Fb?*H9A`V=f4T5|QTt_w@#pI^C!K#O%;K@s zIMab2)68Q7?M(Pi1Ys7dpN+S>IFK`WCVVfWv-Li%$*+8ze4cx&fZr)Qv_-y!Ak)RU!$v?4uj@!i zIRR4oq`1ey23pF{N2pSU8J)?w{Ls}|e9DhA*Q6sj{}{_J?~>jXFMfM z?#}8=KD&HsBCD0MaqA%FTyyfBODUwBM2-0_{2;D}4YaxZEQ+pDein_xxunTYqhiiv z%1`n*kTaQbPX<}7l=1J~E$g>nHl#d}8VeF|GhcuWw3MIc5UP})TRM|-xyRR;O!--; zGnw*>EV5cD8@I4x=5qEU9qxjZlOd%?s_J^!AWEr#+z0uqdws#Vtj%~zeNl7yMFBaa zr2TGjVt;*c-Gf_?fN)c&;lc~5elFI*6`4H4(Bv0}f_Sm>WuP-TgGP!z-#VGSJl z!l{Gkk~*0h3!S*4K^|6NQC>Km0!4+`BC~c;K@^=zjdNrx|)lMBPQRGnL zTqDk@ugS;o1&dt1l9~=hxwsRBOCbr1oWB7taE?-HV4n<*HQE!n(FUpIeS=2b+jV;RZF?>NM(Is^TH5Mmg ziws#36?L*WNX>>KFRtjITo#!@G?NQ~j*&?zs9=0i~-uBfX> zqZbuK(E@5L%f=OT@%b3Oq~zg#fasEPsj=LMEy~CeRpg2eXG2jguBdZZyC^S;7E)t{ z6A&XG;{FON|RNaYgFsym}%!3+vQ*P!z@%nP`PYdEvB( z8d*24Xn!$AjFXS4b3v?}PmPNbu|+l?!Qj4L;i!16B@G*SBBA2hEE}+K6 zW?WJGkdNUD7UlU$Y6%qOV~a#%F-|_FE(Ni&lp6oAV~h9@u~g4qSBtNumO)VvSJcjv zB`{7pbrsgB<~~!@TEFlH%qK! zsjT0gC*%qSwV3F;4Cgy+oJPjnud<6IZk~#|!vU9j})sR&Ii# zFs|qV(O54E#LCUoxZaH`TI}*Me5rOi$PgDj4L`TTe~O_MYlmwKCWoKQ>&=AA&M%gag!Zaw7{-ilo3U@Ls1Y{KSC_xcEM1Hguc$6VF?XTS{!>zC7T|ITwq18xM^ z((s($7S{pXn6N{94Y%yR=v}}~0B1BjaqSuWwX;Fk!J&pn=LXLQ+!U~@;W4A8oej7d z;Dm-}UD69~-bpkktgolx=_?1@fLjpOQyZO{b7%9dfLjuFpf)-+_r|(U0B!}?R83Od zA33)YaBISPmF!$mf8PKz-<9L8lLfly&CX-gmo)u zxJjKEmjG@DIID27&WeS70JjHhXn6d*PJH+2Kv>tDhBFP%-2=EIVV!i#$tN8F@5Coe z!c5(A{OA2{1KbI)W|Qg_7~lg4>!?|#nyx&3I^fQLEtMp7^zO4; z0PaFq2VcWOuIRBCa96+?4WHcMs4~Z3Aj69`|7!8Y&nPm?g3cOeN?X{ zj{!aqu%3J3JA;M;?nzi1J$K!w7V*u{3$UKs={j*1;DZQjt>-?%>XirF8?cs}b{e&K z5a2$9wF=e4q30}_^(J5ou&d#-+KlDLPG7(Y4KMiY)FR-632W(T__S4nz5sj(VNLYj zqplkAAmDz0^(Jk<95W7Zf5I-*M<4yknH&!w>_q)hE*diZJHP`8J4qXzoPOin4S)v` zHbYC2T7E?fzC#QqY^&a+<;ps30S_VUHDBdUjTzEoDBz)lU7+KZ!zc1Px`z?A$ks+r zs=KH^;6n*J%h2$MdDG#Yz{GIE=ITvO7&7#Fz#|Bom(xbKT-$zMz&11~X!zK6&3(X! z5jHI*PD4LL^2Lwp`YZ zA#8;y;8^$+L$aeGbw;pb2y+6VjwS3gSEzA>O)dyE9;RQ2M24{0c_EJ@Y-UEN;|V*( z5^4frr{{#4NZ2I#-4h^7DA**ZwS_tn+#I1M6LxA=s40Ym8xaBkYB&P>Trjb3&a@*g9XR#e_W{3UvV} zAreand(IScDPe1Ep_UQ$tRvKN!q#MkT0z(|IiW5jY_%^`mawNop)LX?MB-w?o-&2} z2VqazLR~`G6OK@q683mjsFj30mJ{kS!XEX7x}30lDAYee36Z#hu>Y7sUP;&^woq3Q z_OK(=)r38i73vzo{+$!*TEZUmh4Kh{AQb94P(mcGC+vPx$QuZ|&lc)N!tQm1x{0uV zWrez#uzPYsRS@G*BI|#coE7YBY-H{XO zF2Zj2g~}0D84ATf36WSu*lnhecN2E2Ez~`P-Qo!KFTyIaLfuQ)%{ig&BkU$$sQU@K zF%;?nP(magBOmFfY`bgoU0^ZxQy9E7aSB?am1G4q+c#LcL4a zCx%elK^260kFZYzp>`1VSzf633ESfdwUe;VU7`AYOJ!4gbA|eputG+t&j|b85^4`&KNv!N4yqv37li#72=ygl zKjnq`im;zOp}r>U7gwln2>WkFsBa1T)e`DE!v1FnRRC2G>U+X|3xxWCuwq`Q9|^1S zg!+lF-(8`8ChU)lP`?oNrzO;X$*P1Q)UTilLj8}dN(MsxMpmWrLKVp>;t5qnR#8`| z-^r?dGD7`9R@JeD`jf0m8(bw5pbA1I$*Q`6P${yiUS23dR@L`}qGVMASEzl+s)iY% z>X229ETPh5#4baqx@5!$lGP(4cFJ+}$%yw|@!bYw#12oWhGfKhd7&DCvW03)M!ahX z)r5?ACnuBv$`Yz68S%C+R5LQ-P1&V6C{wT&WW+YvuO%4~6a;HUM!YU-Tc`HOV8KFiIuZ}O& z5yVU9ggTOVb+bYpMZ9{BP@{=g-xg{N@fw&yjU`?~E)vP3L4`scL%c@5P{$IlaZadl z#A}ijYCQ1_N2m<(n%Y7gN4#dHP{$LmITwlK1W=(+6N%Tt7wQD!waf`MiFmEDLY+vw z){anDeO9PbiPymq${}7y zTd0?5gFkaz!SDWRXymyfj3zFWY86dnJNq S: 1.302ns/0.000ns; real time: 5 secs -Level 2, iteration 1 -0(0.00%) conflict; 523(84.63%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.256ns/0.000ns; real time: 5 secs -Level 3, iteration 1 -0(0.00%) conflict; 511(82.69%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.228ns/0.000ns; real time: 5 secs -Level 4, iteration 1 -16(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs - -Info: Initial congestion level at 75% usage is 0 -Info: Initial congestion area at 75% usage is 0 (0.00%) - -Start NBR section for normal routing at 21:33:37 08/16/21 -Level 1, iteration 1 -0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs -Level 2, iteration 1 -0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs -Level 3, iteration 1 -0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs -Level 4, iteration 1 -5(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs -Level 4, iteration 2 -2(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs -Level 4, iteration 3 -0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs - -Start NBR section for setup/hold timing optimization with effort level 3 at 21:33:37 08/16/21 - -Start NBR section for re-routing at 21:33:37 08/16/21 -Level 4, iteration 1 -0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs - -Start NBR section for post-routing at 21:33:37 08/16/21 - -End NBR router with 0 unrouted connection - -NBR Summary ------------ - Number of unrouted connections : 0 (0.00%) - Number of connections with timing violations : 0 (0.00%) - Estimated worst slack : 1.213ns - Timing score : 0 ------------ -Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. - - - -Total CPU time 6 secs -Total REAL time: 6 secs -Completely routed. -End of route. 618 routed (100.00%); 0 unrouted. - -Hold time timing score: 0, hold timing errors: 0 - -Timing score: 0 - -Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd. - - -All signals are completely routed. - - -PAR_SUMMARY::Run status = Completed -PAR_SUMMARY::Number of unrouted conns = 0 -PAR_SUMMARY::Worst slack> = 1.213 -PAR_SUMMARY::Timing score> = 0.000 -PAR_SUMMARY::Worst slack> = 0.339 -PAR_SUMMARY::Timing score> = 0.000 -PAR_SUMMARY::Number of errors = 0 - -Total CPU time to completion: 6 secs -Total REAL time to completion: 6 secs - -par done! - -Note: user must run 'Trace' for timing closure signoff. - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf deleted file mode 100644 index 8dceb1f..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf +++ /dev/null @@ -1,165 +0,0 @@ -SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Mon Aug 16 21:33:30 2021 - -SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; -LOCATE COMP "RD[7]" SITE "71" ; -LOCATE COMP "RD[6]" SITE "70" ; -LOCATE COMP "RD[5]" SITE "69" ; -LOCATE COMP "RD[4]" SITE "68" ; -LOCATE COMP "RD[3]" SITE "67" ; -LOCATE COMP "RD[2]" SITE "66" ; -LOCATE COMP "RD[1]" SITE "65" ; -LOCATE COMP "RD[0]" SITE "64" ; -LOCATE COMP "Dout[7]" SITE "3" ; -LOCATE COMP "Dout[6]" SITE "2" ; -LOCATE COMP "Dout[5]" SITE "5" ; -LOCATE COMP "Dout[4]" SITE "4" ; -LOCATE COMP "Dout[3]" SITE "6" ; -LOCATE COMP "Dout[2]" SITE "8" ; -LOCATE COMP "Dout[1]" SITE "7" ; -LOCATE COMP "Dout[0]" SITE "1" ; -LOCATE COMP "LED" SITE "57" ; -LOCATE COMP "RBA[1]" SITE "83" ; -LOCATE COMP "RBA[0]" SITE "63" ; -LOCATE COMP "RA[11]" SITE "79" ; -LOCATE COMP "RA[10]" SITE "87" ; -LOCATE COMP "RA[9]" SITE "85" ; -LOCATE COMP "RA[8]" SITE "96" ; -LOCATE COMP "RA[7]" SITE "100" ; -LOCATE COMP "RA[6]" SITE "91" ; -LOCATE COMP "RA[5]" SITE "95" ; -LOCATE COMP "RA[4]" SITE "99" ; -LOCATE COMP "RA[3]" SITE "97" ; -LOCATE COMP "RA[2]" SITE "94" ; -LOCATE COMP "RA[1]" SITE "89" ; -LOCATE COMP "RA[0]" SITE "98" ; -LOCATE COMP "nRCS" SITE "77" ; -LOCATE COMP "RCKE" SITE "82" ; -LOCATE COMP "nRWE" SITE "72" ; -LOCATE COMP "nRRAS" SITE "73" ; -LOCATE COMP "nRCAS" SITE "78" ; -LOCATE COMP "RDQMH" SITE "76" ; -LOCATE COMP "RDQML" SITE "61" ; -LOCATE COMP "nUFMCS" SITE "53" ; -LOCATE COMP "UFMCLK" SITE "58" ; -LOCATE COMP "UFMSDI" SITE "56" ; -LOCATE COMP "PHI2" SITE "39" ; -LOCATE COMP "MAin[9]" SITE "51" ; -LOCATE COMP "MAin[8]" SITE "50" ; -LOCATE COMP "MAin[7]" SITE "44" ; -LOCATE COMP "MAin[6]" SITE "49" ; -LOCATE COMP "MAin[5]" SITE "45" ; -LOCATE COMP "MAin[4]" SITE "46" ; -LOCATE COMP "MAin[3]" SITE "47" ; -LOCATE COMP "MAin[2]" SITE "37" ; -LOCATE COMP "MAin[1]" SITE "38" ; -LOCATE COMP "MAin[0]" SITE "23" ; -LOCATE COMP "CROW[1]" SITE "34" ; -LOCATE COMP "CROW[0]" SITE "32" ; -LOCATE COMP "Din[7]" SITE "19" ; -LOCATE COMP "Din[6]" SITE "20" ; -LOCATE COMP "Din[5]" SITE "17" ; -LOCATE COMP "Din[4]" SITE "18" ; -LOCATE COMP "Din[3]" SITE "16" ; -LOCATE COMP "Din[2]" SITE "14" ; -LOCATE COMP "Din[1]" SITE "15" ; -LOCATE COMP "Din[0]" SITE "21" ; -LOCATE COMP "nCCAS" SITE "27" ; -LOCATE COMP "nCRAS" SITE "43" ; -LOCATE COMP "nFWE" SITE "22" ; -LOCATE COMP "RCLK" SITE "86" ; -LOCATE COMP "UFMSDO" SITE "55" ; -PERIOD NET "PHI2_c" 350.000000 ns ; -USE PRIMARY NET "RCLK_c" ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -USE PRIMARY NET "PHI2_c" ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -USE PRIMARY NET "nCCAS_c" ; -PERIOD NET "RCLK_c" 16.000000 ns ; -USE PRIMARY NET "nCRAS_c" ; -SCHEMATIC END ; -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -VOLTAGE 3.300 V; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; -COMMERCIAL ; diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pt b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pt deleted file mode 100644 index 916dbc3..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pt +++ /dev/null @@ -1,10 +0,0 @@ --v -10 - - - - --gt --sethld --sp 3 --sphld m diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.t2b b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.t2b deleted file mode 100644 index aa05f83..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.t2b +++ /dev/null @@ -1,2 +0,0 @@ - --g ES:No diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 deleted file mode 100644 index 21ca4c4..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 +++ /dev/null @@ -1,2507 +0,0 @@ - -Loading design for application trce from file ram2gs_lcmxo640c_impl1_map.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO640C -Package: TQFP100 -Performance: 3 -Loading device for application trce from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga. -Package Status: Final Version 1.17. -Performance Hardware Data Status: Version 1.124. -Setup and Hold Report - --------------------------------------------------------------------------------- -Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:33:31 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO640C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.prf -Design file: ram2gs_lcmxo640c_impl1_map.ncd -Preference file: ram2gs_lcmxo640c_impl1.prf -Device,speed: LCMXO640C,3 -Report level: verbose report, limited to 1 item per preference --------------------------------------------------------------------------------- - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 161.862ns (weighted slack = 323.724ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 12.873ns (21.6% logic, 78.4% route), 7 logic levels. - - Constraint Details: - - 12.873ns physical path delay SLICE_95 to SLICE_19 meets - 175.000ns delay constraint less - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.862ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_95.CLK to SLICE_95.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 e 1.441 SLICE_95.Q1 to SLICE_67.A1 Bank_7 -CTOF_DEL --- 0.371 SLICE_67.A1 to SLICE_67.F1 SLICE_67 -ROUTE 1 e 1.441 SLICE_67.F1 to SLICE_82.C1 n2154 -CTOF_DEL --- 0.371 SLICE_82.C1 to SLICE_82.F1 SLICE_82 -ROUTE 1 e 1.441 SLICE_82.F1 to SLICE_76.B1 n26 -CTOF_DEL --- 0.371 SLICE_76.B1 to SLICE_76.F1 SLICE_76 -ROUTE 4 e 1.441 SLICE_76.F1 to SLICE_89.B0 n1285 -CTOF_DEL --- 0.371 SLICE_89.B0 to SLICE_89.F0 SLICE_89 -ROUTE 3 e 1.441 SLICE_89.F0 to SLICE_18.D1 n2290 -CTOF_DEL --- 0.371 SLICE_18.D1 to SLICE_18.F1 SLICE_18 -ROUTE 3 e 1.441 SLICE_18.F1 to SLICE_90.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 SLICE_90.C0 to SLICE_90.F0 SLICE_90 -ROUTE 2 e 1.441 SLICE_90.F0 to SLICE_19.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.873 (21.6% logic, 78.4% route), 7 logic levels. - -Report: 26.276ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_76 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_77 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 5.575ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i14 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 10.181ns (23.7% logic, 76.3% route), 6 logic levels. - - Constraint Details: - - 10.181ns physical path delay SLICE_7 to SLICE_56 meets - 16.000ns delay constraint less - 0.244ns CE_SET requirement (totaling 15.756ns) by 5.575ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_7.CLK to SLICE_7.Q0 SLICE_7 (from RCLK_c) -ROUTE 3 e 1.441 SLICE_7.Q0 to SLICE_78.A0 FS_14 -CTOF_DEL --- 0.371 SLICE_78.A0 to SLICE_78.F0 SLICE_78 -ROUTE 3 e 1.441 SLICE_78.F0 to SLICE_73.B1 n10 -CTOF_DEL --- 0.371 SLICE_73.B1 to SLICE_73.F1 SLICE_73 -ROUTE 4 e 0.561 SLICE_73.F1 to SLICE_73.B0 n2300 -CTOF_DEL --- 0.371 SLICE_73.B0 to SLICE_73.F0 SLICE_73 -ROUTE 1 e 1.441 SLICE_73.F0 to SLICE_75.C0 n11 -CTOF_DEL --- 0.371 SLICE_75.C0 to SLICE_75.F0 SLICE_75 -ROUTE 2 e 1.441 SLICE_75.F0 to SLICE_33.D1 n2119 -CTOF_DEL --- 0.371 SLICE_33.D1 to SLICE_33.F1 SLICE_33 -ROUTE 1 e 1.441 SLICE_33.F1 to SLICE_56.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 10.181 (23.7% logic, 76.3% route), 6 logic levels. - -Report: 10.425ns is the minimum period for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_55 and - 5.637ns delay SLICE_55 to RA[10] (totaling 8.141ns) meets - 12.500ns offset RCLK to RA[10] by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_55.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_55.Q0 to 87.PADDO n980 -DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[9] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[9] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_88.C1 to SLICE_88.F1 SLICE_88 -ROUTE 1 e 1.441 SLICE_88.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[8] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[8] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_95.C0 to SLICE_95.F0 SLICE_95 -ROUTE 1 e 1.441 SLICE_95.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[7] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[7] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_97.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_97.C0 to SLICE_97.F0 SLICE_97 -ROUTE 1 e 1.441 SLICE_97.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[6] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[6] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_98.C0 to SLICE_98.F0 SLICE_98 -ROUTE 1 e 1.441 SLICE_98.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[5] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[5] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_98.C1 to SLICE_98.F1 SLICE_98 -ROUTE 1 e 1.441 SLICE_98.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.427ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 6.569ns (69.5% logic, 30.5% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 6.569ns delay SLICE_64 to RA[4] (totaling 9.073ns) meets - 12.500ns offset RCLK to RA[4] by 3.427ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.561 SLICE_64.Q0 to SLICE_64.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_64.C1 to SLICE_64.F1 SLICE_64 -ROUTE 1 e 1.441 SLICE_64.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] - -------- - 6.569 (69.5% logic, 30.5% route), 3 logic levels. - -Report: 9.073ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[3] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[3] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_94.C1 to SLICE_94.F1 SLICE_94 -ROUTE 1 e 1.441 SLICE_94.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[2] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[2] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_95.C1 to SLICE_95.F1 SLICE_95 -ROUTE 1 e 1.441 SLICE_95.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[1] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[1] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_94.C0 to SLICE_94.F0 SLICE_94 -ROUTE 1 e 1.441 SLICE_94.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[0] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[0] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_92.C1 to SLICE_92.F1 SLICE_92 -ROUTE 1 e 1.441 SLICE_92.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_60 and - 5.637ns delay SLICE_60 to nRCS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRCS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_60.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_60.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_34 and - 5.637ns delay SLICE_34 to RCKE (totaling 8.141ns) meets - 12.500ns offset RCLK to RCKE by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_34.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 e 1.441 SLICE_34.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_63 and - 5.637ns delay SLICE_63 to nRWE (totaling 8.141ns) meets - 12.500ns offset RCLK to nRWE by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_63.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_63.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_61 and - 5.637ns delay SLICE_61 to nRRAS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRRAS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_61.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 e 1.441 SLICE_61.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_58 and - 5.637ns delay SLICE_58 to nRCAS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRCAS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_58.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_58.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RDQMH (totaling 9.953ns) meets - 12.500ns offset RCLK to RDQMH by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.B0 nRowColSel -CTOF_DEL --- 0.371 SLICE_88.B0 to SLICE_88.F0 SLICE_88 -ROUTE 1 e 1.441 SLICE_88.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RDQML (totaling 9.953ns) meets - 12.500ns offset RCLK to RDQML by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.B0 nRowColSel -CTOF_DEL --- 0.371 SLICE_92.B0 to SLICE_92.F0 SLICE_92 -ROUTE 1 e 1.441 SLICE_92.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.276 ns| 7 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 10.425 ns| 6 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.073 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Setup): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) - --------------------------------------------------------------------------------- -Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:33:31 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO640C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.prf -Design file: ram2gs_lcmxo640c_impl1_map.ncd -Preference file: ram2gs_lcmxo640c_impl1.prf -Device,speed: LCMXO640C,M -Report level: verbose report, limited to 1 item per preference --------------------------------------------------------------------------------- - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.485ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in ADSubmitted_380 (to PHI2_c -) - - Delay: 0.462ns (56.7% logic, 43.3% route), 2 logic levels. - - Constraint Details: - - 0.462ns physical path delay SLICE_9 to SLICE_9 meets - -0.023ns DIN_HLD and - 0.000ns delay constraint requirement (totaling -0.023ns) by 0.485ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 SLICE_9.CLK to SLICE_9.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 e 0.199 SLICE_9.Q0 to SLICE_9.C0 ADSubmitted -CTOF_DEL --- 0.092 SLICE_9.C0 to SLICE_9.F0 SLICE_9 -ROUTE 1 e 0.001 SLICE_9.F0 to SLICE_9.DI0 n1361 (to PHI2_c) - -------- - 0.462 (56.7% logic, 43.3% route), 2 logic levels. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.377ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i11 (from RCLK_c +) - Destination: FF Data in IS_FSM__i12 (to RCLK_c +) - - Delay: 0.356ns (44.1% logic, 55.9% route), 1 logic levels. - - Constraint Details: - - 0.356ns physical path delay SLICE_72 to SLICE_72 meets - -0.021ns M_HLD and - 0.000ns delay constraint requirement (totaling -0.021ns) by 0.377ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_72: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_72.CLK to SLICE_72.Q0 SLICE_72 (from RCLK_c) -ROUTE 1 e 0.199 SLICE_72.Q0 to SLICE_72.M1 n702 (to RCLK_c) - -------- - 0.356 (44.1% logic, 55.9% route), 1 logic levels. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_55 and - 1.780ns delay SLICE_55 to RA[10] (totaling 2.559ns) meets - 0.000ns hold offset RCLK to RA[10] by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_55.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_55.Q0 to 87.PADDO n980 -DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[9] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[9] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_88.C1 to SLICE_88.F1 SLICE_88 -ROUTE 1 e 0.515 SLICE_88.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[8] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[8] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_95.C0 to SLICE_95.F0 SLICE_95 -ROUTE 1 e 0.515 SLICE_95.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[7] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[7] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_97.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_97.C0 to SLICE_97.F0 SLICE_97 -ROUTE 1 e 0.515 SLICE_97.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[6] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[6] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_98.C0 to SLICE_98.F0 SLICE_98 -ROUTE 1 e 0.515 SLICE_98.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[5] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[5] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_98.C1 to SLICE_98.F1 SLICE_98 -ROUTE 1 e 0.515 SLICE_98.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.850ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 2.071ns (65.5% logic, 34.5% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.071ns delay SLICE_64 to RA[4] (totaling 2.850ns) meets - 0.000ns hold offset RCLK to RA[4] by 2.850ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.199 SLICE_64.Q0 to SLICE_64.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_64.C1 to SLICE_64.F1 SLICE_64 -ROUTE 1 e 0.515 SLICE_64.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] - -------- - 2.071 (65.5% logic, 34.5% route), 3 logic levels. - -Report: 2.850ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[3] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[3] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_94.C1 to SLICE_94.F1 SLICE_94 -ROUTE 1 e 0.515 SLICE_94.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[2] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[2] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_95.C1 to SLICE_95.F1 SLICE_95 -ROUTE 1 e 0.515 SLICE_95.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[1] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[1] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_94.C0 to SLICE_94.F0 SLICE_94 -ROUTE 1 e 0.515 SLICE_94.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[0] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[0] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_92.C1 to SLICE_92.F1 SLICE_92 -ROUTE 1 e 0.515 SLICE_92.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_60 and - 1.780ns delay SLICE_60 to nRCS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRCS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_60.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_60.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_34 and - 1.780ns delay SLICE_34 to RCKE (totaling 2.559ns) meets - 0.000ns hold offset RCLK to RCKE by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_34.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 e 0.515 SLICE_34.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_63 and - 1.780ns delay SLICE_63 to nRWE (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRWE by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_63.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_63.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_61 and - 1.780ns delay SLICE_61 to nRRAS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRRAS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_61.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 e 0.515 SLICE_61.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_58 and - 1.780ns delay SLICE_58 to nRCAS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRCAS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_58.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_58.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RDQMH (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RDQMH by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.B0 nRowColSel -CTOF_DEL --- 0.092 SLICE_88.B0 to SLICE_88.F0 SLICE_88 -ROUTE 1 e 0.515 SLICE_88.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RDQML (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RDQML by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.B0 nRowColSel -CTOF_DEL --- 0.092 SLICE_92.B0 to SLICE_92.F0 SLICE_92 -ROUTE 1 e 0.515 SLICE_92.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference(MIN Delays) | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.850 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Hold): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) - - - -Timing summary (Setup and Hold): ---------------- - -Timing errors: 0 (setup), 0 (hold) -Score: 0 (setup), 0 (hold) -Cumulative negative slack: 0 (0+0) --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr deleted file mode 100644 index 546895c..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr +++ /dev/null @@ -1,4338 +0,0 @@ - -Loading design for application trce from file ram2gs_lcmxo640c_impl1.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO640C -Package: TQFP100 -Performance: 3 -Loading device for application trce from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga. -Package Status: Final Version 1.17. -Performance Hardware Data Status: Version 1.124. -Setup and Hold Report - --------------------------------------------------------------------------------- -Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:33:37 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO640C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf -Design file: ram2gs_lcmxo640c_impl1.ncd -Preference file: ram2gs_lcmxo640c_impl1.prf -Device,speed: LCMXO640C,3 -Report level: verbose report, limited to 10 items per preference --------------------------------------------------------------------------------- - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 161.362ns (weighted slack = 322.724ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 13.373ns (20.8% logic, 79.2% route), 7 logic levels. - - Constraint Details: - - 13.373ns physical path delay SLICE_95 to SLICE_19 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.362ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 -ROUTE 2 1.672 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 13.373 (20.8% logic, 79.2% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R9C8B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.494ns (weighted slack = 322.988ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 13.241ns (21.0% logic, 79.0% route), 7 logic levels. - - Constraint Details: - - 13.241ns physical path delay SLICE_95 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.494ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 1.540 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 13.241 (21.0% logic, 79.0% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R7C8B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.494ns (weighted slack = 322.988ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 13.241ns (21.0% logic, 79.0% route), 7 logic levels. - - Constraint Details: - - 13.241ns physical path delay SLICE_95 to SLICE_88 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.494ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 1.540 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 13.241 (21.0% logic, 79.0% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R4C9A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.945ns (weighted slack = 323.890ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) - - Delay: 12.790ns (21.8% logic, 78.2% route), 7 logic levels. - - Constraint Details: - - 12.790ns physical path delay SLICE_95 to SLICE_23 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.945ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 -ROUTE 2 1.089 R5C7C.F0 to R6C7B.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.790 (21.8% logic, 78.2% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R6C7B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.961ns (weighted slack = 323.922ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in XOR8MEG_381 (to PHI2_c -) - - Delay: 12.774ns (21.8% logic, 78.2% route), 7 logic levels. - - Constraint Details: - - 12.774ns physical path delay SLICE_95 to SLICE_96 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.961ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7C.C1 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7C.C1 to R5C7C.F1 SLICE_90 -ROUTE 1 1.073 R5C7C.F1 to R5C8B.CE PHI2_N_114_enable_2 (to PHI2_c) - -------- - 12.774 (21.8% logic, 78.2% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R5C8B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.123ns (weighted slack = 324.246ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdEnable_378 (to PHI2_c -) - - Delay: 12.703ns (21.9% logic, 78.1% route), 7 logic levels. - - Constraint Details: - - 12.703ns physical path delay SLICE_95 to SLICE_18 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.174ns DIN_SET requirement (totaling 174.826ns) by 162.123ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.134 R4C2A.F1 to R6C9A.B1 n1285 -CTOF_DEL --- 0.371 R6C9A.B1 to R6C9A.F1 SLICE_80 -ROUTE 1 0.696 R6C9A.F1 to R6C9A.B0 n2289 -CTOF_DEL --- 0.371 R6C9A.B0 to R6C9A.F0 SLICE_80 -ROUTE 2 2.112 R6C9A.F0 to R5C5A.B0 ADSubmitted_N_234 -CTOF_DEL --- 0.371 R5C5A.B0 to R5C5A.F0 SLICE_18 -ROUTE 1 0.000 R5C5A.F0 to R5C5A.DI0 CmdEnable_N_236 (to PHI2_c) - -------- - 12.703 (21.9% logic, 78.1% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R5C5A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.123ns (weighted slack = 324.246ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in ADSubmitted_380 (to PHI2_c -) - - Delay: 12.703ns (21.9% logic, 78.1% route), 7 logic levels. - - Constraint Details: - - 12.703ns physical path delay SLICE_95 to SLICE_9 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.174ns DIN_SET requirement (totaling 174.826ns) by 162.123ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.134 R4C2A.F1 to R6C9A.B1 n1285 -CTOF_DEL --- 0.371 R6C9A.B1 to R6C9A.F1 SLICE_80 -ROUTE 1 0.696 R6C9A.F1 to R6C9A.B0 n2289 -CTOF_DEL --- 0.371 R6C9A.B0 to R6C9A.F0 SLICE_80 -ROUTE 2 2.112 R6C9A.F0 to R5C5B.B0 ADSubmitted_N_234 -CTOF_DEL --- 0.371 R5C5B.B0 to R5C5B.F0 SLICE_9 -ROUTE 1 0.000 R5C5B.F0 to R5C5B.DI0 n1361 (to PHI2_c) - -------- - 12.703 (21.9% logic, 78.1% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R5C5B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.710ns (weighted slack = 325.420ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i5 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 12.025ns (23.2% logic, 76.8% route), 7 logic levels. - - Constraint Details: - - 12.025ns physical path delay SLICE_97 to SLICE_19 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.710ns - - Physical Path Details: - - Data path SLICE_97 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) -ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 -CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 -ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 -CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 -ROUTE 2 1.672 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.025 (23.2% logic, 76.8% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_97: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R9C8B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.842ns (weighted slack = 325.684ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i5 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 11.893ns (23.4% logic, 76.6% route), 7 logic levels. - - Constraint Details: - - 11.893ns physical path delay SLICE_97 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.842ns - - Physical Path Details: - - Data path SLICE_97 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) -ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 -CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 -ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 -CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 1.540 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 11.893 (23.4% logic, 76.6% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_97: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R7C8B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.842ns (weighted slack = 325.684ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i5 (from PHI2_c +) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 11.893ns (23.4% logic, 76.6% route), 7 logic levels. - - Constraint Details: - - 11.893ns physical path delay SLICE_97 to SLICE_88 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.842ns - - Physical Path Details: - - Data path SLICE_97 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) -ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 -CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 -ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 -CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 1.540 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 11.893 (23.4% logic, 76.6% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_97: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R4C9A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - -Report: 27.276ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_76 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_77 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 6.557ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S_FSM_i4 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 9.262ns (20.9% logic, 79.1% route), 4 logic levels. - - Constraint Details: - - 9.262ns physical path delay SLICE_65 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.557ns - - Physical Path Details: - - Data path SLICE_65 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9C.CLK to R5C9C.Q0 SLICE_65 (from RCLK_c) -ROUTE 7 2.149 R5C9C.Q0 to R3C2A.B1 nRowColSel_N_32 -CTOF_DEL --- 0.371 R3C2A.B1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 -CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 9.262 (20.9% logic, 79.1% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_65: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C9C.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 6.573ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S_FSM_i4 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 9.246ns (20.7% logic, 79.3% route), 4 logic levels. - - Constraint Details: - - 9.246ns physical path delay SLICE_65 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.573ns - - Physical Path Details: - - Data path SLICE_65 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9C.CLK to R5C9C.Q0 SLICE_65 (from RCLK_c) -ROUTE 7 2.149 R5C9C.Q0 to R3C2A.B1 nRowColSel_N_32 -CTOF_DEL --- 0.371 R3C2A.B1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 -CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 9.246 (20.7% logic, 79.3% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_65: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C9C.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 6.866ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i15 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 8.890ns (27.2% logic, 72.8% route), 6 logic levels. - - Constraint Details: - - 8.890ns physical path delay SLICE_7 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 6.866ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R10C7D.CLK to R10C7D.Q1 SLICE_7 (from RCLK_c) -ROUTE 3 1.466 R10C7D.Q1 to R10C8D.B0 FS_15 -CTOF_DEL --- 0.371 R10C8D.B0 to R10C8D.F0 SLICE_78 -ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 -CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 -ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 -CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 -ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 -CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 -ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 -CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 -ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 8.890 (27.2% logic, 72.8% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R10C7D.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 6.963ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S_FSM_i3 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 8.856ns (21.8% logic, 78.2% route), 4 logic levels. - - Constraint Details: - - 8.856ns physical path delay SLICE_66 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.963ns - - Physical Path Details: - - Data path SLICE_66 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9D.CLK to R5C9D.Q0 SLICE_66 (from RCLK_c) -ROUTE 6 1.743 R5C9D.Q0 to R3C2A.D1 nRowColSel_N_33 -CTOF_DEL --- 0.371 R3C2A.D1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 -CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 8.856 (21.8% logic, 78.2% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_66: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C9D.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 6.979ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S_FSM_i3 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 8.840ns (21.7% logic, 78.3% route), 4 logic levels. - - Constraint Details: - - 8.840ns physical path delay SLICE_66 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.979ns - - Physical Path Details: - - Data path SLICE_66 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9D.CLK to R5C9D.Q0 SLICE_66 (from RCLK_c) -ROUTE 6 1.743 R5C9D.Q0 to R3C2A.D1 nRowColSel_N_33 -CTOF_DEL --- 0.371 R3C2A.D1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 -CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 8.840 (21.7% logic, 78.3% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_66: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C9D.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.065ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i14 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 8.691ns (27.8% logic, 72.2% route), 6 logic levels. - - Constraint Details: - - 8.691ns physical path delay SLICE_7 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.065ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R10C7D.CLK to R10C7D.Q0 SLICE_7 (from RCLK_c) -ROUTE 3 1.267 R10C7D.Q0 to R10C8D.C0 FS_14 -CTOF_DEL --- 0.371 R10C8D.C0 to R10C8D.F0 SLICE_78 -ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 -CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 -ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 -CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 -ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 -CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 -ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 -CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 -ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 8.691 (27.8% logic, 72.2% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R10C7D.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.284ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i13 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 8.472ns (28.5% logic, 71.5% route), 6 logic levels. - - Constraint Details: - - 8.472ns physical path delay SLICE_8 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.284ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R10C7C.CLK to R10C7C.Q1 SLICE_8 (from RCLK_c) -ROUTE 3 1.048 R10C7C.Q1 to R10C8D.A0 FS_13 -CTOF_DEL --- 0.371 R10C8D.A0 to R10C8D.F0 SLICE_78 -ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 -CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 -ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 -CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 -ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 -CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 -ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 -CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 -ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 8.472 (28.5% logic, 71.5% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R10C7C.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.601ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i12 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 8.155ns (29.6% logic, 70.4% route), 6 logic levels. - - Constraint Details: - - 8.155ns physical path delay SLICE_8 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.601ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R10C7C.CLK to R10C7C.Q0 SLICE_8 (from RCLK_c) -ROUTE 3 0.731 R10C7C.Q0 to R10C8D.D0 FS_12 -CTOF_DEL --- 0.371 R10C8D.D0 to R10C8D.F0 SLICE_78 -ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 -CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 -ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 -CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 -ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 -CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 -ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 -CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 -ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 8.155 (29.6% logic, 70.4% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R10C7C.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.732ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 8.087ns (23.9% logic, 76.1% route), 4 logic levels. - - Constraint Details: - - 8.087ns physical path delay SLICE_61 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 7.732ns - - Physical Path Details: - - Data path SLICE_61 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 0.974 R3C2A.Q0 to R3C2A.A1 nRRAS_c -CTOF_DEL --- 0.371 R3C2A.A1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 -CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 8.087 (23.9% logic, 76.1% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.748ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 8.071ns (23.8% logic, 76.2% route), 4 logic levels. - - Constraint Details: - - 8.071ns physical path delay SLICE_61 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 7.748ns - - Physical Path Details: - - Data path SLICE_61 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 0.974 R3C2A.Q0 to R3C2A.A1 nRRAS_c -CTOF_DEL --- 0.371 R3C2A.A1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 -CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 8.071 (23.8% logic, 76.2% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - -Report: 9.443ns is the minimum period for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.999ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_55 and - 5.013ns delay SLICE_55 to RA[10] (totaling 7.501ns) meets - 12.500ns offset RCLK to RA[10] by 4.999ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R2C5A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C5A.CLK to R2C5A.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 0.817 R2C5A.Q0 to 87.PADDO n980 -DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.501ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.088ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 7.924ns (57.6% logic, 42.4% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.924ns delay SLICE_64 to RA[9] (totaling 10.412ns) meets - 12.500ns offset RCLK to RA[9] by 2.088ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.793 R5C9A.Q0 to R4C9A.D1 nRowColSel -CTOF_DEL --- 0.371 R4C9A.D1 to R4C9A.F1 SLICE_88 -ROUTE 1 2.564 R4C9A.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] - -------- - 7.924 (57.6% logic, 42.4% route), 3 logic levels. - -Report: 10.412ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.035ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 7.977ns (57.3% logic, 42.7% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.977ns delay SLICE_64 to RA[8] (totaling 10.465ns) meets - 12.500ns offset RCLK to RA[8] by 2.035ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.750 R5C9A.Q0 to R3C2B.D0 nRowColSel -CTOF_DEL --- 0.371 R3C2B.D0 to R3C2B.F0 SLICE_95 -ROUTE 1 1.660 R3C2B.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] - -------- - 7.977 (57.3% logic, 42.7% route), 3 logic levels. - -Report: 10.465ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.583ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 7.429ns (61.5% logic, 38.5% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.429ns delay SLICE_64 to RA[7] (totaling 9.917ns) meets - 12.500ns offset RCLK to RA[7] by 2.583ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 2.045 R5C9A.Q0 to R2C2A.C0 nRowColSel -CTOF_DEL --- 0.371 R2C2A.C0 to R2C2A.F0 SLICE_97 -ROUTE 1 0.817 R2C2A.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] - -------- - 7.429 (61.5% logic, 38.5% route), 3 logic levels. - -Report: 9.917ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 7.846ns (58.2% logic, 41.8% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.846ns delay SLICE_64 to RA[6] (totaling 10.334ns) meets - 12.500ns offset RCLK to RA[6] by 2.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.753 R5C9A.Q0 to R2C3A.D0 nRowColSel -CTOF_DEL --- 0.371 R2C3A.D0 to R2C3A.F0 SLICE_98 -ROUTE 1 1.526 R2C3A.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] - -------- - 7.846 (58.2% logic, 41.8% route), 3 logic levels. - -Report: 10.334ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 7.846ns (58.2% logic, 41.8% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.846ns delay SLICE_64 to RA[5] (totaling 10.334ns) meets - 12.500ns offset RCLK to RA[5] by 2.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.753 R5C9A.Q0 to R2C3A.D1 nRowColSel -CTOF_DEL --- 0.371 R2C3A.D1 to R2C3A.F1 SLICE_98 -ROUTE 1 1.526 R2C3A.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] - -------- - 7.846 (58.2% logic, 41.8% route), 3 logic levels. - -Report: 10.334ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.742ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 8.270ns (55.2% logic, 44.8% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 8.270ns delay SLICE_64 to RA[4] (totaling 10.758ns) meets - 12.500ns offset RCLK to RA[4] by 1.742ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.702 R5C9A.Q0 to R5C9A.D1 nRowColSel -CTOF_DEL --- 0.371 R5C9A.D1 to R5C9A.F1 SLICE_64 -ROUTE 1 3.001 R5C9A.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] - -------- - 8.270 (55.2% logic, 44.8% route), 3 logic levels. - -Report: 10.758ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.725ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 8.287ns (55.1% logic, 44.9% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 8.287ns delay SLICE_64 to RA[3] (totaling 10.775ns) meets - 12.500ns offset RCLK to RA[3] by 1.725ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 2.198 R5C9A.Q0 to R2C2C.D1 nRowColSel -CTOF_DEL --- 0.371 R2C2C.D1 to R2C2C.F1 SLICE_94 -ROUTE 1 1.522 R2C2C.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] - -------- - 8.287 (55.1% logic, 44.9% route), 3 logic levels. - -Report: 10.775ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.643ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 8.369ns (54.6% logic, 45.4% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 8.369ns delay SLICE_64 to RA[2] (totaling 10.857ns) meets - 12.500ns offset RCLK to RA[2] by 1.643ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.750 R5C9A.Q0 to R3C2B.D1 nRowColSel -CTOF_DEL --- 0.371 R3C2B.D1 to R3C2B.F1 SLICE_95 -ROUTE 1 2.052 R3C2B.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] - -------- - 8.369 (54.6% logic, 45.4% route), 3 logic levels. - -Report: 10.857ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.417ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 8.595ns (53.1% logic, 46.9% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 8.595ns delay SLICE_64 to RA[1] (totaling 11.083ns) meets - 12.500ns offset RCLK to RA[1] by 1.417ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 2.045 R5C9A.Q0 to R2C2C.C0 nRowColSel -CTOF_DEL --- 0.371 R2C2C.C0 to R2C2C.F0 SLICE_94 -ROUTE 1 1.983 R2C2C.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] - -------- - 8.595 (53.1% logic, 46.9% route), 3 logic levels. - -Report: 11.083ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.213ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 8.799ns (51.9% logic, 48.1% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 8.799ns delay SLICE_64 to RA[0] (totaling 11.287ns) meets - 12.500ns offset RCLK to RA[0] by 1.213ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.245 R5C9A.Q0 to R8C9C.D1 nRowColSel -CTOF_DEL --- 0.371 R8C9C.D1 to R8C9C.F1 SLICE_92 -ROUTE 1 2.987 R8C9C.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] - -------- - 8.799 (51.9% logic, 48.1% route), 3 logic levels. - -Report: 11.287ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.999ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_60 and - 5.013ns delay SLICE_60 to nRCS (totaling 7.501ns) meets - 12.500ns offset RCLK to nRCS by 4.999ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R2C9C.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C9C.CLK to R2C9C.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 0.817 R2C9C.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.501ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.999ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_34 and - 5.013ns delay SLICE_34 to RCKE (totaling 7.501ns) meets - 12.500ns offset RCLK to RCKE by 4.999ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R2C7C.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C7C.CLK to R2C7C.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 0.817 R2C7C.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.501ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 6.653ns (63.1% logic, 36.9% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_63 and - 6.653ns delay SLICE_63 to nRWE (totaling 9.141ns) meets - 12.500ns offset RCLK to nRWE by 3.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R10C9C.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R10C9C.CLK to R10C9C.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 2.457 R10C9C.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE - -------- - 6.653 (63.1% logic, 36.9% route), 2 logic levels. - -Report: 9.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.325ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 6.687ns (62.7% logic, 37.3% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_61 and - 6.687ns delay SLICE_61 to nRRAS (totaling 9.175ns) meets - 12.500ns offset RCLK to nRRAS by 3.325ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 2.491 R3C2A.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS - -------- - 6.687 (62.7% logic, 37.3% route), 2 logic levels. - -Report: 9.175ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.999ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_58 and - 5.013ns delay SLICE_58 to nRCAS (totaling 7.501ns) meets - 12.500ns offset RCLK to nRCAS by 4.999ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R2C9B.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C9B.CLK to R2C9B.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 0.817 R2C9B.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.501ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.669ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 7.343ns (62.2% logic, 37.8% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.343ns delay SLICE_64 to RDQMH (totaling 9.831ns) meets - 12.500ns offset RCLK to RDQMH by 2.669ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.793 R5C9A.Q0 to R4C9A.D0 nRowColSel -CTOF_DEL --- 0.371 R4C9A.D0 to R4C9A.F0 SLICE_88 -ROUTE 1 1.983 R4C9A.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH - -------- - 7.343 (62.2% logic, 37.8% route), 3 logic levels. - -Report: 9.831ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.383ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 6.629ns (68.9% logic, 31.1% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 6.629ns delay SLICE_64 to RDQML (totaling 9.117ns) meets - 12.500ns offset RCLK to RDQML by 3.383ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.245 R5C9A.Q0 to R8C9C.D0 nRowColSel -CTOF_DEL --- 0.371 R8C9C.D0 to R8C9C.F0 SLICE_92 -ROUTE 1 0.817 R8C9C.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML - -------- - 6.629 (68.9% logic, 31.1% route), 3 logic levels. - -Report: 9.117ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 27.276 ns| 7 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 9.443 ns| 4 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.412 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.465 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.917 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.334 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.334 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.758 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.775 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.857 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 11.083 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 11.287 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.175 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.831 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.117 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Setup): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 444 connections (71.84% coverage) - --------------------------------------------------------------------------------- -Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:33:37 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO640C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf -Design file: ram2gs_lcmxo640c_impl1.ncd -Preference file: ram2gs_lcmxo640c_impl1.prf -Device,speed: LCMXO640C,m -Report level: verbose report, limited to 10 items per preference --------------------------------------------------------------------------------- - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.447ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in ADSubmitted_380 (to PHI2_c -) - - Delay: 0.424ns (61.8% logic, 38.2% route), 2 logic levels. - - Constraint Details: - - 0.424ns physical path delay SLICE_9 to SLICE_9 meets - -0.023ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.023ns) by 0.447ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5B.CLK to R5C5B.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 0.162 R5C5B.Q0 to R5C5B.A0 ADSubmitted -CTOF_DEL --- 0.092 R5C5B.A0 to R5C5B.F0 SLICE_9 -ROUTE 1 0.000 R5C5B.F0 to R5C5B.DI0 n1361 (to PHI2_c) - -------- - 0.424 (61.8% logic, 38.2% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.113ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in XOR8MEG_381 (to PHI2_c -) - - Delay: 1.084ns (32.7% logic, 67.3% route), 3 logic levels. - - Constraint Details: - - 1.084ns physical path delay SLICE_18 to SLICE_96 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.113ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable -CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.225 R5C5A.F1 to R5C7C.C1 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R5C7C.C1 to R5C7C.F1 SLICE_90 -ROUTE 1 0.267 R5C7C.F1 to R5C8B.CE PHI2_N_114_enable_2 (to PHI2_c) - -------- - 1.084 (32.7% logic, 67.3% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C8B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.118ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) - - Delay: 1.089ns (32.5% logic, 67.5% route), 3 logic levels. - - Constraint Details: - - 1.089ns physical path delay SLICE_18 to SLICE_23 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.118ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable -CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.225 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R5C7C.C0 to R5C7C.F0 SLICE_90 -ROUTE 2 0.272 R5C7C.F0 to R6C7B.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 1.089 (32.5% logic, 67.5% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R6C7B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.238ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 1.209ns (29.3% logic, 70.7% route), 3 logic levels. - - Constraint Details: - - 1.209ns physical path delay SLICE_18 to SLICE_83 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.238ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable -CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.225 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 0.392 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 1.209 (29.3% logic, 70.7% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R7C8B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.238ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 1.209ns (29.3% logic, 70.7% route), 3 logic levels. - - Constraint Details: - - 1.209ns physical path delay SLICE_18 to SLICE_88 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.238ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable -CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.225 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 0.392 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 1.209 (29.3% logic, 70.7% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R4C9A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.270ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in CmdEnable_378 (to PHI2_c -) - - Delay: 1.241ns (35.9% logic, 64.1% route), 4 logic levels. - - Constraint Details: - - 1.241ns physical path delay SLICE_9 to SLICE_18 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.270ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5B.CLK to R5C5B.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 0.258 R5C5B.Q0 to R5C5B.B1 ADSubmitted -CTOF_DEL --- 0.092 R5C5B.B1 to R5C5B.F1 SLICE_9 -ROUTE 1 0.123 R5C5B.F1 to R5C5D.C1 n2080 -CTOF_DEL --- 0.092 R5C5D.C1 to R5C5D.F1 SLICE_77 -ROUTE 1 0.253 R5C5D.F1 to R5C5D.B0 n2286 -CTOF_DEL --- 0.092 R5C5D.B0 to R5C5D.F0 SLICE_77 -ROUTE 1 0.161 R5C5D.F0 to R5C5A.CE PHI2_N_114_enable_8 (to PHI2_c) - -------- - 1.241 (35.9% logic, 64.1% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.276ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 1.247ns (28.4% logic, 71.6% route), 3 logic levels. - - Constraint Details: - - 1.247ns physical path delay SLICE_18 to SLICE_19 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.276ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable -CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.225 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R5C7C.C0 to R5C7C.F0 SLICE_90 -ROUTE 2 0.430 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 1.247 (28.4% logic, 71.6% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R9C8B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.299ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q C1Submitted_379 (from PHI2_c -) - Destination: FF Data in CmdEnable_378 (to PHI2_c -) - - Delay: 1.270ns (35.1% logic, 64.9% route), 4 logic levels. - - Constraint Details: - - 1.270ns physical path delay SLICE_14 to SLICE_18 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.299ns - - Physical Path Details: - - Data path SLICE_14 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5C.CLK to R5C5C.Q0 SLICE_14 (from PHI2_c) -ROUTE 1 0.238 R5C5C.Q0 to R5C5C.A1 C1Submitted -CTOF_DEL --- 0.092 R5C5C.A1 to R5C5C.F1 SLICE_14 -ROUTE 1 0.172 R5C5C.F1 to R5C5D.B1 n2098 -CTOF_DEL --- 0.092 R5C5D.B1 to R5C5D.F1 SLICE_77 -ROUTE 1 0.253 R5C5D.F1 to R5C5D.B0 n2286 -CTOF_DEL --- 0.092 R5C5D.B0 to R5C5D.F0 SLICE_77 -ROUTE 1 0.161 R5C5D.F0 to R5C5A.CE PHI2_N_114_enable_8 (to PHI2_c) - -------- - 1.270 (35.1% logic, 64.9% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5C.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 175.790ns (weighted slack = 351.580ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q XOR8MEG_381 (from PHI2_c -) - Destination: FF Data in RA11_358 (to PHI2_c +) - - Delay: 0.779ns (33.6% logic, 66.4% route), 2 logic levels. - - Constraint Details: - - 0.779ns physical path delay SLICE_96 to SLICE_31 meets - -0.011ns DIN_HLD and - -175.000ns delay constraint less - 0.000ns skew requirement (totaling -175.011ns) by 175.790ns - - Physical Path Details: - - Data path SLICE_96 to SLICE_31: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C8B.CLK to R5C8B.Q0 SLICE_96 (from PHI2_c) -ROUTE 1 0.517 R5C8B.Q0 to R2C9A.B0 XOR8MEG -CTOF_DEL --- 0.092 R2C9A.B0 to R2C9A.F0 SLICE_31 -ROUTE 1 0.000 R2C9A.F0 to R2C9A.DI0 RA11_N_180 (to PHI2_c) - -------- - 0.779 (33.6% logic, 66.4% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C8B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_31: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R2C9A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 176.484ns (weighted slack = 352.968ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i3 (from PHI2_c +) - Destination: FF Data in C1Submitted_379 (to PHI2_c -) - - Delay: 1.455ns (23.4% logic, 76.6% route), 3 logic levels. - - Constraint Details: - - 1.455ns physical path delay SLICE_98 to SLICE_14 meets - -0.029ns CE_HLD and - -175.000ns delay constraint less - 0.000ns skew requirement (totaling -175.029ns) by 176.484ns - - Physical Path Details: - - Data path SLICE_98 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C3A.CLK to R2C3A.Q1 SLICE_98 (from PHI2_c) -ROUTE 1 0.382 R2C3A.Q1 to R4C2A.B1 Bank_3 -CTOF_DEL --- 0.092 R4C2A.B1 to R4C2A.F1 SLICE_76 -ROUTE 4 0.556 R4C2A.F1 to R5C6A.B1 n1285 -CTOF_DEL --- 0.092 R5C6A.B1 to R5C6A.F1 SLICE_89 -ROUTE 1 0.176 R5C6A.F1 to R5C5C.CE PHI2_N_114_enable_1 (to PHI2_c) - -------- - 1.455 (23.4% logic, 76.6% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_98: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R2C3A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5C.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i11 (from RCLK_c +) - Destination: FF Data in IS_FSM__i12 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_72 to SLICE_72 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_72: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C7A.CLK to R5C7A.Q0 SLICE_72 (from RCLK_c) -ROUTE 1 0.161 R5C7A.Q0 to R5C7A.M1 n702 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i12 (from RCLK_c +) - Destination: FF Data in IS_FSM__i13 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_72 to SLICE_90 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_90: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C7A.CLK to R5C7A.Q1 SLICE_72 (from RCLK_c) -ROUTE 1 0.161 R5C7A.Q1 to R5C7C.M0 n701 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i7 (from RCLK_c +) - Destination: FF Data in IS_FSM__i8 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_73 to SLICE_73 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_73 to SLICE_73: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R9C8A.CLK to R9C8A.Q0 SLICE_73 (from RCLK_c) -ROUTE 1 0.161 R9C8A.Q0 to R9C8A.M1 n706 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i3 (from RCLK_c +) - Destination: FF Data in IS_FSM__i4 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_74 to SLICE_74 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_74 to SLICE_74: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C6C.CLK to R5C6C.Q0 SLICE_74 (from RCLK_c) -ROUTE 1 0.161 R5C6C.Q0 to R5C6C.M1 n710 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i6 (from RCLK_c +) - Destination: FF Data in IS_FSM__i7 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_75 to SLICE_73 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_75 to SLICE_73: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R9C8D.CLK to R9C8D.Q1 SLICE_75 (from RCLK_c) -ROUTE 1 0.161 R9C8D.Q1 to R9C8A.M0 n707 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i5 (from RCLK_c +) - Destination: FF Data in IS_FSM__i6 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_75 to SLICE_75 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_75 to SLICE_75: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R9C8D.CLK to R9C8D.Q0 SLICE_75 (from RCLK_c) -ROUTE 1 0.161 R9C8D.Q0 to R9C8D.M1 n708 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q PHI2r_349 (from RCLK_c +) - Destination: FF Data in PHI2r2_350 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_85 to SLICE_78 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_85 to SLICE_78: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R10C8C.CLK to R10C8C.Q1 SLICE_85 (from RCLK_c) -ROUTE 1 0.161 R10C8C.Q1 to R10C8D.M1 PHI2r (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_85: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R10C8C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_78: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R10C8D.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i2 (from RCLK_c +) - Destination: FF Data in IS_FSM__i3 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_87 to SLICE_74 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_87 to SLICE_74: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C6B.CLK to R5C6B.Q1 SLICE_87 (from RCLK_c) -ROUTE 1 0.161 R5C6B.Q1 to R5C6C.M0 n711 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_87: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C6B.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i13 (from RCLK_c +) - Destination: FF Data in IS_FSM__i14 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_90 to SLICE_90 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_90 to SLICE_90: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C7C.CLK to R5C7C.Q0 SLICE_90 (from RCLK_c) -ROUTE 1 0.161 R5C7C.Q0 to R5C7C.M1 n700 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.345ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q PHI2r2_350 (from RCLK_c +) - Destination: FF Data in PHI2r3_351 (to RCLK_c +) - - Delay: 0.324ns (48.5% logic, 51.5% route), 1 logic levels. - - Constraint Details: - - 0.324ns physical path delay SLICE_78 to SLICE_85 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.345ns - - Physical Path Details: - - Data path SLICE_78 to SLICE_85: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R10C8D.CLK to R10C8D.Q1 SLICE_78 (from RCLK_c) -ROUTE 3 0.167 R10C8D.Q1 to R10C8C.M0 PHI2r2 (to RCLK_c) - -------- - 0.324 (48.5% logic, 51.5% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_78: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R10C8D.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_85: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R10C8C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_55 and - 1.462ns delay SLICE_55 to RA[10] (totaling 1.949ns) meets - 0.000ns hold offset RCLK to RA[10] by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C5A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C5A.CLK to R2C5A.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 0.197 R2C5A.Q0 to 87.PADDO n980 -DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.668ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 2.181ns (62.2% logic, 37.8% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.181ns delay SLICE_64 to RA[9] (totaling 2.668ns) meets - 0.000ns hold offset RCLK to RA[9] by 2.668ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.199 R5C9A.Q0 to R4C9A.D1 nRowColSel -CTOF_DEL --- 0.092 R4C9A.D1 to R4C9A.F1 SLICE_88 -ROUTE 1 0.625 R4C9A.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] - -------- - 2.181 (62.2% logic, 37.8% route), 3 logic levels. - -Report: 2.668ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.689ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 2.202ns (61.6% logic, 38.4% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.202ns delay SLICE_64 to RA[8] (totaling 2.689ns) meets - 0.000ns hold offset RCLK to RA[8] by 2.689ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.451 R5C9A.Q0 to R3C2B.D0 nRowColSel -CTOF_DEL --- 0.092 R3C2B.D0 to R3C2B.F0 SLICE_95 -ROUTE 1 0.394 R3C2B.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] - -------- - 2.202 (61.6% logic, 38.4% route), 3 logic levels. - -Report: 2.689ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.572ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 2.085ns (65.1% logic, 34.9% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.085ns delay SLICE_64 to RA[7] (totaling 2.572ns) meets - 0.000ns hold offset RCLK to RA[7] by 2.572ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.531 R5C9A.Q0 to R2C2A.C0 nRowColSel -CTOF_DEL --- 0.092 R2C2A.C0 to R2C2A.F0 SLICE_97 -ROUTE 1 0.197 R2C2A.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] - -------- - 2.085 (65.1% logic, 34.9% route), 3 logic levels. - -Report: 2.572ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.652ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.165ns delay SLICE_64 to RA[6] (totaling 2.652ns) meets - 0.000ns hold offset RCLK to RA[6] by 2.652ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.452 R5C9A.Q0 to R2C3A.D0 nRowColSel -CTOF_DEL --- 0.092 R2C3A.D0 to R2C3A.F0 SLICE_98 -ROUTE 1 0.356 R2C3A.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] - -------- - 2.165 (62.7% logic, 37.3% route), 3 logic levels. - -Report: 2.652ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.652ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.165ns delay SLICE_64 to RA[5] (totaling 2.652ns) meets - 0.000ns hold offset RCLK to RA[5] by 2.652ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.452 R5C9A.Q0 to R2C3A.D1 nRowColSel -CTOF_DEL --- 0.092 R2C3A.D1 to R2C3A.F1 SLICE_98 -ROUTE 1 0.356 R2C3A.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] - -------- - 2.165 (62.7% logic, 37.3% route), 3 logic levels. - -Report: 2.652ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.776ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 2.289ns (59.3% logic, 40.7% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.289ns delay SLICE_64 to RA[4] (totaling 2.776ns) meets - 0.000ns hold offset RCLK to RA[4] by 2.776ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.178 R5C9A.Q0 to R5C9A.D1 nRowColSel -CTOF_DEL --- 0.092 R5C9A.D1 to R5C9A.F1 SLICE_64 -ROUTE 1 0.754 R5C9A.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] - -------- - 2.289 (59.3% logic, 40.7% route), 3 logic levels. - -Report: 2.776ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.772ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 2.285ns (59.4% logic, 40.6% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.285ns delay SLICE_64 to RA[3] (totaling 2.772ns) meets - 0.000ns hold offset RCLK to RA[3] by 2.772ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.571 R5C9A.Q0 to R2C2C.D1 nRowColSel -CTOF_DEL --- 0.092 R2C2C.D1 to R2C2C.F1 SLICE_94 -ROUTE 1 0.357 R2C2C.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] - -------- - 2.285 (59.4% logic, 40.6% route), 3 logic levels. - -Report: 2.772ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.787ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 2.300ns (59.0% logic, 41.0% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.300ns delay SLICE_64 to RA[2] (totaling 2.787ns) meets - 0.000ns hold offset RCLK to RA[2] by 2.787ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.451 R5C9A.Q0 to R3C2B.D1 nRowColSel -CTOF_DEL --- 0.092 R3C2B.D1 to R3C2B.F1 SLICE_95 -ROUTE 1 0.492 R3C2B.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] - -------- - 2.300 (59.0% logic, 41.0% route), 3 logic levels. - -Report: 2.787ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.855ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 2.368ns (57.3% logic, 42.7% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.368ns delay SLICE_64 to RA[1] (totaling 2.855ns) meets - 0.000ns hold offset RCLK to RA[1] by 2.855ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.531 R5C9A.Q0 to R2C2C.C0 nRowColSel -CTOF_DEL --- 0.092 R2C2C.C0 to R2C2C.F0 SLICE_94 -ROUTE 1 0.480 R2C2C.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] - -------- - 2.368 (57.3% logic, 42.7% route), 3 logic levels. - -Report: 2.855ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.893ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 2.406ns (56.4% logic, 43.6% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.406ns delay SLICE_64 to RA[0] (totaling 2.893ns) meets - 0.000ns hold offset RCLK to RA[0] by 2.893ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.310 R5C9A.Q0 to R8C9C.D1 nRowColSel -CTOF_DEL --- 0.092 R8C9C.D1 to R8C9C.F1 SLICE_92 -ROUTE 1 0.739 R8C9C.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] - -------- - 2.406 (56.4% logic, 43.6% route), 3 logic levels. - -Report: 2.893ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_60 and - 1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets - 0.000ns hold offset RCLK to nRCS by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C9C.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C9C.CLK to R2C9C.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 0.197 R2C9C.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_34 and - 1.462ns delay SLICE_34 to RCKE (totaling 1.949ns) meets - 0.000ns hold offset RCLK to RCKE by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C7C.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C7C.CLK to R2C7C.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 0.197 R2C7C.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.356ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 1.869ns (67.7% logic, 32.3% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_63 and - 1.869ns delay SLICE_63 to nRWE (totaling 2.356ns) meets - 0.000ns hold offset RCLK to nRWE by 2.356ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R10C9C.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R10C9C.CLK to R10C9C.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 0.604 R10C9C.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE - -------- - 1.869 (67.7% logic, 32.3% route), 2 logic levels. - -Report: 2.356ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.363ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 1.876ns (67.4% logic, 32.6% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_61 and - 1.876ns delay SLICE_61 to nRRAS (totaling 2.363ns) meets - 0.000ns hold offset RCLK to nRRAS by 2.363ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R3C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 0.611 R3C2A.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS - -------- - 1.876 (67.4% logic, 32.6% route), 2 logic levels. - -Report: 2.363ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_58 and - 1.462ns delay SLICE_58 to nRCAS (totaling 1.949ns) meets - 0.000ns hold offset RCLK to nRCAS by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C9B.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C9B.CLK to R2C9B.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 0.197 R2C9B.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.523ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 2.036ns (66.7% logic, 33.3% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.036ns delay SLICE_64 to RDQMH (totaling 2.523ns) meets - 0.000ns hold offset RCLK to RDQMH by 2.523ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.199 R5C9A.Q0 to R4C9A.D0 nRowColSel -CTOF_DEL --- 0.092 R4C9A.D0 to R4C9A.F0 SLICE_88 -ROUTE 1 0.480 R4C9A.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH - -------- - 2.036 (66.7% logic, 33.3% route), 3 logic levels. - -Report: 2.523ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.351ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 1.864ns (72.8% logic, 27.2% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.864ns delay SLICE_64 to RDQML (totaling 2.351ns) meets - 0.000ns hold offset RCLK to RDQML by 2.351ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.310 R5C9A.Q0 to R8C9C.D0 nRowColSel -CTOF_DEL --- 0.092 R8C9C.D0 to R8C9C.F0 SLICE_92 -ROUTE 1 0.197 R8C9C.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML - -------- - 1.864 (72.8% logic, 27.2% route), 3 logic levels. - -Report: 2.351ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference(MIN Delays) | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.668 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.689 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.572 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.776 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.772 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.787 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.855 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.893 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.356 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.363 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.523 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.351 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Hold): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 444 connections (71.84% coverage) - - - -Timing summary (Setup and Hold): ---------------- - -Timing errors: 0 (setup), 0 (hold) -Score: 0 (setup), 0 (hold) -Cumulative negative slack: 0 (0+0) --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html deleted file mode 100644 index b9ec128..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html +++ /dev/null @@ -1,111 +0,0 @@ - -Bitgen Report - - - - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html deleted file mode 100644 index 4d7032d..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html +++ /dev/null @@ -1,202 +0,0 @@ - -I/O Timing Report - - -
    I/O Timing Report
    -Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO640C
    -Package:     TQFP100
    -Performance: 4
    -Package Status:                     Final          Version 1.17.
    -Performance Hardware Data Status: Version 1.124.
    -Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO640C
    -Package:     TQFP100
    -Performance: 5
    -Package Status:                     Final          Version 1.17.
    -Performance Hardware Data Status: Version 1.124.
    -Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO640C
    -Package:     TQFP100
    -Performance: M
    -Package Status:                     Final          Version 1.17.
    -Performance Hardware Data Status: Version 1.124.
    -// Design: RAM2GS
    -// Package: TQFP100
    -// ncd File: ram2gs_lcmxo640c_impl1.ncd
    -// Version: Diamond (64-bit) 3.12.0.240.2
    -// Written on Mon Aug 16 21:33:38 2021
    -// M: Minimum Performance Grade
    -// iotiming RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml
    -
    -I/O Timing Report (All units are in ns)
    -
    -Worst Case Results across Performance Grades (M, 5, 4, 3):
    -
    -// Input Setup and Hold Times
    -
    -Port    Clock Edge  Setup Performance_Grade  Hold Performance_Grade
    -----------------------------------------------------------------------
    -CROW[0] nCRAS F     0.474      3       1.625     3
    -CROW[1] nCRAS F     0.104      3       1.925     3
    -Din[0]  PHI2  F     6.682      3       1.613     3
    -Din[0]  nCCAS F    -0.028      M       2.082     3
    -Din[1]  PHI2  F     6.886      3       2.631     3
    -Din[1]  nCCAS F    -0.025      M       2.074     3
    -Din[2]  PHI2  F     5.426      3       1.921     3
    -Din[2]  nCCAS F     1.175      3       1.003     3
    -Din[3]  PHI2  F     5.699      3       1.852     3
    -Din[3]  nCCAS F     0.331      3       1.707     3
    -Din[4]  PHI2  F     6.556      3       1.438     3
    -Din[4]  nCCAS F     0.706      3       1.406     3
    -Din[5]  PHI2  F     6.281      3       1.959     3
    -Din[5]  nCCAS F     0.246      3       1.807     3
    -Din[6]  PHI2  F     5.585      3       1.441     3
    -Din[6]  nCCAS F     0.799      3       1.341     3
    -Din[7]  PHI2  F     7.980      3       1.725     3
    -Din[7]  nCCAS F     0.333      3       1.707     3
    -MAin[0] PHI2  F     4.994      3       1.265     3
    -MAin[0] nCRAS F     0.662      3       1.471     3
    -MAin[1] PHI2  F     6.056      3       1.867     3
    -MAin[1] nCRAS F     1.180      3       0.990     3
    -MAin[2] PHI2  F    10.634      3      -1.183     M
    -MAin[2] nCRAS F    -0.218      M       2.631     3
    -MAin[3] PHI2  F    10.902      3      -1.260     M
    -MAin[3] nCRAS F     0.208      3       1.826     3
    -MAin[4] PHI2  F    10.204      3      -1.072     M
    -MAin[4] nCRAS F    -0.218      M       2.628     3
    -MAin[5] PHI2  F     7.043      3      -0.270     M
    -MAin[5] nCRAS F     0.123      3       1.925     3
    -MAin[6] PHI2  F     9.465      3      -0.885     M
    -MAin[6] nCRAS F     0.584      3       1.522     3
    -MAin[7] PHI2  F     9.683      3      -0.938     M
    -MAin[7] nCRAS F    -0.218      M       2.628     3
    -MAin[8] nCRAS F     0.316      3       1.758     3
    -MAin[9] nCRAS F    -0.058      M       2.185     3
    -PHI2    RCLK  R     1.456      3       0.038     3
    -UFMSDO  RCLK  R     2.953      3      -0.147     M
    -nCCAS   RCLK  R     2.435      3      -0.244     M
    -nCCAS   nCRAS F     0.156      3       1.902     3
    -nCRAS   RCLK  R     5.307      3      -0.787     M
    -nFWE    PHI2  F     5.614      3       1.072     3
    -nFWE    nCRAS F    -0.101      M       2.317     3
    -
    -
    -// Clock to Output Delay
    -
    -Port   Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
    -------------------------------------------------------------------------
    -LED    RCLK  R    11.635         3        3.019          M
    -RA[0]  RCLK  R    11.287         3        2.893          M
    -RA[0]  nCRAS F    15.323         3        3.902          M
    -RA[10] RCLK  R     7.501         3        1.949          M
    -RA[11] PHI2  R     9.747         3        2.487          M
    -RA[1]  RCLK  R    11.083         3        2.855          M
    -RA[1]  nCRAS F    12.034         3        3.047          M
    -RA[2]  RCLK  R    10.857         3        2.787          M
    -RA[2]  nCRAS F    13.411         3        3.403          M
    -RA[3]  RCLK  R    10.775         3        2.772          M
    -RA[3]  nCRAS F    12.977         3        3.296          M
    -RA[4]  RCLK  R    10.758         3        2.776          M
    -RA[4]  nCRAS F    14.192         3        3.619          M
    -RA[5]  RCLK  R    10.334         3        2.652          M
    -RA[5]  nCRAS F    13.484         3        3.424          M
    -RA[6]  RCLK  R    10.334         3        2.652          M
    -RA[6]  nCRAS F    12.972         3        3.291          M
    -RA[7]  RCLK  R     9.917         3        2.572          M
    -RA[7]  nCRAS F    12.327         3        3.147          M
    -RA[8]  RCLK  R    10.465         3        2.689          M
    -RA[8]  nCRAS F    12.559         3        3.170          M
    -RA[9]  RCLK  R    10.412         3        2.668          M
    -RA[9]  nCRAS F    14.019         3        3.564          M
    -RBA[0] nCRAS F    11.063         3        2.809          M
    -RBA[1] nCRAS F    11.902         3        3.028          M
    -RCKE   RCLK  R     7.501         3        1.949          M
    -RDQMH  RCLK  R     9.831         3        2.523          M
    -RDQML  RCLK  R     9.117         3        2.351          M
    -RD[0]  nCCAS F    12.575         3        3.249          M
    -RD[1]  nCCAS F    13.016         3        3.365          M
    -RD[2]  nCCAS F    11.602         3        2.993          M
    -RD[3]  nCCAS F    10.893         3        2.834          M
    -RD[4]  nCCAS F    12.063         3        3.116          M
    -RD[5]  nCCAS F    12.555         3        3.242          M
    -RD[6]  nCCAS F    12.537         3        3.240          M
    -RD[7]  nCCAS F    12.524         3        3.239          M
    -UFMCLK RCLK  R     8.079         3        2.126          M
    -UFMSDI RCLK  R     8.079         3        2.126          M
    -nRCAS  RCLK  R     7.501         3        1.949          M
    -nRCS   RCLK  R     7.501         3        1.949          M
    -nRRAS  RCLK  R     9.175         3        2.363          M
    -nRWE   RCLK  R     9.141         3        2.356          M
    -nUFMCS RCLK  R     8.804         3        2.290          M
    -WARNING: you must also run trce with hold speed: 3
    -WARNING: you must also run trce with setup speed: M
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_lattice.synproj b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_lattice.synproj deleted file mode 100644 index f265fc9..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_lattice.synproj +++ /dev/null @@ -1,41 +0,0 @@ --a "MachXO" --d LCMXO640C --t TQFP100 --s 3 --frequency 200 --optimization_goal Balanced --bram_utilization 100 --ramstyle Auto --romstyle auto --dsp_utilization 100 --use_dsp 1 --use_carry_chain 1 --carry_chain_length 0 --force_gsr Auto --resource_sharing 1 --propagate_constants 1 --remove_duplicate_regs 1 --mux_style Auto --max_fanout 1000 --fsm_encoding_style Auto --twr_paths 3 --fix_gated_clocks 1 --loop_limit 1950 - - - --use_io_insertion 1 --resolve_mixed_drivers 0 --use_io_reg auto - - --lpf 1 --p "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C" --ver "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v" --top RAM2GS - - --p "C:/lscc/diamond/3.12/ispfpga/mj5g00/data" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C" - --ngd "RAM2GS_LCMXO640C_impl1.ngd" - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.asd b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.asd deleted file mode 100644 index a946767..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.asd +++ /dev/null @@ -1,13 +0,0 @@ -[ActiveSupport MAP] -Device = LCMXO640C; -Package = TQFP100; -Performance = 3; -LUTS_avail = 640; -LUTS_used = 129; -FF_avail = 640; -FF_used = 102; -INPUT_LVTTL33 = 26; -OUTPUT_LVTTL33 = 33; -BIDI_LVTTL33 = 8; -IO_avail = 74; -IO_used = 67; diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam deleted file mode 100644 index 4787547..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam +++ /dev/null @@ -1,108 +0,0 @@ -[ START MERGED ] -nCRAS_N_9 nCRAS_c -nCCAS_N_3 nCCAS_c -n2307 Ready -n2306 nFWE_c -PHI2_N_114 PHI2_c -n2302 nRowColSel_N_35 -nRWE_N_172 nRWE_N_173 -UFMSDO_N_74 UFMSDO_c -n1377 nRowColSel_N_34 -RASr2_N_63 RASr2 -[ END MERGED ] -[ START CLIPPED ] -GND_net -VCC_net -FS_577_add_4_14/CO0 -FS_577_add_4_16/CO0 -FS_577_add_4_12/CO0 -FS_577_add_4_2/CO0 -FS_577_add_4_4/CO0 -FS_577_add_4_6/CO0 -FS_577_add_4_18/CO1 -FS_577_add_4_18/CO0 -FS_577_add_4_8/CO0 -FS_577_add_4_10/CO0 -[ END CLIPPED ] -[ START DESIGN PREFS ] -SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Mon Aug 16 21:33:30 2021 - -SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; -LOCATE COMP "RD[7]" SITE "71" ; -LOCATE COMP "RD[6]" SITE "70" ; -LOCATE COMP "RD[5]" SITE "69" ; -LOCATE COMP "RD[4]" SITE "68" ; -LOCATE COMP "RD[3]" SITE "67" ; -LOCATE COMP "RD[2]" SITE "66" ; -LOCATE COMP "RD[1]" SITE "65" ; -LOCATE COMP "RD[0]" SITE "64" ; -LOCATE COMP "Dout[7]" SITE "3" ; -LOCATE COMP "Dout[6]" SITE "2" ; -LOCATE COMP "Dout[5]" SITE "5" ; -LOCATE COMP "Dout[4]" SITE "4" ; -LOCATE COMP "Dout[3]" SITE "6" ; -LOCATE COMP "Dout[2]" SITE "8" ; -LOCATE COMP "Dout[1]" SITE "7" ; -LOCATE COMP "Dout[0]" SITE "1" ; -LOCATE COMP "LED" SITE "57" ; -LOCATE COMP "RBA[1]" SITE "83" ; -LOCATE COMP "RBA[0]" SITE "63" ; -LOCATE COMP "RA[11]" SITE "79" ; -LOCATE COMP "RA[10]" SITE "87" ; -LOCATE COMP "RA[9]" SITE "85" ; -LOCATE COMP "RA[8]" SITE "96" ; -LOCATE COMP "RA[7]" SITE "100" ; -LOCATE COMP "RA[6]" SITE "91" ; -LOCATE COMP "RA[5]" SITE "95" ; -LOCATE COMP "RA[4]" SITE "99" ; -LOCATE COMP "RA[3]" SITE "97" ; -LOCATE COMP "RA[2]" SITE "94" ; -LOCATE COMP "RA[1]" SITE "89" ; -LOCATE COMP "RA[0]" SITE "98" ; -LOCATE COMP "nRCS" SITE "77" ; -LOCATE COMP "RCKE" SITE "82" ; -LOCATE COMP "nRWE" SITE "72" ; -LOCATE COMP "nRRAS" SITE "73" ; -LOCATE COMP "nRCAS" SITE "78" ; -LOCATE COMP "RDQMH" SITE "76" ; -LOCATE COMP "RDQML" SITE "61" ; -LOCATE COMP "nUFMCS" SITE "53" ; -LOCATE COMP "UFMCLK" SITE "58" ; -LOCATE COMP "UFMSDI" SITE "56" ; -LOCATE COMP "PHI2" SITE "39" ; -LOCATE COMP "MAin[9]" SITE "51" ; -LOCATE COMP "MAin[8]" SITE "50" ; -LOCATE COMP "MAin[7]" SITE "44" ; -LOCATE COMP "MAin[6]" SITE "49" ; -LOCATE COMP "MAin[5]" SITE "45" ; -LOCATE COMP "MAin[4]" SITE "46" ; -LOCATE COMP "MAin[3]" SITE "47" ; -LOCATE COMP "MAin[2]" SITE "37" ; -LOCATE COMP "MAin[1]" SITE "38" ; -LOCATE COMP "MAin[0]" SITE "23" ; -LOCATE COMP "CROW[1]" SITE "34" ; -LOCATE COMP "CROW[0]" SITE "32" ; -LOCATE COMP "Din[7]" SITE "19" ; -LOCATE COMP "Din[6]" SITE "20" ; -LOCATE COMP "Din[5]" SITE "17" ; -LOCATE COMP "Din[4]" SITE "18" ; -LOCATE COMP "Din[3]" SITE "16" ; -LOCATE COMP "Din[2]" SITE "14" ; -LOCATE COMP "Din[1]" SITE "15" ; -LOCATE COMP "Din[0]" SITE "21" ; -LOCATE COMP "nCCAS" SITE "27" ; -LOCATE COMP "nCRAS" SITE "43" ; -LOCATE COMP "nFWE" SITE "22" ; -LOCATE COMP "RCLK" SITE "86" ; -LOCATE COMP "UFMSDO" SITE "55" ; -PERIOD NET "PHI2_c" 350.000000 ns ; -USE PRIMARY NET "RCLK_c" ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -USE PRIMARY NET "PHI2_c" ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -USE PRIMARY NET "nCCAS_c" ; -PERIOD NET "RCLK_c" 16.000000 ns ; -USE PRIMARY NET "nCRAS_c" ; -SCHEMATIC END ; -[ END DESIGN PREFS ] diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.hrr b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.hrr deleted file mode 100644 index 5a900d5..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.hrr +++ /dev/null @@ -1,10 +0,0 @@ ---------------------------------------------------- -Report for cell RAM2GS - Instance path: RAM2GS - Cell usage: - cell count Res Usage(%) - SLIC 65.00 100.0 - LUT4 111.00 100.0 - IOBUF 67 100.0 - PFUREG 102 100.0 - RIPPLE 9 100.0 diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.ncd b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.ncd deleted file mode 100644 index f4f2deb380a22c1d20baf60d9a638727b58154a0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 104206 zcmeHw34C3}b^eoAdBFx_7PAV>;)UgHlAZ*{NKcXxmMr^8UckV^U;_gDOuz&P2{?P& z?EAj&`@ZihG!5+S-}Zix`xGPgvCZka0_qFd&th3J;K!XY?i zu5buWnJXN!q0ALdm6t0l~Jn!TRhn48$3Wt^GbovyO zPM3l*=}~jF_igGM9ZY=4Xy0UGRZnkzHFf1&ZZSI(xy?0PkbR%)x}aJc+rFw0%g?o* z;y%~EeSjX{9m~!@WZ&ji$-d2qW#4A(vTsXw$SfM;>E{-&#=uFvGjS8A<>z)_7w@%T zVBg*QqqvA4o8mshI>mj)b&C58>=gGI*(vUegUK#GV;kgm(39e4q9=Z_z1H6sC7n3P z?AyYc8fA`AR$OL#=51k3=51k3=51k(ciXAAG)rc^#ldD4O+0P(ZRTY5ZRv_$(Hu&O z6VA>(HK^j2vvV`3^J@c9wu_(6yvvY!cO689$eDmx`!?5yhDQcX^mW|5_ugB-{jNRr zyC!dL)Nh)+eGiWg$B*5y=gwXGCmT0+RQEM@Pwt=G*D%Q!R>ww12W!>gz7bBhVDs=M zc7-xXZoXo;=<9jtSZvCTyTbcSFWm18?{iDyemT6)O^N%Z@IJRC?ia)R+?cqZ z0HDxI{Q+?$$S=bX@`w1yIJDUK@i;&-5IG4#ge10h5`+**nC&D8F_MVeNf3f0!MBqj zL`mXsCqc*)3CW!VDI6H*xs7VIZ`>y_6UgCc$e z*&~AkfnLZ;qh6ftGVCqV`(Y(yhOoFs*`>FHFv!T~BuH5hx~he+$I1+1k=_sKCUXhg zPry>Ta&L3M49O(pAM&T}Go-j5a!n>3xF1qYrXRQ;GEK%n+z*K+Qxe<{0hUn^_d|ST znzFDq5bta<4(jbx?U|%{JE^>a%dW}+Hv~t9Ld_mxBV(c74xy3hjouECk$H~Z4uO#g zkKPV(>EyD{Y1FFH`?RZcvVWaZtB!2+`${J>m2PYdI#(xqR5BIzy3VAF*K9{s;P@F1 za3L~XNf)nK=b**wVm{8T4Qv^`!Y^MJ_i?@>qb2fiFQ3=gIy4&Awu}46JaZ?kZxV4?kCM^?oV8`d9as(nKisgpUPSW z#cEBxMe8MoIwLjXmo~!SrPT~vTFs!P)eKl#&1j|73{qOn*jTk95-+w4!#1(jT}I#8 zV_PS*MHwm?bR&AMeMHaIkLbDn5j_JC(K7-OJwp)D$1#Yvkqne63}lo{t7VK#K_fH6 zbWvn%jOa5UkfAV=J_7<7`6B5V0@W)I;)Im(O;aloWMUW5XP}TQqovT1@hf5{0|gnc zBI%_o^uyCIFJ*k1l~M~06174Q?|d=}rEJLPlU7TA3ZE#WO**xpo=Tljdl_6()RUnl z4T_8`5q$;);$B7ule85XN+S9U3Up=o0vSUhb}~Td@`|aA=lMd_dah8lo)=g&KD>cv zg`2n5%&c+#wraKB*t7qxm7m#u@x`Qgl>gc$$-wN1vCRkRpRv`p%lsgjMPjxd=8%|G z&UqyBN!m#kkSruwM6#IV7?LFB3VtchGZ?t1tb@eTts4k$deREiX>*Us7%sH(nZou zQX%Of=_Of5av8~blFLc@Ncu^tBm*RaBts+{NUk6mCb^PiBgqKKD9I+0%_Lh$Y9!+% z6C_(nwvlWn*+Fs@$<-t~Nvk^D8u-;n$*$qz|>MDk;jza#kx$={Rw1Ia&<{1eGPll%+GzmoivzqFYm)yV`3=c$N&c7Q|40r>_ik&W|IZ?sO)`gMF3CKS z`6TTm3rH4{EFxJJNoJevK$;l)uNKPR+mE<&%(@D-C zIg_M=*^pW(FR7nO%21$lUHjrFFGE8zM$wrb9l2MXPBx59- zNw$#GNXAJfNVbw}BiT-}gXAibt4Vf}Ttjj#$#o=klIux!k=#IXBgt-(JtQ}g+)Q#y zj{NJ;c)hc`yI!B9+DMl*|9A9MLr1?fNxy6N?s~aiAc&lsFh&qX^#q%vU*Z|_3$REF zR`88EH+*YB(R_0#8nvQR1n8+{9lc*PzXZrb%y9BRPi%4YzOAh1XF*8}%(Ue{-$BMV z=G^eD1(0Q$^ULg*^Fa&D`KUR$GN0q)7MSx3aol7f-`QC&)o;IhKQWPh`Z!G%lY6^% z-&*%evb1kt{O%j}PVV2oXLr3+@v)k>Hy<^|kEk1CL7l4w$5^-DTNY6vOXYeoWwCv- z6e;vE)xEn18@q0}eNVmA?elj*qgbkRUz4XZD)t|_)*QLA+?@WcL#A2Dwb4JLCFiEv z^A6Aj|68h%+_~qDddX+PvGi!C9u*(#6X*fK4 z9%%sc>;qf?`mw|@RI2#RJ#TLzh12rMLSm9Jj6C<4;l||t+MZpz@2i)(0*6rRD-@`_ zoux=g&Y;H7+_!HoVH zEe&U?z2pF0@ISPO^oVrVTadIyx8E8ICX2-gChfLv#`*z%1pQbj%W2d*Qyr?<*&V^$ zkQ-gJ;G)Z_Q{6SPMlYtc`#jA&i495l2p`b}r3k*5ar8-*B9E_Fw0iT=S_Vx4nwqW~1mLk^f9<{#Cc za+bRNf|;gt>TePrFh9({lg;K{y(jT5`Fwpc8L7<81LlYMx1>?qcTaWS?c;lHC+oqm zV!H(oIY9`tJjPpN@qqbZ{lRjAq%St8jb0*aR^TYfzosjEdE)JL<=ASi^;&!FG zGcu+b>*eTRmlVdw&m`}RH3Z!#7xR$^*zyEyGOmt1e5dbjjFn0Z^>*^~>AEhIrG0WZ zzUwfZq`(DdNK7Z$M`Alk;{dDa$CAXi^LSEVO7bm};|U}-#~lY)O+S|St!UH-%n$Pq zo{4=i++=%OZgZ>?}OHEow2FP0rSKBJ3%SU z;Xx(R!Z4Us0H4qXLiEA(J&6v}t`^VndmZ%txQRheEE=cgL$QE@fe5Vgw$}#glfEMo zolj!Z8KPm;(nc{~$!9pG`Q$(P>XUveCrTf{c53=G>4O%D4Os1_cTwjGa5CpcIKJ?! zX2t{w)C@uezYM47O#x9FE?p|Y$FG#=e0#wF}et`wQ)SV z>7xIbq$T{mktqE!i+y3tEfK9^K~rMH2R~8z#9AK24iuJm`PqnJ#d0SzEIm&#GZGEO ze83hbw`d@*`0Oy$kdrygL(KtIs&{Ng*l%rA92`KvT_0!sKGFJ#i1k-~q*0@l|{ zWk0!@(DZaCbY>DI-qV!%fcatmokXd+6IYh$T$7gZ@k^9G{MG=p zK0D0-NprMxo3BU(FDAlGP0bQ&a9myS%VL7&QZjWw+KUt8lAdPCzv=+21LURngFM} zn~!fa@LjZHtY%hU$kR?&sY;}{hDKeq%8^wMzj>0%`2+R>!Y2P#qe&qR#C(TZPV@?qs-@@$ET{g#z@!G}(CzMZA!m}dQs zUAxUqsvPtj5WYU+j(w9tn zA3>A8l$E|{()$f+(igMR7fgB|P?Nrpl|FCM`(T^&c|ZL^;P2r)UyjdaB@X&FCk~K@ zWxo(&Ie;CB{TfQ*0CObv;giGx;7IJZjU*1xMqI zmvz~_z;sU28T`l3e6 zzD=9ZA2;XHf!j(vq3=md!oUewi#`iY!hnM|q0c~*FyNm}=(Eox47g_#`ph#41K!z$ zKI=@vfO9sX-#8{=&^9)q-!vv+*fP8z8Iny-qPlF8weeIsvr^;HR2KMB>G(LRYcE;2 z3=_`f^-RxVvg8^`N%LtxC7(l0^&IkK=6I_2bfX%Hr=+c8XAH56S>faD`Bg7%GQCTs z6}@jaSx1khH78tMyX|IHl`8BUqxI4)RBB<974POe&$gNaI>0pFFZLosM4?aMQ`2-B>E6Ju>g6eFk0pmc;w_&_m-R z!_~ohKF5a+hxm#PCegpyl<*U^!40Eh1B1OoLmmA8YISR|gOr8dm1CRCFM0lDOQCm5 z$Cf<(*f3t}9T*<(>!(PkgDNq)bw@A#ucJC>ek*j0jMvOB`40NOG2AzP)uyV^j%_k} zx!o~T9qX-*(f@{xlK$5*FkCRdju+^+JpY#OFoo&u9nY`J-+R-pUArzAFC@R2lZ^9| zqD#f*n1{))vo;p&FG;QEmII5F$KYzvq9>ov z7kav`P+kfVl7()vo8R>SbKQL1{H}u#*C*#B$jIcp8P`nC0U>88PR_^KK)(naUD)C% zzc&(bp#>%qi0BRU1!h&;h4%29H!&Cb3b2xmzZ}E=&# zO1$&Y&!3J1VUKMQmS4Mz5mv|WLYkBErHgoAAn(A&2LB59$$Wh^u9>gPg|A(4zCO+d z|K(um@hz6}3!xE~=BFC`^D@BmVoA6JP?S%l#N6tOKufm%JGf?=CKIUc7ENjNKM6EF zu|-pUS2<17qI_Q7~h%c?QNJ=C<1teY3 zA}PN_pC&1NGua$)$6U#sNEMUM$?~dbxD?Q%tEmOBkc~YH*UZvq;F?)_rm(ap&e9Ks z5$ed;hT&?*#zJrJ6@_)H9;mKa^+5lczSXNcHs(o{U$<(NQT46uU%h(mz-njV->mr$ z6?%s{hVt|yWA(qc*X#kTTRFUGTeuNG>)3WHfPSryZ5yoBtAnWxfoWIt3E$Imvo`YD z{b>w!vREvr)9o`J@BHMg3!)(hovtq|sGkgd;YzTIS=NJV!?N5mVOb$#zCI1j28dEb zD<+yUEidHi?Inti4^FHjk)5SSz%n6{*)2MPH0!W5J2OhNvsyIcua~50mN+^N8ojkk z`i_8JGtIhi%`__|G|L!s-!_dmBc3tM9w3LNJX>X)*`s%4px889nup@SR$6ME9i`Se zEowQ`@&{38gjFuFZVR}?+&Tl-JW!sVa4Tb^eC;&cvi{2sl!X~asa2Lz=SL~Ex`FCNIe;2p-=Zups|0Q2 zg*>yxOvFP!hTY`!J=ygze$n@E&&4&f@&a7*bB;+^nKAUfb81$afi@Xpji*ZnTxTGz zu8}eL5t8(X`n&7T4cd#z!x=Q(sZi|fjG5YSm6vB4lQ)vUr9O44c_9e*8Imn@l>6S+8uJMD5w=t-xUzw!yw z-qAwGp-g1EEm^w~veH^n5C6&wbd?ranXK(;C#k0v(@s)8u^bB?yX8BziPK%|9Jy6< zp=aF-+A@uu`%L2#ai4ce7A3UKh$UY(HLas7Ff$^QTxXejY1FM=)?%s?N_r!G@?Go5 zT&5>8%KSW7#jJa>u&SJKWcJ-tv&t+S+jW;!$*fr?%{;On_QW|gTRDOY$Cq2Gt&dWz zuSGSc+FW*uF4cS;mS~E$6Vr&v3e@7-Z&93MyBpjr}jbHiG#rz&1lkxt9 zj2RohU!9ta3H2T@+@qn|_(wxPGlRC50Z%y%B_aNjX*&9H_@@w>LDmsV){RlJj<(3k zWKADA5C<7^gG=G|j2omslb~*qnRlKrk3It!9gI^i^92W}Xw#0}9j`0W{#~;6ZB9_F zCHg%uki{?W1eLrAFdBIkAaiMvUL!UKv1p|BUZ|edC2}p3^HXC{mThja%yEfsC@6me zEj~R-{wP#9J(+bC_{PI<71zwaeq6K1Jt^U1#{SEa(*|OP`G47D2%+d8k z-}&hq{>@rgBxgHXkX@W<*-FcaHR}_%By1(!1pmrQjN>h~GFxSd(VI;tf0r(@a3g7K0ss>oT~IyrOSHwDe+;{3Y60 z9GbkCLfM?vZk`mK117RJeiN>lsriJN8H+`!7pPLvKr%1l$Wr&gWp@YXe4MSF854S5 zW!>zKgrrPdaS`NkuWpf)Nh*t$Zcvc?jcnzpd#_vm24Tu!-Uiw+4OifrX?T7@!;HPf zX_m_q2Z?CZivqB%n0(UbkWThTR)~(&asF#8^L9p=cWsM#j(c?3RY=&C9;oH7@?v~< zbIHrl=|TJ~aF989Jg%9OCnucDShbvnlio6=b&QYXWOjszO<1a|TTWgV<>d7(PG)ej zl*vi?bK-GMR+w>VPVNH-ImJb|W=>8doXl9m{76P1Y2SXLaam^Xc7S%?8sHm`@H~)y z{j53b=r>q$?uwH0#uhm<$XU!>)0Dr)94BX|9=h;nJ!2pvlk;?3Gdbmac%A+X+ap<7 z^7p*?w2%og$k)k9ApQtn#uPn!g41MX?y=0=9cAWCEoNo}kwu+#r;h{mWbp5q;2ZPr zcX7@9>qwkq#+v#!r*@9sNnY}g_WhUGfi&ghbZbYJn3Q2OpS&jeNIxBU=u>c-zT9Go zd2>Qc(%s6x@*>h?iov2n%zxGHV&NeD8OG>vEuY6tt@rRcB)>o7oXMd5NZJ868+(91 z4V!6~yxj%EeQA2g?Z@{gYZ(Lc*;;K!UfjDM*X(sRt^V+0@?o?F#mgWt^I^nYv<<4SD&R1%GX|!)|O3Q&l!~Xjk(mcvF&4Mc<%7a zaLq*6o^sjtFiZUsN`%?XNv?y^JCoeBF$ zcQ^mak(_6#^SrIWoQ;fsT z3(4V2enoY?;hnDJPO$ZsI}_|>b~^_nnB8x|eP;KY6Lx3pfqZ3ZcFXde9i@{4Vvj-h ze7~jklR)jKfZ9)PQQMig`W~3QY!I0zaQ$f`OEvjaEVO{-;d12?HU7@d)k0Qoq!<7s#Tm*fY-cQ6e z)BA0>W_rIhp?Ag(%Xg=y_XGTC37Q_8)kJ4=MZ=89+pUManCL_qlsD`9bJH9pKdjZn zr(32!4NQL~nEs3w)18>v-Fq%Dr(})BaM^R%a;_W>+s%lxJ{ltP$+PvKtD$pS_}u{K z^e;d9LkXQT_GErBHJxRWCvP6=QD6H)V)BldoI5wC(~fiqJq5WBf1mNN`2K9m_h*6c zzXQHMr^WZIAf!B%XD>UR-KX`iPwU(bxMnZ?DO@wHKbg=vW2@&oQ`0)Spy4Sg|D#>c zIOQH$OVz5O^t z-EJh>mh(&T2&E{;=40=~btOxB;cW6+aG2wh1-NF8e-PKq@ec^cD;b+m->Zk3Pv?YDxYQko@H!`O8`)&m?)^#r+<@T#J*uP)?4UNat{a@b1Ksha}$v60;-! zEUr1ndvVPVeosR3jE%RSPEGP;r$s(njwl=}DM_$G|4a7!olhq!@*`1_T%8@vMD z;MM2`uWEIJtoYk~6C^d^lB)GV)j}zZa^r}tkvnm{bIyntf1ro zx3!4MM2(!|z{^sx`5-SdBUE-hvV5&9b^It7zGQk0NXsOC7p|GaZ%jy>v4!}(>7obc zEf!)k`CIe-6Nk>`8z|;0%=QyeM{uztJR^G~cUj(P8T}40`rTmkyIPEP811gbO>u0a zGg$j@>0HS;medWp^7QULTr-{L;hO1uWkTnSEyHPpVfkZ0DH_v(Zp-bXw`Zj z)27V-k0$)j*khdL;F888SjU6v$i~o3jYmFcsr>;^`@^92hg#Hjytg-(bN@yXY`Mx$GdBzUhPo^fh?pFk- zI?d-+S#>1lXHh4cjsx_A28X!vV9XxI#|J-e+5b7P|BGP%7h3FhqF8s3FX$pfdLOx< zhvL#-bt~BKXoj<}=Yhi92|kBwrucEVW{Mx1P&{KxZaRv`f>`r{XX3f(fB(O1E`Qll z{7aztS3&Wwv?%US+?%N3jq}(Vgm!>5$%{B+;cb89E(M7>I5`j3O!80Qno0iggyb2U zb>EoYkK4BZv0QBTM0gE3q5Bcqb#CTr@z*Ske-AYN259{C7L6SmyQ{@cq#VxSy7-UEz@PdTF85?+Cnuft?H?5k-4t0OuQumvn?zceQhg#Il z3b8vK9)=-y(f+}pbO7QWybn3wlZ4peyzwa@F1zM)am~bDhifMGR}*4qZ0bEOi2ZF# z>^}gp{|LnX!xpi#h%MaBm^*ed;bZ|V#d`UYn4LVj@k&wRmDKF9Z9b^W)b7AFKj{m& zW@>*Xp?1aw-!xwW4Gt+kvL(1Fk0Kw@HMs9u4u2=%u)j9^$1M&shtq4rMcJx|Gtmny z+<9ZgSr_qQAzN?4h(?KaJykny5kyFBcDL+mkfYU2Bruit~u{S)}y zhg*Dg;$YW37Vr(w$aGG&jWc|%{1Gn0bf2=$*-EDDMYzwD{SvO(v3@b3aK^^l<05AG zGt1vU1%Lk>{QW_TzgaPZoL|U_8G>n^%lxAKXmezx!v3+ZU^%Ys6i}C`eJZY*+F!vn zQ~S#awKKNv9-exh=YP$`h73p0BTuog+~gxbe`)#t7vTF}gYSRU;(M0A#vfit`|G0J zeojyR_!_nFi07ETxP8GD7(ob+KDYp7wr@O*SgeTcgy z9fxvy;%_a3{{{^H5g7c#7K1YxtZT$+1{X@fE{Pi@cQgM|0Y~m8Fw`(Ow-wjS;P>O2 zExfNbu(SJG{@7yM=Hap2=31$ruS~~y;pV=9f#F{M*)crUzjcVboyJ! zZXT>%Fg#YDxN7s@x{+-Y6C-v2Nw~@CI{dc+<9CNzgI8X<%@~q#WKv4<|0tIY2Ird>wKwmx&6R z`*ChI>CJC{mz$qFOVZ2}Q@9}Wa?x(B%jLqM;T?km^t`^SM3KwQVz3siJeO>ryLoui z@PL8zVm@x@Hipb|q%?$QmyhQFn|o~G`4h$S@0*TiI_4426afbw{+fEGMJyB>=S{Wk^l=S>H+GXf|FObTe;2ekSa9OeIA z0sTeO0qu$a%JHoLIf`u~&vR^7>|J8KJPK-dtJH!rTUNkvU>eG%pb0GVbLiXQHhwP*XWV{9A zK<34ZBSnnHGY7I?D`fxEbjVJQK*n$7IFNY};fOP-o;i^HMj`uc(;-`thOC2xLwe%#e9;+emQ+ zy8pH|g=|*SAv-MsnG@)a3`#dl76%J?1Z8&|PEc0pI{XE}LypSY=egJd&iv@~a=5{|Vu9kH_` zh&hpejVR`GtY;>2j6QXlAhxjSh^>qu<^=u*F^^*toih#V@Dqj|+jPLrO9NJMVtxY5 z+j*Rrk+B%PRh9s@tm%NA9|6pX_z5s?xo4s@6B$OYQzw8eZ#rPBB7iyZJ^|(}_Dsyg z#hy0$v}gj@iA@J=bp$Xc+9$xgrJjkIxS-fZp9D+*JGtqAt%(5UM*Dp`y@j5M;@}K) z`YRN$Q<@Ig+6Z87u-~`STjrUVi7R7mbe1t;*lA4%?1BhjL9FkE73G=e^iNm7&S*Md z7e)Z{Lw!HepNacOZS=Pg6NYs(9k7ccfH{$VqgEYfrzLAV6FoE0h0$N|PVhRr>3DTV z@NxtF>PA5*!K-^F;zjSqBzT?Ebi8`fc=b4OextVC#j7?Gw~yNBWzGbz^O}xVZv-zV z%x~0ceQt-W%}57!z5=$Y>404l0n7>W$$@!*P4vvbeUP@*3fP*a19oWyFgMH}*fM&B z=kjM_OhJe3lP-Bd(*au-0n82a39u0duv*VdT%o29`zL^1)O5fuivZ??`HihZqh3@| zD->p8r@UAp%Qqde^%2ONK;IxXve6}3AwLt>sOdehgk{C1Lw0!tGAGn0$i@eTeaL1a z%SsAax#^JgMIdv6{moYl%lEJ(c8Kq4%{8``x$MWia=M>5y(XlaKiV| zzR8BawL!iKXHA-k0)0n4={l9BqtKQ@p_q4qaz?@1ujubV5YS8zdK84-rh_mm0)i81 zGYH-uM1SSz^oL6ngiD(a!t4kLPV~$mc>4StL5O@IHt$5l41%{; z&|f(^Akg2hPC&T4=^)IFFu{p(83b?rzu!4m&`h5|-waDY=x;g*k?)k`oye6z@YeME zo#|vVL8vMS15F1Z{YP+$c_$WS5WIEz{?4P*9R?MIp{9e7{-YAbyc1nA2;MQ9e&-BC zGnueKLAavnAS{UZ1Sei(5WMyD5qBonOcaI{g)5tm!omm&PRv-XjcxZ&_4Svr>f1~Z zHYx}sO$T978iaxqGBOAr75d9ZCt4a+5H>X(gvAjMoRD#VCJ$c3IC7LmhcU%qbJH<6 zCW3(zF&YfKfN{iKsc2?z*rFiRnhwH}2nbHZXh84+#*w2GFpet*6HUipX#@i&U^Ezb z@#4r)iWljt7D>Fgt?3vX8^OSd7YznpxHxi@rjXkegB?xB;J63|PPk|=@S?>L=MDH~ z`h%+!gR7g4!LkSjPPAw+@Pfq==M;N0G1#dXT+?(6j*npA1d9d(FF>Gy;pjvN*D46t zH64WI5fGeMu~GGRBm12*bj{>JT_L!>=@6WrhM?#~ijAtjgWX@jc3Cqa*rgEM&~ymS zh(O@PiH#xe&;w1Hu$R+J2yRpeb~hb@Gb0cCSm#E85< zHRkV6VHu@LAx!puOZ@9vhrZ)-v%+vo(_!e3z~F?54?Sddo$fSW=Nihj&7%JqDLhEu zQ8u!rkXujxBh?ynX=GGYq|N0Flj=8`-!@48RrKrAa=ES_(!FgAqcxGUCJg!3lPOBs z7SYX$=w?TBb0WIA5#79qZhl199?>m{=#Gu(j*IA)MRdnUbju^U6C%13Bf66!x|1Wi z6%pMj5#6Z~-Dwfs=@H!-5#5;)T}MQBRz!DBM0ajPcV0wyenh7fcKDZ%q*L}g=}M7w zoe^DEMAsA1nGTcVu2(d_T_JsE4*ja7MBiJi2DI;im#WfYkLGRfGNyAo#%h((!436I z^+JIkHjIZYvX+O`jH2GBW>BfLYCtpc!y5Eq@rwEFN_Z2s7g7e`E3(mQqKu4s;W;bp?(P}bZ1SaJr}%Es)IyDTRNnIN^NP6LPoAbqgbNPz0$ui zse}3Z3;JBJPYaB;(NrL$J~yV)qVRKv8e9KeULz zjlCVY)s;n>CV05X%`Lk_vYSC)+YAo}T3TrYE2`4b0yuCD%|p0`SII*R!_^`lq85=| zRe&+UtWYrHPlX-q)u{SX+d3F_R5dWHu7i#6AjY$Wonl}qsc)sZ$8iS_erSm|7%#A9 zohWQ!M5iH)lTJIXqr>2i*@u?XNvF(mbOrldG$n>nnCrF4oUE5bbeBeS8VNXgFN>s0 z+CrvW*G5_a1f0m_?x>TGYZzR|Y{z5+X6u;3B5um-#E1!t_Dfjw4YPtzhd0+B_2@+%8gTNOOu1y%(PUSw796cww2d4XczJ$+J-I>6BU07LV`ZE=2y z4;hH-#lU>hKOjJS|8x%sr4S0QRYXZIv?axplq^W4T!Bhy0TmZ2!xC=GZ=c0yJ z1uu43H;RFIWLCW;oB`adHkYb^y7~uzpem$NzXTAtF4g{;`TuS} zje_V?bs$1!EeM_pmC{lRg5g9}u%%@~ak*@bLaB=*;61=uxew>2s?fPr3*&d+uy=C* z{yn?NQ5K6l>9|>J87Hsv)QBx- zW_lGzSd+j)yAVw3(g4uqawYzSE!+wO?jVoUm-6clIL1E}=rLGNf#S*!bQAK!Dk zdGc-YuqE`XnvE4#VboLzjkLGIA*l+fv^+?qDx}hG4$Pra-duB;&}JvOrg&daDc4Y=HB zPlg3}B(1Tk!Wx^!Mke@EB)=K=F13}yAglSE0af8ZJ4&rM0C)iQG=2bXr`kuL!(g_H zZe{E>3SC9+9S@2{(-LcKc9c*pqBL4)I6lo7IzD=d+wiBGu{;1Qm8_Anr)=0!lq{7v za(Sv4GBdOeTVyn=E-9eMN*;JtB~L_!j9Ptk7YzWMHbbvcYN!g;(XbG4f&vHo8g-%# zl{r9MRYGE0I7ow zRBYI+i!wQ$5wVDJsY5{aQ*3oH+OS30_Os()kt_X77}tWpxQ>nRBN_rg#l~rDLG*bOQ`&)|;v?ASB36XO}GDp*87X;lF?ft|)iuE=xbA!eC! zw$1JgZTggOXhUpkdL@JWTrp)rFYP11MBN(tgSsYQqAI6zX}3YOwA%n+Wq(*xA{ZC% zd8p~-T2sYNAB^G&P#VLVdh)u}^HERDg?g^AwoxgqIy#lA(C^h2R9a1B)S{#2QqE$b zz^r+Jl+N@_wFs)KBZNhDgh9=di4u=GFBGE`CFJhP8zoozbAa3>W#cLEfq|S1$rHw1j9-RlzEoFhe{~IbJN; zjW5s=U;tHQPy)4xmex8WRq~L6#33(18Fk3RL)4W3GRa=x=6fkJYf5C+iA>;!8U^}W za>mTdB)d;39q5#0P7I{|A0wTV$L&oL6~6*yLqMa(HP#5r<{Fad^p#?$ogSpU3$3W# z60NAV0h(q68=*@33Zz*p%W%$W4f(mEIP}-x0onmkL?t%hQ(^~IHp_(UoL-Act3|Bx^-1}PpG}vq z(bL6Kkk^R;vm%UcpbcXTJe13cfeE`v+%MX~mBy@UtD-&k&7O8ZwCChK?VxB+ezvC_ z675MRdfE-5U9{KJniWtgnXG_n8Kgyfx_MZ6J+LwL_>`@OPAP|J<(Hhy`d=H%n7tY! z{4E<3<8?C3Z-6Ov_-Hs)rMX3WGs3MmMl66^yK}iG{2*iElmweyT#z@x%&E4ApdhLW z3*v`xjLw^3N&6axHMJCkYaIF#?Q^-eAipL?g>E3gX@UB(-4SSu$@KlLuy8`UE~*Oa z(&&+GskcY6qX1j!Slanr48z*JRXImC>C;++u#D2dL@(j!rk zyWqPdyBQ5iX&lrmV5HW?<-jYL0hDX>ZWKt_2Ydom26Qyoh_cB2Ms5F(H5dw2`j6j`~a%d1$A!CVr}@ z6G7Y~b^Bp=ylxm%wIWbyMW8}Ow7SYH1c6#=)D5N9j9hA(HdnasdVLVn9a;tlRO2bD~S7)8*TS=)41zr{Vh&7H&z;)4gjcd5q%H3E8R?Ns!ELj<`|fX?z-7&eiF6R9)z;!>I%Hv z#kL2*iE{D$HYoCN{V6eS;xt{3LnEkh4A?mi{0hp2^-isvs=~@qydsOSUqzv`=b&DS zEd~J{;80GfX)!N{u73|D((JIP!C1h38mE~0Uz6NsiA4t`fTSus{CL}>P$})v3%Q8& z3|_|h2I@T5=EgHr1%o;P4Ghw5uY~;HM4dG(j?@__a(R+g)ap ziV2lEMJ>~al%;;AB#o9 zLd;$?yQgSOPDz(K>Q^;ieBOP9(f8Ud5oBaumKhRp*&0&>INPZLR$msWd zSkWw?jjBR_Xd0dU&%=`6_@DXdMp6|TX^l++7fsvMLxnnEqv~T@+rli>lNj<}cb*2uDyG&8VeADlQ70 zWT~+C`76{!N$2d=X<1UcbreIgfggzh6Kx=1QGm50I04IY+y0JD3RNshZ1`goO2={d zc~zm&ID0xYA;Fq zI$;3UR0Zy=vv?u?zdWrH1nKar3~PTcg*FRVPnAM{^MF@q_0f2c&V|qmwb1Yp>SSXo zC7w=45Jqqb_06Gwlmad%t zQz!0HRXVH0-r=VxpYF@SqN>93iF@FY?Pp@a#4`6wPy5*xucv9lqbrnbNdcg$z(_0t zYq#RUoAI5)sGrND{UAcqsy_$ebR2<72MzR>1R)N&{~eFel)y&^ArMnjL8XHbRPqQK zLwG#=g*?PGtMV28UVAjaQUW44ND9r3`X6G?49`k-*wf7D!de_|(f>qtEjqI6@DLEBgTVt!uSWNrFC__Xa@~?op)jsOL!I~laow?V@C)7t3#a*8cs5)IF=KC ziIzCgaui-!dlO(+M;(BPRZ8OvWP#+rfU8;pmsAz@HCjWEnE6+d-_ZR+TR60fj$UXN zb;GeySaD$t(hi3Mz5hm$;c&EvDOurg1kf^viX0#P8WvD!eKwj-RbqicA)c@Q53*}o z)LB)j&RgITFr9w`6G{QVzS1IzHcd)U=;FcPe~}%XNlSaG&5q7#E6~FT{vWa{Cs6^- zo~l4acJdA)yLLQeS5@$Inedr!^3!QL`p}rhM>QNu2wV) zP*hclyykDhkd*?zZ9^v5iWw|)Sr=tdPlwK=!vY2mg%Oq3hJlKn;2hL6M4-P;&JQ>J zM^^zO4|l=>EhC<;j|%WI$1V-w=`WuvP+A|fn5u&8!IHlj-rDBD()qUGV5!rp!p=ua zj$GaO$gV4O$gVAh@s^&cUyv}*Z-)Wx>A*`pUsw~nDZ%)Qrl8^Z1sHCQMaZv-!Q1QT8yxg$n%HZT65_Cp#bU#-_9+tL{Ps*Q z#L>YEJW*!{cdYftaHd5!iX*)YQjrSd$Is!+h za{x!DUDnZ2Rp=ujX6u3e-*!9@(ryK&YgGb-C_8yJKC;-h92PV=XtqU`QNRCw!y5mf zk#iWug!uGFRn>jy(B#fNbQTyChL>ZRGAF}=PMy)*3T}`;StK`OR@(}(U>xP0xm=~3 zYHw{xFj?)wcVA*DfG$85b2%<5SN0SXRTBeuH5m?$#X=WnKNZg$oa`7o1>ok!;+Zo8NY2n)R@qvS-LpmG|(x0BxmR2UC^e0*H5WDfylX3Y* zQ-BUwPt2@5)Z zg4gIbUW2}n!)JT_p~_fb_I1I6&ZtlZdcLtB=YZ1|*mZWtEGTdTVnNOfr!8>*slbA+ z37`yvVnNOqr!8>*?TJ~?GMKZ-R0}zaoVLJi(F+S20ip~W#Dbh#_AMlXEq91Z#E4n^ zp|{%adS{9h>X*@*b+ifwarWzM^->f~^P`b9KY}wRYf>)m=Ig|Q2?F-!ayMR>Dwl?2 zAgjh3D3_|jA;d)Z{GiKFR5b|{kzbp}Eh;m5J&M|(kmdGNQFScFV5*M-s=D5Y((|Jj zResRrv7&0ZG=Cgb?mB%aDh-S`{&lLTN+c9jV_E>Mj|yTNE-F8$A4S#tKvnYxt&$Lc zdsr1keF7a%|7@zLhypcc()kR^r40xbP~`_D%Y|lvLQSHmYBDG)SCu>RAgYS|+A83> zmXe>(Q7Aj3A>`M>qOYhbh~qdvmwyBDE3PQNHfT_O&d=q)B9>pvuiTC0XE!vA{0bJH zujL1!xc-fvZm#{6$ZdT8r>yVSquy82l$X4~`oZ+%g={d{Ho}JS{$xYEzuMR+HcIb` z+Tgx50vpEvlMV6zYGXufT=tx(4R$4?uwh03vLPdY+87lZ#n@4=9)72~Z4+#mF@S8y z7@#&biH$JWF>kw&6?xl5t!x%6 zmwzQ~g(LI|HFVn+SkbP4X~uT?=H`#%0Rx+0SZgq$Y=Vg$RIaB?VS-zC9454nz{FMb z1sC!$v1J%81(R$dW+=&IfQegY1SPua{Pk_lP@@{)3}BB@|}YRAAVw*8D?pT zJN{L$FxOgemX@65CMBFW4qBUMT3ihSI$K3ORD}fro4w5Kxf9uSSqhX;Rp9na@wty( zgY5Gn#czi$C_Wwi;AXfM2AsqisLjtdQ0n3mTn7WEXku*w-D?b@iAIq-L>-yc!vj1W zG=f2s)*iaK@Yf?dx}?%jvj<}qA7{NLpU+?1zm*<#kp98W;&dHUm0H#}=VHlFA!cY< N+K{S3Lk~Ul{{U-x7!v>h diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_mrp.html b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_mrp.html deleted file mode 100644 index cee33ff..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_mrp.html +++ /dev/null @@ -1,425 +0,0 @@ - -Project Summary - - -
    
    -            Lattice Mapping Report File for Design Module 'RAM2GS'
    -
    -
    -
    -Design Information
    -
    -Command line:   map -a MachXO -p LCMXO640C -t TQFP100 -s 3 -oc Commercial
    -     RAM2GS_LCMXO640C_impl1.ngd -o RAM2GS_LCMXO640C_impl1_map.ncd -pr
    -     RAM2GS_LCMXO640C_impl1.prf -mp RAM2GS_LCMXO640C_impl1.mrp -lpf C:/Users/Dog
    -     /Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.
    -     lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/RAM2GS_L
    -     CMXO640C.lpf -c 0 -gui -msgset
    -     C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml 
    -Target Vendor:  LATTICE
    -Target Device:  LCMXO640CTQFP100
    -Target Performance:   3
    -Mapper:  mj5g00,  version:  Diamond (64-bit) 3.12.0.240.2
    -Mapped on:  08/16/21  21:33:30
    -
    -
    -Design Summary
    -   Number of PFU registers:   102 out of   640 (16%)
    -   Number of SLICEs:        65 out of   320 (20%)
    -      SLICEs as Logic/ROM:     65 out of   320 (20%)
    -      SLICEs as RAM:            0 out of   192 (0%)
    -      SLICEs as Carry:          9 out of   320 (3%)
    -   Number of LUT4s:        129 out of   640 (20%)
    -      Number used as logic LUTs:        111
    -      Number used as distributed RAM:     0
    -      Number used as ripple logic:       18
    -      Number used as shift registers:     0
    -   Number of external PIOs: 67 out of 74 (91%)
    -   Number of GSRs:  0 out of 1 (0%)
    -   JTAG used :      No
    -   Readback used :  No
    -   Oscillator used :  No
    -   Startup used :   No
    -   Number of TSALL: 0 out of 1 (0%)
    -   Notes:-
    -      1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of
    -     distributed RAMs) + 2*(Number of ripple logic)
    -      2. Number of logic LUT4s does not include count of distributed RAM and
    -     ripple logic.
    -   Number of clocks:  4
    -     Net RCLK_c: 39 loads, 39 rising, 0 falling (Driver: PIO RCLK )
    -     Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 )
    -     Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS )
    -     Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS )
    -   Number of Clock Enables:  13
    -     Net PHI2_N_114_enable_7: 2 loads, 2 LSLICEs
    -     Net RCLK_c_enable_6: 1 loads, 1 LSLICEs
    -     Net RCLK_c_enable_4: 3 loads, 3 LSLICEs
    -     Net RCLK_c_enable_24: 2 loads, 2 LSLICEs
    -     Net RCLK_c_enable_3: 1 loads, 1 LSLICEs
    -     Net RCLK_c_enable_7: 1 loads, 1 LSLICEs
    -     Net RCLK_c_enable_23: 8 loads, 8 LSLICEs
    -     Net PHI2_N_114_enable_1: 1 loads, 1 LSLICEs
    -     Net PHI2_N_114_enable_8: 1 loads, 1 LSLICEs
    -     Net PHI2_N_114_enable_6: 2 loads, 2 LSLICEs
    -     Net PHI2_N_114_enable_2: 1 loads, 1 LSLICEs
    -
    -     Net RCLK_c_enable_25: 1 loads, 1 LSLICEs
    -     Net Ready_N_268: 1 loads, 1 LSLICEs
    -   Number of LSRs:  9
    -     Net RASr2: 1 loads, 1 LSLICEs
    -     Net C1Submitted_N_225: 2 loads, 2 LSLICEs
    -     Net n2299: 1 loads, 1 LSLICEs
    -     Net nRowColSel_N_35: 1 loads, 1 LSLICEs
    -     Net nRowColSel_N_34: 1 loads, 1 LSLICEs
    -     Net LEDEN_N_88: 1 loads, 1 LSLICEs
    -     Net n2291: 2 loads, 2 LSLICEs
    -     Net Ready: 7 loads, 7 LSLICEs
    -     Net nRWE_N_173: 1 loads, 1 LSLICEs
    -   Number of nets driven by tri-state buffers:  0
    -   Top 10 highest fanout non-clock nets:
    -     Net Ready: 19 loads
    -     Net InitReady: 17 loads
    -     Net RASr2: 16 loads
    -     Net nRowColSel_N_35: 14 loads
    -     Net nRowColSel: 13 loads
    -     Net Din_c_6: 9 loads
    -     Net MAin_c_1: 9 loads
    -     Net Din_c_5: 8 loads
    -     Net FS_11: 8 loads
    -     Net MAin_c_0: 8 loads
    -
    -
    -
    -
    -   Number of warnings:  0
    -   Number of errors:    0
    -     
    -
    -
    -
    -
    -Design Errors/Warnings
    -
    -   No errors or warnings present.
    -
    -
    -
    -IO (PIO) Attributes
    -
    -+---------------------+-----------+-----------+------------+------------+
    -| IO Name             | Direction | Levelmode | IO         | FIXEDDELAY |
    -|                     |           |  IO_TYPE  | Register   |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RD[7]               | BIDIR     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RD[6]               | BIDIR     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RD[5]               | BIDIR     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RD[4]               | BIDIR     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RD[3]               | BIDIR     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RD[2]               | BIDIR     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -
    -| RD[1]               | BIDIR     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RD[0]               | BIDIR     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Dout[7]             | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Dout[6]             | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Dout[5]             | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Dout[4]             | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Dout[3]             | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Dout[2]             | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Dout[1]             | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Dout[0]             | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| LED                 | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RBA[1]              | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RBA[0]              | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[11]              | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[10]              | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[9]               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[8]               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[7]               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[6]               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[5]               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[4]               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[3]               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[2]               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[1]               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RA[0]               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| nRCS                | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RCKE                | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| nRWE                | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -
    -| nRRAS               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| nRCAS               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RDQMH               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RDQML               | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| nUFMCS              | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| UFMCLK              | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| UFMSDI              | OUTPUT    | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| PHI2                | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| MAin[9]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| MAin[8]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| MAin[7]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| MAin[6]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| MAin[5]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| MAin[4]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| MAin[3]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| MAin[2]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| MAin[1]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| MAin[0]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| CROW[1]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| CROW[0]             | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Din[7]              | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Din[6]              | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Din[5]              | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Din[4]              | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Din[3]              | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Din[2]              | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Din[1]              | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| Din[0]              | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -
    -| nCCAS               | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| nCRAS               | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| nFWE                | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| RCLK                | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -| UFMSDO              | INPUT     | LVTTL33   |            |            |
    -+---------------------+-----------+-----------+------------+------------+
    -
    -
    -
    -Removed logic
    -
    -Block i2 undriven or does not drive anything - clipped.
    -Block GSR_INST undriven or does not drive anything - clipped.
    -Signal PHI2_N_114 was merged into signal PHI2_c
    -Signal nCRAS_N_9 was merged into signal nCRAS_c
    -Signal nCCAS_N_3 was merged into signal nCCAS_c
    -Signal n2302 was merged into signal nRowColSel_N_35
    -Signal nRWE_N_172 was merged into signal nRWE_N_173
    -Signal n2307 was merged into signal Ready
    -Signal RASr2_N_63 was merged into signal RASr2
    -Signal n1377 was merged into signal nRowColSel_N_34
    -Signal n2306 was merged into signal nFWE_c
    -Signal UFMSDO_N_74 was merged into signal UFMSDO_c
    -Signal GND_net undriven or does not drive anything - clipped.
    -Signal VCC_net undriven or does not drive anything - clipped.
    -Signal FS_577_add_4_14/CO0 undriven or does not drive anything - clipped.
    -Signal FS_577_add_4_16/CO0 undriven or does not drive anything - clipped.
    -Signal FS_577_add_4_12/CO0 undriven or does not drive anything - clipped.
    -Signal FS_577_add_4_2/CO0 undriven or does not drive anything - clipped.
    -Signal FS_577_add_4_4/CO0 undriven or does not drive anything - clipped.
    -Signal FS_577_add_4_6/CO0 undriven or does not drive anything - clipped.
    -Signal FS_577_add_4_18/CO1 undriven or does not drive anything - clipped.
    -Signal FS_577_add_4_18/CO0 undriven or does not drive anything - clipped.
    -Signal FS_577_add_4_8/CO0 undriven or does not drive anything - clipped.
    -Signal FS_577_add_4_10/CO0 undriven or does not drive anything - clipped.
    -Block i1962 was optimized away.
    -Block i1961 was optimized away.
    -Block i1963 was optimized away.
    -Block i1070_1_lut_rep_25 was optimized away.
    -Block nRWE_I_49_1_lut was optimized away.
    -Block i604_1_lut_rep_30 was optimized away.
    -Block RASr2_I_0_1_lut was optimized away.
    -Block i1069_1_lut was optimized away.
    -Block i1_1_lut_rep_29 was optimized away.
    -Block UFMSDO_I_0_1_lut was optimized away.
    -Block i1 was optimized away.
    -
    -
    -
    -Run Time and Memory Usage
    --------------------------
    -
    -   Total CPU Time: 0 secs  
    -   Total REAL Time: 0 secs  
    -   Peak Memory Usage: 30 MB
    -
    -        
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -     Copyright (c) 2001 Agere Systems   All rights reserved.
    -     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights
    -     reserved.
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html deleted file mode 100644 index ab78925..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html +++ /dev/null @@ -1,418 +0,0 @@ - -PAD Specification File - - -
    PAD Specification File
    -***************************
    -
    -PART TYPE:        LCMXO640C
    -Performance Grade:      3
    -PACKAGE:          TQFP100
    -Package Status:                     Final          Version 1.17
    -
    -Mon Aug 16 21:33:36 2021
    -
    -Pinout by Port Name:
    -+-----------+----------+--------------+-------+----------------------------------+
    -| Port Name | Pin/Bank | Buffer Type  | Site  | Properties                       |
    -+-----------+----------+--------------+-------+----------------------------------+
    -| CROW[0]   | 32/2     | LVTTL33_IN   | PB4C  | SLEW:FAST                        |
    -| CROW[1]   | 34/2     | LVTTL33_IN   | PB4E  | SLEW:FAST                        |
    -| Din[0]    | 21/3     | LVTTL33_IN   | PL10C | SLEW:FAST                        |
    -| Din[1]    | 15/3     | LVTTL33_IN   | PL7B  | SLEW:FAST                        |
    -| Din[2]    | 14/3     | LVTTL33_IN   | PL5B  | SLEW:FAST                        |
    -| Din[3]    | 16/3     | LVTTL33_IN   | PL8C  | SLEW:FAST                        |
    -| Din[4]    | 18/3     | LVTTL33_IN   | PL9A  | SLEW:FAST                        |
    -| Din[5]    | 17/3     | LVTTL33_IN   | PL8D  | SLEW:FAST                        |
    -| Din[6]    | 20/3     | LVTTL33_IN   | PL10A | SLEW:FAST                        |
    -| Din[7]    | 19/3     | LVTTL33_IN   | PL9C  | SLEW:FAST                        |
    -| Dout[0]   | 1/3      | LVTTL33_OUT  | PL2A  | DRIVE:4mA SLEW:SLOW              |
    -| Dout[1]   | 7/3      | LVTTL33_OUT  | PL3C  | DRIVE:4mA SLEW:SLOW              |
    -| Dout[2]   | 8/3      | LVTTL33_OUT  | PL3D  | DRIVE:4mA SLEW:SLOW              |
    -| Dout[3]   | 6/3      | LVTTL33_OUT  | PL3B  | DRIVE:4mA SLEW:SLOW              |
    -| Dout[4]   | 4/3      | LVTTL33_OUT  | PL2D  | DRIVE:4mA SLEW:SLOW              |
    -| Dout[5]   | 5/3      | LVTTL33_OUT  | PL3A  | DRIVE:4mA SLEW:SLOW              |
    -| Dout[6]   | 2/3      | LVTTL33_OUT  | PL2C  | DRIVE:4mA SLEW:SLOW              |
    -| Dout[7]   | 3/3      | LVTTL33_OUT  | PL2B  | DRIVE:4mA SLEW:SLOW              |
    -| LED       | 57/1     | LVTTL33_OUT  | PR10B | DRIVE:16mA SLEW:SLOW             |
    -| MAin[0]   | 23/3     | LVTTL33_IN   | PL11C | SLEW:FAST                        |
    -| MAin[1]   | 38/2     | LVTTL33_IN   | PB6B  | SLEW:FAST                        |
    -| MAin[2]   | 37/2     | LVTTL33_IN   | PB5D  | SLEW:FAST                        |
    -| MAin[3]   | 47/2     | LVTTL33_IN   | PB9C  | SLEW:FAST                        |
    -| MAin[4]   | 46/2     | LVTTL33_IN   | PB9A  | SLEW:FAST                        |
    -| MAin[5]   | 45/2     | LVTTL33_IN   | PB8D  | SLEW:FAST                        |
    -| MAin[6]   | 49/2     | LVTTL33_IN   | PB9D  | SLEW:FAST                        |
    -| MAin[7]   | 44/2     | LVTTL33_IN   | PB8C  | SLEW:FAST                        |
    -| MAin[8]   | 50/2     | LVTTL33_IN   | PB9F  | SLEW:FAST                        |
    -| MAin[9]   | 51/1     | LVTTL33_IN   | PR11D | SLEW:FAST                        |
    -| PHI2      | 39/2     | LVTTL33_IN   | PB6C  | SLEW:FAST                        |
    -| RA[0]     | 98/0     | LVTTL33_OUT  | PT2B  | DRIVE:4mA SLEW:SLOW              |
    -| RA[10]    | 87/0     | LVTTL33_OUT  | PT5A  | DRIVE:4mA SLEW:SLOW              |
    -| RA[11]    | 79/0     | LVTTL33_OUT  | PT9A  | DRIVE:4mA SLEW:SLOW              |
    -| RA[1]     | 89/0     | LVTTL33_OUT  | PT4F  | DRIVE:4mA SLEW:SLOW              |
    -| RA[2]     | 94/0     | LVTTL33_OUT  | PT3B  | DRIVE:4mA SLEW:SLOW              |
    -| RA[3]     | 97/0     | LVTTL33_OUT  | PT2E  | DRIVE:4mA SLEW:SLOW              |
    -| RA[4]     | 99/0     | LVTTL33_OUT  | PT2C  | DRIVE:4mA SLEW:SLOW              |
    -| RA[5]     | 95/0     | LVTTL33_OUT  | PT3A  | DRIVE:4mA SLEW:SLOW              |
    -| RA[6]     | 91/0     | LVTTL33_OUT  | PT3F  | DRIVE:4mA SLEW:SLOW              |
    -| RA[7]     | 100/0    | LVTTL33_OUT  | PT2A  | DRIVE:4mA SLEW:SLOW              |
    -| RA[8]     | 96/0     | LVTTL33_OUT  | PT2F  | DRIVE:4mA SLEW:SLOW              |
    -| RA[9]     | 85/0     | LVTTL33_OUT  | PT6B  | DRIVE:4mA SLEW:SLOW              |
    -| RBA[0]    | 63/1     | LVTTL33_OUT  | PR7B  | DRIVE:4mA SLEW:SLOW              |
    -| RBA[1]    | 83/0     | LVTTL33_OUT  | PT7A  | DRIVE:4mA SLEW:SLOW              |
    -| RCKE      | 82/0     | LVTTL33_OUT  | PT7E  | DRIVE:4mA SLEW:SLOW              |
    -| RCLK      | 86/0     | LVTTL33_IN   | PT5B  | SLEW:FAST                        |
    -| RDQMH     | 76/0     | LVTTL33_OUT  | PT9F  | DRIVE:4mA SLEW:SLOW              |
    -| RDQML     | 61/1     | LVTTL33_OUT  | PR9B  | DRIVE:4mA SLEW:SLOW              |
    -| RD[0]     | 64/1     | LVTTL33_BIDI | PR6C  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    -| RD[1]     | 65/1     | LVTTL33_BIDI | PR6B  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    -| RD[2]     | 66/1     | LVTTL33_BIDI | PR5D  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    -| RD[3]     | 67/1     | LVTTL33_BIDI | PR5B  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    -| RD[4]     | 68/1     | LVTTL33_BIDI | PR4D  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    -| RD[5]     | 69/1     | LVTTL33_BIDI | PR4B  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    -| RD[6]     | 70/1     | LVTTL33_BIDI | PR3D  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    -| RD[7]     | 71/1     | LVTTL33_BIDI | PR3B  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    -| UFMCLK    | 58/1     | LVTTL33_OUT  | PR10A | DRIVE:4mA SLEW:SLOW              |
    -| UFMSDI    | 56/1     | LVTTL33_OUT  | PR10C | DRIVE:4mA SLEW:SLOW              |
    -| UFMSDO    | 55/1     | LVTTL33_IN   | PR10D | SLEW:FAST PULL:KEEPER            |
    -| nCCAS     | 27/2     | LVTTL33_IN   | PB2C  | SLEW:FAST                        |
    -| nCRAS     | 43/2     | LVTTL33_IN   | PB8B  | SLEW:FAST                        |
    -| nFWE      | 22/3     | LVTTL33_IN   | PL11A | SLEW:FAST                        |
    -| nRCAS     | 78/0     | LVTTL33_OUT  | PT9C  | DRIVE:4mA SLEW:SLOW              |
    -| nRCS      | 77/0     | LVTTL33_OUT  | PT9E  | DRIVE:4mA SLEW:SLOW              |
    -| nRRAS     | 73/1     | LVTTL33_OUT  | PR2B  | DRIVE:4mA SLEW:SLOW              |
    -| nRWE      | 72/1     | LVTTL33_OUT  | PR2D  | DRIVE:4mA SLEW:SLOW              |
    -| nUFMCS    | 53/1     | LVTTL33_OUT  | PR11C | DRIVE:4mA SLEW:SLOW              |
    -+-----------+----------+--------------+-------+----------------------------------+
    -
    -Vccio by Bank:
    -+------+-------+
    -| Bank | Vccio |
    -+------+-------+
    -| 0    | 3.3V  |
    -| 1    | 3.3V  |
    -| 2    |       |
    -| 3    | 3.3V  |
    -+------+-------+
    -
    -
    -Vref by Bank:
    -+------+-----+-----------------+---------+
    -| Vref | Pin | Bank # / Vref # | Load(s) |
    -+------+-----+-----------------+---------+
    -+------+-----+-----------------+---------+
    -
    -Pinout by Pin Number:
    -+----------+---------------------+------------+--------------+-------+---------------+
    -| Pin/Bank | Pin Info            | Preference | Buffer Type  | Site  | Dual Function |
    -+----------+---------------------+------------+--------------+-------+---------------+
    -| 1/3      | Dout[0]             | LOCATED    | LVTTL33_OUT  | PL2A  |               |
    -| 2/3      | Dout[6]             | LOCATED    | LVTTL33_OUT  | PL2C  |               |
    -| 3/3      | Dout[7]             | LOCATED    | LVTTL33_OUT  | PL2B  |               |
    -| 4/3      | Dout[4]             | LOCATED    | LVTTL33_OUT  | PL2D  |               |
    -| 5/3      | Dout[5]             | LOCATED    | LVTTL33_OUT  | PL3A  |               |
    -| 6/3      | Dout[3]             | LOCATED    | LVTTL33_OUT  | PL3B  |               |
    -| 7/3      | Dout[1]             | LOCATED    | LVTTL33_OUT  | PL3C  |               |
    -| 8/3      | Dout[2]             | LOCATED    | LVTTL33_OUT  | PL3D  |               |
    -| 9/3      |     unused, PULL:UP |            |              | PL4A  |               |
    -| 11/3     |     unused, PULL:UP |            |              | PL4C  |               |
    -| 13/3     |     unused, PULL:UP |            |              | PL4D  |               |
    -| 14/3     | Din[2]              | LOCATED    | LVTTL33_IN   | PL5B  | GSR_PADN      |
    -| 15/3     | Din[1]              | LOCATED    | LVTTL33_IN   | PL7B  |               |
    -| 16/3     | Din[3]              | LOCATED    | LVTTL33_IN   | PL8C  | TSALLPAD      |
    -| 17/3     | Din[5]              | LOCATED    | LVTTL33_IN   | PL8D  |               |
    -| 18/3     | Din[4]              | LOCATED    | LVTTL33_IN   | PL9A  |               |
    -| 19/3     | Din[7]              | LOCATED    | LVTTL33_IN   | PL9C  |               |
    -| 20/3     | Din[6]              | LOCATED    | LVTTL33_IN   | PL10A |               |
    -| 21/3     | Din[0]              | LOCATED    | LVTTL33_IN   | PL10C |               |
    -| 22/3     | nFWE                | LOCATED    | LVTTL33_IN   | PL11A |               |
    -| 23/3     | MAin[0]             | LOCATED    | LVTTL33_IN   | PL11C |               |
    -| 27/2     | nCCAS               | LOCATED    | LVTTL33_IN   | PB2C  |               |
    -| 32/2     | CROW[0]             | LOCATED    | LVTTL33_IN   | PB4C  |               |
    -| 34/2     | CROW[1]             | LOCATED    | LVTTL33_IN   | PB4E  |               |
    -| 36/2     |     unused, PULL:UP |            |              | PB5B  | PCLKT2_1      |
    -| 37/2     | MAin[2]             | LOCATED    | LVTTL33_IN   | PB5D  |               |
    -| 38/2     | MAin[1]             | LOCATED    | LVTTL33_IN   | PB6B  | PCLKT2_0      |
    -| 39/2     | PHI2                | LOCATED    | LVTTL33_IN   | PB6C  |               |
    -| 43/2     | nCRAS               | LOCATED    | LVTTL33_IN   | PB8B  |               |
    -| 44/2     | MAin[7]             | LOCATED    | LVTTL33_IN   | PB8C  |               |
    -| 45/2     | MAin[5]             | LOCATED    | LVTTL33_IN   | PB8D  |               |
    -| 46/2     | MAin[4]             | LOCATED    | LVTTL33_IN   | PB9A  |               |
    -| 47/2     | MAin[3]             | LOCATED    | LVTTL33_IN   | PB9C  |               |
    -| 49/2     | MAin[6]             | LOCATED    | LVTTL33_IN   | PB9D  |               |
    -| 50/2     | MAin[8]             | LOCATED    | LVTTL33_IN   | PB9F  |               |
    -| 51/1     | MAin[9]             | LOCATED    | LVTTL33_IN   | PR11D |               |
    -| 52/1     |     unused, PULL:UP |            |              | PR11B |               |
    -| 53/1     | nUFMCS              | LOCATED    | LVTTL33_OUT  | PR11C |               |
    -| 54/1     |     unused, PULL:UP |            |              | PR11A |               |
    -| 55/1     | UFMSDO              | LOCATED    | LVTTL33_IN   | PR10D |               |
    -| 56/1     | UFMSDI              | LOCATED    | LVTTL33_OUT  | PR10C |               |
    -| 57/1     | LED                 | LOCATED    | LVTTL33_OUT  | PR10B |               |
    -| 58/1     | UFMCLK              | LOCATED    | LVTTL33_OUT  | PR10A |               |
    -| 59/1     |     unused, PULL:UP |            |              | PR9D  |               |
    -| 61/1     | RDQML               | LOCATED    | LVTTL33_OUT  | PR9B  |               |
    -| 63/1     | RBA[0]              | LOCATED    | LVTTL33_OUT  | PR7B  |               |
    -| 64/1     | RD[0]               | LOCATED    | LVTTL33_BIDI | PR6C  |               |
    -| 65/1     | RD[1]               | LOCATED    | LVTTL33_BIDI | PR6B  |               |
    -| 66/1     | RD[2]               | LOCATED    | LVTTL33_BIDI | PR5D  |               |
    -| 67/1     | RD[3]               | LOCATED    | LVTTL33_BIDI | PR5B  |               |
    -| 68/1     | RD[4]               | LOCATED    | LVTTL33_BIDI | PR4D  |               |
    -| 69/1     | RD[5]               | LOCATED    | LVTTL33_BIDI | PR4B  |               |
    -| 70/1     | RD[6]               | LOCATED    | LVTTL33_BIDI | PR3D  |               |
    -| 71/1     | RD[7]               | LOCATED    | LVTTL33_BIDI | PR3B  |               |
    -| 72/1     | nRWE                | LOCATED    | LVTTL33_OUT  | PR2D  |               |
    -| 73/1     | nRRAS               | LOCATED    | LVTTL33_OUT  | PR2B  |               |
    -| 76/0     | RDQMH               | LOCATED    | LVTTL33_OUT  | PT9F  |               |
    -| 77/0     | nRCS                | LOCATED    | LVTTL33_OUT  | PT9E  |               |
    -| 78/0     | nRCAS               | LOCATED    | LVTTL33_OUT  | PT9C  |               |
    -| 79/0     | RA[11]              | LOCATED    | LVTTL33_OUT  | PT9A  |               |
    -| 82/0     | RCKE                | LOCATED    | LVTTL33_OUT  | PT7E  | D7            |
    -| 83/0     | RBA[1]              | LOCATED    | LVTTL33_OUT  | PT7A  | D6            |
    -| 85/0     | RA[9]               | LOCATED    | LVTTL33_OUT  | PT6B  | PCLKT0_1      |
    -| 86/0     | RCLK                | LOCATED    | LVTTL33_IN   | PT5B  | PCLKT0_0      |
    -| 87/0     | RA[10]              | LOCATED    | LVTTL33_OUT  | PT5A  |               |
    -| 89/0     | RA[1]               | LOCATED    | LVTTL33_OUT  | PT4F  |               |
    -| 91/0     | RA[6]               | LOCATED    | LVTTL33_OUT  | PT3F  | D3            |
    -| 94/0     | RA[2]               | LOCATED    | LVTTL33_OUT  | PT3B  |               |
    -| 95/0     | RA[5]               | LOCATED    | LVTTL33_OUT  | PT3A  |               |
    -| 96/0     | RA[8]               | LOCATED    | LVTTL33_OUT  | PT2F  | D2            |
    -| 97/0     | RA[3]               | LOCATED    | LVTTL33_OUT  | PT2E  |               |
    -| 98/0     | RA[0]               | LOCATED    | LVTTL33_OUT  | PT2B  | D1            |
    -| 99/0     | RA[4]               | LOCATED    | LVTTL33_OUT  | PT2C  |               |
    -| 100/0    | RA[7]               | LOCATED    | LVTTL33_OUT  | PT2A  |               |
    -| PB2A/2   |     unused, PULL:UP |            |              | PB2A  |               |
    -| PB2B/2   |     unused, PULL:UP |            |              | PB2B  |               |
    -| PB2D/2   |     unused, PULL:UP |            |              | PB2D  |               |
    -| PB3A/2   |     unused, PULL:UP |            |              | PB3A  |               |
    -| PB3B/2   |     unused, PULL:UP |            |              | PB3B  |               |
    -| PB3C/2   |     unused, PULL:UP |            |              | PB3C  |               |
    -| PB3D/2   |     unused, PULL:UP |            |              | PB3D  |               |
    -| PB4A/2   |     unused, PULL:UP |            |              | PB4A  |               |
    -| PB4B/2   |     unused, PULL:UP |            |              | PB4B  |               |
    -| PB4D/2   |     unused, PULL:UP |            |              | PB4D  |               |
    -| PB4F/2   |     unused, PULL:UP |            |              | PB4F  |               |
    -| PB5A/2   |     unused, PULL:UP |            |              | PB5A  |               |
    -| PB5C/2   |     unused, PULL:UP |            |              | PB5C  |               |
    -| PB6A/2   |     unused, PULL:UP |            |              | PB6A  |               |
    -| PB6D/2   |     unused, PULL:UP |            |              | PB6D  |               |
    -| PB7A/2   |     unused, PULL:UP |            |              | PB7A  |               |
    -| PB7B/2   |     unused, PULL:UP |            |              | PB7B  |               |
    -| PB7C/2   |     unused, PULL:UP |            |              | PB7C  |               |
    -| PB7D/2   |     unused, PULL:UP |            |              | PB7D  |               |
    -| PB7E/2   |     unused, PULL:UP |            |              | PB7E  |               |
    -| PB7F/2   |     unused, PULL:UP |            |              | PB7F  |               |
    -| PB8A/2   |     unused, PULL:UP |            |              | PB8A  |               |
    -| PB9B/2   |     unused, PULL:UP |            |              | PB9B  |               |
    -| PB9E/0   |     unused, PULL:UP |            |              | PB9E  |               |
    -| PL4B/3   |     unused, PULL:UP |            |              | PL4B  |               |
    -| PL5A/3   |     unused, PULL:UP |            |              | PL5A  |               |
    -| PL5C/3   |     unused, PULL:UP |            |              | PL5C  |               |
    -| PL5D/3   |     unused, PULL:UP |            |              | PL5D  |               |
    -| PL6A/3   |     unused, PULL:UP |            |              | PL6A  |               |
    -| PL6B/3   |     unused, PULL:UP |            |              | PL6B  |               |
    -| PL6C/3   |     unused, PULL:UP |            |              | PL6C  |               |
    -| PL6D/3   |     unused, PULL:UP |            |              | PL6D  |               |
    -| PL7A/3   |     unused, PULL:UP |            |              | PL7A  |               |
    -| PL7C/3   |     unused, PULL:UP |            |              | PL7C  |               |
    -| PL7D/3   |     unused, PULL:UP |            |              | PL7D  |               |
    -| PL8A/3   |     unused, PULL:UP |            |              | PL8A  |               |
    -| PL8B/3   |     unused, PULL:UP |            |              | PL8B  |               |
    -| PL9B/3   |     unused, PULL:UP |            |              | PL9B  |               |
    -| PL9D/3   |     unused, PULL:UP |            |              | PL9D  |               |
    -| PL10B/3  |     unused, PULL:UP |            |              | PL10B |               |
    -| PL10D/3  |     unused, PULL:UP |            |              | PL10D |               |
    -| PL11B/3  |     unused, PULL:UP |            |              | PL11B |               |
    -| PL11D/3  |     unused, PULL:UP |            |              | PL11D |               |
    -| PR2A/1   |     unused, PULL:UP |            |              | PR2A  |               |
    -| PR2C/1   |     unused, PULL:UP |            |              | PR2C  |               |
    -| PR3A/1   |     unused, PULL:UP |            |              | PR3A  |               |
    -| PR3C/1   |     unused, PULL:UP |            |              | PR3C  |               |
    -| PR4A/1   |     unused, PULL:UP |            |              | PR4A  |               |
    -| PR4C/1   |     unused, PULL:UP |            |              | PR4C  |               |
    -| PR5A/1   |     unused, PULL:UP |            |              | PR5A  |               |
    -| PR5C/1   |     unused, PULL:UP |            |              | PR5C  |               |
    -| PR6A/1   |     unused, PULL:UP |            |              | PR6A  |               |
    -| PR6D/1   |     unused, PULL:UP |            |              | PR6D  |               |
    -| PR7A/1   |     unused, PULL:UP |            |              | PR7A  |               |
    -| PR7C/1   |     unused, PULL:UP |            |              | PR7C  |               |
    -| PR7D/1   |     unused, PULL:UP |            |              | PR7D  |               |
    -| PR8A/1   |     unused, PULL:UP |            |              | PR8A  |               |
    -| PR8B/1   |     unused, PULL:UP |            |              | PR8B  |               |
    -| PR8C/1   |     unused, PULL:UP |            |              | PR8C  |               |
    -| PR8D/1   |     unused, PULL:UP |            |              | PR8D  |               |
    -| PR9A/1   |     unused, PULL:UP |            |              | PR9A  |               |
    -| PR9C/1   |     unused, PULL:UP |            |              | PR9C  |               |
    -| PT2D/0   |     unused, PULL:UP |            |              | PT2D  |               |
    -| PT3C/0   |     unused, PULL:UP |            |              | PT3C  |               |
    -| PT3D/0   |     unused, PULL:UP |            |              | PT3D  |               |
    -| PT3E/0   |     unused, PULL:UP |            |              | PT3E  |               |
    -| PT4A/0   |     unused, PULL:UP |            |              | PT4A  |               |
    -| PT4B/0   |     unused, PULL:UP |            |              | PT4B  |               |
    -| PT4C/0   |     unused, PULL:UP |            |              | PT4C  |               |
    -| PT4D/0   |     unused, PULL:UP |            |              | PT4D  |               |
    -| PT4E/0   |     unused, PULL:UP |            |              | PT4E  |               |
    -| PT5C/0   |     unused, PULL:UP |            |              | PT5C  |               |
    -| PT5D/0   |     unused, PULL:UP |            |              | PT5D  |               |
    -| PT6A/0   |     unused, PULL:UP |            |              | PT6A  |               |
    -| PT6C/0   |     unused, PULL:UP |            |              | PT6C  |               |
    -| PT6D/0   |     unused, PULL:UP |            |              | PT6D  |               |
    -| PT7B/0   |     unused, PULL:UP |            |              | PT7B  |               |
    -| PT7C/0   |     unused, PULL:UP |            |              | PT7C  |               |
    -| PT7D/0   |     unused, PULL:UP |            |              | PT7D  |               |
    -| PT7F/0   |     unused, PULL:UP |            |              | PT7F  |               |
    -| PT8A/0   |     unused, PULL:UP |            |              | PT8A  |               |
    -| PT8B/0   |     unused, PULL:UP |            |              | PT8B  |               |
    -| PT8C/0   |     unused, PULL:UP |            |              | PT8C  |               |
    -| PT8D/0   |     unused, PULL:UP |            |              | PT8D  |               |
    -| PT9B/0   |     unused, PULL:UP |            |              | PT9B  |               |
    -| PT9D/0   |     unused, PULL:UP |            |              | PT9D  |               |
    -| TCK/2    |                     |            |              | TCK   | TCK           |
    -| TDI/2    |                     |            |              | TDI   | TDID0         |
    -| TDO/2    |                     |            |              | TDO   | TDO           |
    -| TMS/2    |                     |            |              | TMS   | TMS           |
    -+----------+---------------------+------------+--------------+-------+---------------+
    -
    -
    -List of All Pins' Locate Preferences Based on Final Placement After PAR 
    -to Help Users Lock Down ALL the Pins Easily (by Simply Copy & Paste): 
    -
    -LOCATE  COMP  "CROW[0]"  SITE  "32";
    -LOCATE  COMP  "CROW[1]"  SITE  "34";
    -LOCATE  COMP  "Din[0]"  SITE  "21";
    -LOCATE  COMP  "Din[1]"  SITE  "15";
    -LOCATE  COMP  "Din[2]"  SITE  "14";
    -LOCATE  COMP  "Din[3]"  SITE  "16";
    -LOCATE  COMP  "Din[4]"  SITE  "18";
    -LOCATE  COMP  "Din[5]"  SITE  "17";
    -LOCATE  COMP  "Din[6]"  SITE  "20";
    -LOCATE  COMP  "Din[7]"  SITE  "19";
    -LOCATE  COMP  "Dout[0]"  SITE  "1";
    -LOCATE  COMP  "Dout[1]"  SITE  "7";
    -LOCATE  COMP  "Dout[2]"  SITE  "8";
    -LOCATE  COMP  "Dout[3]"  SITE  "6";
    -LOCATE  COMP  "Dout[4]"  SITE  "4";
    -LOCATE  COMP  "Dout[5]"  SITE  "5";
    -LOCATE  COMP  "Dout[6]"  SITE  "2";
    -LOCATE  COMP  "Dout[7]"  SITE  "3";
    -LOCATE  COMP  "LED"  SITE  "57";
    -LOCATE  COMP  "MAin[0]"  SITE  "23";
    -LOCATE  COMP  "MAin[1]"  SITE  "38";
    -LOCATE  COMP  "MAin[2]"  SITE  "37";
    -LOCATE  COMP  "MAin[3]"  SITE  "47";
    -LOCATE  COMP  "MAin[4]"  SITE  "46";
    -LOCATE  COMP  "MAin[5]"  SITE  "45";
    -LOCATE  COMP  "MAin[6]"  SITE  "49";
    -LOCATE  COMP  "MAin[7]"  SITE  "44";
    -LOCATE  COMP  "MAin[8]"  SITE  "50";
    -LOCATE  COMP  "MAin[9]"  SITE  "51";
    -LOCATE  COMP  "PHI2"  SITE  "39";
    -LOCATE  COMP  "RA[0]"  SITE  "98";
    -LOCATE  COMP  "RA[10]"  SITE  "87";
    -LOCATE  COMP  "RA[11]"  SITE  "79";
    -LOCATE  COMP  "RA[1]"  SITE  "89";
    -LOCATE  COMP  "RA[2]"  SITE  "94";
    -LOCATE  COMP  "RA[3]"  SITE  "97";
    -LOCATE  COMP  "RA[4]"  SITE  "99";
    -LOCATE  COMP  "RA[5]"  SITE  "95";
    -LOCATE  COMP  "RA[6]"  SITE  "91";
    -LOCATE  COMP  "RA[7]"  SITE  "100";
    -LOCATE  COMP  "RA[8]"  SITE  "96";
    -LOCATE  COMP  "RA[9]"  SITE  "85";
    -LOCATE  COMP  "RBA[0]"  SITE  "63";
    -LOCATE  COMP  "RBA[1]"  SITE  "83";
    -LOCATE  COMP  "RCKE"  SITE  "82";
    -LOCATE  COMP  "RCLK"  SITE  "86";
    -LOCATE  COMP  "RDQMH"  SITE  "76";
    -LOCATE  COMP  "RDQML"  SITE  "61";
    -LOCATE  COMP  "RD[0]"  SITE  "64";
    -LOCATE  COMP  "RD[1]"  SITE  "65";
    -LOCATE  COMP  "RD[2]"  SITE  "66";
    -LOCATE  COMP  "RD[3]"  SITE  "67";
    -LOCATE  COMP  "RD[4]"  SITE  "68";
    -LOCATE  COMP  "RD[5]"  SITE  "69";
    -LOCATE  COMP  "RD[6]"  SITE  "70";
    -LOCATE  COMP  "RD[7]"  SITE  "71";
    -LOCATE  COMP  "UFMCLK"  SITE  "58";
    -LOCATE  COMP  "UFMSDI"  SITE  "56";
    -LOCATE  COMP  "UFMSDO"  SITE  "55";
    -LOCATE  COMP  "nCCAS"  SITE  "27";
    -LOCATE  COMP  "nCRAS"  SITE  "43";
    -LOCATE  COMP  "nFWE"  SITE  "22";
    -LOCATE  COMP  "nRCAS"  SITE  "78";
    -LOCATE  COMP  "nRCS"  SITE  "77";
    -LOCATE  COMP  "nRRAS"  SITE  "73";
    -LOCATE  COMP  "nRWE"  SITE  "72";
    -LOCATE  COMP  "nUFMCS"  SITE  "53";
    -
    -
    -
    -
    -
    -PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Mon Aug 16 21:33:36 2021
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html deleted file mode 100644 index ec4ec76..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html +++ /dev/null @@ -1,315 +0,0 @@ - -Place & Route Report - - -
    PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Mon Aug 16 21:33:31 2021
    -
    -C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO640C_impl1.p2t
    -RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir
    -RAM2GS_LCMXO640C_impl1.prf -gui -msgset
    -C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml
    -
    -
    -Preference file: RAM2GS_LCMXO640C_impl1.prf.
    -
    -Cost Table Summary
    -Level/       Number       Worst        Timing       Worst        Timing       Run          NCD
    -Cost [ncd]   Unrouted     Slack        Score        Slack(hold)  Score(hold)  Time         Status
    -----------   --------     -----        ------       -----------  -----------  ----         ------
    -5_1   *      0            1.213        0            0.339        0            06           Completed
    -* : Design saved.
    -
    -Total (real) run time for 1-seed: 6 secs 
    -
    -par done!
    -
    -Note: user must run 'Trace' for timing closure signoff.
    -
    -Lattice Place and Route Report for Design "RAM2GS_LCMXO640C_impl1_map.ncd"
    -Mon Aug 16 21:33:31 2021
    -
    -
    -Best Par Run
    -PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
    -Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir/5_1.ncd RAM2GS_LCMXO640C_impl1.prf
    -Preference file: RAM2GS_LCMXO640C_impl1.prf.
    -Placement level-cost: 5-1.
    -Routing Iterations: 6
    -
    -Loading design for application par from file RAM2GS_LCMXO640C_impl1_map.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO640C
    -Package:     TQFP100
    -Performance: 3
    -Loading device for application par from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    -Package Status:                     Final          Version 1.17.
    -Performance Hardware Data Status: Version 1.124.
    -License checked out.
    -
    -
    -Ignore Preference Error(s):  True
    -
    -Device utilization summary:
    -
    -   PIO (prelim)      67/159          42% used
    -                     67/74           90% bonded
    -   SLICE             65/320          20% used
    -
    -
    -
    -Number of Signals: 252
    -Number of Connections: 618
    -
    -Pin Constraint Summary:
    -   67 out of 67 pins locked (100% locked).
    -
    -The following 4 signals are selected to use the primary clock routing resources:
    -    RCLK_c (driver: RCLK, clk load #: 39)
    -    PHI2_c (driver: PHI2, clk load #: 13)
    -    nCCAS_c (driver: nCCAS, clk load #: 4)
    -    nCRAS_c (driver: nCRAS, clk load #: 7)
    -
    -No signal is selected as secondary clock.
    -
    -No signal is selected as Global Set/Reset.
    -Starting Placer Phase 0.
    -.......
    -Finished Placer Phase 0.  REAL time: 0 secs 
    -
    -Starting Placer Phase 1.
    -...............
    -Placer score = 1105164.
    -Finished Placer Phase 1.  REAL time: 5 secs 
    -
    -Starting Placer Phase 2.
    -.
    -Placer score =  1103986
    -Finished Placer Phase 2.  REAL time: 5 secs 
    -
    -
    -
    -Clock Report
    -
    -Global Clock Resources:
    -  CLK_PIN    : 1 out of 4 (25%)
    -  General PIO: 3 out of 160 (1%)
    -
    -Global Clocks:
    -  PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "86 (PT5B)", clk load = 39
    -  PRIMARY "PHI2_c" from comp "PHI2" on PIO site "39 (PB6C)", clk load = 13
    -  PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "27 (PB2C)", clk load = 4
    -  PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "43 (PB8B)", clk load = 7
    -
    -  PRIMARY  : 4 out of 4 (100%)
    -  SECONDARY: 0 out of 4 (0%)
    -
    -
    -
    -
    -I/O Usage Summary (final):
    -   67 out of 159 (42.1%) PIO sites used.
    -   67 out of 74 (90.5%) bonded PIO sites used.
    -   Number of PIO comps: 67; differential: 0.
    -   Number of Vref pins used: 0.
    -
    -I/O Bank Usage Summary:
    -+----------+----------------+------------+------------+------------+
    -| I/O Bank | Usage          | Bank Vccio | Bank Vref1 | Bank Vref2 |
    -+----------+----------------+------------+------------+------------+
    -| 0        | 18 / 18 (100%) | 3.3V       | -          | -          |
    -| 1        | 18 / 21 ( 85%) | 3.3V       | -          | -          |
    -| 2        | 13 / 14 ( 92%) | -          | -          | -          |
    -| 3        | 18 / 21 ( 85%) | 3.3V       | -          | -          |
    -+----------+----------------+------------+------------+------------+
    -
    -Total placer CPU time: 5 secs 
    -
    -Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd.
    -
    -0 connections routed; 618 unrouted.
    -Starting router resource preassignment
    -WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
    -WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
    -WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
    -
    -Completed router resource preassignment. Real time: 5 secs 
    -
    -Start NBR router at 21:33:36 08/16/21
    -
    -*****************************************************************
    -Info: NBR allows conflicts(one node used by more than one signal)
    -      in the earlier iterations. In each iteration, it tries to  
    -      solve the conflicts while keeping the critical connections 
    -      routed as short as possible. The routing process is said to
    -      be completed when no conflicts exist and all connections   
    -      are routed.                                                
    -Note: NBR uses a different method to calculate timing slacks. The
    -      worst slack and total negative slack may not be the same as
    -      that in TRCE report. You should always run TRCE to verify  
    -      your design.                                               
    -*****************************************************************
    -
    -Start NBR special constraint process at 21:33:36 08/16/21
    -
    -Start NBR section for initial routing at 21:33:36 08/16/21
    -Level 1, iteration 1
    -0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.302ns/0.000ns; real time: 5 secs 
    -Level 2, iteration 1
    -0(0.00%) conflict; 523(84.63%) untouched conns; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.256ns/0.000ns; real time: 5 secs 
    -Level 3, iteration 1
    -0(0.00%) conflict; 511(82.69%) untouched conns; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.228ns/0.000ns; real time: 5 secs 
    -Level 4, iteration 1
    -16(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    -
    -Info: Initial congestion level at 75% usage is 0
    -Info: Initial congestion area  at 75% usage is 0 (0.00%)
    -
    -Start NBR section for normal routing at 21:33:37 08/16/21
    -Level 1, iteration 1
    -0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    -Level 2, iteration 1
    -0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    -Level 3, iteration 1
    -0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    -Level 4, iteration 1
    -5(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    -Level 4, iteration 2
    -2(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    -Level 4, iteration 3
    -0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    -
    -Start NBR section for setup/hold timing optimization with effort level 3 at 21:33:37 08/16/21
    -
    -Start NBR section for re-routing at 21:33:37 08/16/21
    -Level 4, iteration 1
    -0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    -
    -Start NBR section for post-routing at 21:33:37 08/16/21
    -
    -End NBR router with 0 unrouted connection
    -
    -NBR Summary
    ------------
    -  Number of unrouted connections : 0 (0.00%)
    -  Number of connections with timing violations : 0 (0.00%)
    -  Estimated worst slack<setup> : 1.213ns
    -  Timing score<setup> : 0
    ------------
    -Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
    -
    -
    -
    -Total CPU time 6 secs 
    -Total REAL time: 6 secs 
    -Completely routed.
    -End of route.  618 routed (100.00%); 0 unrouted.
    -
    -Hold time timing score: 0, hold timing errors: 0
    -
    -Timing score: 0 
    -
    -Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd.
    -
    -
    -All signals are completely routed.
    -
    -
    -PAR_SUMMARY::Run status = Completed
    -PAR_SUMMARY::Number of unrouted conns = 0
    -PAR_SUMMARY::Worst  slack<setup/<ns>> = 1.213
    -PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
    -PAR_SUMMARY::Worst  slack<hold /<ns>> = 0.339
    -PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
    -PAR_SUMMARY::Number of errors = 0
    -
    -Total CPU  time to completion: 6 secs 
    -Total REAL time to completion: 6 secs 
    -
    -par done!
    -
    -Note: user must run 'Trace' for timing closure signoff.
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html deleted file mode 100644 index 07ed1c1..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html +++ /dev/null @@ -1,83 +0,0 @@ - -Project Summary - - -
    
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    RAM2GS_LCMXO640C project summary
    Module Name:RAM2GS_LCMXO640CSynthesis:Lattice LSE
    Implementation Name:impl1Strategy Name:Strategy1
    Last Process:JEDEC FileState:Passed
    Target Device:LCMXO640C-3T100CDevice Family:MachXO
    Device Type:LCMXO640CPackage Type:TQFP100
    Performance grade:3Operating conditions:COM
    Logic preference file:RAM2GS_LCMXO640C.lpf
    Physical Preference file:impl1/RAM2GS_LCMXO640C_impl1.prf
    Product Version:3.12.0.240.2Patch Version:
    Updated:2021/08/16 21:36:37
    Implementation Location:C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1
    Project File:C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html deleted file mode 100644 index bdf2b16..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html +++ /dev/null @@ -1,2740 +0,0 @@ - -Lattice Map TRACE Report - - -
    Map TRACE Report
    -
    -Loading design for application trce from file ram2gs_lcmxo640c_impl1_map.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO640C
    -Package:     TQFP100
    -Performance: 3
    -Loading device for application trce from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    -Package Status:                     Final          Version 1.17.
    -Performance Hardware Data Status: Version 1.124.
    -Setup and Hold Report
    -
    ---------------------------------------------------------------------------------
    -Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    -Mon Aug 16 21:33:31 2021
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -Report Information
    -------------------
    -Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO640C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.prf 
    -Design file:     ram2gs_lcmxo640c_impl1_map.ncd
    -Preference file: ram2gs_lcmxo640c_impl1.prf
    -Device,speed:    LCMXO640C,3
    -Report level:    verbose report, limited to 1 item per preference
    ---------------------------------------------------------------------------------
    -
    -Preference Summary
    -
    -
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 161.862ns (weighted slack = 323.724ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 12.873ns (21.6% logic, 78.4% route), 7 logic levels. - - Constraint Details: - - 12.873ns physical path delay SLICE_95 to SLICE_19 meets - 175.000ns delay constraint less - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.862ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_95.CLK to SLICE_95.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 e 1.441 SLICE_95.Q1 to SLICE_67.A1 Bank_7 -CTOF_DEL --- 0.371 SLICE_67.A1 to SLICE_67.F1 SLICE_67 -ROUTE 1 e 1.441 SLICE_67.F1 to SLICE_82.C1 n2154 -CTOF_DEL --- 0.371 SLICE_82.C1 to SLICE_82.F1 SLICE_82 -ROUTE 1 e 1.441 SLICE_82.F1 to SLICE_76.B1 n26 -CTOF_DEL --- 0.371 SLICE_76.B1 to SLICE_76.F1 SLICE_76 -ROUTE 4 e 1.441 SLICE_76.F1 to SLICE_89.B0 n1285 -CTOF_DEL --- 0.371 SLICE_89.B0 to SLICE_89.F0 SLICE_89 -ROUTE 3 e 1.441 SLICE_89.F0 to SLICE_18.D1 n2290 -CTOF_DEL --- 0.371 SLICE_18.D1 to SLICE_18.F1 SLICE_18 -ROUTE 3 e 1.441 SLICE_18.F1 to SLICE_90.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 SLICE_90.C0 to SLICE_90.F0 SLICE_90 -ROUTE 2 e 1.441 SLICE_90.F0 to SLICE_19.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.873 (21.6% logic, 78.4% route), 7 logic levels. - -Report: 26.276ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_76 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_77 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 5.575ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i14 (from RCLK_c +) - Destination: FF Data in n8MEGEN_391 (to RCLK_c +) - - Delay: 10.181ns (23.7% logic, 76.3% route), 6 logic levels. - - Constraint Details: - - 10.181ns physical path delay SLICE_7 to SLICE_56 meets - 16.000ns delay constraint less - 0.244ns CE_SET requirement (totaling 15.756ns) by 5.575ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_56: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_7.CLK to SLICE_7.Q0 SLICE_7 (from RCLK_c) -ROUTE 3 e 1.441 SLICE_7.Q0 to SLICE_78.A0 FS_14 -CTOF_DEL --- 0.371 SLICE_78.A0 to SLICE_78.F0 SLICE_78 -ROUTE 3 e 1.441 SLICE_78.F0 to SLICE_73.B1 n10 -CTOF_DEL --- 0.371 SLICE_73.B1 to SLICE_73.F1 SLICE_73 -ROUTE 4 e 0.561 SLICE_73.F1 to SLICE_73.B0 n2300 -CTOF_DEL --- 0.371 SLICE_73.B0 to SLICE_73.F0 SLICE_73 -ROUTE 1 e 1.441 SLICE_73.F0 to SLICE_75.C0 n11 -CTOF_DEL --- 0.371 SLICE_75.C0 to SLICE_75.F0 SLICE_75 -ROUTE 2 e 1.441 SLICE_75.F0 to SLICE_33.D1 n2119 -CTOF_DEL --- 0.371 SLICE_33.D1 to SLICE_33.F1 SLICE_33 -ROUTE 1 e 1.441 SLICE_33.F1 to SLICE_56.CE RCLK_c_enable_7 (to RCLK_c) - -------- - 10.181 (23.7% logic, 76.3% route), 6 logic levels. - -Report: 10.425ns is the minimum period for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_55 and - 5.637ns delay SLICE_55 to RA[10] (totaling 8.141ns) meets - 12.500ns offset RCLK to RA[10] by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_55.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_55.Q0 to 87.PADDO n980 -DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[9] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[9] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_88.C1 to SLICE_88.F1 SLICE_88 -ROUTE 1 e 1.441 SLICE_88.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[8] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[8] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_95.C0 to SLICE_95.F0 SLICE_95 -ROUTE 1 e 1.441 SLICE_95.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[7] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[7] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_97.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_97.C0 to SLICE_97.F0 SLICE_97 -ROUTE 1 e 1.441 SLICE_97.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[6] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[6] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_98.C0 to SLICE_98.F0 SLICE_98 -ROUTE 1 e 1.441 SLICE_98.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[5] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[5] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_98.C1 to SLICE_98.F1 SLICE_98 -ROUTE 1 e 1.441 SLICE_98.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.427ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 6.569ns (69.5% logic, 30.5% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 6.569ns delay SLICE_64 to RA[4] (totaling 9.073ns) meets - 12.500ns offset RCLK to RA[4] by 3.427ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.561 SLICE_64.Q0 to SLICE_64.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_64.C1 to SLICE_64.F1 SLICE_64 -ROUTE 1 e 1.441 SLICE_64.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] - -------- - 6.569 (69.5% logic, 30.5% route), 3 logic levels. - -Report: 9.073ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[3] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[3] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_94.C1 to SLICE_94.F1 SLICE_94 -ROUTE 1 e 1.441 SLICE_94.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[2] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[2] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_95.C1 to SLICE_95.F1 SLICE_95 -ROUTE 1 e 1.441 SLICE_95.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[1] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[1] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C0 nRowColSel -CTOF_DEL --- 0.371 SLICE_94.C0 to SLICE_94.F0 SLICE_94 -ROUTE 1 e 1.441 SLICE_94.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RA[0] (totaling 9.953ns) meets - 12.500ns offset RCLK to RA[0] by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.C1 nRowColSel -CTOF_DEL --- 0.371 SLICE_92.C1 to SLICE_92.F1 SLICE_92 -ROUTE 1 e 1.441 SLICE_92.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_60 and - 5.637ns delay SLICE_60 to nRCS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRCS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_60.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_60.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_34 and - 5.637ns delay SLICE_34 to RCKE (totaling 8.141ns) meets - 12.500ns offset RCLK to RCKE by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_34.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 e 1.441 SLICE_34.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_63 and - 5.637ns delay SLICE_63 to nRWE (totaling 8.141ns) meets - 12.500ns offset RCLK to nRWE by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_63.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_63.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_61 and - 5.637ns delay SLICE_61 to nRRAS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRRAS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_61.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 e 1.441 SLICE_61.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_58 and - 5.637ns delay SLICE_58 to nRCAS (totaling 8.141ns) meets - 12.500ns offset RCLK to nRCAS by 4.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_58.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 e 1.441 SLICE_58.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS - -------- - 5.637 (74.4% logic, 25.6% route), 2 logic levels. - -Report: 8.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RDQMH (totaling 9.953ns) meets - 12.500ns offset RCLK to RDQMH by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.B0 nRowColSel -CTOF_DEL --- 0.371 SLICE_88.B0 to SLICE_88.F0 SLICE_88 -ROUTE 1 e 1.441 SLICE_88.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.547ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. - - Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. - - Constraint Details: - 2.504ns delay RCLK to SLICE_64 and - 7.449ns delay SLICE_64 to RDQML (totaling 9.953ns) meets - 12.500ns offset RCLK to RDQML by 2.547ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 2.504 (42.5% logic, 57.5% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.B0 nRowColSel -CTOF_DEL --- 0.371 SLICE_92.B0 to SLICE_92.F0 SLICE_92 -ROUTE 1 e 1.441 SLICE_92.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML - -------- - 7.449 (61.3% logic, 38.7% route), 3 logic levels. - -Report: 9.953ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.276 ns| 7 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 10.425 ns| 6 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.073 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Setup): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) - --------------------------------------------------------------------------------- -Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:33:31 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO640C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.prf -Design file: ram2gs_lcmxo640c_impl1_map.ncd -Preference file: ram2gs_lcmxo640c_impl1.prf -Device,speed: LCMXO640C,M -Report level: verbose report, limited to 1 item per preference --------------------------------------------------------------------------------- - -Preference Summary - -
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.485ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in ADSubmitted_380 (to PHI2_c -) - - Delay: 0.462ns (56.7% logic, 43.3% route), 2 logic levels. - - Constraint Details: - - 0.462ns physical path delay SLICE_9 to SLICE_9 meets - -0.023ns DIN_HLD and - 0.000ns delay constraint requirement (totaling -0.023ns) by 0.485ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 SLICE_9.CLK to SLICE_9.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 e 0.199 SLICE_9.Q0 to SLICE_9.C0 ADSubmitted -CTOF_DEL --- 0.092 SLICE_9.C0 to SLICE_9.F0 SLICE_9 -ROUTE 1 e 0.001 SLICE_9.F0 to SLICE_9.DI0 n1361 (to PHI2_c) - -------- - 0.462 (56.7% logic, 43.3% route), 2 logic levels. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.377ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i11 (from RCLK_c +) - Destination: FF Data in IS_FSM__i12 (to RCLK_c +) - - Delay: 0.356ns (44.1% logic, 55.9% route), 1 logic levels. - - Constraint Details: - - 0.356ns physical path delay SLICE_72 to SLICE_72 meets - -0.021ns M_HLD and - 0.000ns delay constraint requirement (totaling -0.021ns) by 0.377ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_72: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_72.CLK to SLICE_72.Q0 SLICE_72 (from RCLK_c) -ROUTE 1 e 0.199 SLICE_72.Q0 to SLICE_72.M1 n702 (to RCLK_c) - -------- - 0.356 (44.1% logic, 55.9% route), 1 logic levels. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_55 and - 1.780ns delay SLICE_55 to RA[10] (totaling 2.559ns) meets - 0.000ns hold offset RCLK to RA[10] by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_55.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_55.Q0 to 87.PADDO n980 -DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[9] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[9] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_88.C1 to SLICE_88.F1 SLICE_88 -ROUTE 1 e 0.515 SLICE_88.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[8] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[8] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_95.C0 to SLICE_95.F0 SLICE_95 -ROUTE 1 e 0.515 SLICE_95.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[7] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[7] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_97.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_97.C0 to SLICE_97.F0 SLICE_97 -ROUTE 1 e 0.515 SLICE_97.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[6] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[6] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_98.C0 to SLICE_98.F0 SLICE_98 -ROUTE 1 e 0.515 SLICE_98.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[5] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[5] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_98.C1 to SLICE_98.F1 SLICE_98 -ROUTE 1 e 0.515 SLICE_98.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.850ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 2.071ns (65.5% logic, 34.5% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.071ns delay SLICE_64 to RA[4] (totaling 2.850ns) meets - 0.000ns hold offset RCLK to RA[4] by 2.850ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.199 SLICE_64.Q0 to SLICE_64.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_64.C1 to SLICE_64.F1 SLICE_64 -ROUTE 1 e 0.515 SLICE_64.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] - -------- - 2.071 (65.5% logic, 34.5% route), 3 logic levels. - -Report: 2.850ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[3] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[3] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_94.C1 to SLICE_94.F1 SLICE_94 -ROUTE 1 e 0.515 SLICE_94.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[2] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[2] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_95.C1 to SLICE_95.F1 SLICE_95 -ROUTE 1 e 0.515 SLICE_95.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[1] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[1] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C0 nRowColSel -CTOF_DEL --- 0.092 SLICE_94.C0 to SLICE_94.F0 SLICE_94 -ROUTE 1 e 0.515 SLICE_94.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RA[0] (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RA[0] by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.C1 nRowColSel -CTOF_DEL --- 0.092 SLICE_92.C1 to SLICE_92.F1 SLICE_92 -ROUTE 1 e 0.515 SLICE_92.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_60 and - 1.780ns delay SLICE_60 to nRCS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRCS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_60.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_60.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_34 and - 1.780ns delay SLICE_34 to RCKE (totaling 2.559ns) meets - 0.000ns hold offset RCLK to RCKE by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_34.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 e 0.515 SLICE_34.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_63 and - 1.780ns delay SLICE_63 to nRWE (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRWE by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_63.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_63.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_61 and - 1.780ns delay SLICE_61 to nRRAS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRRAS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_61.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 e 0.515 SLICE_61.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.559ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_58 and - 1.780ns delay SLICE_58 to nRCAS (totaling 2.559ns) meets - 0.000ns hold offset RCLK to nRCAS by 2.559ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_58.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 e 0.515 SLICE_58.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS - -------- - 1.780 (71.1% logic, 28.9% route), 2 logic levels. - -Report: 2.559ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RDQMH (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RDQMH by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.B0 nRowColSel -CTOF_DEL --- 0.092 SLICE_88.B0 to SLICE_88.F0 SLICE_88 -ROUTE 1 e 0.515 SLICE_88.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. - - Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. - - Constraint Details: - 0.779ns delay RCLK to SLICE_64 and - 2.387ns delay SLICE_64 to RDQML (totaling 3.166ns) meets - 0.000ns hold offset RCLK to RDQML by 3.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c - -------- - 0.779 (33.9% logic, 66.1% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.B0 nRowColSel -CTOF_DEL --- 0.092 SLICE_92.B0 to SLICE_92.F0 SLICE_92 -ROUTE 1 e 0.515 SLICE_92.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML - -------- - 2.387 (56.8% logic, 43.2% route), 3 logic levels. - -Report: 3.166ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference(MIN Delays) | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.850 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Hold): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) - - - -Timing summary (Setup and Hold): ---------------- - -Timing errors: 0 (setup), 0 (hold) -Score: 0 (setup), 0 (hold) -Cumulative negative slack: 0 (0+0) --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - - - - -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html deleted file mode 100644 index 1e50adc..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html +++ /dev/null @@ -1,4571 +0,0 @@ - -Lattice TRACE Report - - -
    Place & Route TRACE Report
    -
    -Loading design for application trce from file ram2gs_lcmxo640c_impl1.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO640C
    -Package:     TQFP100
    -Performance: 3
    -Loading device for application trce from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    -Package Status:                     Final          Version 1.17.
    -Performance Hardware Data Status: Version 1.124.
    -Setup and Hold Report
    -
    ---------------------------------------------------------------------------------
    -Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    -Mon Aug 16 21:33:37 2021
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -Report Information
    -------------------
    -Command line:    trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO640C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf 
    -Design file:     ram2gs_lcmxo640c_impl1.ncd
    -Preference file: ram2gs_lcmxo640c_impl1.prf
    -Device,speed:    LCMXO640C,3
    -Report level:    verbose report, limited to 10 items per preference
    ---------------------------------------------------------------------------------
    -
    -Preference Summary
    -
    -
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 161.362ns (weighted slack = 322.724ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 13.373ns (20.8% logic, 79.2% route), 7 logic levels. - - Constraint Details: - - 13.373ns physical path delay SLICE_95 to SLICE_19 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.362ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 -ROUTE 2 1.672 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 13.373 (20.8% logic, 79.2% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R9C8B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.494ns (weighted slack = 322.988ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 13.241ns (21.0% logic, 79.0% route), 7 logic levels. - - Constraint Details: - - 13.241ns physical path delay SLICE_95 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.494ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 1.540 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 13.241 (21.0% logic, 79.0% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R7C8B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.494ns (weighted slack = 322.988ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 13.241ns (21.0% logic, 79.0% route), 7 logic levels. - - Constraint Details: - - 13.241ns physical path delay SLICE_95 to SLICE_88 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.494ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 1.540 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 13.241 (21.0% logic, 79.0% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R4C9A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.945ns (weighted slack = 323.890ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) - - Delay: 12.790ns (21.8% logic, 78.2% route), 7 logic levels. - - Constraint Details: - - 12.790ns physical path delay SLICE_95 to SLICE_23 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.945ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 -ROUTE 2 1.089 R5C7C.F0 to R6C7B.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.790 (21.8% logic, 78.2% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R6C7B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.961ns (weighted slack = 323.922ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in XOR8MEG_381 (to PHI2_c -) - - Delay: 12.774ns (21.8% logic, 78.2% route), 7 logic levels. - - Constraint Details: - - 12.774ns physical path delay SLICE_95 to SLICE_96 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 161.961ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7C.C1 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7C.C1 to R5C7C.F1 SLICE_90 -ROUTE 1 1.073 R5C7C.F1 to R5C8B.CE PHI2_N_114_enable_2 (to PHI2_c) - -------- - 12.774 (21.8% logic, 78.2% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R5C8B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.123ns (weighted slack = 324.246ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in CmdEnable_378 (to PHI2_c -) - - Delay: 12.703ns (21.9% logic, 78.1% route), 7 logic levels. - - Constraint Details: - - 12.703ns physical path delay SLICE_95 to SLICE_18 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.174ns DIN_SET requirement (totaling 174.826ns) by 162.123ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.134 R4C2A.F1 to R6C9A.B1 n1285 -CTOF_DEL --- 0.371 R6C9A.B1 to R6C9A.F1 SLICE_80 -ROUTE 1 0.696 R6C9A.F1 to R6C9A.B0 n2289 -CTOF_DEL --- 0.371 R6C9A.B0 to R6C9A.F0 SLICE_80 -ROUTE 2 2.112 R6C9A.F0 to R5C5A.B0 ADSubmitted_N_234 -CTOF_DEL --- 0.371 R5C5A.B0 to R5C5A.F0 SLICE_18 -ROUTE 1 0.000 R5C5A.F0 to R5C5A.DI0 CmdEnable_N_236 (to PHI2_c) - -------- - 12.703 (21.9% logic, 78.1% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R5C5A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.123ns (weighted slack = 324.246ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i7 (from PHI2_c +) - Destination: FF Data in ADSubmitted_380 (to PHI2_c -) - - Delay: 12.703ns (21.9% logic, 78.1% route), 7 logic levels. - - Constraint Details: - - 12.703ns physical path delay SLICE_95 to SLICE_9 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.174ns DIN_SET requirement (totaling 174.826ns) by 162.123ns - - Physical Path Details: - - Data path SLICE_95 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) -ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 -CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 -ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 -CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.134 R4C2A.F1 to R6C9A.B1 n1285 -CTOF_DEL --- 0.371 R6C9A.B1 to R6C9A.F1 SLICE_80 -ROUTE 1 0.696 R6C9A.F1 to R6C9A.B0 n2289 -CTOF_DEL --- 0.371 R6C9A.B0 to R6C9A.F0 SLICE_80 -ROUTE 2 2.112 R6C9A.F0 to R5C5B.B0 ADSubmitted_N_234 -CTOF_DEL --- 0.371 R5C5B.B0 to R5C5B.F0 SLICE_9 -ROUTE 1 0.000 R5C5B.F0 to R5C5B.DI0 n1361 (to PHI2_c) - -------- - 12.703 (21.9% logic, 78.1% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_95: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R5C5B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.710ns (weighted slack = 325.420ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i5 (from PHI2_c +) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 12.025ns (23.2% logic, 76.8% route), 7 logic levels. - - Constraint Details: - - 12.025ns physical path delay SLICE_97 to SLICE_19 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.710ns - - Physical Path Details: - - Data path SLICE_97 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) -ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 -CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 -ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 -CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 -ROUTE 2 1.672 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 12.025 (23.2% logic, 76.8% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_97: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R9C8B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.842ns (weighted slack = 325.684ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i5 (from PHI2_c +) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 11.893ns (23.4% logic, 76.6% route), 7 logic levels. - - Constraint Details: - - 11.893ns physical path delay SLICE_97 to SLICE_83 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.842ns - - Physical Path Details: - - Data path SLICE_97 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) -ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 -CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 -ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 -CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 1.540 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 11.893 (23.4% logic, 76.6% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_97: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R7C8B.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.842ns (weighted slack = 325.684ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i5 (from PHI2_c +) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 11.893ns (23.4% logic, 76.6% route), 7 logic levels. - - Constraint Details: - - 11.893ns physical path delay SLICE_97 to SLICE_88 meets - 175.000ns delay constraint less - 0.000ns skew and - 0.265ns CE_SET requirement (totaling 174.735ns) by 162.842ns - - Physical Path Details: - - Data path SLICE_97 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) -ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 -CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 -ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 -CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 -ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 -CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 -ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 -CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 -ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 -CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 1.540 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 11.893 (23.4% logic, 76.6% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_97: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 3.671 39.PADDI to R4C9A.CLK PHI2_c - -------- - 3.671 (0.0% logic, 100.0% route), 0 logic levels. - -Report: 27.276ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_76 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 348.000ns - The internal maximum frequency of the following component is 500.000 MHz - - Logical Details: Cell type Pin name Component name - - Destination: FSLICE CLK SLICE_77 - - Delay: 2.000ns -- based on Minimum Pulse Width - -Report: 2.000ns is the minimum period for this preference. - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 6.557ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S_FSM_i4 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 9.262ns (20.9% logic, 79.1% route), 4 logic levels. - - Constraint Details: - - 9.262ns physical path delay SLICE_65 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.557ns - - Physical Path Details: - - Data path SLICE_65 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9C.CLK to R5C9C.Q0 SLICE_65 (from RCLK_c) -ROUTE 7 2.149 R5C9C.Q0 to R3C2A.B1 nRowColSel_N_32 -CTOF_DEL --- 0.371 R3C2A.B1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 -CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 9.262 (20.9% logic, 79.1% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_65: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C9C.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 6.573ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S_FSM_i4 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 9.246ns (20.7% logic, 79.3% route), 4 logic levels. - - Constraint Details: - - 9.246ns physical path delay SLICE_65 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.573ns - - Physical Path Details: - - Data path SLICE_65 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9C.CLK to R5C9C.Q0 SLICE_65 (from RCLK_c) -ROUTE 7 2.149 R5C9C.Q0 to R3C2A.B1 nRowColSel_N_32 -CTOF_DEL --- 0.371 R3C2A.B1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 -CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 9.246 (20.7% logic, 79.3% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_65: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C9C.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 6.866ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i15 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 8.890ns (27.2% logic, 72.8% route), 6 logic levels. - - Constraint Details: - - 8.890ns physical path delay SLICE_7 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 6.866ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R10C7D.CLK to R10C7D.Q1 SLICE_7 (from RCLK_c) -ROUTE 3 1.466 R10C7D.Q1 to R10C8D.B0 FS_15 -CTOF_DEL --- 0.371 R10C8D.B0 to R10C8D.F0 SLICE_78 -ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 -CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 -ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 -CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 -ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 -CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 -ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 -CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 -ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 8.890 (27.2% logic, 72.8% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R10C7D.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 6.963ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S_FSM_i3 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 8.856ns (21.8% logic, 78.2% route), 4 logic levels. - - Constraint Details: - - 8.856ns physical path delay SLICE_66 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.963ns - - Physical Path Details: - - Data path SLICE_66 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9D.CLK to R5C9D.Q0 SLICE_66 (from RCLK_c) -ROUTE 6 1.743 R5C9D.Q0 to R3C2A.D1 nRowColSel_N_33 -CTOF_DEL --- 0.371 R3C2A.D1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 -CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 8.856 (21.8% logic, 78.2% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_66: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C9D.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 6.979ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S_FSM_i3 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 8.840ns (21.7% logic, 78.3% route), 4 logic levels. - - Constraint Details: - - 8.840ns physical path delay SLICE_66 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.979ns - - Physical Path Details: - - Data path SLICE_66 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9D.CLK to R5C9D.Q0 SLICE_66 (from RCLK_c) -ROUTE 6 1.743 R5C9D.Q0 to R3C2A.D1 nRowColSel_N_33 -CTOF_DEL --- 0.371 R3C2A.D1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 -CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 8.840 (21.7% logic, 78.3% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_66: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C9D.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.065ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i14 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 8.691ns (27.8% logic, 72.2% route), 6 logic levels. - - Constraint Details: - - 8.691ns physical path delay SLICE_7 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.065ns - - Physical Path Details: - - Data path SLICE_7 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R10C7D.CLK to R10C7D.Q0 SLICE_7 (from RCLK_c) -ROUTE 3 1.267 R10C7D.Q0 to R10C8D.C0 FS_14 -CTOF_DEL --- 0.371 R10C8D.C0 to R10C8D.F0 SLICE_78 -ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 -CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 -ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 -CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 -ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 -CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 -ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 -CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 -ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 8.691 (27.8% logic, 72.2% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_7: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R10C7D.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.284ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i13 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 8.472ns (28.5% logic, 71.5% route), 6 logic levels. - - Constraint Details: - - 8.472ns physical path delay SLICE_8 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.284ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R10C7C.CLK to R10C7C.Q1 SLICE_8 (from RCLK_c) -ROUTE 3 1.048 R10C7C.Q1 to R10C8D.A0 FS_13 -CTOF_DEL --- 0.371 R10C8D.A0 to R10C8D.F0 SLICE_78 -ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 -CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 -ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 -CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 -ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 -CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 -ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 -CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 -ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 8.472 (28.5% logic, 71.5% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R10C7C.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.601ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS_577__i12 (from RCLK_c +) - Destination: FF Data in LEDEN_392 (to RCLK_c +) - - Delay: 8.155ns (29.6% logic, 70.4% route), 6 logic levels. - - Constraint Details: - - 8.155ns physical path delay SLICE_8 to SLICE_89 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.244ns CE_SET requirement (totaling 15.756ns) by 7.601ns - - Physical Path Details: - - Data path SLICE_8 to SLICE_89: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R10C7C.CLK to R10C7C.Q0 SLICE_8 (from RCLK_c) -ROUTE 3 0.731 R10C7C.Q0 to R10C8D.D0 FS_12 -CTOF_DEL --- 0.371 R10C8D.D0 to R10C8D.F0 SLICE_78 -ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 -CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 -ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 -CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 -ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 -CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 -ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 -CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 -ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) - -------- - 8.155 (29.6% logic, 70.4% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_8: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R10C7C.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_89: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.732ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 8.087ns (23.9% logic, 76.1% route), 4 logic levels. - - Constraint Details: - - 8.087ns physical path delay SLICE_61 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 7.732ns - - Physical Path Details: - - Data path SLICE_61 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 0.974 R3C2A.Q0 to R3C2A.A1 nRRAS_c -CTOF_DEL --- 0.371 R3C2A.A1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 -CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 8.087 (23.9% logic, 76.1% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 7.748ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: FF Data in nRRAS_370 (to RCLK_c +) - - Delay: 8.071ns (23.8% logic, 76.2% route), 4 logic levels. - - Constraint Details: - - 8.071ns physical path delay SLICE_61 to SLICE_61 meets - 16.000ns delay constraint less - 0.000ns skew and - 0.181ns DIN_SET requirement (totaling 15.819ns) by 7.748ns - - Physical Path Details: - - Data path SLICE_61 to SLICE_61: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 0.974 R3C2A.Q0 to R3C2A.A1 nRRAS_c -CTOF_DEL --- 0.371 R3C2A.A1 to R3C2A.F1 SLICE_61 -ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 -CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 -ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 -CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 -ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) - -------- - 8.071 (23.8% logic, 76.2% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 1.425 (0.0% logic, 100.0% route), 0 logic levels. - -Report: 9.443ns is the minimum period for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.999ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_55 and - 5.013ns delay SLICE_55 to RA[10] (totaling 7.501ns) meets - 12.500ns offset RCLK to RA[10] by 4.999ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R2C5A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C5A.CLK to R2C5A.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 0.817 R2C5A.Q0 to 87.PADDO n980 -DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.501ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.088ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 7.924ns (57.6% logic, 42.4% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.924ns delay SLICE_64 to RA[9] (totaling 10.412ns) meets - 12.500ns offset RCLK to RA[9] by 2.088ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.793 R5C9A.Q0 to R4C9A.D1 nRowColSel -CTOF_DEL --- 0.371 R4C9A.D1 to R4C9A.F1 SLICE_88 -ROUTE 1 2.564 R4C9A.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] - -------- - 7.924 (57.6% logic, 42.4% route), 3 logic levels. - -Report: 10.412ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.035ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 7.977ns (57.3% logic, 42.7% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.977ns delay SLICE_64 to RA[8] (totaling 10.465ns) meets - 12.500ns offset RCLK to RA[8] by 2.035ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.750 R5C9A.Q0 to R3C2B.D0 nRowColSel -CTOF_DEL --- 0.371 R3C2B.D0 to R3C2B.F0 SLICE_95 -ROUTE 1 1.660 R3C2B.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] - -------- - 7.977 (57.3% logic, 42.7% route), 3 logic levels. - -Report: 10.465ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.583ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 7.429ns (61.5% logic, 38.5% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.429ns delay SLICE_64 to RA[7] (totaling 9.917ns) meets - 12.500ns offset RCLK to RA[7] by 2.583ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 2.045 R5C9A.Q0 to R2C2A.C0 nRowColSel -CTOF_DEL --- 0.371 R2C2A.C0 to R2C2A.F0 SLICE_97 -ROUTE 1 0.817 R2C2A.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] - -------- - 7.429 (61.5% logic, 38.5% route), 3 logic levels. - -Report: 9.917ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 7.846ns (58.2% logic, 41.8% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.846ns delay SLICE_64 to RA[6] (totaling 10.334ns) meets - 12.500ns offset RCLK to RA[6] by 2.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.753 R5C9A.Q0 to R2C3A.D0 nRowColSel -CTOF_DEL --- 0.371 R2C3A.D0 to R2C3A.F0 SLICE_98 -ROUTE 1 1.526 R2C3A.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] - -------- - 7.846 (58.2% logic, 41.8% route), 3 logic levels. - -Report: 10.334ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.166ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 7.846ns (58.2% logic, 41.8% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.846ns delay SLICE_64 to RA[5] (totaling 10.334ns) meets - 12.500ns offset RCLK to RA[5] by 2.166ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.753 R5C9A.Q0 to R2C3A.D1 nRowColSel -CTOF_DEL --- 0.371 R2C3A.D1 to R2C3A.F1 SLICE_98 -ROUTE 1 1.526 R2C3A.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] - -------- - 7.846 (58.2% logic, 41.8% route), 3 logic levels. - -Report: 10.334ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.742ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 8.270ns (55.2% logic, 44.8% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 8.270ns delay SLICE_64 to RA[4] (totaling 10.758ns) meets - 12.500ns offset RCLK to RA[4] by 1.742ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.702 R5C9A.Q0 to R5C9A.D1 nRowColSel -CTOF_DEL --- 0.371 R5C9A.D1 to R5C9A.F1 SLICE_64 -ROUTE 1 3.001 R5C9A.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] - -------- - 8.270 (55.2% logic, 44.8% route), 3 logic levels. - -Report: 10.758ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.725ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 8.287ns (55.1% logic, 44.9% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 8.287ns delay SLICE_64 to RA[3] (totaling 10.775ns) meets - 12.500ns offset RCLK to RA[3] by 1.725ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 2.198 R5C9A.Q0 to R2C2C.D1 nRowColSel -CTOF_DEL --- 0.371 R2C2C.D1 to R2C2C.F1 SLICE_94 -ROUTE 1 1.522 R2C2C.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] - -------- - 8.287 (55.1% logic, 44.9% route), 3 logic levels. - -Report: 10.775ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.643ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 8.369ns (54.6% logic, 45.4% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 8.369ns delay SLICE_64 to RA[2] (totaling 10.857ns) meets - 12.500ns offset RCLK to RA[2] by 1.643ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.750 R5C9A.Q0 to R3C2B.D1 nRowColSel -CTOF_DEL --- 0.371 R3C2B.D1 to R3C2B.F1 SLICE_95 -ROUTE 1 2.052 R3C2B.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] - -------- - 8.369 (54.6% logic, 45.4% route), 3 logic levels. - -Report: 10.857ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.417ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 8.595ns (53.1% logic, 46.9% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 8.595ns delay SLICE_64 to RA[1] (totaling 11.083ns) meets - 12.500ns offset RCLK to RA[1] by 1.417ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 2.045 R5C9A.Q0 to R2C2C.C0 nRowColSel -CTOF_DEL --- 0.371 R2C2C.C0 to R2C2C.F0 SLICE_94 -ROUTE 1 1.983 R2C2C.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] - -------- - 8.595 (53.1% logic, 46.9% route), 3 logic levels. - -Report: 11.083ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.213ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 8.799ns (51.9% logic, 48.1% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 8.799ns delay SLICE_64 to RA[0] (totaling 11.287ns) meets - 12.500ns offset RCLK to RA[0] by 1.213ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.245 R5C9A.Q0 to R8C9C.D1 nRowColSel -CTOF_DEL --- 0.371 R8C9C.D1 to R8C9C.F1 SLICE_92 -ROUTE 1 2.987 R8C9C.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] - -------- - 8.799 (51.9% logic, 48.1% route), 3 logic levels. - -Report: 11.287ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.999ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_60 and - 5.013ns delay SLICE_60 to nRCS (totaling 7.501ns) meets - 12.500ns offset RCLK to nRCS by 4.999ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R2C9C.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C9C.CLK to R2C9C.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 0.817 R2C9C.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.501ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.999ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_34 and - 5.013ns delay SLICE_34 to RCKE (totaling 7.501ns) meets - 12.500ns offset RCLK to RCKE by 4.999ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R2C7C.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C7C.CLK to R2C7C.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 0.817 R2C7C.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.501ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.359ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 6.653ns (63.1% logic, 36.9% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_63 and - 6.653ns delay SLICE_63 to nRWE (totaling 9.141ns) meets - 12.500ns offset RCLK to nRWE by 3.359ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R10C9C.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R10C9C.CLK to R10C9C.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 2.457 R10C9C.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE - -------- - 6.653 (63.1% logic, 36.9% route), 2 logic levels. - -Report: 9.141ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.325ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 6.687ns (62.7% logic, 37.3% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_61 and - 6.687ns delay SLICE_61 to nRRAS (totaling 9.175ns) meets - 12.500ns offset RCLK to nRRAS by 3.325ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 2.491 R3C2A.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS - -------- - 6.687 (62.7% logic, 37.3% route), 2 logic levels. - -Report: 9.175ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 4.999ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_58 and - 5.013ns delay SLICE_58 to nRCAS (totaling 7.501ns) meets - 12.500ns offset RCLK to nRCAS by 4.999ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R2C9B.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R2C9B.CLK to R2C9B.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 0.817 R2C9B.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS - -------- - 5.013 (83.7% logic, 16.3% route), 2 logic levels. - -Report: 7.501ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.669ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 7.343ns (62.2% logic, 37.8% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 7.343ns delay SLICE_64 to RDQMH (totaling 9.831ns) meets - 12.500ns offset RCLK to RDQMH by 2.669ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.793 R5C9A.Q0 to R4C9A.D0 nRowColSel -CTOF_DEL --- 0.371 R4C9A.D0 to R4C9A.F0 SLICE_88 -ROUTE 1 1.983 R4C9A.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH - -------- - 7.343 (62.2% logic, 37.8% route), 3 logic levels. - -Report: 9.831ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 3.383ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 6.629ns (68.9% logic, 31.1% route), 3 logic levels. - - Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. - - Constraint Details: - 2.488ns delay RCLK to SLICE_64 and - 6.629ns delay SLICE_64 to RDQML (totaling 9.117ns) meets - 12.500ns offset RCLK to RDQML by 3.383ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK -ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c - -------- - 2.488 (42.7% logic, 57.3% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 1.245 R5C9A.Q0 to R8C9C.D0 nRowColSel -CTOF_DEL --- 0.371 R8C9C.D0 to R8C9C.F0 SLICE_92 -ROUTE 1 0.817 R8C9C.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML - -------- - 6.629 (68.9% logic, 31.1% route), 3 logic levels. - -Report: 9.117ns is the minimum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 27.276 ns| 7 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 9.443 ns| 4 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.412 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.465 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.917 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.334 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.334 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.758 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.775 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 10.857 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 11.083 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 11.287 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.141 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.175 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.831 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 12.500 ns| 9.117 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Setup): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 444 connections (71.84% coverage) - --------------------------------------------------------------------------------- -Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Mon Aug 16 21:33:37 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO640C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf -Design file: ram2gs_lcmxo640c_impl1.ncd -Preference file: ram2gs_lcmxo640c_impl1.prf -Device,speed: LCMXO640C,m -Report level: verbose report, limited to 10 items per preference --------------------------------------------------------------------------------- - -Preference Summary - -
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. - -BLOCK ASYNCPATHS -BLOCK RESETPATHS --------------------------------------------------------------------------------- - - -Derating parameters -------------------- -Voltage: 3.300 V - - - -================================================================================ -Preference: PERIOD NET "PHI2_c" 350.000000 ns ; - 113 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.447ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in ADSubmitted_380 (to PHI2_c -) - - Delay: 0.424ns (61.8% logic, 38.2% route), 2 logic levels. - - Constraint Details: - - 0.424ns physical path delay SLICE_9 to SLICE_9 meets - -0.023ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.023ns) by 0.447ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5B.CLK to R5C5B.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 0.162 R5C5B.Q0 to R5C5B.A0 ADSubmitted -CTOF_DEL --- 0.092 R5C5B.A0 to R5C5B.F0 SLICE_9 -ROUTE 1 0.000 R5C5B.F0 to R5C5B.DI0 n1361 (to PHI2_c) - -------- - 0.424 (61.8% logic, 38.2% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.113ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in XOR8MEG_381 (to PHI2_c -) - - Delay: 1.084ns (32.7% logic, 67.3% route), 3 logic levels. - - Constraint Details: - - 1.084ns physical path delay SLICE_18 to SLICE_96 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.113ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable -CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.225 R5C5A.F1 to R5C7C.C1 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R5C7C.C1 to R5C7C.F1 SLICE_90 -ROUTE 1 0.267 R5C7C.F1 to R5C8B.CE PHI2_N_114_enable_2 (to PHI2_c) - -------- - 1.084 (32.7% logic, 67.3% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C8B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.118ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) - - Delay: 1.089ns (32.5% logic, 67.5% route), 3 logic levels. - - Constraint Details: - - 1.089ns physical path delay SLICE_18 to SLICE_23 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.118ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable -CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.225 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R5C7C.C0 to R5C7C.F0 SLICE_90 -ROUTE 2 0.272 R5C7C.F0 to R6C7B.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 1.089 (32.5% logic, 67.5% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R6C7B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.238ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) - FF CmdUFMCLK_386 - - Delay: 1.209ns (29.3% logic, 70.7% route), 3 logic levels. - - Constraint Details: - - 1.209ns physical path delay SLICE_18 to SLICE_83 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.238ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable -CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.225 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 0.392 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 1.209 (29.3% logic, 70.7% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_83: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R7C8B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.238ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) - - Delay: 1.209ns (29.3% logic, 70.7% route), 3 logic levels. - - Constraint Details: - - 1.209ns physical path delay SLICE_18 to SLICE_88 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.238ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable -CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.225 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R5C7A.C0 to R5C7A.F0 SLICE_72 -ROUTE 2 0.392 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) - -------- - 1.209 (29.3% logic, 70.7% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_88: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R4C9A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.270ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted_380 (from PHI2_c -) - Destination: FF Data in CmdEnable_378 (to PHI2_c -) - - Delay: 1.241ns (35.9% logic, 64.1% route), 4 logic levels. - - Constraint Details: - - 1.241ns physical path delay SLICE_9 to SLICE_18 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.270ns - - Physical Path Details: - - Data path SLICE_9 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5B.CLK to R5C5B.Q0 SLICE_9 (from PHI2_c) -ROUTE 2 0.258 R5C5B.Q0 to R5C5B.B1 ADSubmitted -CTOF_DEL --- 0.092 R5C5B.B1 to R5C5B.F1 SLICE_9 -ROUTE 1 0.123 R5C5B.F1 to R5C5D.C1 n2080 -CTOF_DEL --- 0.092 R5C5D.C1 to R5C5D.F1 SLICE_77 -ROUTE 1 0.253 R5C5D.F1 to R5C5D.B0 n2286 -CTOF_DEL --- 0.092 R5C5D.B0 to R5C5D.F0 SLICE_77 -ROUTE 1 0.161 R5C5D.F0 to R5C5A.CE PHI2_N_114_enable_8 (to PHI2_c) - -------- - 1.241 (35.9% logic, 64.1% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_9: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.276ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdEnable_378 (from PHI2_c -) - Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) - - Delay: 1.247ns (28.4% logic, 71.6% route), 3 logic levels. - - Constraint Details: - - 1.247ns physical path delay SLICE_18 to SLICE_19 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.276ns - - Physical Path Details: - - Data path SLICE_18 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) -ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable -CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 -ROUTE 3 0.225 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 -CTOF_DEL --- 0.092 R5C7C.C0 to R5C7C.F0 SLICE_90 -ROUTE 2 0.430 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) - -------- - 1.247 (28.4% logic, 71.6% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_19: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R9C8B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 1.299ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q C1Submitted_379 (from PHI2_c -) - Destination: FF Data in CmdEnable_378 (to PHI2_c -) - - Delay: 1.270ns (35.1% logic, 64.9% route), 4 logic levels. - - Constraint Details: - - 1.270ns physical path delay SLICE_14 to SLICE_18 meets - -0.029ns CE_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.029ns) by 1.299ns - - Physical Path Details: - - Data path SLICE_14 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C5C.CLK to R5C5C.Q0 SLICE_14 (from PHI2_c) -ROUTE 1 0.238 R5C5C.Q0 to R5C5C.A1 C1Submitted -CTOF_DEL --- 0.092 R5C5C.A1 to R5C5C.F1 SLICE_14 -ROUTE 1 0.172 R5C5C.F1 to R5C5D.B1 n2098 -CTOF_DEL --- 0.092 R5C5D.B1 to R5C5D.F1 SLICE_77 -ROUTE 1 0.253 R5C5D.F1 to R5C5D.B0 n2286 -CTOF_DEL --- 0.092 R5C5D.B0 to R5C5D.F0 SLICE_77 -ROUTE 1 0.161 R5C5D.F0 to R5C5A.CE PHI2_N_114_enable_8 (to PHI2_c) - -------- - 1.270 (35.1% logic, 64.9% route), 4 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5C.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_18: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 175.790ns (weighted slack = 351.580ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q XOR8MEG_381 (from PHI2_c -) - Destination: FF Data in RA11_358 (to PHI2_c +) - - Delay: 0.779ns (33.6% logic, 66.4% route), 2 logic levels. - - Constraint Details: - - 0.779ns physical path delay SLICE_96 to SLICE_31 meets - -0.011ns DIN_HLD and - -175.000ns delay constraint less - 0.000ns skew requirement (totaling -175.011ns) by 175.790ns - - Physical Path Details: - - Data path SLICE_96 to SLICE_31: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.170 R5C8B.CLK to R5C8B.Q0 SLICE_96 (from PHI2_c) -ROUTE 1 0.517 R5C8B.Q0 to R2C9A.B0 XOR8MEG -CTOF_DEL --- 0.092 R2C9A.B0 to R2C9A.F0 SLICE_31 -ROUTE 1 0.000 R2C9A.F0 to R2C9A.DI0 RA11_N_180 (to PHI2_c) - -------- - 0.779 (33.6% logic, 66.4% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_96: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C8B.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_31: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R2C9A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 176.484ns (weighted slack = 352.968ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_i3 (from PHI2_c +) - Destination: FF Data in C1Submitted_379 (to PHI2_c -) - - Delay: 1.455ns (23.4% logic, 76.6% route), 3 logic levels. - - Constraint Details: - - 1.455ns physical path delay SLICE_98 to SLICE_14 meets - -0.029ns CE_HLD and - -175.000ns delay constraint less - 0.000ns skew requirement (totaling -175.029ns) by 176.484ns - - Physical Path Details: - - Data path SLICE_98 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C3A.CLK to R2C3A.Q1 SLICE_98 (from PHI2_c) -ROUTE 1 0.382 R2C3A.Q1 to R4C2A.B1 Bank_3 -CTOF_DEL --- 0.092 R4C2A.B1 to R4C2A.F1 SLICE_76 -ROUTE 4 0.556 R4C2A.F1 to R5C6A.B1 n1285 -CTOF_DEL --- 0.092 R5C6A.B1 to R5C6A.F1 SLICE_89 -ROUTE 1 0.176 R5C6A.F1 to R5C5C.CE PHI2_N_114_enable_1 (to PHI2_c) - -------- - 1.455 (23.4% logic, 76.6% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_98: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R2C3A.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -ROUTE 14 1.120 39.PADDI to R5C5C.CLK PHI2_c - -------- - 1.120 (0.0% logic, 100.0% route), 0 logic levels. - - -================================================================================ -Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: PERIOD NET "RCLK_c" 16.000000 ns ; - 395 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i11 (from RCLK_c +) - Destination: FF Data in IS_FSM__i12 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_72 to SLICE_72 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_72: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C7A.CLK to R5C7A.Q0 SLICE_72 (from RCLK_c) -ROUTE 1 0.161 R5C7A.Q0 to R5C7A.M1 n702 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i12 (from RCLK_c +) - Destination: FF Data in IS_FSM__i13 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_72 to SLICE_90 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_72 to SLICE_90: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C7A.CLK to R5C7A.Q1 SLICE_72 (from RCLK_c) -ROUTE 1 0.161 R5C7A.Q1 to R5C7C.M0 n701 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_72: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i7 (from RCLK_c +) - Destination: FF Data in IS_FSM__i8 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_73 to SLICE_73 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_73 to SLICE_73: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R9C8A.CLK to R9C8A.Q0 SLICE_73 (from RCLK_c) -ROUTE 1 0.161 R9C8A.Q0 to R9C8A.M1 n706 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i3 (from RCLK_c +) - Destination: FF Data in IS_FSM__i4 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_74 to SLICE_74 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_74 to SLICE_74: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C6C.CLK to R5C6C.Q0 SLICE_74 (from RCLK_c) -ROUTE 1 0.161 R5C6C.Q0 to R5C6C.M1 n710 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i6 (from RCLK_c +) - Destination: FF Data in IS_FSM__i7 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_75 to SLICE_73 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_75 to SLICE_73: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R9C8D.CLK to R9C8D.Q1 SLICE_75 (from RCLK_c) -ROUTE 1 0.161 R9C8D.Q1 to R9C8A.M0 n707 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_73: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i5 (from RCLK_c +) - Destination: FF Data in IS_FSM__i6 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_75 to SLICE_75 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_75 to SLICE_75: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R9C8D.CLK to R9C8D.Q0 SLICE_75 (from RCLK_c) -ROUTE 1 0.161 R9C8D.Q0 to R9C8D.M1 n708 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_75: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q PHI2r_349 (from RCLK_c +) - Destination: FF Data in PHI2r2_350 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_85 to SLICE_78 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_85 to SLICE_78: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R10C8C.CLK to R10C8C.Q1 SLICE_85 (from RCLK_c) -ROUTE 1 0.161 R10C8C.Q1 to R10C8D.M1 PHI2r (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_85: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R10C8C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_78: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R10C8D.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i2 (from RCLK_c +) - Destination: FF Data in IS_FSM__i3 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_87 to SLICE_74 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_87 to SLICE_74: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C6B.CLK to R5C6B.Q1 SLICE_87 (from RCLK_c) -ROUTE 1 0.161 R5C6B.Q1 to R5C6C.M0 n711 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_87: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C6B.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_74: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.339ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q IS_FSM__i13 (from RCLK_c +) - Destination: FF Data in IS_FSM__i14 (to RCLK_c +) - - Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. - - Constraint Details: - - 0.318ns physical path delay SLICE_90 to SLICE_90 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.339ns - - Physical Path Details: - - Data path SLICE_90 to SLICE_90: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C7C.CLK to R5C7C.Q0 SLICE_90 (from RCLK_c) -ROUTE 1 0.161 R5C7C.Q0 to R5C7C.M1 n700 (to RCLK_c) - -------- - 0.318 (49.4% logic, 50.6% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_90: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.345ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q PHI2r2_350 (from RCLK_c +) - Destination: FF Data in PHI2r3_351 (to RCLK_c +) - - Delay: 0.324ns (48.5% logic, 51.5% route), 1 logic levels. - - Constraint Details: - - 0.324ns physical path delay SLICE_78 to SLICE_85 meets - -0.021ns M_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.021ns) by 0.345ns - - Physical Path Details: - - Data path SLICE_78 to SLICE_85: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R10C8D.CLK to R10C8D.Q1 SLICE_78 (from RCLK_c) -ROUTE 3 0.167 R10C8D.Q1 to R10C8C.M0 PHI2r2 (to RCLK_c) - -------- - 0.324 (48.5% logic, 51.5% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_78: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R10C8D.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_85: - - Name Fanout Delay (ns) Site Resource -ROUTE 39 0.435 86.PADDI to R10C8C.CLK RCLK_c - -------- - 0.435 (0.0% logic, 100.0% route), 0 logic levels. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RA10_373 (from RCLK_c +) - Destination: Port Pad RA[10] - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_55 and - 1.462ns delay SLICE_55 to RA[10] (totaling 1.949ns) meets - 0.000ns hold offset RCLK to RA[10] by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_55: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C5A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_55 to RA[10]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C5A.CLK to R2C5A.Q0 SLICE_55 (from RCLK_c) -ROUTE 1 0.197 R2C5A.Q0 to 87.PADDO n980 -DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.668ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[9] - - Data Path Delay: 2.181ns (62.2% logic, 37.8% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.181ns delay SLICE_64 to RA[9] (totaling 2.668ns) meets - 0.000ns hold offset RCLK to RA[9] by 2.668ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[9]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.199 R5C9A.Q0 to R4C9A.D1 nRowColSel -CTOF_DEL --- 0.092 R4C9A.D1 to R4C9A.F1 SLICE_88 -ROUTE 1 0.625 R4C9A.F1 to 85.PADDO RA_c_9 -DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] - -------- - 2.181 (62.2% logic, 37.8% route), 3 logic levels. - -Report: 2.668ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.689ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[8] - - Data Path Delay: 2.202ns (61.6% logic, 38.4% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.202ns delay SLICE_64 to RA[8] (totaling 2.689ns) meets - 0.000ns hold offset RCLK to RA[8] by 2.689ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[8]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.451 R5C9A.Q0 to R3C2B.D0 nRowColSel -CTOF_DEL --- 0.092 R3C2B.D0 to R3C2B.F0 SLICE_95 -ROUTE 1 0.394 R3C2B.F0 to 96.PADDO RA_c_8 -DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] - -------- - 2.202 (61.6% logic, 38.4% route), 3 logic levels. - -Report: 2.689ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.572ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[7] - - Data Path Delay: 2.085ns (65.1% logic, 34.9% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.085ns delay SLICE_64 to RA[7] (totaling 2.572ns) meets - 0.000ns hold offset RCLK to RA[7] by 2.572ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[7]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.531 R5C9A.Q0 to R2C2A.C0 nRowColSel -CTOF_DEL --- 0.092 R2C2A.C0 to R2C2A.F0 SLICE_97 -ROUTE 1 0.197 R2C2A.F0 to 100.PADDO RA_c_7 -DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] - -------- - 2.085 (65.1% logic, 34.9% route), 3 logic levels. - -Report: 2.572ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.652ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[6] - - Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.165ns delay SLICE_64 to RA[6] (totaling 2.652ns) meets - 0.000ns hold offset RCLK to RA[6] by 2.652ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[6]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.452 R5C9A.Q0 to R2C3A.D0 nRowColSel -CTOF_DEL --- 0.092 R2C3A.D0 to R2C3A.F0 SLICE_98 -ROUTE 1 0.356 R2C3A.F0 to 91.PADDO RA_c_6 -DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] - -------- - 2.165 (62.7% logic, 37.3% route), 3 logic levels. - -Report: 2.652ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.652ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[5] - - Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.165ns delay SLICE_64 to RA[5] (totaling 2.652ns) meets - 0.000ns hold offset RCLK to RA[5] by 2.652ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[5]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.452 R5C9A.Q0 to R2C3A.D1 nRowColSel -CTOF_DEL --- 0.092 R2C3A.D1 to R2C3A.F1 SLICE_98 -ROUTE 1 0.356 R2C3A.F1 to 95.PADDO RA_c_5 -DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] - -------- - 2.165 (62.7% logic, 37.3% route), 3 logic levels. - -Report: 2.652ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.776ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[4] - - Data Path Delay: 2.289ns (59.3% logic, 40.7% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.289ns delay SLICE_64 to RA[4] (totaling 2.776ns) meets - 0.000ns hold offset RCLK to RA[4] by 2.776ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[4]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.178 R5C9A.Q0 to R5C9A.D1 nRowColSel -CTOF_DEL --- 0.092 R5C9A.D1 to R5C9A.F1 SLICE_64 -ROUTE 1 0.754 R5C9A.F1 to 99.PADDO RA_c_4 -DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] - -------- - 2.289 (59.3% logic, 40.7% route), 3 logic levels. - -Report: 2.776ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.772ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[3] - - Data Path Delay: 2.285ns (59.4% logic, 40.6% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.285ns delay SLICE_64 to RA[3] (totaling 2.772ns) meets - 0.000ns hold offset RCLK to RA[3] by 2.772ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[3]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.571 R5C9A.Q0 to R2C2C.D1 nRowColSel -CTOF_DEL --- 0.092 R2C2C.D1 to R2C2C.F1 SLICE_94 -ROUTE 1 0.357 R2C2C.F1 to 97.PADDO RA_c_3 -DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] - -------- - 2.285 (59.4% logic, 40.6% route), 3 logic levels. - -Report: 2.772ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.787ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[2] - - Data Path Delay: 2.300ns (59.0% logic, 41.0% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.300ns delay SLICE_64 to RA[2] (totaling 2.787ns) meets - 0.000ns hold offset RCLK to RA[2] by 2.787ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[2]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.451 R5C9A.Q0 to R3C2B.D1 nRowColSel -CTOF_DEL --- 0.092 R3C2B.D1 to R3C2B.F1 SLICE_95 -ROUTE 1 0.492 R3C2B.F1 to 94.PADDO RA_c_2 -DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] - -------- - 2.300 (59.0% logic, 41.0% route), 3 logic levels. - -Report: 2.787ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.855ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[1] - - Data Path Delay: 2.368ns (57.3% logic, 42.7% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.368ns delay SLICE_64 to RA[1] (totaling 2.855ns) meets - 0.000ns hold offset RCLK to RA[1] by 2.855ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[1]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.531 R5C9A.Q0 to R2C2C.C0 nRowColSel -CTOF_DEL --- 0.092 R2C2C.C0 to R2C2C.F0 SLICE_94 -ROUTE 1 0.480 R2C2C.F0 to 89.PADDO RA_c_1 -DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] - -------- - 2.368 (57.3% logic, 42.7% route), 3 logic levels. - -Report: 2.855ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.893ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RA[0] - - Data Path Delay: 2.406ns (56.4% logic, 43.6% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.406ns delay SLICE_64 to RA[0] (totaling 2.893ns) meets - 0.000ns hold offset RCLK to RA[0] by 2.893ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RA[0]: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.310 R5C9A.Q0 to R8C9C.D1 nRowColSel -CTOF_DEL --- 0.092 R8C9C.D1 to R8C9C.F1 SLICE_92 -ROUTE 1 0.739 R8C9C.F1 to 98.PADDO RA_c_0 -DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] - -------- - 2.406 (56.4% logic, 43.6% route), 3 logic levels. - -Report: 2.893ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCS_369 (from RCLK_c +) - Destination: Port Pad nRCS - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_60 and - 1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets - 0.000ns hold offset RCLK to nRCS by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_60: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C9C.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_60 to nRCS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C9C.CLK to R2C9C.Q0 SLICE_60 (from RCLK_c) -ROUTE 1 0.197 R2C9C.Q0 to 77.PADDO nRCS_c -DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q RCKE_368 (from RCLK_c +) - Destination: Port Pad RCKE - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_34 and - 1.462ns delay SLICE_34 to RCKE (totaling 1.949ns) meets - 0.000ns hold offset RCLK to RCKE by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_34: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C7C.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_34 to RCKE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C7C.CLK to R2C7C.Q0 SLICE_34 (from RCLK_c) -ROUTE 4 0.197 R2C7C.Q0 to 82.PADDO RCKE_c -DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.356ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRWE_372 (from RCLK_c +) - Destination: Port Pad nRWE - - Data Path Delay: 1.869ns (67.7% logic, 32.3% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_63 and - 1.869ns delay SLICE_63 to nRWE (totaling 2.356ns) meets - 0.000ns hold offset RCLK to nRWE by 2.356ns - - Physical Path Details: - - Clock path RCLK to SLICE_63: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R10C9C.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_63 to nRWE: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R10C9C.CLK to R10C9C.Q0 SLICE_63 (from RCLK_c) -ROUTE 1 0.604 R10C9C.Q0 to 72.PADDO nRWE_c -DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE - -------- - 1.869 (67.7% logic, 32.3% route), 2 logic levels. - -Report: 2.356ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.363ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRRAS_370 (from RCLK_c +) - Destination: Port Pad nRRAS - - Data Path Delay: 1.876ns (67.4% logic, 32.6% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_61 and - 1.876ns delay SLICE_61 to nRRAS (totaling 2.363ns) meets - 0.000ns hold offset RCLK to nRRAS by 2.363ns - - Physical Path Details: - - Clock path RCLK to SLICE_61: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R3C2A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_61 to nRRAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) -ROUTE 2 0.611 R3C2A.Q0 to 73.PADDO nRRAS_c -DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS - -------- - 1.876 (67.4% logic, 32.6% route), 2 logic levels. - -Report: 2.363ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 1.949ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRCAS_371 (from RCLK_c +) - Destination: Port Pad nRCAS - - Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_58 and - 1.462ns delay SLICE_58 to nRCAS (totaling 1.949ns) meets - 0.000ns hold offset RCLK to nRCAS by 1.949ns - - Physical Path Details: - - Clock path RCLK to SLICE_58: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R2C9B.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_58 to nRCAS: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R2C9B.CLK to R2C9B.Q0 SLICE_58 (from RCLK_c) -ROUTE 1 0.197 R2C9B.Q0 to 78.PADDO nRCAS_c -DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS - -------- - 1.462 (86.5% logic, 13.5% route), 2 logic levels. - -Report: 1.949ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.523ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQMH - - Data Path Delay: 2.036ns (66.7% logic, 33.3% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 2.036ns delay SLICE_64 to RDQMH (totaling 2.523ns) meets - 0.000ns hold offset RCLK to RDQMH by 2.523ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RDQMH: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.199 R5C9A.Q0 to R4C9A.D0 nRowColSel -CTOF_DEL --- 0.092 R4C9A.D0 to R4C9A.F0 SLICE_88 -ROUTE 1 0.480 R4C9A.F0 to 76.PADDO RDQMH_c -DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH - -------- - 2.036 (66.7% logic, 33.3% route), 3 logic levels. - -Report: 2.523ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; - 1 item scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -Passed: The following path meets requirements by 2.351ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q nRowColSel_375 (from RCLK_c +) - Destination: Port Pad RDQML - - Data Path Delay: 1.864ns (72.8% logic, 27.2% route), 3 logic levels. - - Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. - - Constraint Details: - 0.487ns delay RCLK to SLICE_64 and - 1.864ns delay SLICE_64 to RDQML (totaling 2.351ns) meets - 0.000ns hold offset RCLK to RDQML by 2.351ns - - Physical Path Details: - - Clock path RCLK to SLICE_64: - - Name Fanout Delay (ns) Site Resource -PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK -ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c - -------- - 0.487 (54.2% logic, 45.8% route), 1 logic levels. - - Data path SLICE_64 to RDQML: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) -ROUTE 13 0.310 R5C9A.Q0 to R8C9C.D0 nRowColSel -CTOF_DEL --- 0.092 R8C9C.D0 to R8C9C.F0 SLICE_92 -ROUTE 1 0.197 R8C9C.F0 to 61.PADDO RDQML_c -DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML - -------- - 1.864 (72.8% logic, 27.2% route), 3 logic levels. - -Report: 2.351ns is the maximum offset for this preference. - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - -Report Summary --------------- ----------------------------------------------------------------------------- -Preference(MIN Delays) | Constraint| Actual|Levels ----------------------------------------------------------------------------- - | | | -PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 - | | | -PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 - | | | -PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 - | | | -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | -ns CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.668 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.689 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.572 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.776 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.772 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.787 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.855 ns| 3 - | | | -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.893 ns| 3 - | | | -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.356 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.363 ns| 2 - | | | -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 - | | | -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.523 ns| 3 - | | | -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | -CLKPORT "RCLK" ; | 0.000 ns| 2.351 ns| 3 - | | | -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | -CLKPORT "RCLK" ; | -| -| 0 - | | | ----------------------------------------------------------------------------- - - -All preferences were met. - - -Clock Domains Analysis ------------------------- - -Found 4 clocks: - -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 - No transfer within this clock domain is found - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 - No transfer within this clock domain is found - -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 - Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; - - Data transfers from: - Clock Domain: nCRAS_c Source: nCRAS.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - Clock Domain: PHI2_c Source: PHI2.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - -Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 - Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; - - Data transfers from: - Clock Domain: RCLK_c Source: RCLK.PAD - Not reported because source and destination domains are unrelated. - To report these transfers please refer to preference CLKSKEWDIFF to define - external clock skew between clock ports. - - -Timing summary (Hold): ---------------- - -Timing errors: 0 Score: 0 -Cumulative negative slack: 0 - -Constraints cover 526 paths, 6 nets, and 444 connections (71.84% coverage) - - - -Timing summary (Setup and Hold): ---------------- - -Timing errors: 0 (setup), 0 (hold) -Score: 0 (setup), 0 (hold) -Cumulative negative slack: 0 (0+0) --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - - - - -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_drc.log b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_drc.log deleted file mode 100644 index 7932ec0..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_drc.log +++ /dev/null @@ -1,15 +0,0 @@ -Results of NGD DRC are available in RAM2GS_drc.log. -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... - - -Running DRC... - -DRC complete with no errors or warnings - -Design Results: - 304 blocks expanded -completed the first expansion -All blocks are expanded and NGD expansion is successful. -Writing NGD file RAM2GS_LCMXO640C_impl1.ngd. diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_lse.twr b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_lse.twr deleted file mode 100644 index 0779fdc..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_lse.twr +++ /dev/null @@ -1,311 +0,0 @@ --------------------------------------------------------------------------------- -Lattice Synthesis Timing Report, Version -Mon Aug 16 21:33:30 2021 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. - -Report Information ------------------- -Design: RAM2GS -Constraint file: -Report level: verbose report, limited to 3 items per constraint --------------------------------------------------------------------------------- - - - -================================================================================ -Constraint: create_clock -period 5.000000 -name clk3 [get_nets nCCAS_c] - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCRAS_c] - 0 items scored, 0 timing errors detected. --------------------------------------------------------------------------------- - - -================================================================================ -Constraint: create_clock -period 5.000000 -name clk1 [get_nets PHI2_c] - 122 items scored, 121 timing errors detected. --------------------------------------------------------------------------------- - - -Error: The following path violates requirements by 10.378ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK Bank_i5 (from PHI2_c +) - Destination: FD1P3AX SP CmdUFMCS_385 (to PHI2_c -) - - Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. - - Constraint Details: - - 12.614ns data_path Bank_i5 to CmdUFMCS_385 violates - 2.500ns delay constraint less - 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns - - Path Details: Bank_i5 to CmdUFMCS_385 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) -Route 1 e 1.220 Bank[5] -LUT4 --- 0.390 B to Z i1856_4_lut -Route 1 e 1.220 n2166 -LUT4 --- 0.390 B to Z i12_4_lut -Route 1 e 1.220 n26 -LUT4 --- 0.390 B to Z i13_4_lut -Route 4 e 1.552 n1285 -LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 -Route 3 e 1.483 n2290 -LUT4 --- 0.390 D to Z i3_4_lut -Route 3 e 1.483 XOR8MEG_N_112 -LUT4 --- 0.390 A to Z i2_3_lut_4_lut -Route 3 e 1.483 PHI2_N_114_enable_7 - -------- - 12.614 (23.4% logic, 76.6% route), 7 logic levels. - - -Error: The following path violates requirements by 10.378ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK Bank_i5 (from PHI2_c +) - Destination: FD1P3AX SP CmdUFMSDI_387 (to PHI2_c -) - - Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. - - Constraint Details: - - 12.614ns data_path Bank_i5 to CmdUFMSDI_387 violates - 2.500ns delay constraint less - 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns - - Path Details: Bank_i5 to CmdUFMSDI_387 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) -Route 1 e 1.220 Bank[5] -LUT4 --- 0.390 B to Z i1856_4_lut -Route 1 e 1.220 n2166 -LUT4 --- 0.390 B to Z i12_4_lut -Route 1 e 1.220 n26 -LUT4 --- 0.390 B to Z i13_4_lut -Route 4 e 1.552 n1285 -LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 -Route 3 e 1.483 n2290 -LUT4 --- 0.390 D to Z i3_4_lut -Route 3 e 1.483 XOR8MEG_N_112 -LUT4 --- 0.390 A to Z i2_3_lut_4_lut -Route 3 e 1.483 PHI2_N_114_enable_7 - -------- - 12.614 (23.4% logic, 76.6% route), 7 logic levels. - - -Error: The following path violates requirements by 10.378ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK Bank_i5 (from PHI2_c +) - Destination: FD1P3AX SP CmdUFMCLK_386 (to PHI2_c -) - - Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. - - Constraint Details: - - 12.614ns data_path Bank_i5 to CmdUFMCLK_386 violates - 2.500ns delay constraint less - 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns - - Path Details: Bank_i5 to CmdUFMCLK_386 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) -Route 1 e 1.220 Bank[5] -LUT4 --- 0.390 B to Z i1856_4_lut -Route 1 e 1.220 n2166 -LUT4 --- 0.390 B to Z i12_4_lut -Route 1 e 1.220 n26 -LUT4 --- 0.390 B to Z i13_4_lut -Route 4 e 1.552 n1285 -LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 -Route 3 e 1.483 n2290 -LUT4 --- 0.390 D to Z i3_4_lut -Route 3 e 1.483 XOR8MEG_N_112 -LUT4 --- 0.390 A to Z i2_3_lut_4_lut -Route 3 e 1.483 PHI2_N_114_enable_7 - -------- - 12.614 (23.4% logic, 76.6% route), 7 logic levels. - -Warning: 12.878 ns is the maximum delay for this constraint. - - - -================================================================================ -Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c] - 369 items scored, 244 timing errors detected. --------------------------------------------------------------------------------- - - -Error: The following path violates requirements by 6.291ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK FS_577__i12 (from RCLK_c +) - Destination: FD1P3AX SP LEDEN_392 (to RCLK_c +) - - Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. - - Constraint Details: - - 11.027ns data_path FS_577__i12 to LEDEN_392 violates - 5.000ns delay constraint less - 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns - - Path Details: FS_577__i12 to LEDEN_392 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q FS_577__i12 (from RCLK_c) -Route 3 e 1.603 FS[12] -LUT4 --- 0.390 C to Z i4_4_lut -Route 3 e 1.483 n10 -LUT4 --- 0.390 B to Z i5_3_lut_rep_23 -Route 4 e 1.552 n2300 -LUT4 --- 0.390 B to Z i4_3_lut_4_lut -Route 1 e 1.220 n11 -LUT4 --- 0.390 C to Z i2_4_lut_adj_4 -Route 2 e 1.386 n2119 -LUT4 --- 0.390 C to Z i2_3_lut_3_lut -Route 1 e 1.220 RCLK_c_enable_25 - -------- - 11.027 (23.2% logic, 76.8% route), 6 logic levels. - - -Error: The following path violates requirements by 6.291ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK FS_577__i12 (from RCLK_c +) - Destination: FD1P3AX SP n8MEGEN_391 (to RCLK_c +) - - Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. - - Constraint Details: - - 11.027ns data_path FS_577__i12 to n8MEGEN_391 violates - 5.000ns delay constraint less - 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns - - Path Details: FS_577__i12 to n8MEGEN_391 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q FS_577__i12 (from RCLK_c) -Route 3 e 1.603 FS[12] -LUT4 --- 0.390 C to Z i4_4_lut -Route 3 e 1.483 n10 -LUT4 --- 0.390 B to Z i5_3_lut_rep_23 -Route 4 e 1.552 n2300 -LUT4 --- 0.390 B to Z i4_3_lut_4_lut -Route 1 e 1.220 n11 -LUT4 --- 0.390 C to Z i2_4_lut_adj_4 -Route 2 e 1.386 n2119 -LUT4 --- 0.390 D to Z i1248_4_lut -Route 1 e 1.220 RCLK_c_enable_7 - -------- - 11.027 (23.2% logic, 76.8% route), 6 logic levels. - - -Error: The following path violates requirements by 6.291ns - - Logical Details: Cell type Pin type Cell name (clock net +/-) - - Source: FD1S3AX CK FS_577__i13 (from RCLK_c +) - Destination: FD1P3AX SP LEDEN_392 (to RCLK_c +) - - Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. - - Constraint Details: - - 11.027ns data_path FS_577__i13 to LEDEN_392 violates - 5.000ns delay constraint less - 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns - - Path Details: FS_577__i13 to LEDEN_392 - - Name Fanout Delay (ns) Pins Resource(Cell.Net) -L_CO --- 0.613 CK to Q FS_577__i13 (from RCLK_c) -Route 3 e 1.603 FS[13] -LUT4 --- 0.390 B to Z i4_4_lut -Route 3 e 1.483 n10 -LUT4 --- 0.390 B to Z i5_3_lut_rep_23 -Route 4 e 1.552 n2300 -LUT4 --- 0.390 B to Z i4_3_lut_4_lut -Route 1 e 1.220 n11 -LUT4 --- 0.390 C to Z i2_4_lut_adj_4 -Route 2 e 1.386 n2119 -LUT4 --- 0.390 C to Z i2_3_lut_3_lut -Route 1 e 1.220 RCLK_c_enable_25 - -------- - 11.027 (23.2% logic, 76.8% route), 6 logic levels. - -Warning: 11.291 ns is the maximum delay for this constraint. - - -Timing Report Summary --------------- --------------------------------------------------------------------------------- -Constraint | Constraint| Actual|Levels --------------------------------------------------------------------------------- - | | | -create_clock -period 5.000000 -name | | | -clk3 [get_nets nCCAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk2 [get_nets nCRAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk1 [get_nets PHI2_c] | 5.000 ns| 25.756 ns| 7 * - | | | -create_clock -period 5.000000 -name | | | -clk0 [get_nets RCLK_c] | 5.000 ns| 11.291 ns| 6 * - | | | --------------------------------------------------------------------------------- - - -2 constraints not met. - --------------------------------------------------------------------------------- -Critical Nets | Loads| Errors| % of total --------------------------------------------------------------------------------- -n1285 | 4| 112| 30.68% - | | | -n26 | 1| 70| 19.18% - | | | -RCLK_c_enable_23 | 16| 64| 17.53% - | | | -n2290 | 3| 64| 17.53% - | | | -XOR8MEG_N_112 | 3| 54| 14.79% - | | | -n2119 | 2| 48| 13.15% - | | | -n2166 | 1| 42| 11.51% - | | | --------------------------------------------------------------------------------- - - -Timing summary: ---------------- - -Timing errors: 365 Score: 2309745 - -Constraints cover 495 paths, 177 nets, and 464 connections (66.5% coverage) - - -Peak memory: 52920320 bytes, TRCE: 1425408 bytes, DLYMAN: 163840 bytes -CPU_TIME_REPORT: 0 secs diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html deleted file mode 100644 index 93ec74e..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html +++ /dev/null @@ -1,376 +0,0 @@ - -Lattice Synthesis Timing Report - - -
    Lattice Synthesis Timing Report
    ---------------------------------------------------------------------------------
    -Lattice Synthesis Timing Report, Version  
    -Mon Aug 16 21:33:30 2021
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -Report Information
    -------------------
    -Design:     RAM2GS
    -Constraint file:  
    -Report level:    verbose report, limited to 3 items per constraint
    ---------------------------------------------------------------------------------
    -
    -
    -
    -================================================================================
    -Constraint: create_clock -period 5.000000 -name clk3 [get_nets nCCAS_c]
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCRAS_c]
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Constraint: create_clock -period 5.000000 -name clk1 [get_nets PHI2_c]
    -            122 items scored, 121 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Error:  The following path violates requirements by 10.378ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    -   Destination:    FD1P3AX    SP             CmdUFMCS_385  (to PHI2_c -)
    -
    -   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     12.614ns data_path Bank_i5 to CmdUFMCS_385 violates
    -      2.500ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    -
    - Path Details: Bank_i5 to CmdUFMCS_385
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    -Route         1   e 1.220                                  Bank[5]
    -LUT4        ---     0.390              B to Z              i1856_4_lut
    -Route         1   e 1.220                                  n2166
    -LUT4        ---     0.390              B to Z              i12_4_lut
    -Route         1   e 1.220                                  n26
    -LUT4        ---     0.390              B to Z              i13_4_lut
    -Route         4   e 1.552                                  n1285
    -LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    -Route         3   e 1.483                                  n2290
    -LUT4        ---     0.390              D to Z              i3_4_lut
    -Route         3   e 1.483                                  XOR8MEG_N_112
    -LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    -Route         3   e 1.483                                  PHI2_N_114_enable_7
    -                  --------
    -                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    -
    -
    -Error:  The following path violates requirements by 10.378ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    -   Destination:    FD1P3AX    SP             CmdUFMSDI_387  (to PHI2_c -)
    -
    -   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     12.614ns data_path Bank_i5 to CmdUFMSDI_387 violates
    -      2.500ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    -
    - Path Details: Bank_i5 to CmdUFMSDI_387
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    -Route         1   e 1.220                                  Bank[5]
    -LUT4        ---     0.390              B to Z              i1856_4_lut
    -Route         1   e 1.220                                  n2166
    -LUT4        ---     0.390              B to Z              i12_4_lut
    -Route         1   e 1.220                                  n26
    -LUT4        ---     0.390              B to Z              i13_4_lut
    -Route         4   e 1.552                                  n1285
    -LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    -Route         3   e 1.483                                  n2290
    -LUT4        ---     0.390              D to Z              i3_4_lut
    -Route         3   e 1.483                                  XOR8MEG_N_112
    -LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    -Route         3   e 1.483                                  PHI2_N_114_enable_7
    -                  --------
    -                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    -
    -
    -Error:  The following path violates requirements by 10.378ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    -   Destination:    FD1P3AX    SP             CmdUFMCLK_386  (to PHI2_c -)
    -
    -   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     12.614ns data_path Bank_i5 to CmdUFMCLK_386 violates
    -      2.500ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    -
    - Path Details: Bank_i5 to CmdUFMCLK_386
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    -Route         1   e 1.220                                  Bank[5]
    -LUT4        ---     0.390              B to Z              i1856_4_lut
    -Route         1   e 1.220                                  n2166
    -LUT4        ---     0.390              B to Z              i12_4_lut
    -Route         1   e 1.220                                  n26
    -LUT4        ---     0.390              B to Z              i13_4_lut
    -Route         4   e 1.552                                  n1285
    -LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    -Route         3   e 1.483                                  n2290
    -LUT4        ---     0.390              D to Z              i3_4_lut
    -Route         3   e 1.483                                  XOR8MEG_N_112
    -LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    -Route         3   e 1.483                                  PHI2_N_114_enable_7
    -                  --------
    -                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    -
    -Warning: 12.878 ns is the maximum delay for this constraint.
    -
    -
    -
    -================================================================================
    -Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c]
    -            369 items scored, 244 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Error:  The following path violates requirements by 6.291ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             FS_577__i12  (from RCLK_c +)
    -   Destination:    FD1P3AX    SP             LEDEN_392  (to RCLK_c +)
    -
    -   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     11.027ns data_path FS_577__i12 to LEDEN_392 violates
    -      5.000ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    -
    - Path Details: FS_577__i12 to LEDEN_392
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              FS_577__i12 (from RCLK_c)
    -Route         3   e 1.603                                  FS[12]
    -LUT4        ---     0.390              C to Z              i4_4_lut
    -Route         3   e 1.483                                  n10
    -LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    -Route         4   e 1.552                                  n2300
    -LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    -Route         1   e 1.220                                  n11
    -LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    -Route         2   e 1.386                                  n2119
    -LUT4        ---     0.390              C to Z              i2_3_lut_3_lut
    -Route         1   e 1.220                                  RCLK_c_enable_25
    -                  --------
    -                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    -
    -
    -Error:  The following path violates requirements by 6.291ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             FS_577__i12  (from RCLK_c +)
    -   Destination:    FD1P3AX    SP             n8MEGEN_391  (to RCLK_c +)
    -
    -   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     11.027ns data_path FS_577__i12 to n8MEGEN_391 violates
    -      5.000ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    -
    - Path Details: FS_577__i12 to n8MEGEN_391
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              FS_577__i12 (from RCLK_c)
    -Route         3   e 1.603                                  FS[12]
    -LUT4        ---     0.390              C to Z              i4_4_lut
    -Route         3   e 1.483                                  n10
    -LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    -Route         4   e 1.552                                  n2300
    -LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    -Route         1   e 1.220                                  n11
    -LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    -Route         2   e 1.386                                  n2119
    -LUT4        ---     0.390              D to Z              i1248_4_lut
    -Route         1   e 1.220                                  RCLK_c_enable_7
    -                  --------
    -                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    -
    -
    -Error:  The following path violates requirements by 6.291ns
    -
    - Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    -
    -   Source:         FD1S3AX    CK             FS_577__i13  (from RCLK_c +)
    -   Destination:    FD1P3AX    SP             LEDEN_392  (to RCLK_c +)
    -
    -   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     11.027ns data_path FS_577__i13 to LEDEN_392 violates
    -      5.000ns delay constraint less
    -      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    -
    - Path Details: FS_577__i13 to LEDEN_392
    -
    -   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    -L_CO        ---     0.613             CK to Q              FS_577__i13 (from RCLK_c)
    -Route         3   e 1.603                                  FS[13]
    -LUT4        ---     0.390              B to Z              i4_4_lut
    -Route         3   e 1.483                                  n10
    -LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    -Route         4   e 1.552                                  n2300
    -LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    -Route         1   e 1.220                                  n11
    -LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    -Route         2   e 1.386                                  n2119
    -LUT4        ---     0.390              C to Z              i2_3_lut_3_lut
    -Route         1   e 1.220                                  RCLK_c_enable_25
    -                  --------
    -                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    -
    -Warning: 11.291 ns is the maximum delay for this constraint.
    -
    -
    -Timing Report Summary
    ---------------
    ---------------------------------------------------------------------------------
    -Constraint                              |   Constraint|       Actual|Levels
    ---------------------------------------------------------------------------------
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk3 [get_nets nCCAS_c]                 |            -|            -|     0  
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk2 [get_nets nCRAS_c]                 |            -|            -|     0  
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk1 [get_nets PHI2_c]                  |     5.000 ns|    25.756 ns|     7 *
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk0 [get_nets RCLK_c]                  |     5.000 ns|    11.291 ns|     6 *
    -                                        |             |             |
    ---------------------------------------------------------------------------------
    -
    -
    -2 constraints not met.
    -
    ---------------------------------------------------------------------------------
    -Critical Nets                           |   Loads|  Errors| % of total
    ---------------------------------------------------------------------------------
    -n1285                                   |       4|     112|     30.68%
    -                                        |        |        |
    -n26                                     |       1|      70|     19.18%
    -                                        |        |        |
    -RCLK_c_enable_23                        |      16|      64|     17.53%
    -                                        |        |        |
    -n2290                                   |       3|      64|     17.53%
    -                                        |        |        |
    -XOR8MEG_N_112                           |       3|      54|     14.79%
    -                                        |        |        |
    -n2119                                   |       2|      48|     13.15%
    -                                        |        |        |
    -n2166                                   |       1|      42|     11.51%
    -                                        |        |        |
    ---------------------------------------------------------------------------------
    -
    -
    -Timing summary:
    ----------------
    -
    -Timing errors: 365  Score: 2309745
    -
    -Constraints cover  495 paths, 177 nets, and 464 connections (66.5% coverage)
    -
    -
    -Peak memory: 52920320 bytes, TRCE: 1425408 bytes, DLYMAN: 163840 bytes
    -CPU_TIME_REPORT: 0 secs 
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_prim.v b/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_prim.v deleted file mode 100644 index 9cec3ba..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/RAM2GS_prim.v +++ /dev/null @@ -1,789 +0,0 @@ -// Verilog netlist produced by program LSE : version Diamond (64-bit) 3.12.0.240.2 -// Netlist written on Mon Aug 16 21:33:30 2021 -// -// Verilog Description of module RAM2GS -// - -module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, - LED, RBA, RA, RD, nRCS, RCLK, RCKE, nRWE, nRRAS, - nRCAS, RDQMH, RDQML, nUFMCS, UFMCLK, UFMSDI, UFMSDO) /* synthesis syn_module_defined=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1[8:14]) - input PHI2; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) - input [9:0]MAin; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - input [1:0]CROW; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) - input [7:0]Din; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - output [7:0]Dout; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - input nCCAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) - input nCRAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) - input nFWE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(36[8:12]) - output LED; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(12[9:12]) - output [1:0]RBA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) - output [11:0]RA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - inout [7:0]RD; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - output nRCS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[13:17]) - input RCLK; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) - output RCKE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(45[13:17]) - output nRWE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[45:49]) - output nRRAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[23:28]) - output nRCAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[34:39]) - output RDQMH; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[16:21]) - output RDQML; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[9:14]) - output nUFMCS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(63[13:19]) - output UFMCLK; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(64[13:19]) - output UFMSDI; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(65[13:19]) - input UFMSDO; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(66[8:14]) - - wire PHI2_c /* synthesis is_clock=1, SET_AS_NETWORK=PHI2_c */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) - wire nCCAS_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) - wire nCRAS_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) - wire RCLK_c /* synthesis SET_AS_NETWORK=RCLK_c, is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) - wire nCCAS_N_3 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - wire nCRAS_N_9 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) - wire PHI2_N_114 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(38[6:13]) - - wire GND_net, VCC_net, LEDEN, PHI2r, PHI2r2, PHI2r3, RASr, - RASr2, RASr3, CASr, CASr2, CASr3, FWEr, CBR, Din_c_7, - Din_c_6, Din_c_5, Din_c_4, Din_c_3, Din_c_2, Din_c_1, Din_c_0, - n2131, n33, PHI2_N_114_enable_2, n1; - wire [7:0]Bank; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(31[12:16]) - - wire CROW_c_1, CROW_c_0, MAin_c_9, MAin_c_8, MAin_c_7, MAin_c_6, - MAin_c_5, MAin_c_4, MAin_c_3, MAin_c_2, MAin_c_1, MAin_c_0, - nFWE_c, n8MEGEN, XOR8MEG, RCKEEN, RCKE_c, nRCS_c, nRRAS_c, - nRCAS_c, nRWE_c, RBA_c_1, RBA_c_0, nRowColSel, RA_c, n980; - wire [9:0]RowA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(51[12:16]) - - wire RA_c_9, RA_c_8, RA_c_7, RA_c_6, RA_c_5, RA_c_4, RA_c_3, - RA_c_2, RA_c_1, RA_c_0, RDQML_c, RDQMH_c; - wire [7:0]WRD; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(59[12:15]) - - wire nUFMCS_c, UFMCLK_c, UFMSDI_c, UFMSDO_c, C1Submitted, ADSubmitted, - CmdEnable, CmdSubmitted, Cmdn8MEGEN, CmdUFMCLK, CmdUFMSDI, - CmdUFMCS, InitReady, Ready; - wire [17:0]FS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(86[13:15]) - - wire LED_N_90, RA11_N_180, n2164, n1895, n2294, n4, PHI2_N_114_enable_6, - n1881, RASr2_N_63, RCKE_N_128, nRowColSel_N_35, nRWE_N_178, - RCKEEN_N_126, nRowColSel_N_34, nRowColSel_N_33, nRowColSel_N_32, - nRowColSel_N_28, n1880, n4_adj_1, n2286, RCKEEN_N_117, nRWE_N_174, - RCKEEN_N_116, nRCS_N_135, nRCAS_N_161, nRWE_N_173, nRWE_N_172, - n1377, Ready_N_272, n2287, n26, Ready_N_268, nRCS_N_132, - nRCAS_N_157, nRWE_N_167, RCKEEN_N_115, n2290, n2289, n1361, - n1369, ADSubmitted_N_234, CmdEnable_N_236, C1Submitted_N_225, - XOR8MEG_N_112, n2098, PHI2_N_114_enable_1, n2248, Cmdn8MEGEN_N_248, - RCLK_c_enable_7, n2244, n2117, LEDEN_N_88, RCLK_c_enable_6, - UFMSDO_N_74, n2243, RCLK_c_enable_24, n8MEGEN_N_94, UFMCLK_N_212, - UFMSDI_N_219, n2242, n2114, n2080, PHI2_N_114_enable_7, n12, - n699, n700, n701, n702, n703, n705, n706, n707, n708, - n709, n710, n711, n11, n2076, n2119, n1368, n12_adj_2, - n1878, PHI2_N_114_enable_8, n2308, n2291, n2307, n11_adj_3, - n973, n1135, n78, n79, n80, n81, n82, n83, n84, n85, - n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, - n1348, n50, n1877, RCLK_c_enable_23, n1876, n1875, n2293, - n2306, RCLK_c_enable_4, n2170, RCLK_c_enable_25, RCLK_c_enable_3, - n2128, n2103, n2304, n2386, n1879, n1874, n2310, n974, - n975, n962, n976, n2168, n977, n2245, n978, n2122, n979, - Dout_c, n2166, n2302, n2108, n2301, n2387, n1285, n2300, - n1628, n1627, n2299, n18, n2385, n2309, n2298, n2292, - n2297, n2154, n10, n2296, n2295; - - VHI i2 (.Z(VCC_net)); - INV i1963 (.A(nCCAS_c), .Z(nCCAS_N_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) - FD1S3AX PHI2r2_350 (.D(PHI2r), .CK(RCLK_c), .Q(PHI2r2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam PHI2r2_350.GSR = "ENABLED"; - ORCALUT4 i2_3_lut_4_lut (.A(XOR8MEG_N_112), .B(n2298), .C(n2296), - .D(Din_c_5), .Z(PHI2_N_114_enable_7)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ; - defparam i2_3_lut_4_lut.init = 16'h0800; - ORCALUT4 i1_2_lut_3_lut (.A(FS[11]), .B(n2300), .C(InitReady), .Z(n4)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i1_2_lut_3_lut.init = 16'hfdfd; - FD1S3AX PHI2r3_351 (.D(PHI2r2), .CK(RCLK_c), .Q(PHI2r3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam PHI2r3_351.GSR = "ENABLED"; - FD1S3AX RASr_352 (.D(nCRAS_N_9), .CK(RCLK_c), .Q(RASr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam RASr_352.GSR = "ENABLED"; - FD1S3AX RASr2_353 (.D(RASr), .CK(RCLK_c), .Q(RASr2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam RASr2_353.GSR = "ENABLED"; - FD1S3AX RASr3_354 (.D(RASr2), .CK(RCLK_c), .Q(RASr3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam RASr3_354.GSR = "ENABLED"; - FD1S3AX CASr_355 (.D(nCCAS_N_3), .CK(RCLK_c), .Q(CASr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam CASr_355.GSR = "ENABLED"; - FD1S3AX CASr2_356 (.D(CASr), .CK(RCLK_c), .Q(CASr2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam CASr2_356.GSR = "ENABLED"; - FD1S3AX CASr3_357 (.D(CASr2), .CK(RCLK_c), .Q(CASr3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam CASr3_357.GSR = "ENABLED"; - FD1S3IX RA11_358 (.D(RA11_N_180), .CK(PHI2_c), .CD(n2307), .Q(RA_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam RA11_358.GSR = "ENABLED"; - FD1S3IX RowA_i0 (.D(MAin_c_0), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i0.GSR = "ENABLED"; - FD1S3AX WRD_i0 (.D(Din_c_0), .CK(nCCAS_N_3), .Q(WRD[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i0.GSR = "ENABLED"; - FD1S3AX FWEr_362 (.D(n2306), .CK(nCRAS_N_9), .Q(FWEr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam FWEr_362.GSR = "ENABLED"; - FD1S3AX CBR_363 (.D(nCCAS_N_3), .CK(nCRAS_N_9), .Q(CBR)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam CBR_363.GSR = "ENABLED"; - FD1S3IX ADSubmitted_380 (.D(n1361), .CK(PHI2_N_114), .CD(C1Submitted_N_225), - .Q(ADSubmitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam ADSubmitted_380.GSR = "ENABLED"; - ORCALUT4 MAin_9__I_0_400_i5_3_lut (.A(RowA[4]), .B(MAin_c_4), .C(nRowColSel), - .Z(RA_c_4)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i5_3_lut.init = 16'hcaca; - ORCALUT4 i1_2_lut (.A(FS[10]), .B(n2076), .Z(RCLK_c_enable_6)) /* synthesis lut_function=(A (B)) */ ; - defparam i1_2_lut.init = 16'h8888; - CCU2 FS_577_add_4_10 (.A0(FS[8]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[9]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1877), - .COUT1(n1878), .S0(n87), .S1(n86)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_10.INIT0 = 16'hfaaa; - defparam FS_577_add_4_10.INIT1 = 16'hfaaa; - defparam FS_577_add_4_10.INJECT1_0 = "NO"; - defparam FS_577_add_4_10.INJECT1_1 = "NO"; - FD1S3AX RCKE_368 (.D(RCKE_N_128), .CK(RCLK_c), .Q(RCKE_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(141[9] 144[5]) - defparam RCKE_368.GSR = "ENABLED"; - FD1P3AY nRCS_369 (.D(nRCS_N_132), .SP(RCLK_c_enable_4), .CK(RCLK_c), - .Q(nRCS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam nRCS_369.GSR = "ENABLED"; - FD1S3IX nRowColSel_375 (.D(n1368), .CK(RCLK_c), .CD(n2299), .Q(nRowColSel)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam nRowColSel_375.GSR = "ENABLED"; - ORCALUT4 n1_bdd_4_lut (.A(n1), .B(n1627), .C(nRWE_N_178), .D(nRowColSel_N_35), - .Z(nRWE_N_174)) /* synthesis lut_function=(A (B (C+!(D))+!B (C (D)))+!A (C+!(D))) */ ; - defparam n1_bdd_4_lut.init = 16'hf0dd; - ORCALUT4 i2_3_lut_rep_31 (.A(PHI2r3), .B(CmdSubmitted), .C(PHI2r2), - .Z(n2308)) /* synthesis lut_function=(!(((C)+!B)+!A)) */ ; - defparam i2_3_lut_rep_31.init = 16'h0808; - ORCALUT4 i1_2_lut_2_lut_4_lut (.A(PHI2r3), .B(CmdSubmitted), .C(PHI2r2), - .D(InitReady), .Z(RCLK_c_enable_24)) /* synthesis lut_function=(!(A (B (C (D))+!B (D))+!A (D))) */ ; - defparam i1_2_lut_2_lut_4_lut.init = 16'h08ff; - CCU2 FS_577_add_4_8 (.A0(FS[6]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[7]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1876), - .COUT1(n1877), .S0(n89), .S1(n88)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_8.INIT0 = 16'hfaaa; - defparam FS_577_add_4_8.INIT1 = 16'hfaaa; - defparam FS_577_add_4_8.INJECT1_0 = "NO"; - defparam FS_577_add_4_8.INJECT1_1 = "NO"; - ORCALUT4 i1_4_lut (.A(nRowColSel_N_34), .B(n1), .C(n2304), .D(nRowColSel_N_33), - .Z(n2117)) /* synthesis lut_function=(!(A+(B (C (D))+!B (C+!(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) - defparam i1_4_lut.init = 16'h0544; - ORCALUT4 i3_4_lut (.A(MAin_c_1), .B(MAin_c_0), .C(CmdEnable), .D(n2290), - .Z(XOR8MEG_N_112)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; - defparam i3_4_lut.init = 16'h0040; - ORCALUT4 i4_3_lut_4_lut (.A(FS[11]), .B(n2300), .C(FS[6]), .D(n2168), - .Z(n11)) /* synthesis lut_function=((B+(C+!(D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i4_3_lut_4_lut.init = 16'hfdff; - FD1S3IX S_FSM_i2 (.D(n1135), .CK(RCLK_c), .CD(n2302), .Q(nRowColSel_N_34)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam S_FSM_i2.GSR = "ENABLED"; - ORCALUT4 i1_4_lut_adj_1 (.A(nRowColSel), .B(n1627), .C(nRowColSel_N_28), - .D(nRowColSel_N_32), .Z(n1368)) /* synthesis lut_function=(A (B+!(C (D)))+!A (B+!(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_4_lut_adj_1.init = 16'hcfee; - FD1S3AY nRRAS_370 (.D(n33), .CK(RCLK_c), .Q(nRRAS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam nRRAS_370.GSR = "ENABLED"; - ORCALUT4 i1055_3_lut_4_lut (.A(MAin_c_1), .B(n2290), .C(ADSubmitted), - .D(ADSubmitted_N_234), .Z(n1361)) /* synthesis lut_function=(A (B (C+(D))+!B (D))+!A (C+(D))) */ ; - defparam i1055_3_lut_4_lut.init = 16'hffd0; - ORCALUT4 i2_3_lut (.A(FWEr), .B(CASr3), .C(CBR), .Z(nRowColSel_N_28)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(230[16:37]) - defparam i2_3_lut.init = 16'hfdfd; - BB Dout_pad_7__688 (.I(WRD[7]), .T(n962), .B(RD[7]), .O(n973)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - FD1P3AY nRCAS_371 (.D(nRCAS_N_157), .SP(RCLK_c_enable_4), .CK(RCLK_c), - .Q(nRCAS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam nRCAS_371.GSR = "ENABLED"; - FD1P3AY nRWE_372 (.D(nRWE_N_167), .SP(RCLK_c_enable_3), .CK(RCLK_c), - .Q(nRWE_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam nRWE_372.GSR = "ENABLED"; - FD1S3JX RA10_373 (.D(n2128), .CK(RCLK_c), .PD(nRWE_N_172), .Q(n980)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam RA10_373.GSR = "ENABLED"; - FD1P3AX RCKEEN_374 (.D(RCKEEN_N_115), .SP(RCLK_c_enable_4), .CK(RCLK_c), - .Q(RCKEEN)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam RCKEEN_374.GSR = "ENABLED"; - ORCALUT4 i2_4_lut (.A(n2122), .B(n2295), .C(Din_c_2), .D(n2131), - .Z(C1Submitted_N_225)) /* synthesis lut_function=(!(((C+(D))+!B)+!A)) */ ; - defparam i2_4_lut.init = 16'h0008; - FD1S3IX RBA__i1 (.D(CROW_c_0), .CK(nCRAS_N_9), .CD(n2307), .Q(RBA_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RBA__i1.GSR = "ENABLED"; - ORCALUT4 Din_7__I_0_442_i6_2_lut_rep_32 (.A(Din_c_6), .B(Din_c_7), .Z(n2385)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) - defparam Din_7__I_0_442_i6_2_lut_rep_32.init = 16'heeee; - ORCALUT4 i1248_4_lut (.A(FS[5]), .B(n2308), .C(InitReady), .D(n2119), - .Z(RCLK_c_enable_7)) /* synthesis lut_function=(A (B (C))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) - defparam i1248_4_lut.init = 16'hc5c0; - ORCALUT4 i2_3_lut_4_lut_adj_2 (.A(nRowColSel_N_32), .B(n2299), .C(nRowColSel_N_34), - .D(nRowColSel_N_33), .Z(RCLK_c_enable_4)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i2_3_lut_4_lut_adj_2.init = 16'hfffe; - ORCALUT4 i1437_4_lut (.A(UFMSDO_c), .B(Cmdn8MEGEN), .C(FS[10]), .D(n4), - .Z(n8MEGEN_N_94)) /* synthesis lut_function=(A (B ((D)+!C))+!A (B+!((D)+!C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) - defparam i1437_4_lut.init = 16'hcc5c; - FD1P3AX IS_FSM__i0 (.D(Ready_N_272), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(nRCS_N_135)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i0.GSR = "ENABLED"; - ORCALUT4 i1_2_lut_adj_3 (.A(RASr2), .B(RCKE_c), .Z(nRWE_N_178)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam i1_2_lut_adj_3.init = 16'hbbbb; - ORCALUT4 i2_4_lut_adj_4 (.A(n2294), .B(FS[10]), .C(n11), .D(n12), - .Z(n2119)) /* synthesis lut_function=(!(((C+(D))+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i2_4_lut_adj_4.init = 16'h0008; - FD1P3JX C1Submitted_379 (.D(n2386), .SP(PHI2_N_114_enable_1), .PD(C1Submitted_N_225), - .CK(PHI2_N_114), .Q(C1Submitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam C1Submitted_379.GSR = "ENABLED"; - FD1S3JX nUFMCS_388 (.D(n1348), .CK(RCLK_c), .PD(LEDEN_N_88), .Q(nUFMCS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam nUFMCS_388.GSR = "ENABLED"; - ORCALUT4 m1_lut (.Z(n2387)) /* synthesis lut_function=1, syn_instantiated=1 */ ; - defparam m1_lut.init = 16'hffff; - ORCALUT4 i2_4_lut_adj_5 (.A(n2108), .B(MAin_c_1), .C(C1Submitted), - .D(MAin_c_0), .Z(n2098)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ; - defparam i2_4_lut_adj_5.init = 16'h0800; - ORCALUT4 i5_4_lut (.A(FS[9]), .B(FS[4]), .C(FS[8]), .D(FS[7]), .Z(n12)) /* synthesis lut_function=(A+((C+(D))+!B)) */ ; - defparam i5_4_lut.init = 16'hfffb; - FD1S3AX FS_577__i0 (.D(n95), .CK(RCLK_c), .Q(FS[0])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i0.GSR = "ENABLED"; - ORCALUT4 i2_3_lut_adj_6 (.A(n2122), .B(ADSubmitted), .C(MAin_c_0), - .Z(n2080)) /* synthesis lut_function=(!((B+(C))+!A)) */ ; - defparam i2_3_lut_adj_6.init = 16'h0202; - ORCALUT4 i1419_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQMH_c)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(58[17:46]) - defparam i1419_2_lut.init = 16'hbbbb; - ORCALUT4 n50_bdd_4_lut_1911 (.A(n50), .B(RASr2), .C(RCKE_c), .D(nRowColSel_N_35), - .Z(n2242)) /* synthesis lut_function=(!(A (B (D)+!B (C (D)))+!A (B+(C+!(D))))) */ ; - defparam n50_bdd_4_lut_1911.init = 16'h03aa; - ORCALUT4 i1893_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQML_c)) /* synthesis lut_function=(!(A (B))) */ ; - defparam i1893_2_lut.init = 16'h7777; - FD1S3AX Bank_i0 (.D(Din_c_0), .CK(PHI2_c), .Q(Bank[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i0.GSR = "ENABLED"; - ORCALUT4 i1858_4_lut (.A(FS[1]), .B(FS[0]), .C(FS[2]), .D(FS[3]), - .Z(n2168)) /* synthesis lut_function=(A (B (C (D)))) */ ; - defparam i1858_4_lut.init = 16'h8000; - ORCALUT4 i1_2_lut_3_lut_adj_7 (.A(MAin_c_1), .B(n1285), .C(MAin_c_0), - .Z(n2131)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(80[15:31]) - defparam i1_2_lut_3_lut_adj_7.init = 16'hfdfd; - ORCALUT4 i22_4_lut (.A(FS[4]), .B(CmdUFMCLK), .C(InitReady), .D(n2076), - .Z(UFMCLK_N_212)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) - defparam i22_4_lut.init = 16'hc0ca; - ORCALUT4 i5_4_lut_adj_8 (.A(FS[14]), .B(FS[16]), .C(FS[13]), .D(FS[12]), - .Z(n12_adj_2)) /* synthesis lut_function=(A (B (C (D)))) */ ; - defparam i5_4_lut_adj_8.init = 16'h8000; - ORCALUT4 i1889_4_lut_then_4_lut (.A(n2117), .B(RCKE_c), .C(RASr2), - .D(nRowColSel_N_35), .Z(n2310)) /* synthesis lut_function=(!(A (B+(C+!(D)))+!A (B (D)+!B (C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) - defparam i1889_4_lut_then_4_lut.init = 16'h0355; - ORCALUT4 i1889_4_lut_else_4_lut (.A(InitReady), .B(nRCS_N_135), .C(RASr2), - .D(nRowColSel_N_35), .Z(n2309)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) - defparam i1889_4_lut_else_4_lut.init = 16'hdfff; - CCU2 FS_577_add_4_18 (.A0(FS[16]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[17]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1881), - .S0(n79), .S1(n78)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_18.INIT0 = 16'hfaaa; - defparam FS_577_add_4_18.INIT1 = 16'hfaaa; - defparam FS_577_add_4_18.INJECT1_0 = "NO"; - defparam FS_577_add_4_18.INJECT1_1 = "NO"; - ORCALUT4 UFMSDO_I_0_1_lut (.A(UFMSDO_c), .Z(UFMSDO_N_74)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(378[53:60]) - defparam UFMSDO_I_0_1_lut.init = 16'h5555; - FD1S3IX S_FSM_i3 (.D(n1135), .CK(RCLK_c), .CD(n1377), .Q(nRowColSel_N_33)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam S_FSM_i3.GSR = "ENABLED"; - ORCALUT4 i1897_2_lut_rep_14_3_lut (.A(FS[11]), .B(n2300), .C(InitReady), - .Z(n2291)) /* synthesis lut_function=(!(A+(B+(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i1897_2_lut_rep_14_3_lut.init = 16'h0101; - ORCALUT4 i1878_2_lut_3_lut_4_lut (.A(FS[11]), .B(n2300), .C(FS[10]), - .D(InitReady), .Z(LEDEN_N_88)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i1878_2_lut_3_lut_4_lut.init = 16'h0001; - ORCALUT4 i1884_4_lut (.A(MAin_c_0), .B(n2290), .C(n2286), .D(MAin_c_1), - .Z(PHI2_N_114_enable_8)) /* synthesis lut_function=(!(A (B+(C))+!A (B+(C+!(D))))) */ ; - defparam i1884_4_lut.init = 16'h0302; - FD1S3AX PHI2r_349 (.D(PHI2_c), .CK(RCLK_c), .Q(PHI2r)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) - defparam PHI2r_349.GSR = "ENABLED"; - ORCALUT4 i2_3_lut_rep_21_4_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_5), - .D(Din_c_4), .Z(n2298)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) - defparam i2_3_lut_rep_21_4_lut.init = 16'hfffe; - ORCALUT4 i1830_2_lut_rep_13 (.A(nFWE_c), .B(n1285), .Z(n2290)) /* synthesis lut_function=(A+(B)) */ ; - defparam i1830_2_lut_rep_13.init = 16'heeee; - PFUMX i1912 (.BLUT(n2243), .ALUT(n2242), .C0(Ready), .Z(n2244)); - FD1S3AX S_FSM_i1 (.D(RASr2_N_63), .CK(RCLK_c), .Q(nRowColSel_N_35)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam S_FSM_i1.GSR = "ENABLED"; - ORCALUT4 i1886_2_lut (.A(nRowColSel_N_32), .B(RASr2), .Z(n1135)) /* synthesis lut_function=(!(A+!(B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1886_2_lut.init = 16'h4444; - ORCALUT4 n50_bdd_4_lut (.A(n50), .B(InitReady), .C(RASr2), .D(nRowColSel_N_35), - .Z(n2243)) /* synthesis lut_function=(!(A (B (C (D)))+!A (B (C)))) */ ; - defparam n50_bdd_4_lut.init = 16'h3fbf; - ORCALUT4 i1034_2_lut (.A(ADSubmitted_N_234), .B(C1Submitted_N_225), - .Z(CmdEnable_N_236)) /* synthesis lut_function=(A+(B)) */ ; - defparam i1034_2_lut.init = 16'heeee; - ORCALUT4 i2_3_lut_4_lut_adj_9 (.A(Din_c_6), .B(Din_c_7), .C(XOR8MEG_N_112), - .D(Din_c_4), .Z(PHI2_N_114_enable_6)) /* synthesis lut_function=(!(A+(B+!(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) - defparam i2_3_lut_4_lut_adj_9.init = 16'h1000; - ORCALUT4 i1832_2_lut_rep_19_3_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_4), - .Z(n2296)) /* synthesis lut_function=(A+(B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) - defparam i1832_2_lut_rep_19_3_lut.init = 16'hefef; - FD1S3IX S_FSM_i4 (.D(n1628), .CK(RCLK_c), .CD(RASr2_N_63), .Q(nRowColSel_N_32)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam S_FSM_i4.GSR = "ENABLED"; - ORCALUT4 i1424_2_lut_rep_27 (.A(FWEr), .B(CBR), .Z(n2304)) /* synthesis lut_function=(A+(B)) */ ; - defparam i1424_2_lut_rep_27.init = 16'heeee; - ORCALUT4 i2_3_lut_adj_10 (.A(Din_c_3), .B(Din_c_6), .C(Din_c_5), .Z(n2122)) /* synthesis lut_function=(!(A+((C)+!B))) */ ; - defparam i2_3_lut_adj_10.init = 16'h0404; - ORCALUT4 i1429_2_lut_3_lut (.A(FWEr), .B(CBR), .C(RASr2), .Z(RCKEEN_N_126)) /* synthesis lut_function=(!(A (C)+!A (B (C)))) */ ; - defparam i1429_2_lut_3_lut.init = 16'h1f1f; - ORCALUT4 i4_4_lut (.A(FS[14]), .B(FS[13]), .C(FS[12]), .D(FS[15]), - .Z(n10)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i4_4_lut.init = 16'hfffe; - ORCALUT4 i5_3_lut_rep_23 (.A(FS[16]), .B(n10), .C(FS[17]), .Z(n2300)) /* synthesis lut_function=(A+(B+(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i5_3_lut_rep_23.init = 16'hfefe; - ORCALUT4 i1_4_lut_adj_11 (.A(n2244), .B(n2297), .C(n18), .D(Ready), - .Z(n33)) /* synthesis lut_function=(A+(B (C+!(D))+!B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) - defparam i1_4_lut_adj_11.init = 16'hfaee; - ORCALUT4 i1_2_lut_rep_16_4_lut (.A(FS[16]), .B(n10), .C(FS[17]), .D(FS[11]), - .Z(n2293)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i1_2_lut_rep_16_4_lut.init = 16'hfeff; - ORCALUT4 i3_4_lut_adj_12 (.A(CBR), .B(FWEr), .C(CASr2), .D(CASr3), - .Z(n1)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; - defparam i3_4_lut_adj_12.init = 16'h0040; - ORCALUT4 i1_2_lut_adj_13 (.A(nRowColSel_N_34), .B(nRowColSel_N_33), - .Z(n1627)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_2_lut_adj_13.init = 16'heeee; - ORCALUT4 i1420_2_lut (.A(nCCAS_c), .B(nFWE_c), .Z(n962)) /* synthesis lut_function=(A+(B)) */ ; - defparam i1420_2_lut.init = 16'heeee; - ORCALUT4 i1_1_lut_rep_29 (.A(nFWE_c), .Z(n2306)) /* synthesis lut_function=(!(A)) */ ; - defparam i1_1_lut_rep_29.init = 16'h5555; - ORCALUT4 Cmdn8MEGEN_I_84_4_lut (.A(Din_c_0), .B(n8MEGEN), .C(Din_c_5), - .D(n2296), .Z(Cmdn8MEGEN_N_248)) /* synthesis lut_function=(A (B (C+(D)))+!A (B+!(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(316[13] 322[7]) - defparam Cmdn8MEGEN_I_84_4_lut.init = 16'hccc5; - ORCALUT4 i1069_1_lut (.A(nRowColSel_N_34), .Z(n1377)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1069_1_lut.init = 16'h5555; - ORCALUT4 n2080_bdd_4_lut (.A(n2080), .B(n2098), .C(Din_c_2), .D(n2114), - .Z(n2286)) /* synthesis lut_function=(A (B (D)+!B !(C+!(D)))+!A (B (C (D)))) */ ; - defparam n2080_bdd_4_lut.init = 16'hca00; - ORCALUT4 RASr2_I_0_1_lut (.A(RASr2), .Z(RASr2_N_63)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(143[40:46]) - defparam RASr2_I_0_1_lut.init = 16'h5555; - ORCALUT4 i847_2_lut_4_lut (.A(n2385), .B(Din_c_4), .C(Din_c_5), .D(XOR8MEG_N_112), - .Z(PHI2_N_114_enable_2)) /* synthesis lut_function=(!(A+(B+(C+!(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(310[8:22]) - defparam i847_2_lut_4_lut.init = 16'h0100; - ORCALUT4 i1_4_lut_adj_14 (.A(n2108), .B(MAin_c_0), .C(n4_adj_1), .D(n2289), - .Z(ADSubmitted_N_234)) /* synthesis lut_function=(!((((D)+!C)+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(309[7:24]) - defparam i1_4_lut_adj_14.init = 16'h0080; - ORCALUT4 i1_2_lut_adj_15 (.A(nRowColSel_N_33), .B(CASr2), .Z(n11_adj_3)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(48[6:16]) - defparam i1_2_lut_adj_15.init = 16'hbbbb; - FD1S3AX FS_577__i17 (.D(n78), .CK(RCLK_c), .Q(FS[17])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i17.GSR = "ENABLED"; - ORCALUT4 i1_2_lut_3_lut_3_lut (.A(nFWE_c), .B(Din_c_2), .C(n2114), - .Z(n4_adj_1)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; - defparam i1_2_lut_3_lut_3_lut.init = 16'h4040; - FD1S3AX FS_577__i16 (.D(n79), .CK(RCLK_c), .Q(FS[16])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i16.GSR = "ENABLED"; - FD1S3AX FS_577__i15 (.D(n80), .CK(RCLK_c), .Q(FS[15])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i15.GSR = "ENABLED"; - ORCALUT4 nRWE_I_0_428_4_lut (.A(n2164), .B(nRWE_N_174), .C(Ready), - .D(n2292), .Z(nRWE_N_167)) /* synthesis lut_function=(A (B (C+(D))+!B !(C+!(D)))+!A (B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(232[12] 284[6]) - defparam nRWE_I_0_428_4_lut.init = 16'hcfc5; - ORCALUT4 i1257_3_lut (.A(n1895), .B(CmdUFMSDI), .C(InitReady), .Z(UFMSDI_N_219)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) - defparam i1257_3_lut.init = 16'hcaca; - ORCALUT4 RCKE_I_0_423_4_lut (.A(RASr), .B(RCKEEN), .C(RASr2), .D(RASr3), - .Z(RCKE_N_128)) /* synthesis lut_function=(A (B+!(C+!(D)))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(143[11:55]) - defparam RCKE_I_0_423_4_lut.init = 16'hcfc8; - FD1P3AX InitReady_367 (.D(n2387), .SP(RCLK_c_enable_6), .CK(RCLK_c), - .Q(InitReady)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(134[9] 138[5]) - defparam InitReady_367.GSR = "ENABLED"; - ORCALUT4 i1_2_lut_adj_16 (.A(nRowColSel_N_32), .B(nRowColSel_N_33), - .Z(n1628)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_2_lut_adj_16.init = 16'heeee; - ORCALUT4 i1854_2_lut (.A(nRCAS_N_161), .B(nRWE_N_173), .Z(n2164)) /* synthesis lut_function=(A+(B)) */ ; - defparam i1854_2_lut.init = 16'heeee; - ORCALUT4 i1_2_lut_rep_17_4_lut (.A(FS[16]), .B(n10), .C(FS[17]), .D(FS[11]), - .Z(n2294)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) - defparam i1_2_lut_rep_17_4_lut.init = 16'hfffe; - ORCALUT4 i1881_2_lut_rep_24 (.A(RASr2), .B(InitReady), .Z(n2301)) /* synthesis lut_function=(!(A (B))) */ ; - defparam i1881_2_lut_rep_24.init = 16'h7777; - GSR GSR_INST (.GSR(VCC_net)); - ORCALUT4 i1_2_lut_rep_18_2_lut (.A(nFWE_c), .B(n2114), .Z(n2295)) /* synthesis lut_function=(!(A+!(B))) */ ; - defparam i1_2_lut_rep_18_2_lut.init = 16'h4444; - FD1S3AX FS_577__i14 (.D(n81), .CK(RCLK_c), .Q(FS[14])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i14.GSR = "ENABLED"; - ORCALUT4 i2_3_lut_3_lut (.A(InitReady), .B(FS[5]), .C(n2119), .Z(RCLK_c_enable_25)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(384[16:26]) - defparam i2_3_lut_3_lut.init = 16'h4040; - ORCALUT4 i2_3_lut_adj_17 (.A(Din_c_6), .B(Din_c_5), .C(Din_c_3), .Z(n2108)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(309[7:24]) - defparam i2_3_lut_adj_17.init = 16'h4040; - ORCALUT4 i2_4_lut_adj_18 (.A(FS[6]), .B(n2293), .C(n2103), .D(FS[10]), - .Z(n1895)) /* synthesis lut_function=(!((B+((D)+!C))+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam i2_4_lut_adj_18.init = 16'h0020; - ORCALUT4 i1_4_lut_adj_19 (.A(FS[8]), .B(FS[7]), .C(FS[5]), .D(FS[9]), - .Z(n2103)) /* synthesis lut_function=(!(A+(B (D)+!B !(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(339[4] 372[11]) - defparam i1_4_lut_adj_19.init = 16'h1044; - FD1P3AX XOR8MEG_381 (.D(Din_c_0), .SP(PHI2_N_114_enable_2), .CK(PHI2_N_114), - .Q(XOR8MEG)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam XOR8MEG_381.GSR = "ENABLED"; - FD1P3AX n8MEGEN_391 (.D(n8MEGEN_N_94), .SP(RCLK_c_enable_7), .CK(RCLK_c), - .Q(n8MEGEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam n8MEGEN_391.GSR = "ENABLED"; - FD1P3AX Ready_377 (.D(n2387), .SP(Ready_N_268), .CK(RCLK_c), .Q(Ready)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam Ready_377.GSR = "ENABLED"; - ORCALUT4 MAin_9__I_0_400_i2_3_lut (.A(RowA[1]), .B(MAin_c_1), .C(nRowColSel), - .Z(RA_c_1)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i2_3_lut.init = 16'hcaca; - FD1P3AX CmdUFMCLK_386 (.D(Din_c_1), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), - .Q(CmdUFMCLK)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam CmdUFMCLK_386.GSR = "ENABLED"; - FD1P3AX CmdUFMSDI_387 (.D(Din_c_0), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), - .Q(CmdUFMSDI)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam CmdUFMSDI_387.GSR = "ENABLED"; - FD1P3AX Cmdn8MEGEN_383 (.D(Cmdn8MEGEN_N_248), .SP(PHI2_N_114_enable_6), - .CK(PHI2_N_114), .Q(Cmdn8MEGEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam Cmdn8MEGEN_383.GSR = "ENABLED"; - FD1P3AX CmdSubmitted_384 (.D(n2387), .SP(PHI2_N_114_enable_6), .CK(PHI2_N_114), - .Q(CmdSubmitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam CmdSubmitted_384.GSR = "ENABLED"; - CCU2 FS_577_add_4_6 (.A0(FS[4]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[5]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1875), - .COUT1(n1876), .S0(n91), .S1(n90)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_6.INIT0 = 16'hfaaa; - defparam FS_577_add_4_6.INIT1 = 16'hfaaa; - defparam FS_577_add_4_6.INJECT1_0 = "NO"; - defparam FS_577_add_4_6.INJECT1_1 = "NO"; - FD1P3AX CmdUFMCS_385 (.D(Din_c_2), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), - .Q(CmdUFMCS)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam CmdUFMCS_385.GSR = "ENABLED"; - FD1S3AX FS_577__i13 (.D(n82), .CK(RCLK_c), .Q(FS[13])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i13.GSR = "ENABLED"; - ORCALUT4 i1875_2_lut (.A(nCRAS_c), .B(LEDEN), .Z(LED_N_90)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(13[15:34]) - defparam i1875_2_lut.init = 16'hbbbb; - FD1S3AX FS_577__i12 (.D(n83), .CK(RCLK_c), .Q(FS[12])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i12.GSR = "ENABLED"; - PFUMX RCKEEN_I_0_419 (.BLUT(RCKEEN_N_117), .ALUT(RCKEEN_N_126), .C0(nRowColSel_N_35), - .Z(RCKEEN_N_116)); - ORCALUT4 i1856_4_lut (.A(Bank[0]), .B(Bank[5]), .C(MAin_c_2), .D(Bank[6]), - .Z(n2166)) /* synthesis lut_function=(A (B (C (D)))) */ ; - defparam i1856_4_lut.init = 16'h8000; - FD1S3AX FS_577__i11 (.D(n84), .CK(RCLK_c), .Q(FS[11])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i11.GSR = "ENABLED"; - ORCALUT4 i1844_2_lut (.A(Bank[7]), .B(MAin_c_4), .Z(n2154)) /* synthesis lut_function=(A (B)) */ ; - defparam i1844_2_lut.init = 16'h8888; - ORCALUT4 RA11_I_53_3_lut (.A(Din_c_6), .B(XOR8MEG), .C(n8MEGEN), .Z(RA11_N_180)) /* synthesis lut_function=(A (B (C)+!B !(C))+!A (B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(99[22:51]) - defparam RA11_I_53_3_lut.init = 16'hc6c6; - ORCALUT4 Ready_bdd_3_lut_1922 (.A(nRCAS_N_161), .B(nRCS_N_135), .C(InitReady), - .Z(n2248)) /* synthesis lut_function=(A+(B+!(C))) */ ; - defparam Ready_bdd_3_lut_1922.init = 16'hefef; - FD1P3IX UFMSDI_390 (.D(UFMSDI_N_219), .SP(RCLK_c_enable_24), .CD(n2291), - .CK(RCLK_c), .Q(UFMSDI_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam UFMSDI_390.GSR = "ENABLED"; - ORCALUT4 MAin_9__I_0_400_i10_3_lut (.A(RowA[9]), .B(MAin_c_9), .C(nRowColSel), - .Z(RA_c_9)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i10_3_lut.init = 16'hcaca; - ORCALUT4 i604_1_lut_rep_30 (.A(Ready), .Z(n2307)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam i604_1_lut_rep_30.init = 16'h5555; - FD1S3AX FS_577__i10 (.D(n85), .CK(RCLK_c), .Q(FS[10])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i10.GSR = "ENABLED"; - FD1S3AX FS_577__i9 (.D(n86), .CK(RCLK_c), .Q(FS[9])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i9.GSR = "ENABLED"; - FD1S3AX FS_577__i8 (.D(n87), .CK(RCLK_c), .Q(FS[8])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i8.GSR = "ENABLED"; - FD1S3AX FS_577__i7 (.D(n88), .CK(RCLK_c), .Q(FS[7])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i7.GSR = "ENABLED"; - FD1S3AX FS_577__i6 (.D(n89), .CK(RCLK_c), .Q(FS[6])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i6.GSR = "ENABLED"; - FD1S3AX FS_577__i5 (.D(n90), .CK(RCLK_c), .Q(FS[5])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i5.GSR = "ENABLED"; - FD1S3AX FS_577__i4 (.D(n91), .CK(RCLK_c), .Q(FS[4])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i4.GSR = "ENABLED"; - FD1S3AX FS_577__i3 (.D(n92), .CK(RCLK_c), .Q(FS[3])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i3.GSR = "ENABLED"; - FD1S3AX FS_577__i2 (.D(n93), .CK(RCLK_c), .Q(FS[2])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i2.GSR = "ENABLED"; - FD1S3AX FS_577__i1 (.D(n94), .CK(RCLK_c), .Q(FS[1])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577__i1.GSR = "ENABLED"; - FD1P3AX IS_FSM__i15 (.D(n699), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(Ready_N_272)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i15.GSR = "ENABLED"; - FD1P3AX IS_FSM__i14 (.D(n700), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n699)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i14.GSR = "ENABLED"; - FD1P3AX IS_FSM__i13 (.D(n701), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n700)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i13.GSR = "ENABLED"; - FD1P3AX IS_FSM__i12 (.D(n702), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n701)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i12.GSR = "ENABLED"; - FD1P3AX IS_FSM__i11 (.D(n703), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n702)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i11.GSR = "ENABLED"; - FD1P3AX IS_FSM__i10 (.D(nRWE_N_173), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n703)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i10.GSR = "ENABLED"; - FD1P3AX IS_FSM__i9 (.D(n705), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(nRWE_N_173)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i9.GSR = "ENABLED"; - FD1P3AX IS_FSM__i8 (.D(n706), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n705)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i8.GSR = "ENABLED"; - FD1P3AX IS_FSM__i7 (.D(n707), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n706)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i7.GSR = "ENABLED"; - FD1P3AX IS_FSM__i6 (.D(n708), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n707)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i6.GSR = "ENABLED"; - FD1P3AX IS_FSM__i5 (.D(n709), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n708)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i5.GSR = "ENABLED"; - FD1P3AX IS_FSM__i4 (.D(n710), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n709)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i4.GSR = "ENABLED"; - FD1P3AX IS_FSM__i3 (.D(n711), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n710)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i3.GSR = "ENABLED"; - FD1P3AX IS_FSM__i2 (.D(nRCAS_N_161), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(n711)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i2.GSR = "ENABLED"; - FD1P3AX IS_FSM__i1 (.D(nRCS_N_135), .SP(RCLK_c_enable_23), .CK(RCLK_c), - .Q(nRCAS_N_161)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) - defparam IS_FSM__i1.GSR = "ENABLED"; - FD1S3IX RBA__i2 (.D(CROW_c_1), .CK(nCRAS_N_9), .CD(n2307), .Q(RBA_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RBA__i2.GSR = "ENABLED"; - FD1S3AX WRD_i7 (.D(Din_c_7), .CK(nCCAS_N_3), .Q(WRD[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i7.GSR = "ENABLED"; - FD1S3AX WRD_i6 (.D(Din_c_6), .CK(nCCAS_N_3), .Q(WRD[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i6.GSR = "ENABLED"; - FD1S3AX WRD_i5 (.D(Din_c_5), .CK(nCCAS_N_3), .Q(WRD[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i5.GSR = "ENABLED"; - FD1S3AX WRD_i4 (.D(Din_c_4), .CK(nCCAS_N_3), .Q(WRD[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i4.GSR = "ENABLED"; - FD1S3AX WRD_i3 (.D(Din_c_3), .CK(nCCAS_N_3), .Q(WRD[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i3.GSR = "ENABLED"; - FD1S3AX WRD_i2 (.D(Din_c_2), .CK(nCCAS_N_3), .Q(WRD[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i2.GSR = "ENABLED"; - FD1S3AX WRD_i1 (.D(Din_c_1), .CK(nCCAS_N_3), .Q(WRD[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) - defparam WRD_i1.GSR = "ENABLED"; - FD1S3JX RowA_i9 (.D(MAin_c_9), .CK(nCRAS_N_9), .PD(n2307), .Q(RowA[9])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i9.GSR = "ENABLED"; - FD1S3IX RowA_i8 (.D(MAin_c_8), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[8])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i8.GSR = "ENABLED"; - FD1S3IX RowA_i7 (.D(MAin_c_7), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i7.GSR = "ENABLED"; - FD1S3IX RowA_i6 (.D(MAin_c_6), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i6.GSR = "ENABLED"; - FD1S3JX RowA_i5 (.D(MAin_c_5), .CK(nCRAS_N_9), .PD(n2307), .Q(RowA[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i5.GSR = "ENABLED"; - FD1S3IX RowA_i4 (.D(MAin_c_4), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i4.GSR = "ENABLED"; - FD1S3IX RowA_i3 (.D(MAin_c_3), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i3.GSR = "ENABLED"; - FD1S3IX RowA_i2 (.D(MAin_c_2), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i2.GSR = "ENABLED"; - FD1S3IX RowA_i1 (.D(MAin_c_1), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) - defparam RowA_i1.GSR = "ENABLED"; - FD1S3AX Bank_i7 (.D(Din_c_7), .CK(PHI2_c), .Q(Bank[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i7.GSR = "ENABLED"; - ORCALUT4 i1_2_lut_rep_12 (.A(MAin_c_1), .B(n1285), .Z(n2289)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(80[15:31]) - defparam i1_2_lut_rep_12.init = 16'hdddd; - ORCALUT4 i1_2_lut_rep_15_3_lut_4_lut_4_lut (.A(nRowColSel_N_35), .B(nRCS_N_135), - .C(InitReady), .D(RASr2), .Z(n2292)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_2_lut_rep_15_3_lut_4_lut_4_lut.init = 16'hdfff; - ORCALUT4 i1_2_lut_4_lut_4_lut (.A(Ready), .B(nRowColSel_N_35), .C(RASr2), - .D(InitReady), .Z(RCLK_c_enable_23)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam i1_2_lut_4_lut_4_lut.init = 16'h4000; - FD1S3AX Bank_i6 (.D(Din_c_6), .CK(PHI2_c), .Q(Bank[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i6.GSR = "ENABLED"; - FD1S3AX Bank_i5 (.D(Din_c_5), .CK(PHI2_c), .Q(Bank[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i5.GSR = "ENABLED"; - FD1S3AX Bank_i4 (.D(Din_c_4), .CK(PHI2_c), .Q(Bank[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i4.GSR = "ENABLED"; - FD1S3AX Bank_i3 (.D(Din_c_3), .CK(PHI2_c), .Q(Bank[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i3.GSR = "ENABLED"; - FD1S3AX Bank_i2 (.D(Din_c_2), .CK(PHI2_c), .Q(Bank[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i2.GSR = "ENABLED"; - FD1S3AX Bank_i1 (.D(Din_c_1), .CK(PHI2_c), .Q(Bank[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) - defparam Bank_i1.GSR = "ENABLED"; - BB Dout_pad_6__689 (.I(WRD[6]), .T(n962), .B(RD[6]), .O(n974)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - BB Dout_pad_5__690 (.I(WRD[5]), .T(n962), .B(RD[5]), .O(n975)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - BB Dout_pad_4__691 (.I(WRD[4]), .T(n962), .B(RD[4]), .O(n976)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - BB Dout_pad_3__692 (.I(WRD[3]), .T(n962), .B(RD[3]), .O(n977)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - BB Dout_pad_2__693 (.I(WRD[2]), .T(n962), .B(RD[2]), .O(n978)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - BB Dout_pad_1__694 (.I(WRD[1]), .T(n962), .B(RD[1]), .O(n979)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - ORCALUT4 nRWE_I_49_1_lut (.A(nRWE_N_173), .Z(nRWE_N_172)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(255[14] 262[8]) - defparam nRWE_I_49_1_lut.init = 16'h5555; - BB Dout_pad_0__695 (.I(WRD[0]), .T(n962), .B(RD[0]), .O(Dout_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) - OB Dout_pad_7 (.I(n973), .O(Dout[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_6 (.I(n974), .O(Dout[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_5 (.I(n975), .O(Dout[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_4 (.I(n976), .O(Dout[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_3 (.I(n977), .O(Dout[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_2 (.I(n978), .O(Dout[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_1 (.I(n979), .O(Dout[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB Dout_pad_0 (.I(Dout_c), .O(Dout[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) - OB LED_pad (.I(LED_N_90), .O(LED)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(12[9:12]) - OB RBA_pad_1 (.I(RBA_c_1), .O(RBA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) - OB RBA_pad_0 (.I(RBA_c_0), .O(RBA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) - OB RA_pad_11 (.I(RA_c), .O(RA[11])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_10 (.I(n980), .O(RA[10])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_9 (.I(RA_c_9), .O(RA[9])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_8 (.I(RA_c_8), .O(RA[8])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_7 (.I(RA_c_7), .O(RA[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_6 (.I(RA_c_6), .O(RA[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_5 (.I(RA_c_5), .O(RA[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_4 (.I(RA_c_4), .O(RA[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_3 (.I(RA_c_3), .O(RA[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_2 (.I(RA_c_2), .O(RA[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_1 (.I(RA_c_1), .O(RA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB RA_pad_0 (.I(RA_c_0), .O(RA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) - OB nRCS_pad (.I(nRCS_c), .O(nRCS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[13:17]) - OB RCKE_pad (.I(RCKE_c), .O(RCKE)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(45[13:17]) - OB nRWE_pad (.I(nRWE_c), .O(nRWE)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[45:49]) - OB nRRAS_pad (.I(nRRAS_c), .O(nRRAS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[23:28]) - OB nRCAS_pad (.I(nRCAS_c), .O(nRCAS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[34:39]) - OB RDQMH_pad (.I(RDQMH_c), .O(RDQMH)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[16:21]) - OB RDQML_pad (.I(RDQML_c), .O(RDQML)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[9:14]) - OB nUFMCS_pad (.I(nUFMCS_c), .O(nUFMCS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(63[13:19]) - OB UFMCLK_pad (.I(UFMCLK_c), .O(UFMCLK)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(64[13:19]) - OB UFMSDI_pad (.I(UFMSDI_c), .O(UFMSDI)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(65[13:19]) - IB PHI2_pad (.I(PHI2), .O(PHI2_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) - IB MAin_pad_9 (.I(MAin[9]), .O(MAin_c_9)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_8 (.I(MAin[8]), .O(MAin_c_8)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_7 (.I(MAin[7]), .O(MAin_c_7)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_6 (.I(MAin[6]), .O(MAin_c_6)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_5 (.I(MAin[5]), .O(MAin_c_5)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_4 (.I(MAin[4]), .O(MAin_c_4)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_3 (.I(MAin[3]), .O(MAin_c_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_2 (.I(MAin[2]), .O(MAin_c_2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_1 (.I(MAin[1]), .O(MAin_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB MAin_pad_0 (.I(MAin[0]), .O(MAin_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) - IB CROW_pad_1 (.I(CROW[1]), .O(CROW_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) - IB CROW_pad_0 (.I(CROW[0]), .O(CROW_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) - IB Din_pad_7 (.I(Din[7]), .O(Din_c_7)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_6 (.I(Din[6]), .O(Din_c_6)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_5 (.I(Din[5]), .O(Din_c_5)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_4 (.I(Din[4]), .O(Din_c_4)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_3 (.I(Din[3]), .O(Din_c_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_2 (.I(Din[2]), .O(Din_c_2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_1 (.I(Din[1]), .O(Din_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB Din_pad_0 (.I(Din[0]), .O(Din_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) - IB nCCAS_pad (.I(nCCAS), .O(nCCAS_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) - IB nCRAS_pad (.I(nCRAS), .O(nCRAS_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) - IB nFWE_pad (.I(nFWE), .O(nFWE_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(36[8:12]) - IB RCLK_pad (.I(RCLK), .O(RCLK_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) - IB UFMSDO_pad (.I(UFMSDO), .O(UFMSDO_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(66[8:14]) - ORCALUT4 MAin_9__I_0_400_i9_3_lut (.A(RowA[8]), .B(MAin_c_8), .C(nRowColSel), - .Z(RA_c_8)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i9_3_lut.init = 16'hcaca; - CCU2 FS_577_add_4_4 (.A0(FS[2]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[3]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1874), - .COUT1(n1875), .S0(n93), .S1(n92)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_4.INIT0 = 16'hfaaa; - defparam FS_577_add_4_4.INIT1 = 16'hfaaa; - defparam FS_577_add_4_4.INJECT1_0 = "NO"; - defparam FS_577_add_4_4.INJECT1_1 = "NO"; - ORCALUT4 i1_2_lut_3_lut_4_lut_4_lut (.A(Ready), .B(nRowColSel_N_32), - .C(n1627), .D(nRowColSel_N_35), .Z(RCLK_c_enable_3)) /* synthesis lut_function=((B+(C+(D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam i1_2_lut_3_lut_4_lut_4_lut.init = 16'hfffd; - FD1P3IX UFMCLK_389 (.D(UFMCLK_N_212), .SP(RCLK_c_enable_24), .CD(n2291), - .CK(RCLK_c), .Q(UFMCLK_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam UFMCLK_389.GSR = "ENABLED"; - ORCALUT4 i2_2_lut_rep_22_2_lut (.A(Ready), .B(nRowColSel_N_35), .Z(n2299)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) - defparam i2_2_lut_rep_22_2_lut.init = 16'hdddd; - ORCALUT4 i2_3_lut_4_lut_adj_20 (.A(n2297), .B(n2301), .C(nRCAS_N_161), - .D(Ready), .Z(n2128)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i2_3_lut_4_lut_adj_20.init = 16'hfffe; - ORCALUT4 i2_3_lut_adj_21 (.A(nRowColSel_N_33), .B(nRRAS_c), .C(nRowColSel_N_32), - .Z(n50)) /* synthesis lut_function=(A+(B+(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i2_3_lut_adj_21.init = 16'hfefe; - ORCALUT4 MAin_9__I_0_400_i8_3_lut (.A(RowA[7]), .B(MAin_c_7), .C(nRowColSel), - .Z(RA_c_7)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i8_3_lut.init = 16'hcaca; - ORCALUT4 Ready_bdd_4_lut (.A(Ready), .B(n2117), .C(n2287), .D(nRowColSel_N_35), - .Z(nRCAS_N_157)) /* synthesis lut_function=(A (B (C (D))+!B (C+!(D)))+!A (C+!(D))) */ ; - defparam Ready_bdd_4_lut.init = 16'hf077; - ORCALUT4 i1366_3_lut (.A(InitReady), .B(RCKEEN_N_116), .C(Ready), - .Z(RCKEEN_N_115)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) - defparam i1366_3_lut.init = 16'hcaca; - ORCALUT4 i6_4_lut (.A(FS[15]), .B(n12_adj_2), .C(FS[11]), .D(FS[17]), - .Z(n2076)) /* synthesis lut_function=(A (B (C (D)))) */ ; - defparam i6_4_lut.init = 16'h8000; - ORCALUT4 i1_4_lut_4_lut (.A(CBR), .B(n11_adj_3), .C(FWEr), .D(nRowColSel_N_34), - .Z(RCKEEN_N_117)) /* synthesis lut_function=(!(A+!(B (C+(D))+!B (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(214[26:30]) - defparam i1_4_lut_4_lut.init = 16'h5540; - ORCALUT4 i1_2_lut_rep_11_3_lut (.A(nFWE_c), .B(n1285), .C(MAin_c_1), - .Z(PHI2_N_114_enable_1)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ; - defparam i1_2_lut_rep_11_3_lut.init = 16'h1010; - CCU2 FS_577_add_4_2 (.A0(FS[0]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[1]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(GND_net), - .COUT1(n1874), .S0(n95), .S1(n94)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_2.INIT0 = 16'h0555; - defparam FS_577_add_4_2.INIT1 = 16'hfaaa; - defparam FS_577_add_4_2.INJECT1_0 = "NO"; - defparam FS_577_add_4_2.INJECT1_1 = "NO"; - ORCALUT4 i3_4_lut_adj_22 (.A(Din_c_0), .B(Din_c_1), .C(Din_c_4), .D(Din_c_7), - .Z(n2114)) /* synthesis lut_function=(!((B+(C+!(D)))+!A)) */ ; - defparam i3_4_lut_adj_22.init = 16'h0200; - ORCALUT4 Ready_bdd_4_lut_1960 (.A(nRowColSel_N_32), .B(RASr2), .C(Ready_N_272), - .D(InitReady), .Z(n2245)) /* synthesis lut_function=(!((B+!(C (D)))+!A)) */ ; - defparam Ready_bdd_4_lut_1960.init = 16'h2000; - ORCALUT4 n2245_bdd_2_lut (.A(n2245), .B(Ready), .Z(Ready_N_268)) /* synthesis lut_function=(A+(B)) */ ; - defparam n2245_bdd_2_lut.init = 16'heeee; - ORCALUT4 n2248_bdd_4_lut_4_lut (.A(CBR), .B(RASr2), .C(Ready), .D(n2248), - .Z(n2287)) /* synthesis lut_function=(!(A (B (C+!(D)))+!A !((C+(D))+!B))) */ ; - defparam n2248_bdd_4_lut_4_lut.init = 16'h7f73; - FD1P3AX LEDEN_392 (.D(UFMSDO_N_74), .SP(RCLK_c_enable_25), .CK(RCLK_c), - .Q(LEDEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam LEDEN_392.GSR = "ENABLED"; - ORCALUT4 MAin_9__I_0_400_i7_3_lut (.A(RowA[6]), .B(MAin_c_6), .C(nRowColSel), - .Z(RA_c_6)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i7_3_lut.init = 16'hcaca; - ORCALUT4 MAin_9__I_0_400_i6_3_lut (.A(RowA[5]), .B(MAin_c_5), .C(nRowColSel), - .Z(RA_c_5)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i6_3_lut.init = 16'hcaca; - CCU2 FS_577_add_4_12 (.A0(FS[10]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[11]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1878), - .COUT1(n1879), .S0(n85), .S1(n84)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_12.INIT0 = 16'hfaaa; - defparam FS_577_add_4_12.INIT1 = 16'hfaaa; - defparam FS_577_add_4_12.INJECT1_0 = "NO"; - defparam FS_577_add_4_12.INJECT1_1 = "NO"; - FD1P3AX CmdEnable_378 (.D(CmdEnable_N_236), .SP(PHI2_N_114_enable_8), - .CK(PHI2_N_114), .Q(CmdEnable)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) - defparam CmdEnable_378.GSR = "ENABLED"; - CCU2 FS_577_add_4_16 (.A0(FS[14]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[15]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1880), - .COUT1(n1881), .S0(n81), .S1(n80)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_16.INIT0 = 16'hfaaa; - defparam FS_577_add_4_16.INIT1 = 16'hfaaa; - defparam FS_577_add_4_16.INJECT1_0 = "NO"; - defparam FS_577_add_4_16.INJECT1_1 = "NO"; - CCU2 FS_577_add_4_14 (.A0(FS[12]), .B0(GND_net), .C0(GND_net), .D0(GND_net), - .A1(FS[13]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1879), - .COUT1(n1880), .S0(n83), .S1(n82)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) - defparam FS_577_add_4_14.INIT0 = 16'hfaaa; - defparam FS_577_add_4_14.INIT1 = 16'hfaaa; - defparam FS_577_add_4_14.INJECT1_0 = "NO"; - defparam FS_577_add_4_14.INJECT1_1 = "NO"; - ORCALUT4 MAin_9__I_0_400_i3_3_lut (.A(RowA[2]), .B(MAin_c_2), .C(nRowColSel), - .Z(RA_c_2)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i3_3_lut.init = 16'hcaca; - ORCALUT4 i1485_3_lut (.A(n2076), .B(n1369), .C(InitReady), .Z(n1348)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) - defparam i1485_3_lut.init = 16'hcaca; - ORCALUT4 i1_2_lut_2_lut (.A(nRowColSel_N_35), .B(nRowColSel_N_34), .Z(n18)) /* synthesis lut_function=(!(A+!(B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_2_lut_2_lut.init = 16'h4444; - ORCALUT4 i1_2_lut_rep_20_2_lut (.A(nRowColSel_N_35), .B(nRCS_N_135), - .Z(n2297)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1_2_lut_rep_20_2_lut.init = 16'hdddd; - ORCALUT4 i1062_3_lut (.A(nUFMCS_c), .B(CmdUFMCS), .C(n2308), .Z(n1369)) /* synthesis lut_function=(!(A (B (C))+!A (B+!(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) - defparam i1062_3_lut.init = 16'h3a3a; - ORCALUT4 MAin_9__I_0_400_i4_3_lut (.A(RowA[3]), .B(MAin_c_3), .C(nRowColSel), - .Z(RA_c_3)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i4_3_lut.init = 16'hcaca; - ORCALUT4 MAin_9__I_0_400_i1_3_lut (.A(RowA[0]), .B(MAin_c_0), .C(nRowColSel), - .Z(RA_c_0)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) - defparam MAin_9__I_0_400_i1_3_lut.init = 16'hcaca; - INV i1961 (.A(nCRAS_c), .Z(nCRAS_N_9)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) - INV i1962 (.A(PHI2_c), .Z(PHI2_N_114)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) - VLO i1 (.Z(GND_net)); - TSALL TSALL_INST (.TSALL(GND_net)); - ORCALUT4 i1070_1_lut_rep_25 (.A(nRowColSel_N_35), .Z(n2302)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) - defparam i1070_1_lut_rep_25.init = 16'h5555; - PUR PUR_INST (.PUR(VCC_net)); - defparam PUR_INST.RST_PULSE = 1; - ORCALUT4 i13_4_lut (.A(Bank[3]), .B(n26), .C(n2170), .D(MAin_c_5), - .Z(n1285)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; - defparam i13_4_lut.init = 16'hdfff; - ORCALUT4 i12_4_lut (.A(Bank[2]), .B(n2166), .C(n2154), .D(MAin_c_6), - .Z(n26)) /* synthesis lut_function=(A+!(B (C (D)))) */ ; - defparam i12_4_lut.init = 16'hbfff; - ORCALUT4 i1860_4_lut (.A(MAin_c_7), .B(MAin_c_3), .C(Bank[4]), .D(Bank[1]), - .Z(n2170)) /* synthesis lut_function=(A (B (C (D)))) */ ; - defparam i1860_4_lut.init = 16'h8000; - ORCALUT4 m0_lut (.Z(n2386)) /* synthesis lut_function=0, syn_instantiated=1 */ ; - defparam m0_lut.init = 16'h0000; - PFUMX i1934 (.BLUT(n2309), .ALUT(n2310), .C0(Ready), .Z(nRCS_N_132)); - -endmodule -// -// Verilog Description of module TSALL -// module not written out since it is a black-box. -// - -// -// Verilog Description of module PUR -// module not written out since it is a black-box. -// - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf b/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf deleted file mode 100644 index 15d3b42..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf +++ /dev/null @@ -1,89 +0,0 @@ -PERIOD NET "PHI2_c" 350.000000 ns ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -PERIOD NET "RCLK_c" 16.000000 ns ; -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -VOLTAGE 3.300 V; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; -COMMERCIAL ; \ No newline at end of file diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp b/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp deleted file mode 100644 index 0f2c679..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp +++ /dev/null @@ -1,155 +0,0 @@ -VOLTAGE 3.300 V; -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -IOBUF PORT "RD[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "LED" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RBA[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RBA[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[11]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[10]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[9]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[8]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRCS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RCKE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRWE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRRAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRCAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RDQMH" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RDQML" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nUFMCS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMCLK" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMSDI" IO_TYPE=LVCMOS25 ; -IOBUF PORT "PHI2" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[9]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[8]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "CROW[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "CROW[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nCCAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nCRAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nFWE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RCLK" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMSDO" IO_TYPE=LVCMOS25 ; -PERIOD NET "PHI2_c" 350.000000 ns ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -PERIOD NET "RCLK_c" 16.000000 ns ; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp0 b/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp0 deleted file mode 100644 index 3564159..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp0 +++ /dev/null @@ -1,88 +0,0 @@ -PERIOD NET "PHI2_c" 350.000000 ns ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -PERIOD NET "RCLK_c" 16.000000 ns ; -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -VOLTAGE 3.300 V; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp2 b/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp2 deleted file mode 100644 index 0f2c679..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp2 +++ /dev/null @@ -1,155 +0,0 @@ -VOLTAGE 3.300 V; -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -IOBUF PORT "RD[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RD[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Dout[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "LED" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RBA[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RBA[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[11]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[10]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[9]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[8]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RA[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRCS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RCKE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRWE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRRAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nRCAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RDQMH" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RDQML" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nUFMCS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMCLK" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMSDI" IO_TYPE=LVCMOS25 ; -IOBUF PORT "PHI2" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[9]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[8]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "MAin[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "CROW[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "CROW[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[7]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[6]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[5]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[4]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[3]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[2]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[1]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "Din[0]" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nCCAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nCRAS" IO_TYPE=LVCMOS25 ; -IOBUF PORT "nFWE" IO_TYPE=LVCMOS25 ; -IOBUF PORT "RCLK" IO_TYPE=LVCMOS25 ; -IOBUF PORT "UFMSDO" IO_TYPE=LVCMOS25 ; -PERIOD NET "PHI2_c" 350.000000 ns ; -PERIOD NET "nCCAS_c" 350.000000 ns ; -PERIOD NET "nCRAS_c" 350.000000 ns ; -PERIOD NET "RCLK_c" 16.000000 ns ; -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; -OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; -OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; -OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; -OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; -OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; -OUTPUT PORT "nRWE" LOAD 10.000000 pF ; -OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; -OUTPUT PORT "nRCS" LOAD 10.000000 pF ; -OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; -OUTPUT PORT "RDQML" LOAD 10.000000 pF ; -OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; -OUTPUT PORT "RCKE" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; -OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; -OUTPUT PORT "LED" LOAD 25.000000 pF ; -OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; -OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; -VCCIO_DERATE BANK 0 PERCENT -5; -VCCIO_DERATE PERCENT -5; -VCCIO_DERATE BANK 1 PERCENT -5; diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf_hold.html b/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf_hold.html deleted file mode 100644 index 5e379e0..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf_hold.html +++ /dev/null @@ -1,2080 +0,0 @@ - - - - - - - -
    
    ---------------------------------------------------------------------------------
    -Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2
    -Mon Aug 16 20:38:58 2021
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -Report Information
    -------------------
    -Design file:     RAM2GS
    -Device,speed:    LCMXO640C,M
    -Report level:    verbose report, limited to 10 items per preference
    ---------------------------------------------------------------------------------
    -
    -
    -Derating parameters
    --------------------
    -Voltage:    3.300 V
    -
    -
    -
    -================================================================================
    -Preference: PERIOD NET "PHI2_c" 350.000000 ns  ;
    -            10 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    - 
    -
    -Passed: The following path meets requirements by 0.447ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              ADSubmitted_375  (from PHI2_c -)
    -   Destination:    FF         Data in        ADSubmitted_375  (to PHI2_c -)
    -
    -   Delay:               0.424ns  (61.8% logic, 38.2% route), 2 logic levels.
    -
    - Constraint Details:
    -
    -      0.424ns physical path delay SLICE_9 to SLICE_9 meets
    -     -0.023ns DIN_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.023ns) by 0.447ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_9 to SLICE_9:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R4C5C.CLK to       R4C5C.Q0 SLICE_9 (from PHI2_c)
    -ROUTE         2     0.162       R4C5C.Q0 to R4C5C.A0       ADSubmitted
    -CTOF_DEL    ---     0.092       R4C5C.A0 to       R4C5C.F0 SLICE_9
    -ROUTE         1     0.000       R4C5C.F0 to R4C5C.DI0      n1355 (to PHI2_c)
    -                  --------
    -                    0.424   (61.8% logic, 38.2% route), 2 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_9:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C5C.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_9:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C5C.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.244ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              C1Submitted_374  (from PHI2_c -)
    -   Destination:    FF         Data in        CmdEnable_373  (to PHI2_c -)
    -
    -   Delay:               1.215ns  (41.6% logic, 58.4% route), 4 logic levels.
    -
    - Constraint Details:
    -
    -      1.215ns physical path delay SLICE_14 to SLICE_18 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.244ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_14 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R4C5A.CLK to       R4C5A.Q0 SLICE_14 (from PHI2_c)
    -ROUTE         1     0.222       R4C5A.Q0 to R4C6C.C1       C1Submitted
    -CTOOFX_DEL  ---     0.151       R4C6C.C1 to     R4C6C.OFX0 i26/SLICE_70
    -ROUTE         1     0.204     R4C6C.OFX0 to R4C6D.C1       n13
    -CTOF_DEL    ---     0.092       R4C6D.C1 to       R4C6D.F1 SLICE_80
    -ROUTE         1     0.123       R4C6D.F1 to R4C6D.C0       n6
    -CTOF_DEL    ---     0.092       R4C6D.C0 to       R4C6D.F0 SLICE_80
    -ROUTE         1     0.161       R4C6D.F0 to R4C6B.CE       PHI2_N_114_enable_8 (to PHI2_c)
    -                  --------
    -                    1.215   (41.6% logic, 58.4% route), 4 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_14:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C5A.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.249ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    -   Destination:    FF         Data in        CmdSubmitted_378  (to PHI2_c -)
    -
    -   Delay:               1.220ns  (29.0% logic, 71.0% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.220ns physical path delay SLICE_18 to SLICE_19 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.249ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_18 to SLICE_19:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R4C6B.CLK to       R4C6B.Q0 SLICE_18 (from PHI2_c)
    -ROUTE         1     0.271       R4C6B.Q0 to R4C5B.B1       CmdEnable
    -CTOF_DEL    ---     0.092       R4C5B.B1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     0.132       R4C5B.F1 to R4C5B.C0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.092       R4C5B.C0 to       R4C5B.F0 SLICE_76
    -ROUTE         2     0.463       R4C5B.F0 to R7C7C.CE       PHI2_N_114_enable_6 (to PHI2_c)
    -                  --------
    -                    1.220   (29.0% logic, 71.0% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_19:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R7C7C.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.287ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              ADSubmitted_375  (from PHI2_c -)
    -   Destination:    FF         Data in        CmdEnable_373  (to PHI2_c -)
    -
    -   Delay:               1.258ns  (40.5% logic, 59.5% route), 4 logic levels.
    -
    - Constraint Details:
    -
    -      1.258ns physical path delay SLICE_9 to SLICE_18 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.287ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_9 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R4C5C.CLK to       R4C5C.Q0 SLICE_9 (from PHI2_c)
    -ROUTE         2     0.261       R4C5C.Q0 to R4C6C.A0       ADSubmitted
    -CTOOFX_DEL  ---     0.155       R4C6C.A0 to     R4C6C.OFX0 i26/SLICE_70
    -ROUTE         1     0.204     R4C6C.OFX0 to R4C6D.C1       n13
    -CTOF_DEL    ---     0.092       R4C6D.C1 to       R4C6D.F1 SLICE_80
    -ROUTE         1     0.123       R4C6D.F1 to R4C6D.C0       n6
    -CTOF_DEL    ---     0.092       R4C6D.C0 to       R4C6D.F0 SLICE_80
    -ROUTE         1     0.161       R4C6D.F0 to R4C6B.CE       PHI2_N_114_enable_8 (to PHI2_c)
    -                  --------
    -                    1.258   (40.5% logic, 59.5% route), 4 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_9:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C5C.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.372ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    -   Destination:    FF         Data in        Cmdn8MEGEN_377  (to PHI2_c -)
    -
    -   Delay:               1.343ns  (26.4% logic, 73.6% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.343ns physical path delay SLICE_18 to SLICE_23 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.372ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_18 to SLICE_23:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R4C6B.CLK to       R4C6B.Q0 SLICE_18 (from PHI2_c)
    -ROUTE         1     0.271       R4C6B.Q0 to R4C5B.B1       CmdEnable
    -CTOF_DEL    ---     0.092       R4C5B.B1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     0.132       R4C5B.F1 to R4C5B.C0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.092       R4C5B.C0 to       R4C5B.F0 SLICE_76
    -ROUTE         2     0.586       R4C5B.F0 to R6C6A.CE       PHI2_N_114_enable_6 (to PHI2_c)
    -                  --------
    -                    1.343   (26.4% logic, 73.6% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_23:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R6C6A.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.447ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    -   Destination:    FF         Data in        XOR8MEG_376  (to PHI2_c -)
    -
    -   Delay:               1.418ns  (25.0% logic, 75.0% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.418ns physical path delay SLICE_18 to SLICE_94 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.447ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_18 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R4C6B.CLK to       R4C6B.Q0 SLICE_18 (from PHI2_c)
    -ROUTE         1     0.271       R4C6B.Q0 to R4C5B.B1       CmdEnable
    -CTOF_DEL    ---     0.092       R4C5B.B1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     0.383       R4C5B.F1 to R7C6D.C0       XOR8MEG_N_112
    -CTOF_DEL    ---     0.092       R7C6D.C0 to       R7C6D.F0 SLICE_97
    -ROUTE         1     0.410       R7C6D.F0 to R8C9B.CE       PHI2_N_114_enable_2 (to PHI2_c)
    -                  --------
    -                    1.418   (25.0% logic, 75.0% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R8C9B.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.656ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    -   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    -
    -   Delay:               1.627ns  (21.8% logic, 78.2% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.627ns physical path delay SLICE_18 to SLICE_77 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.656ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_18 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R4C6B.CLK to       R4C6B.Q0 SLICE_18 (from PHI2_c)
    -ROUTE         1     0.271       R4C6B.Q0 to R4C5B.B1       CmdEnable
    -CTOF_DEL    ---     0.092       R4C5B.B1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     0.335       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.092       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     0.667       R5C2A.F1 to R9C9A.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                    1.627   (21.8% logic, 78.2% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R9C9A.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 1.779ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    -   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    -                   FF                        CmdUFMCLK_380
    -
    -   Delay:               1.750ns  (20.2% logic, 79.8% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.750ns physical path delay SLICE_18 to SLICE_83 meets
    -     -0.029ns CE_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.029ns) by 1.779ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_18 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R4C6B.CLK to       R4C6B.Q0 SLICE_18 (from PHI2_c)
    -ROUTE         1     0.271       R4C6B.Q0 to R4C5B.B1       CmdEnable
    -CTOF_DEL    ---     0.092       R4C5B.B1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     0.335       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.092       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     0.790       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                    1.750   (20.2% logic, 79.8% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_18:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R5C8B.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 175.792ns (weighted slack = 351.584ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              XOR8MEG_376  (from PHI2_c -)
    -   Destination:    FF         Data in        RA11_353  (to PHI2_c +)
    -
    -   Delay:               0.781ns  (33.5% logic, 66.5% route), 2 logic levels.
    -
    - Constraint Details:
    -
    -      0.781ns physical path delay SLICE_94 to SLICE_31 meets
    -     -0.011ns DIN_HLD and
    -    -175.000ns delay constraint less
    -      0.000ns skew requirement (totaling -175.011ns) by 175.792ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_94 to SLICE_31:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.170      R8C9B.CLK to       R8C9B.Q0 SLICE_94 (from PHI2_c)
    -ROUTE         1     0.519       R8C9B.Q0 to R2C9A.B0       XOR8MEG
    -CTOF_DEL    ---     0.092       R2C9A.B0 to       R2C9A.F0 SLICE_31
    -ROUTE         1     0.000       R2C9A.F0 to R2C9A.DI0      RA11_N_180 (to PHI2_c)
    -                  --------
    -                    0.781   (33.5% logic, 66.5% route), 2 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_94:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R8C9B.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_31:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R2C9A.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 176.113ns (weighted slack = 352.226ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i3  (from PHI2_c +)
    -   Destination:    FF         Data in        C1Submitted_374  (to PHI2_c -)
    -
    -   Delay:               1.084ns  (31.5% logic, 68.5% route), 3 logic levels.
    -
    - Constraint Details:
    -
    -      1.084ns physical path delay SLICE_92 to SLICE_14 meets
    -     -0.029ns CE_HLD and
    -    -175.000ns delay constraint less
    -      0.000ns skew requirement (totaling -175.029ns) by 176.113ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_92 to SLICE_14:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C3A.CLK to       R2C3A.Q1 SLICE_92 (from PHI2_c)
    -ROUTE         1     0.344       R2C3A.Q1 to R2C5C.A1       Bank_3
    -CTOF_DEL    ---     0.092       R2C5C.A1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.132       R2C5C.F1 to R2C5C.C0       n1279
    -CTOF_DEL    ---     0.092       R2C5C.C0 to       R2C5C.F0 SLICE_74
    -ROUTE         1     0.267       R2C5C.F0 to R4C5A.CE       PHI2_N_114_enable_1 (to PHI2_c)
    -                  --------
    -                    1.084   (31.5% logic, 68.5% route), 3 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_92:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R2C3A.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_14:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     1.120       39.PADDI to R4C5A.CLK      PHI2_c
    -                  --------
    -                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -================================================================================
    -Preference: PERIOD NET "nCCAS_c" 350.000000 ns  ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: PERIOD NET "nCRAS_c" 350.000000 ns  ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: PERIOD NET "RCLK_c" 16.000000 ns  ;
    -            10 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i2  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i3  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_101 to SLICE_101 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_101 to SLICE_101:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6C.CLK to       R2C6C.Q0 SLICE_101 (from RCLK_c)
    -ROUTE         1     0.161       R2C6C.Q0 to R2C6C.M1       n705 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_101:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R2C6C.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_101:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R2C6C.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i3  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i4  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_101 to SLICE_81 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_101 to SLICE_81:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6C.CLK to       R2C6C.Q1 SLICE_101 (from RCLK_c)
    -ROUTE         1     0.161       R2C6C.Q1 to R2C6B.M0       n704 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_101:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R2C6C.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_81:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R2C6B.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i6  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i7  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_80 to SLICE_80 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_80 to SLICE_80:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R4C6D.CLK to       R4C6D.Q0 SLICE_80 (from RCLK_c)
    -ROUTE         1     0.161       R4C6D.Q0 to R4C6D.M1       n701 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_80:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R4C6D.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_80:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R4C6D.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i4  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i5  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_81 to SLICE_81 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_81 to SLICE_81:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6B.CLK to       R2C6B.Q0 SLICE_81 (from RCLK_c)
    -ROUTE         1     0.161       R2C6B.Q0 to R2C6B.M1       n703 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_81:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R2C6B.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_81:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R2C6B.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i12  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i13  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_84 to SLICE_84 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_84 to SLICE_84:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C5B.CLK to       R2C5B.Q0 SLICE_84 (from RCLK_c)
    -ROUTE         1     0.161       R2C5B.Q0 to R2C5B.M1       n695 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_84:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R2C5B.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_84:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R2C5B.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i8  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i9  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_95 to SLICE_95 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_95 to SLICE_95:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R8C9C.CLK to       R8C9C.Q0 SLICE_95 (from RCLK_c)
    -ROUTE         1     0.161       R8C9C.Q0 to R8C9C.M1       n699 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_95:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R8C9C.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_95:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R8C9C.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i10  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i11  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_96 to SLICE_96 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_96 to SLICE_96:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R4C7A.CLK to       R4C7A.Q0 SLICE_96 (from RCLK_c)
    -ROUTE         1     0.161       R4C7A.Q0 to R4C7A.M1       n697 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_96:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R4C7A.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_96:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R4C7A.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.339ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i14  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i15  (to RCLK_c +)
    -
    -   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.318ns physical path delay SLICE_99 to SLICE_99 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_99 to SLICE_99:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C7B.CLK to       R2C7B.Q0 SLICE_99 (from RCLK_c)
    -ROUTE         1     0.161       R2C7B.Q0 to R2C7B.M1       n693 (to RCLK_c)
    -                  --------
    -                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_99:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R2C7B.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_99:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R2C7B.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.345ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RASr2_348  (from RCLK_c +)
    -   Destination:    FF         Data in        RASr3_349  (to RCLK_c +)
    -
    -   Delay:               0.324ns  (48.5% logic, 51.5% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.324ns physical path delay SLICE_61 to SLICE_29 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.345ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_61 to SLICE_29:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R4C9B.CLK to       R4C9B.Q1 SLICE_61 (from RCLK_c)
    -ROUTE        16     0.167       R4C9B.Q1 to R4C9D.M1       RASr2 (to RCLK_c)
    -                  --------
    -                    0.324   (48.5% logic, 51.5% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_61:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R4C9B.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_29:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R4C9D.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 0.345ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              IS_FSM__i0  (from RCLK_c +)
    -   Destination:    FF         Data in        IS_FSM__i1  (to RCLK_c +)
    -
    -   Delay:               0.324ns  (48.5% logic, 51.5% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.324ns physical path delay SLICE_87 to SLICE_87 meets
    -     -0.021ns M_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.021ns) by 0.345ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_87 to SLICE_87:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R6C9A.CLK to       R6C9A.Q0 SLICE_87 (from RCLK_c)
    -ROUTE         6     0.167       R6C9A.Q0 to R6C9A.M1       nRCS_N_135 (to RCLK_c)
    -                  --------
    -                    0.324   (48.5% logic, 51.5% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_87:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R6C9A.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_87:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     0.435       86.PADDI to R6C9A.CLK      RCLK_c
    -                  --------
    -                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.949ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RA10_368  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[10]
    -
    -   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_55 and
    -      1.462ns delay SLICE_55 to RA[10] (totaling 1.949ns) meets
    -      0.000ns hold offset RCLK to RA[10] by 1.949ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_55:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C5A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_55 to RA[10]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C5A.CLK to       R2C5A.Q0 SLICE_55 (from RCLK_c)
    -ROUTE         1     0.197       R2C5A.Q0 to 87.PADDO       n974
    -DOPAD_DEL   ---     1.108       87.PADDO to         87.PAD RA[10]
    -                  --------
    -                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    1.949ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.844ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[9]
    -
    -   Data Path Delay:     2.357ns  (57.6% logic, 42.4% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.357ns delay SLICE_64 to RA[9] (totaling 2.844ns) meets
    -      0.000ns hold offset RCLK to RA[9] by 2.844ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[9]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.473       R2C6A.Q0 to R6C9A.D1       nRowColSel
    -CTOF_DEL    ---     0.092       R6C9A.D1 to       R6C9A.F1 SLICE_87
    -ROUTE         1     0.527       R6C9A.F1 to 85.PADDO       RA_c_9
    -DOPAD_DEL   ---     1.108       85.PADDO to         85.PAD RA[9]
    -                  --------
    -                    2.357   (57.6% logic, 42.4% route), 3 logic levels.
    -
    -Report:    2.844ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.575ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[8]
    -
    -   Data Path Delay:     2.088ns  (65.0% logic, 35.0% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.088ns delay SLICE_64 to RA[8] (totaling 2.575ns) meets
    -      0.000ns hold offset RCLK to RA[8] by 2.575ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[8]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.375       R2C6A.Q0 to R2C2A.C0       nRowColSel
    -CTOF_DEL    ---     0.092       R2C2A.C0 to       R2C2A.F0 SLICE_98
    -ROUTE         1     0.356       R2C2A.F0 to 96.PADDO       RA_c_8
    -DOPAD_DEL   ---     1.108       96.PADDO to         96.PAD RA[8]
    -                  --------
    -                    2.088   (65.0% logic, 35.0% route), 3 logic levels.
    -
    -Report:    2.575ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.673ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[7]
    -
    -   Data Path Delay:     2.186ns  (62.1% logic, 37.9% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.186ns delay SLICE_64 to RA[7] (totaling 2.673ns) meets
    -      0.000ns hold offset RCLK to RA[7] by 2.673ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[7]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.226       R2C6A.Q0 to R2C6A.C1       nRowColSel
    -CTOF_DEL    ---     0.092       R2C6A.C1 to       R2C6A.F1 SLICE_64
    -ROUTE         1     0.603       R2C6A.F1 to 100.PADDO      RA_c_7
    -DOPAD_DEL   ---     1.108      100.PADDO to        100.PAD RA[7]
    -                  --------
    -                    2.186   (62.1% logic, 37.9% route), 3 logic levels.
    -
    -Report:    2.673ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.687ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[6]
    -
    -   Data Path Delay:     2.200ns  (61.7% logic, 38.3% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.200ns delay SLICE_64 to RA[6] (totaling 2.687ns) meets
    -      0.000ns hold offset RCLK to RA[6] by 2.687ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[6]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.375       R2C6A.Q0 to R2C2A.C1       nRowColSel
    -CTOF_DEL    ---     0.092       R2C2A.C1 to       R2C2A.F1 SLICE_98
    -ROUTE         1     0.468       R2C2A.F1 to 91.PADDO       RA_c_6
    -DOPAD_DEL   ---     1.108       91.PADDO to         91.PAD RA[6]
    -                  --------
    -                    2.200   (61.7% logic, 38.3% route), 3 logic levels.
    -
    -Report:    2.687ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.915ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[5]
    -
    -   Data Path Delay:     2.428ns  (55.9% logic, 44.1% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.428ns delay SLICE_64 to RA[5] (totaling 2.915ns) meets
    -      0.000ns hold offset RCLK to RA[5] by 2.915ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[5]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.447       R2C6A.Q0 to R8C9C.D1       nRowColSel
    -CTOF_DEL    ---     0.092       R8C9C.D1 to       R8C9C.F1 SLICE_95
    -ROUTE         1     0.624       R8C9C.F1 to 95.PADDO       RA_c_5
    -DOPAD_DEL   ---     1.108       95.PADDO to         95.PAD RA[5]
    -                  --------
    -                    2.428   (55.9% logic, 44.1% route), 3 logic levels.
    -
    -Report:    2.915ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.574ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[4]
    -
    -   Data Path Delay:     2.087ns  (65.0% logic, 35.0% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.087ns delay SLICE_64 to RA[4] (totaling 2.574ns) meets
    -      0.000ns hold offset RCLK to RA[4] by 2.574ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[4]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.375       R2C6A.Q0 to R2C2C.C1       nRowColSel
    -CTOF_DEL    ---     0.092       R2C2C.C1 to       R2C2C.F1 SLICE_93
    -ROUTE         1     0.355       R2C2C.F1 to 99.PADDO       RA_c_4
    -DOPAD_DEL   ---     1.108       99.PADDO to         99.PAD RA[4]
    -                  --------
    -                    2.087   (65.0% logic, 35.0% route), 3 logic levels.
    -
    -Report:    2.574ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.622ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[3]
    -
    -   Data Path Delay:     2.135ns  (63.6% logic, 36.4% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.135ns delay SLICE_64 to RA[3] (totaling 2.622ns) meets
    -      0.000ns hold offset RCLK to RA[3] by 2.622ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[3]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.310       R2C6A.Q0 to R2C3A.D1       nRowColSel
    -CTOF_DEL    ---     0.092       R2C3A.D1 to       R2C3A.F1 SLICE_92
    -ROUTE         1     0.468       R2C3A.F1 to 97.PADDO       RA_c_3
    -DOPAD_DEL   ---     1.108       97.PADDO to         97.PAD RA[3]
    -                  --------
    -                    2.135   (63.6% logic, 36.4% route), 3 logic levels.
    -
    -Report:    2.622ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.698ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[2]
    -
    -   Data Path Delay:     2.211ns  (61.4% logic, 38.6% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.211ns delay SLICE_64 to RA[2] (totaling 2.698ns) meets
    -      0.000ns hold offset RCLK to RA[2] by 2.698ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[2]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.375       R2C6A.Q0 to R2C2B.C0       nRowColSel
    -CTOF_DEL    ---     0.092       R2C2B.C0 to       R2C2B.F0 SLICE_90
    -ROUTE         1     0.479       R2C2B.F0 to 94.PADDO       RA_c_2
    -DOPAD_DEL   ---     1.108       94.PADDO to         94.PAD RA[2]
    -                  --------
    -                    2.211   (61.4% logic, 38.6% route), 3 logic levels.
    -
    -Report:    2.698ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.622ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[1]
    -
    -   Data Path Delay:     2.135ns  (63.6% logic, 36.4% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.135ns delay SLICE_64 to RA[1] (totaling 2.622ns) meets
    -      0.000ns hold offset RCLK to RA[1] by 2.622ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[1]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.310       R2C6A.Q0 to R2C3A.D0       nRowColSel
    -CTOF_DEL    ---     0.092       R2C3A.D0 to       R2C3A.F0 SLICE_92
    -ROUTE         1     0.468       R2C3A.F0 to 89.PADDO       RA_c_1
    -DOPAD_DEL   ---     1.108       89.PADDO to         89.PAD RA[1]
    -                  --------
    -                    2.135   (63.6% logic, 36.4% route), 3 logic levels.
    -
    -Report:    2.622ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.573ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[0]
    -
    -   Data Path Delay:     2.086ns  (65.1% logic, 34.9% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.086ns delay SLICE_64 to RA[0] (totaling 2.573ns) meets
    -      0.000ns hold offset RCLK to RA[0] by 2.573ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[0]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.375       R2C6A.Q0 to R2C2C.C0       nRowColSel
    -CTOF_DEL    ---     0.092       R2C2C.C0 to       R2C2C.F0 SLICE_93
    -ROUTE         1     0.354       R2C2C.F0 to 98.PADDO       RA_c_0
    -DOPAD_DEL   ---     1.108       98.PADDO to         98.PAD RA[0]
    -                  --------
    -                    2.086   (65.1% logic, 34.9% route), 3 logic levels.
    -
    -Report:    2.573ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.949ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCS
    -
    -   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_60 and
    -      1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets
    -      0.000ns hold offset RCLK to nRCS by 1.949ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_60:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C9C.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_60 to nRCS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C9C.CLK to       R2C9C.Q0 SLICE_60 (from RCLK_c)
    -ROUTE         1     0.197       R2C9C.Q0 to 77.PADDO       nRCS_c
    -DOPAD_DEL   ---     1.108       77.PADDO to         77.PAD nRCS
    -                  --------
    -                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    1.949ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.949ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    -   Destination:    Port       Pad            RCKE
    -
    -   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_34 and
    -      1.462ns delay SLICE_34 to RCKE (totaling 1.949ns) meets
    -      0.000ns hold offset RCLK to RCKE by 1.949ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_34:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C7C.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_34 to RCKE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C7C.CLK to       R2C7C.Q0 SLICE_34 (from RCLK_c)
    -ROUTE         4     0.197       R2C7C.Q0 to 82.PADDO       RCKE_c
    -DOPAD_DEL   ---     1.108       82.PADDO to         82.PAD RCKE
    -                  --------
    -                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    1.949ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.232ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    -   Destination:    Port       Pad            nRWE
    -
    -   Data Path Delay:     1.745ns  (72.5% logic, 27.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_63 and
    -      1.745ns delay SLICE_63 to nRWE (totaling 2.232ns) meets
    -      0.000ns hold offset RCLK to nRWE by 2.232ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_63:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C7A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_63 to nRWE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C7A.CLK to       R2C7A.Q0 SLICE_63 (from RCLK_c)
    -ROUTE         1     0.480       R2C7A.Q0 to 72.PADDO       nRWE_c
    -DOPAD_DEL   ---     1.108       72.PADDO to         72.PAD nRWE
    -                  --------
    -                    1.745   (72.5% logic, 27.5% route), 2 logic levels.
    -
    -Report:    2.232ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.236ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    -   Destination:    Port       Pad            nRRAS
    -
    -   Data Path Delay:     1.749ns  (72.3% logic, 27.7% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_61 and
    -      1.749ns delay SLICE_61 to nRRAS (totaling 2.236ns) meets
    -      0.000ns hold offset RCLK to nRRAS by 2.236ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_61:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R4C9B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_61 to nRRAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R4C9B.CLK to       R4C9B.Q0 SLICE_61 (from RCLK_c)
    -ROUTE         2     0.484       R4C9B.Q0 to 73.PADDO       nRRAS_c
    -DOPAD_DEL   ---     1.108       73.PADDO to         73.PAD nRRAS
    -                  --------
    -                    1.749   (72.3% logic, 27.7% route), 2 logic levels.
    -
    -Report:    2.236ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.949ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCAS
    -
    -   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_58 and
    -      1.462ns delay SLICE_58 to nRCAS (totaling 1.949ns) meets
    -      0.000ns hold offset RCLK to nRCAS by 1.949ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_58:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C9B.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_58 to nRCAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C9B.CLK to       R2C9B.Q0 SLICE_58 (from RCLK_c)
    -ROUTE         1     0.197       R2C9B.Q0 to 78.PADDO       nRCAS_c
    -DOPAD_DEL   ---     1.108       78.PADDO to         78.PAD nRCAS
    -                  --------
    -                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    1.949ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.711ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQMH
    -
    -   Data Path Delay:     2.224ns  (61.0% logic, 39.0% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.224ns delay SLICE_64 to RDQMH (totaling 2.711ns) meets
    -      0.000ns hold offset RCLK to RDQMH by 2.711ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQMH:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.473       R2C6A.Q0 to R6C9A.D0       nRowColSel
    -CTOF_DEL    ---     0.092       R6C9A.D0 to       R6C9A.F0 SLICE_87
    -ROUTE         1     0.394       R6C9A.F0 to 76.PADDO       RDQMH_c
    -DOPAD_DEL   ---     1.108       76.PADDO to         76.PAD RDQMH
    -                  --------
    -                    2.224   (61.0% logic, 39.0% route), 3 logic levels.
    -
    -Report:    2.711ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ;
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.488ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQML
    -
    -   Data Path Delay:     2.001ns  (67.8% logic, 32.2% route), 3 logic levels.
    -
    -   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      0.487ns delay RCLK to SLICE_64 and
    -      2.001ns delay SLICE_64 to RDQML (totaling 2.488ns) meets
    -      0.000ns hold offset RCLK to RDQML by 2.488ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQML:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.447       R2C6A.Q0 to R8C9C.D0       nRowColSel
    -CTOF_DEL    ---     0.092       R8C9C.D0 to       R8C9C.F0 SLICE_95
    -ROUTE         1     0.197       R8C9C.F0 to 61.PADDO       RDQML_c
    -DOPAD_DEL   ---     1.108       61.PADDO to         61.PAD RDQML
    -                  --------
    -                    2.001   (67.8% logic, 32.2% route), 3 logic levels.
    -
    -Report:    2.488ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -Report Summary
    ---------------
    -----------------------------------------------------------------------------
    -Preference(MIN Delays)                  |   Constraint|       Actual|Levels
    -----------------------------------------------------------------------------
    -                                        |             |             |
    -PERIOD NET "PHI2_c" 350.000000 ns  ;    |            -|            -|   2  
    -                                        |             |             |
    -PERIOD NET "nCCAS_c" 350.000000 ns  ;   |            -|            -|   0  
    -                                        |             |             |
    -PERIOD NET "nCRAS_c" 350.000000 ns  ;   |            -|            -|   0  
    -                                        |             |             |
    -PERIOD NET "RCLK_c" 16.000000 ns  ;     |            -|            -|   1  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.844 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.575 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.673 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.687 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.915 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.574 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.622 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.698 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.622 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.573 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.232 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.236 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.711 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |     0.000 ns|     2.488 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ;                        |            -|            -|   0  
    -                                        |             |             |
    -----------------------------------------------------------------------------
    -
    -
    -All preferences were met.
    -
    -
    -Clock Domains Analysis
    -------------------------
    -
    -Found 4 clocks:
    -
    -Clock Domain: nCRAS_c   Source: nCRAS.PAD   Loads: 9
    -   No transfer within this clock domain is found
    -
    -   Data transfers from:
    -   Clock Domain: RCLK_c   Source: RCLK.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -Clock Domain: nCCAS_c   Source: nCCAS.PAD   Loads: 7
    -   No transfer within this clock domain is found
    -
    -Clock Domain: RCLK_c   Source: RCLK.PAD   Loads: 39
    -   Covered under: PERIOD NET "RCLK_c" 16.000000 ns  ;
    -
    -   Data transfers from:
    -   Clock Domain: nCRAS_c   Source: nCRAS.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -   Clock Domain: PHI2_c   Source: PHI2.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -Clock Domain: PHI2_c   Source: PHI2.PAD   Loads: 14
    -   Covered under: PERIOD NET "PHI2_c" 350.000000 ns  ;
    -
    -   Data transfers from:
    -   Clock Domain: RCLK_c   Source: RCLK.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -
    -Timing summary (Hold):
    ----------------
    -
    -Timing errors: 0  Score: 0
    -Cumulative negative slack: 0
    -
    -Constraints cover 520 paths, 6 nets, and 440 connections (71.54% coverage)
    -
    -
    -
    -Timing summary (Setup and Hold):
    ----------------
    -
    -Timing errors: 0 (setup), 0 (hold)
    -Score: 0 (setup), 0 (hold)
    -Cumulative negative slack: 0 (0+0)
    diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf_setup.html b/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf_setup.html
    deleted file mode 100644
    index 9090020..0000000
    --- a/CPLD-old/LCMXO/LCMXO640C/impl1/Untitled.tpf_setup.html
    +++ /dev/null
    @@ -1,3314 +0,0 @@
    -
    -
    -
    -
    -
    -
    -
    -
    
    ---------------------------------------------------------------------------------
    -Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    -Mon Aug 16 20:38:58 2021
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -Report Information
    -------------------
    -Design file:     RAM2GS
    -Device,speed:    LCMXO640C,3
    -Report level:    verbose report, limited to 10 items per preference
    ---------------------------------------------------------------------------------
    -
    -
    -Derating parameters
    --------------------
    -Voltage:    3.300 V
    -
    -
    -
    -================================================================================
    -Preference: PERIOD NET "PHI2_c" 350.000000 ns  ;
    -            10 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    - 
    -
    -Passed: The following path meets requirements by 163.925ns (weighted slack = 327.850ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    -                   FF                        CmdUFMCLK_380
    -
    -   Delay:              10.810ns  (25.8% logic, 74.2% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     10.810ns physical path delay SLICE_98 to SLICE_83 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 163.925ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_98 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2A.CLK to       R2C2A.Q0 SLICE_98 (from PHI2_c)
    -ROUTE         1     1.018       R2C2A.Q0 to R2C2B.B1       Bank_6
    -CTOF_DEL    ---     0.371       R2C2B.B1 to       R2C2B.F1 SLICE_90
    -ROUTE         1     1.155       R2C2B.F1 to R2C5B.D1       n2160
    -CTOF_DEL    ---     0.371       R2C5B.D1 to       R2C5B.F1 SLICE_84
    -ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    -CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    -CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    -ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    -CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   10.810   (25.8% logic, 74.2% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_98:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R2C2A.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 164.114ns (weighted slack = 328.228ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i7  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    -                   FF                        CmdUFMCLK_380
    -
    -   Delay:              10.621ns  (26.2% logic, 73.8% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     10.621ns physical path delay SLICE_98 to SLICE_83 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 164.114ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_98 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2A.CLK to       R2C2A.Q1 SLICE_98 (from PHI2_c)
    -ROUTE         1     1.487       R2C2A.Q1 to R2C5B.A0       Bank_7
    -CTOF_DEL    ---     0.371       R2C5B.A0 to       R2C5B.F0 SLICE_84
    -ROUTE         1     0.497       R2C5B.F0 to R2C5B.C1       n2136
    -CTOF_DEL    ---     0.371       R2C5B.C1 to       R2C5B.F1 SLICE_84
    -ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    -CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    -CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    -ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    -CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   10.621   (26.2% logic, 73.8% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_98:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R2C2A.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 164.237ns (weighted slack = 328.474ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i4  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    -                   FF                        CmdUFMCLK_380
    -
    -   Delay:              10.498ns  (23.0% logic, 77.0% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     10.498ns physical path delay SLICE_90 to SLICE_83 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 164.237ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_90 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_90 (from PHI2_c)
    -ROUTE         1     1.643       R2C2B.Q0 to R2C6C.B0       Bank_4
    -CTOF_DEL    ---     0.371       R2C6C.B0 to       R2C6C.F0 SLICE_101
    -ROUTE         1     0.893       R2C6C.F0 to R2C5C.C1       n2162
    -CTOF_DEL    ---     0.371       R2C5C.C1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    -CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    -ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    -CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   10.498   (23.0% logic, 77.0% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_90:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R2C2B.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 164.307ns (weighted slack = 328.614ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i5  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    -                   FF                        CmdUFMCLK_380
    -
    -   Delay:              10.428ns  (26.7% logic, 73.3% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     10.428ns physical path delay SLICE_90 to SLICE_83 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 164.307ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_90 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q1 SLICE_90 (from PHI2_c)
    -ROUTE         1     0.636       R2C2B.Q1 to R2C2B.A1       Bank_5
    -CTOF_DEL    ---     0.371       R2C2B.A1 to       R2C2B.F1 SLICE_90
    -ROUTE         1     1.155       R2C2B.F1 to R2C5B.D1       n2160
    -CTOF_DEL    ---     0.371       R2C5B.D1 to       R2C5B.F1 SLICE_84
    -ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    -CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    -CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    -ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    -CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   10.428   (26.7% logic, 73.3% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_90:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R2C2B.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 164.317ns (weighted slack = 328.634ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i0  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    -                   FF                        CmdUFMCLK_380
    -
    -   Delay:              10.418ns  (26.7% logic, 73.3% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     10.418ns physical path delay SLICE_93 to SLICE_83 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 164.317ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_93 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q0 SLICE_93 (from PHI2_c)
    -ROUTE         1     0.626       R2C2C.Q0 to R2C2B.D1       Bank_0
    -CTOF_DEL    ---     0.371       R2C2B.D1 to       R2C2B.F1 SLICE_90
    -ROUTE         1     1.155       R2C2B.F1 to R2C5B.D1       n2160
    -CTOF_DEL    ---     0.371       R2C5B.D1 to       R2C5B.F1 SLICE_84
    -ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    -CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    -CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    -ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    -CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   10.418   (26.7% logic, 73.3% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_93:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R2C2C.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 164.386ns (weighted slack = 328.772ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    -
    -   Delay:              10.349ns  (26.9% logic, 73.1% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     10.349ns physical path delay SLICE_98 to SLICE_77 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 164.386ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_98 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2A.CLK to       R2C2A.Q0 SLICE_98 (from PHI2_c)
    -ROUTE         1     1.018       R2C2A.Q0 to R2C2B.B1       Bank_6
    -CTOF_DEL    ---     0.371       R2C2B.B1 to       R2C2B.F1 SLICE_90
    -ROUTE         1     1.155       R2C2B.F1 to R2C5B.D1       n2160
    -CTOF_DEL    ---     0.371       R2C5B.D1 to       R2C5B.F1 SLICE_84
    -ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    -CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    -CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    -ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    -CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     2.560       R5C2A.F1 to R9C9A.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   10.349   (26.9% logic, 73.1% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_98:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R2C2A.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R9C9A.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 164.575ns (weighted slack = 329.150ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i7  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    -
    -   Delay:              10.160ns  (27.4% logic, 72.6% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -     10.160ns physical path delay SLICE_98 to SLICE_77 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 164.575ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_98 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2A.CLK to       R2C2A.Q1 SLICE_98 (from PHI2_c)
    -ROUTE         1     1.487       R2C2A.Q1 to R2C5B.A0       Bank_7
    -CTOF_DEL    ---     0.371       R2C5B.A0 to       R2C5B.F0 SLICE_84
    -ROUTE         1     0.497       R2C5B.F0 to R2C5B.C1       n2136
    -CTOF_DEL    ---     0.371       R2C5B.C1 to       R2C5B.F1 SLICE_84
    -ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    -CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    -CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    -ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    -CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     2.560       R5C2A.F1 to R9C9A.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   10.160   (27.4% logic, 72.6% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_98:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R2C2A.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R9C9A.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 164.629ns (weighted slack = 329.258ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i1  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    -                   FF                        CmdUFMCLK_380
    -
    -   Delay:              10.106ns  (23.9% logic, 76.1% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     10.106ns physical path delay SLICE_93 to SLICE_83 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 164.629ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_93 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q1 SLICE_93 (from PHI2_c)
    -ROUTE         1     1.251       R2C2C.Q1 to R2C6C.D0       Bank_1
    -CTOF_DEL    ---     0.371       R2C6C.D0 to       R2C6C.F0 SLICE_101
    -ROUTE         1     0.893       R2C6C.F0 to R2C5C.C1       n2162
    -CTOF_DEL    ---     0.371       R2C5C.C1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    -CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    -ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    -CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   10.106   (23.9% logic, 76.1% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_93:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R2C2C.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_83:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 164.698ns (weighted slack = 329.396ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i4  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    -
    -   Delay:              10.037ns  (24.1% logic, 75.9% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     10.037ns physical path delay SLICE_90 to SLICE_77 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 164.698ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_90 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_90 (from PHI2_c)
    -ROUTE         1     1.643       R2C2B.Q0 to R2C6C.B0       Bank_4
    -CTOF_DEL    ---     0.371       R2C6C.B0 to       R2C6C.F0 SLICE_101
    -ROUTE         1     0.893       R2C6C.F0 to R2C5C.C1       n2162
    -CTOF_DEL    ---     0.371       R2C5C.C1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    -CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    -ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    -CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     2.560       R5C2A.F1 to R9C9A.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                   10.037   (24.1% logic, 75.9% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_90:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R2C2B.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R9C9A.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 164.768ns (weighted slack = 329.536ns)
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              Bank_i5  (from PHI2_c +)
    -   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    -
    -   Delay:               9.967ns  (28.0% logic, 72.0% route), 7 logic levels.
    -
    - Constraint Details:
    -
    -      9.967ns physical path delay SLICE_90 to SLICE_77 meets
    -    175.000ns delay constraint less
    -      0.000ns skew and
    -      0.265ns CE_SET requirement (totaling 174.735ns) by 164.768ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_90 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q1 SLICE_90 (from PHI2_c)
    -ROUTE         1     0.636       R2C2B.Q1 to R2C2B.A1       Bank_5
    -CTOF_DEL    ---     0.371       R2C2B.A1 to       R2C2B.F1 SLICE_90
    -ROUTE         1     1.155       R2C2B.F1 to R2C5B.D1       n2160
    -CTOF_DEL    ---     0.371       R2C5B.D1 to       R2C5B.F1 SLICE_84
    -ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    -CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    -ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    -CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    -ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    -CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    -ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    -CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    -ROUTE         2     2.560       R5C2A.F1 to R9C9A.CE       PHI2_N_114_enable_7 (to PHI2_c)
    -                  --------
    -                    9.967   (28.0% logic, 72.0% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path PHI2 to SLICE_90:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R2C2B.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path PHI2 to SLICE_77:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        14     3.671       39.PADDI to R9C9A.CLK      PHI2_c
    -                  --------
    -                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -Report:   22.150ns is the minimum period for this preference.
    -
    -
    -================================================================================
    -Preference: PERIOD NET "nCCAS_c" 350.000000 ns  ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 348.000ns
    -         The internal maximum frequency of the following component is 500.000 MHz
    -
    - Logical Details:  Cell type  Pin name       Component name
    -
    -   Destination:    FSLICE     CLK            SLICE_73
    -
    -   Delay:               2.000ns -- based on Minimum Pulse Width
    -
    -Report:    2.000ns is the minimum period for this preference.
    -
    -
    -================================================================================
    -Preference: PERIOD NET "nCRAS_c" 350.000000 ns  ;
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 348.000ns
    -         The internal maximum frequency of the following component is 500.000 MHz
    -
    - Logical Details:  Cell type  Pin name       Component name
    -
    -   Destination:    FSLICE     CLK            SLICE_74
    -
    -   Delay:               2.000ns -- based on Minimum Pulse Width
    -
    -Report:    2.000ns is the minimum period for this preference.
    -
    -
    -================================================================================
    -Preference: PERIOD NET "RCLK_c" 16.000000 ns  ;
    -            10 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    - 
    -
    -Passed: The following path meets requirements by 7.341ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i15  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               8.415ns  (28.7% logic, 71.3% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      8.415ns physical path delay SLICE_7 to SLICE_56 meets
    -     16.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 15.756ns) by 7.341ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_7 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C8D.CLK to       R8C8D.Q1 SLICE_7 (from RCLK_c)
    -ROUTE         3     1.475       R8C8D.Q1 to R8C9D.B1       FS_15
    -CTOF_DEL    ---     0.371       R8C9D.B1 to       R8C9D.F1 SLICE_78
    -ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    -CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    -ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    -CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    -ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    -CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    -ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    -CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    -ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    8.415   (28.7% logic, 71.3% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_7:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R8C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 7.520ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i15  (from RCLK_c +)
    -   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    -
    -   Delay:               8.236ns  (29.3% logic, 70.7% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      8.236ns physical path delay SLICE_7 to SLICE_85 meets
    -     16.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 15.756ns) by 7.520ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_7 to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C8D.CLK to       R8C8D.Q1 SLICE_7 (from RCLK_c)
    -ROUTE         3     1.475       R8C8D.Q1 to R8C9D.B1       FS_15
    -CTOF_DEL    ---     0.371       R8C9D.B1 to       R8C9D.F1 SLICE_78
    -ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    -CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    -ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    -CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    -ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    -CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    -ROUTE         2     0.903       R9C9A.F1 to R10C9A.C1      n2111
    -CTOF_DEL    ---     0.371      R10C9A.C1 to      R10C9A.F1 SLICE_100
    -ROUTE         1     0.703      R10C9A.F1 to R9C9D.CE       RCLK_c_enable_25 (to RCLK_c)
    -                  --------
    -                    8.236   (29.3% logic, 70.7% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_7:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R8C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R9C9D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 7.549ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i14  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               8.207ns  (29.4% logic, 70.6% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      8.207ns physical path delay SLICE_7 to SLICE_56 meets
    -     16.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 15.756ns) by 7.549ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_7 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C8D.CLK to       R8C8D.Q0 SLICE_7 (from RCLK_c)
    -ROUTE         3     1.267       R8C8D.Q0 to R8C9D.C1       FS_14
    -CTOF_DEL    ---     0.371       R8C9D.C1 to       R8C9D.F1 SLICE_78
    -ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    -CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    -ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    -CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    -ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    -CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    -ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    -CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    -ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    8.207   (29.4% logic, 70.6% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_7:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R8C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 7.728ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i14  (from RCLK_c +)
    -   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    -
    -   Delay:               8.028ns  (30.1% logic, 69.9% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      8.028ns physical path delay SLICE_7 to SLICE_85 meets
    -     16.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 15.756ns) by 7.728ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_7 to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C8D.CLK to       R8C8D.Q0 SLICE_7 (from RCLK_c)
    -ROUTE         3     1.267       R8C8D.Q0 to R8C9D.C1       FS_14
    -CTOF_DEL    ---     0.371       R8C9D.C1 to       R8C9D.F1 SLICE_78
    -ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    -CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    -ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    -CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    -ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    -CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    -ROUTE         2     0.903       R9C9A.F1 to R10C9A.C1      n2111
    -CTOF_DEL    ---     0.371      R10C9A.C1 to      R10C9A.F1 SLICE_100
    -ROUTE         1     0.703      R10C9A.F1 to R9C9D.CE       RCLK_c_enable_25 (to RCLK_c)
    -                  --------
    -                    8.028   (30.1% logic, 69.9% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_7:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R8C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R9C9D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 7.768ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i13  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               7.988ns  (30.2% logic, 69.8% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      7.988ns physical path delay SLICE_8 to SLICE_56 meets
    -     16.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 15.756ns) by 7.768ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_8 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C8C.CLK to       R8C8C.Q1 SLICE_8 (from RCLK_c)
    -ROUTE         3     1.048       R8C8C.Q1 to R8C9D.A1       FS_13
    -CTOF_DEL    ---     0.371       R8C9D.A1 to       R8C9D.F1 SLICE_78
    -ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    -CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    -ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    -CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    -ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    -CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    -ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    -CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    -ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    7.988   (30.2% logic, 69.8% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_8:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R8C8C.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 7.947ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i13  (from RCLK_c +)
    -   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    -
    -   Delay:               7.809ns  (30.9% logic, 69.1% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      7.809ns physical path delay SLICE_8 to SLICE_85 meets
    -     16.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 15.756ns) by 7.947ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_8 to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C8C.CLK to       R8C8C.Q1 SLICE_8 (from RCLK_c)
    -ROUTE         3     1.048       R8C8C.Q1 to R8C9D.A1       FS_13
    -CTOF_DEL    ---     0.371       R8C9D.A1 to       R8C9D.F1 SLICE_78
    -ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    -CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    -ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    -CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    -ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    -CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    -ROUTE         2     0.903       R9C9A.F1 to R10C9A.C1      n2111
    -CTOF_DEL    ---     0.371      R10C9A.C1 to      R10C9A.F1 SLICE_100
    -ROUTE         1     0.703      R10C9A.F1 to R9C9D.CE       RCLK_c_enable_25 (to RCLK_c)
    -                  --------
    -                    7.809   (30.9% logic, 69.1% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_8:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R8C8C.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_85:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R9C9D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 8.079ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i15  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               7.677ns  (26.6% logic, 73.4% route), 5 logic levels.
    -
    - Constraint Details:
    -
    -      7.677ns physical path delay SLICE_7 to SLICE_56 meets
    -     16.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 15.756ns) by 8.079ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_7 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C8D.CLK to       R8C8D.Q1 SLICE_7 (from RCLK_c)
    -ROUTE         3     1.475       R8C8D.Q1 to R8C9D.B1       FS_15
    -CTOF_DEL    ---     0.371       R8C9D.B1 to       R8C9D.F1 SLICE_78
    -ROUTE         3     0.989       R8C9D.F1 to R8C9B.A1       n10
    -CTOF_DEL    ---     0.371       R8C9B.A1 to       R8C9B.F1 SLICE_94
    -ROUTE         1     1.384       R8C9B.F1 to R9C9A.A1       n2292
    -CTOF_DEL    ---     0.371       R9C9A.A1 to       R9C9A.F1 SLICE_77
    -ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    -CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    -ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    7.677   (26.6% logic, 73.4% route), 5 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_7:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R8C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 8.092ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i12  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               7.664ns  (31.5% logic, 68.5% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -      7.664ns physical path delay SLICE_8 to SLICE_56 meets
    -     16.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 15.756ns) by 8.092ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_8 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C8C.CLK to       R8C8C.Q0 SLICE_8 (from RCLK_c)
    -ROUTE         3     0.724       R8C8C.Q0 to R8C9D.D1       FS_12
    -CTOF_DEL    ---     0.371       R8C9D.D1 to       R8C9D.F1 SLICE_78
    -ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    -CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    -ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    -CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    -ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    -CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    -ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    -CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    -ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    7.664   (31.5% logic, 68.5% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_8:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R8C8C.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 8.177ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i1  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               7.579ns  (27.0% logic, 73.0% route), 5 logic levels.
    -
    - Constraint Details:
    -
    -      7.579ns physical path delay SLICE_5 to SLICE_56 meets
    -     16.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 15.756ns) by 8.177ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_5 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C7A.CLK to       R8C7A.Q1 SLICE_5 (from RCLK_c)
    -ROUTE         2     1.108       R8C7A.Q1 to R7C7D.B1       FS_1
    -CTOF_DEL    ---     0.371       R7C7D.B1 to       R7C7D.F1 SLICE_68
    -ROUTE         1     1.487       R7C7D.F1 to R6C9B.A0       n2164
    -CTOF_DEL    ---     0.371       R6C9B.A0 to       R6C9B.F0 SLICE_75
    -ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    -CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    -ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    -CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    -ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    7.579   (27.0% logic, 73.0% route), 5 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_5:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R8C7A.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    - 
    -
    -Passed: The following path meets requirements by 8.243ns
    - 
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS_571__i2  (from RCLK_c +)
    -   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    -
    -   Delay:               7.513ns  (27.2% logic, 72.8% route), 5 logic levels.
    -
    - Constraint Details:
    -
    -      7.513ns physical path delay SLICE_4 to SLICE_56 meets
    -     16.000ns delay constraint less
    -      0.000ns skew and
    -      0.244ns CE_SET requirement (totaling 15.756ns) by 8.243ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_4 to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R8C7B.CLK to       R8C7B.Q0 SLICE_4 (from RCLK_c)
    -ROUTE         2     1.042       R8C7B.Q0 to R7C7D.A1       FS_2
    -CTOF_DEL    ---     0.371       R7C7D.A1 to       R7C7D.F1 SLICE_68
    -ROUTE         1     1.487       R7C7D.F1 to R6C9B.A0       n2164
    -CTOF_DEL    ---     0.371       R6C9B.A0 to       R6C9B.F0 SLICE_75
    -ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    -CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    -ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    -CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    -ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    -                  --------
    -                    7.513   (27.2% logic, 72.8% route), 5 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path RCLK to SLICE_4:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R8C7B.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path RCLK to SLICE_56:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    -                  --------
    -                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -Report:    8.659ns is the minimum period for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 4.999ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RA10_368  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[10]
    -
    -   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_55 and
    -      5.013ns delay SLICE_55 to RA[10] (totaling 7.501ns) meets
    -     12.500ns offset RCLK to RA[10] by 4.999ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_55:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C5A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_55 to RA[10]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C5A.CLK to       R2C5A.Q0 SLICE_55 (from RCLK_c)
    -ROUTE         1     0.817       R2C5A.Q0 to 87.PADDO       n974
    -DOPAD_DEL   ---     3.636       87.PADDO to         87.PAD RA[10]
    -                  --------
    -                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    -
    -Report:    7.501ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 6.396ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RA10_368  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[10]
    -
    -   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_55 and
    -      4.797ns delay SLICE_55 to RA[10] (totaling 6.396ns) meets
    -      0.000ns hold offset RCLK to RA[10] by 6.396ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_55:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C5A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_55 to RA[10]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C5A.CLK to       R2C5A.Q0 SLICE_55 (from RCLK_c)
    -ROUTE         1     0.646       R2C5A.Q0 to 87.PADDO       n974
    -DOPAD_DEL   ---     3.636       87.PADDO to         87.PAD RA[10]
    -                  --------
    -                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    6.396ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.477ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[9]
    -
    -   Data Path Delay:     8.535ns  (53.5% logic, 46.5% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      8.535ns delay SLICE_64 to RA[9] (totaling 11.023ns) meets
    -     12.500ns offset RCLK to RA[9] by 1.477ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[9]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.796       R2C6A.Q0 to R6C9A.D1       nRowColSel
    -CTOF_DEL    ---     0.371       R6C9A.D1 to       R6C9A.F1 SLICE_87
    -ROUTE         1     2.172       R6C9A.F1 to 85.PADDO       RA_c_9
    -DOPAD_DEL   ---     3.636       85.PADDO to         85.PAD RA[9]
    -                  --------
    -                    8.535   (53.5% logic, 46.5% route), 3 logic levels.
    -
    -Report:   11.023ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 9.323ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[9]
    -
    -   Data Path Delay:     7.724ns  (57.6% logic, 42.4% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      7.724ns delay SLICE_64 to RA[9] (totaling 9.323ns) meets
    -      0.000ns hold offset RCLK to RA[9] by 9.323ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[9]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.549       R2C6A.Q0 to R6C9A.D1       nRowColSel
    -CTOF_DEL    ---     0.301       R6C9A.D1 to       R6C9A.F1 SLICE_87
    -ROUTE         1     1.723       R6C9A.F1 to 85.PADDO       RA_c_9
    -DOPAD_DEL   ---     3.636       85.PADDO to         85.PAD RA[9]
    -                  --------
    -                    7.724   (57.6% logic, 42.4% route), 3 logic levels.
    -
    -Report:    9.323ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.460ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[8]
    -
    -   Data Path Delay:     7.552ns  (60.5% logic, 39.5% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      7.552ns delay SLICE_64 to RA[8] (totaling 10.040ns) meets
    -     12.500ns offset RCLK to RA[8] by 2.460ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[8]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.459       R2C6A.Q0 to R2C2A.C0       nRowColSel
    -CTOF_DEL    ---     0.371       R2C2A.C0 to       R2C2A.F0 SLICE_98
    -ROUTE         1     1.526       R2C2A.F0 to 96.PADDO       RA_c_8
    -DOPAD_DEL   ---     3.636       96.PADDO to         96.PAD RA[8]
    -                  --------
    -                    7.552   (60.5% logic, 39.5% route), 3 logic levels.
    -
    -Report:   10.040ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.446ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[8]
    -
    -   Data Path Delay:     6.847ns  (65.0% logic, 35.0% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      6.847ns delay SLICE_64 to RA[8] (totaling 8.446ns) meets
    -      0.000ns hold offset RCLK to RA[8] by 8.446ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[8]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.230       R2C6A.Q0 to R2C2A.C0       nRowColSel
    -CTOF_DEL    ---     0.301       R2C2A.C0 to       R2C2A.F0 SLICE_98
    -ROUTE         1     1.165       R2C2A.F0 to 96.PADDO       RA_c_8
    -DOPAD_DEL   ---     3.636       96.PADDO to         96.PAD RA[8]
    -                  --------
    -                    6.847   (65.0% logic, 35.0% route), 3 logic levels.
    -
    -Report:    8.446ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.106ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[7]
    -
    -   Data Path Delay:     7.906ns  (57.8% logic, 42.2% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      7.906ns delay SLICE_64 to RA[7] (totaling 10.394ns) meets
    -     12.500ns offset RCLK to RA[7] by 2.106ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[7]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.895       R2C6A.Q0 to R2C6A.C1       nRowColSel
    -CTOF_DEL    ---     0.371       R2C6A.C1 to       R2C6A.F1 SLICE_64
    -ROUTE         1     2.444       R2C6A.F1 to 100.PADDO      RA_c_7
    -DOPAD_DEL   ---     3.636      100.PADDO to        100.PAD RA[7]
    -                  --------
    -                    7.906   (57.8% logic, 42.2% route), 3 logic levels.
    -
    -Report:   10.394ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.766ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[7]
    -
    -   Data Path Delay:     7.167ns  (62.1% logic, 37.9% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      7.167ns delay SLICE_64 to RA[7] (totaling 8.766ns) meets
    -      0.000ns hold offset RCLK to RA[7] by 8.766ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[7]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     0.741       R2C6A.Q0 to R2C6A.C1       nRowColSel
    -CTOF_DEL    ---     0.301       R2C6A.C1 to       R2C6A.F1 SLICE_64
    -ROUTE         1     1.974       R2C6A.F1 to 100.PADDO      RA_c_7
    -DOPAD_DEL   ---     3.636      100.PADDO to        100.PAD RA[7]
    -                  --------
    -                    7.167   (62.1% logic, 37.9% route), 3 logic levels.
    -
    -Report:    8.766ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.002ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[6]
    -
    -   Data Path Delay:     8.010ns  (57.0% logic, 43.0% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      8.010ns delay SLICE_64 to RA[6] (totaling 10.498ns) meets
    -     12.500ns offset RCLK to RA[6] by 2.002ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[6]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.459       R2C6A.Q0 to R2C2A.C1       nRowColSel
    -CTOF_DEL    ---     0.371       R2C2A.C1 to       R2C2A.F1 SLICE_98
    -ROUTE         1     1.984       R2C2A.F1 to 91.PADDO       RA_c_6
    -DOPAD_DEL   ---     3.636       91.PADDO to         91.PAD RA[6]
    -                  --------
    -                    8.010   (57.0% logic, 43.0% route), 3 logic levels.
    -
    -Report:   10.498ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.813ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[6]
    -
    -   Data Path Delay:     7.214ns  (61.7% logic, 38.3% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      7.214ns delay SLICE_64 to RA[6] (totaling 8.813ns) meets
    -      0.000ns hold offset RCLK to RA[6] by 8.813ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[6]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.230       R2C6A.Q0 to R2C2A.C1       nRowColSel
    -CTOF_DEL    ---     0.301       R2C2A.C1 to       R2C2A.F1 SLICE_98
    -ROUTE         1     1.532       R2C2A.F1 to 91.PADDO       RA_c_6
    -DOPAD_DEL   ---     3.636       91.PADDO to         91.PAD RA[6]
    -                  --------
    -                    7.214   (61.7% logic, 38.3% route), 3 logic levels.
    -
    -Report:    8.813ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.141ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[5]
    -
    -   Data Path Delay:     8.871ns  (51.5% logic, 48.5% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      8.871ns delay SLICE_64 to RA[5] (totaling 11.359ns) meets
    -     12.500ns offset RCLK to RA[5] by 1.141ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[5]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.736       R2C6A.Q0 to R8C9C.D1       nRowColSel
    -CTOF_DEL    ---     0.371       R8C9C.D1 to       R8C9C.F1 SLICE_95
    -ROUTE         1     2.568       R8C9C.F1 to 95.PADDO       RA_c_5
    -DOPAD_DEL   ---     3.636       95.PADDO to         95.PAD RA[5]
    -                  --------
    -                    8.871   (51.5% logic, 48.5% route), 3 logic levels.
    -
    -Report:   11.359ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 9.559ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[5]
    -
    -   Data Path Delay:     7.960ns  (55.9% logic, 44.1% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      7.960ns delay SLICE_64 to RA[5] (totaling 9.559ns) meets
    -      0.000ns hold offset RCLK to RA[5] by 9.559ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[5]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.466       R2C6A.Q0 to R8C9C.D1       nRowColSel
    -CTOF_DEL    ---     0.301       R8C9C.D1 to       R8C9C.F1 SLICE_95
    -ROUTE         1     2.042       R8C9C.F1 to 95.PADDO       RA_c_5
    -DOPAD_DEL   ---     3.636       95.PADDO to         95.PAD RA[5]
    -                  --------
    -                    7.960   (55.9% logic, 44.1% route), 3 logic levels.
    -
    -Report:    9.559ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.458ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[4]
    -
    -   Data Path Delay:     7.554ns  (60.5% logic, 39.5% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      7.554ns delay SLICE_64 to RA[4] (totaling 10.042ns) meets
    -     12.500ns offset RCLK to RA[4] by 2.458ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[4]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.459       R2C6A.Q0 to R2C2C.C1       nRowColSel
    -CTOF_DEL    ---     0.371       R2C2C.C1 to       R2C2C.F1 SLICE_93
    -ROUTE         1     1.528       R2C2C.F1 to 99.PADDO       RA_c_4
    -DOPAD_DEL   ---     3.636       99.PADDO to         99.PAD RA[4]
    -                  --------
    -                    7.554   (60.5% logic, 39.5% route), 3 logic levels.
    -
    -Report:   10.042ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.445ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[4]
    -
    -   Data Path Delay:     6.846ns  (65.0% logic, 35.0% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      6.846ns delay SLICE_64 to RA[4] (totaling 8.445ns) meets
    -      0.000ns hold offset RCLK to RA[4] by 8.445ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[4]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.230       R2C6A.Q0 to R2C2C.C1       nRowColSel
    -CTOF_DEL    ---     0.301       R2C2C.C1 to       R2C2C.F1 SLICE_93
    -ROUTE         1     1.164       R2C2C.F1 to 99.PADDO       RA_c_4
    -DOPAD_DEL   ---     3.636       99.PADDO to         99.PAD RA[4]
    -                  --------
    -                    6.846   (65.0% logic, 35.0% route), 3 logic levels.
    -
    -Report:    8.445ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.216ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[3]
    -
    -   Data Path Delay:     7.796ns  (58.6% logic, 41.4% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      7.796ns delay SLICE_64 to RA[3] (totaling 10.284ns) meets
    -     12.500ns offset RCLK to RA[3] by 2.216ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[3]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.245       R2C6A.Q0 to R2C3A.D1       nRowColSel
    -CTOF_DEL    ---     0.371       R2C3A.D1 to       R2C3A.F1 SLICE_92
    -ROUTE         1     1.984       R2C3A.F1 to 97.PADDO       RA_c_3
    -DOPAD_DEL   ---     3.636       97.PADDO to         97.PAD RA[3]
    -                  --------
    -                    7.796   (58.6% logic, 41.4% route), 3 logic levels.
    -
    -Report:   10.284ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.599ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[3]
    -
    -   Data Path Delay:     7.000ns  (63.6% logic, 36.4% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      7.000ns delay SLICE_64 to RA[3] (totaling 8.599ns) meets
    -      0.000ns hold offset RCLK to RA[3] by 8.599ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[3]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.016       R2C6A.Q0 to R2C3A.D1       nRowColSel
    -CTOF_DEL    ---     0.301       R2C3A.D1 to       R2C3A.F1 SLICE_92
    -ROUTE         1     1.532       R2C3A.F1 to 97.PADDO       RA_c_3
    -DOPAD_DEL   ---     3.636       97.PADDO to         97.PAD RA[3]
    -                  --------
    -                    7.000   (63.6% logic, 36.4% route), 3 logic levels.
    -
    -Report:    8.599ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.999ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[2]
    -
    -   Data Path Delay:     8.013ns  (57.0% logic, 43.0% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      8.013ns delay SLICE_64 to RA[2] (totaling 10.501ns) meets
    -     12.500ns offset RCLK to RA[2] by 1.999ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[2]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.459       R2C6A.Q0 to R2C2B.C0       nRowColSel
    -CTOF_DEL    ---     0.371       R2C2B.C0 to       R2C2B.F0 SLICE_90
    -ROUTE         1     1.987       R2C2B.F0 to 94.PADDO       RA_c_2
    -DOPAD_DEL   ---     3.636       94.PADDO to         94.PAD RA[2]
    -                  --------
    -                    8.013   (57.0% logic, 43.0% route), 3 logic levels.
    -
    -Report:   10.501ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.849ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[2]
    -
    -   Data Path Delay:     7.250ns  (61.4% logic, 38.6% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      7.250ns delay SLICE_64 to RA[2] (totaling 8.849ns) meets
    -      0.000ns hold offset RCLK to RA[2] by 8.849ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[2]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.230       R2C6A.Q0 to R2C2B.C0       nRowColSel
    -CTOF_DEL    ---     0.301       R2C2B.C0 to       R2C2B.F0 SLICE_90
    -ROUTE         1     1.568       R2C2B.F0 to 94.PADDO       RA_c_2
    -DOPAD_DEL   ---     3.636       94.PADDO to         94.PAD RA[2]
    -                  --------
    -                    7.250   (61.4% logic, 38.6% route), 3 logic levels.
    -
    -Report:    8.849ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.216ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[1]
    -
    -   Data Path Delay:     7.796ns  (58.6% logic, 41.4% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      7.796ns delay SLICE_64 to RA[1] (totaling 10.284ns) meets
    -     12.500ns offset RCLK to RA[1] by 2.216ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[1]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.245       R2C6A.Q0 to R2C3A.D0       nRowColSel
    -CTOF_DEL    ---     0.371       R2C3A.D0 to       R2C3A.F0 SLICE_92
    -ROUTE         1     1.984       R2C3A.F0 to 89.PADDO       RA_c_1
    -DOPAD_DEL   ---     3.636       89.PADDO to         89.PAD RA[1]
    -                  --------
    -                    7.796   (58.6% logic, 41.4% route), 3 logic levels.
    -
    -Report:   10.284ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.599ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[1]
    -
    -   Data Path Delay:     7.000ns  (63.6% logic, 36.4% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      7.000ns delay SLICE_64 to RA[1] (totaling 8.599ns) meets
    -      0.000ns hold offset RCLK to RA[1] by 8.599ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[1]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.016       R2C6A.Q0 to R2C3A.D0       nRowColSel
    -CTOF_DEL    ---     0.301       R2C3A.D0 to       R2C3A.F0 SLICE_92
    -ROUTE         1     1.532       R2C3A.F0 to 89.PADDO       RA_c_1
    -DOPAD_DEL   ---     3.636       89.PADDO to         89.PAD RA[1]
    -                  --------
    -                    7.000   (63.6% logic, 36.4% route), 3 logic levels.
    -
    -Report:    8.599ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.454ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[0]
    -
    -   Data Path Delay:     7.558ns  (60.4% logic, 39.6% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      7.558ns delay SLICE_64 to RA[0] (totaling 10.046ns) meets
    -     12.500ns offset RCLK to RA[0] by 2.454ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[0]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.459       R2C6A.Q0 to R2C2C.C0       nRowColSel
    -CTOF_DEL    ---     0.371       R2C2C.C0 to       R2C2C.F0 SLICE_93
    -ROUTE         1     1.532       R2C2C.F0 to 98.PADDO       RA_c_0
    -DOPAD_DEL   ---     3.636       98.PADDO to         98.PAD RA[0]
    -                  --------
    -                    7.558   (60.4% logic, 39.6% route), 3 logic levels.
    -
    -Report:   10.046ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.442ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RA[0]
    -
    -   Data Path Delay:     6.843ns  (65.1% logic, 34.9% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      6.843ns delay SLICE_64 to RA[0] (totaling 8.442ns) meets
    -      0.000ns hold offset RCLK to RA[0] by 8.442ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RA[0]:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.230       R2C6A.Q0 to R2C2C.C0       nRowColSel
    -CTOF_DEL    ---     0.301       R2C2C.C0 to       R2C2C.F0 SLICE_93
    -ROUTE         1     1.161       R2C2C.F0 to 98.PADDO       RA_c_0
    -DOPAD_DEL   ---     3.636       98.PADDO to         98.PAD RA[0]
    -                  --------
    -                    6.843   (65.1% logic, 34.9% route), 3 logic levels.
    -
    -Report:    8.442ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 4.999ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCS
    -
    -   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_60 and
    -      5.013ns delay SLICE_60 to nRCS (totaling 7.501ns) meets
    -     12.500ns offset RCLK to nRCS by 4.999ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_60:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C9C.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_60 to nRCS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C9C.CLK to       R2C9C.Q0 SLICE_60 (from RCLK_c)
    -ROUTE         1     0.817       R2C9C.Q0 to 77.PADDO       nRCS_c
    -DOPAD_DEL   ---     3.636       77.PADDO to         77.PAD nRCS
    -                  --------
    -                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    -
    -Report:    7.501ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 6.396ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCS
    -
    -   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_60 and
    -      4.797ns delay SLICE_60 to nRCS (totaling 6.396ns) meets
    -      0.000ns hold offset RCLK to nRCS by 6.396ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_60:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C9C.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_60 to nRCS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C9C.CLK to       R2C9C.Q0 SLICE_60 (from RCLK_c)
    -ROUTE         1     0.646       R2C9C.Q0 to 77.PADDO       nRCS_c
    -DOPAD_DEL   ---     3.636       77.PADDO to         77.PAD nRCS
    -                  --------
    -                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    6.396ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 4.999ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    -   Destination:    Port       Pad            RCKE
    -
    -   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_34 and
    -      5.013ns delay SLICE_34 to RCKE (totaling 7.501ns) meets
    -     12.500ns offset RCLK to RCKE by 4.999ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_34:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C7C.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_34 to RCKE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C7C.CLK to       R2C7C.Q0 SLICE_34 (from RCLK_c)
    -ROUTE         4     0.817       R2C7C.Q0 to 82.PADDO       RCKE_c
    -DOPAD_DEL   ---     3.636       82.PADDO to         82.PAD RCKE
    -                  --------
    -                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    -
    -Report:    7.501ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 6.396ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    -   Destination:    Port       Pad            RCKE
    -
    -   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_34 and
    -      4.797ns delay SLICE_34 to RCKE (totaling 6.396ns) meets
    -      0.000ns hold offset RCLK to RCKE by 6.396ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_34:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C7C.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_34 to RCKE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C7C.CLK to       R2C7C.Q0 SLICE_34 (from RCLK_c)
    -ROUTE         4     0.646       R2C7C.Q0 to 82.PADDO       RCKE_c
    -DOPAD_DEL   ---     3.636       82.PADDO to         82.PAD RCKE
    -                  --------
    -                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    6.396ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 3.833ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    -   Destination:    Port       Pad            nRWE
    -
    -   Data Path Delay:     6.179ns  (67.9% logic, 32.1% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_63 and
    -      6.179ns delay SLICE_63 to nRWE (totaling 8.667ns) meets
    -     12.500ns offset RCLK to nRWE by 3.833ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_63:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C7A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_63 to nRWE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C7A.CLK to       R2C7A.Q0 SLICE_63 (from RCLK_c)
    -ROUTE         1     1.983       R2C7A.Q0 to 72.PADDO       nRWE_c
    -DOPAD_DEL   ---     3.636       72.PADDO to         72.PAD nRWE
    -                  --------
    -                    6.179   (67.9% logic, 32.1% route), 2 logic levels.
    -
    -Report:    8.667ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 7.321ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    -   Destination:    Port       Pad            nRWE
    -
    -   Data Path Delay:     5.722ns  (72.5% logic, 27.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_63 and
    -      5.722ns delay SLICE_63 to nRWE (totaling 7.321ns) meets
    -      0.000ns hold offset RCLK to nRWE by 7.321ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_63:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C7A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_63 to nRWE:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C7A.CLK to       R2C7A.Q0 SLICE_63 (from RCLK_c)
    -ROUTE         1     1.571       R2C7A.Q0 to 72.PADDO       nRWE_c
    -DOPAD_DEL   ---     3.636       72.PADDO to         72.PAD nRWE
    -                  --------
    -                    5.722   (72.5% logic, 27.5% route), 2 logic levels.
    -
    -Report:    7.321ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 3.813ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    -   Destination:    Port       Pad            nRRAS
    -
    -   Data Path Delay:     6.199ns  (67.7% logic, 32.3% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_61 and
    -      6.199ns delay SLICE_61 to nRRAS (totaling 8.687ns) meets
    -     12.500ns offset RCLK to nRRAS by 3.813ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_61:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R4C9B.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_61 to nRRAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R4C9B.CLK to       R4C9B.Q0 SLICE_61 (from RCLK_c)
    -ROUTE         2     2.003       R4C9B.Q0 to 73.PADDO       nRRAS_c
    -DOPAD_DEL   ---     3.636       73.PADDO to         73.PAD nRRAS
    -                  --------
    -                    6.199   (67.7% logic, 32.3% route), 2 logic levels.
    -
    -Report:    8.687ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 7.334ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    -   Destination:    Port       Pad            nRRAS
    -
    -   Data Path Delay:     5.735ns  (72.4% logic, 27.6% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_61 and
    -      5.735ns delay SLICE_61 to nRRAS (totaling 7.334ns) meets
    -      0.000ns hold offset RCLK to nRRAS by 7.334ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_61:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R4C9B.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_61 to nRRAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R4C9B.CLK to       R4C9B.Q0 SLICE_61 (from RCLK_c)
    -ROUTE         2     1.584       R4C9B.Q0 to 73.PADDO       nRRAS_c
    -DOPAD_DEL   ---     3.636       73.PADDO to         73.PAD nRRAS
    -                  --------
    -                    5.735   (72.4% logic, 27.6% route), 2 logic levels.
    -
    -Report:    7.334ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 4.999ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCAS
    -
    -   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_58 and
    -      5.013ns delay SLICE_58 to nRCAS (totaling 7.501ns) meets
    -     12.500ns offset RCLK to nRCAS by 4.999ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_58:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C9B.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_58 to nRCAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C9B.CLK to       R2C9B.Q0 SLICE_58 (from RCLK_c)
    -ROUTE         1     0.817       R2C9B.Q0 to 78.PADDO       nRCAS_c
    -DOPAD_DEL   ---     3.636       78.PADDO to         78.PAD nRCAS
    -                  --------
    -                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    -
    -Report:    7.501ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 6.396ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    -   Destination:    Port       Pad            nRCAS
    -
    -   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_58 and
    -      4.797ns delay SLICE_58 to nRCAS (totaling 6.396ns) meets
    -      0.000ns hold offset RCLK to nRCAS by 6.396ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_58:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C9B.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_58 to nRCAS:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C9B.CLK to       R2C9B.Q0 SLICE_58 (from RCLK_c)
    -ROUTE         1     0.646       R2C9B.Q0 to 78.PADDO       nRCAS_c
    -DOPAD_DEL   ---     3.636       78.PADDO to         78.PAD nRCAS
    -                  --------
    -                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    -
    -Report:    6.396ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 1.989ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQMH
    -
    -   Data Path Delay:     8.023ns  (56.9% logic, 43.1% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      8.023ns delay SLICE_64 to RDQMH (totaling 10.511ns) meets
    -     12.500ns offset RCLK to RDQMH by 1.989ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQMH:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.796       R2C6A.Q0 to R6C9A.D0       nRowColSel
    -CTOF_DEL    ---     0.371       R6C9A.D0 to       R6C9A.F0 SLICE_87
    -ROUTE         1     1.660       R6C9A.F0 to 76.PADDO       RDQMH_c
    -DOPAD_DEL   ---     3.636       76.PADDO to         76.PAD RDQMH
    -                  --------
    -                    8.023   (56.9% logic, 43.1% route), 3 logic levels.
    -
    -Report:   10.511ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.888ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQMH
    -
    -   Data Path Delay:     7.289ns  (61.1% logic, 38.9% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      7.289ns delay SLICE_64 to RDQMH (totaling 8.888ns) meets
    -      0.000ns hold offset RCLK to RDQMH by 8.888ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQMH:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.549       R2C6A.Q0 to R6C9A.D0       nRowColSel
    -CTOF_DEL    ---     0.301       R6C9A.D0 to       R6C9A.F0 SLICE_87
    -ROUTE         1     1.288       R6C9A.F0 to 76.PADDO       RDQMH_c
    -DOPAD_DEL   ---     3.636       76.PADDO to         76.PAD RDQMH
    -                  --------
    -                    7.289   (61.1% logic, 38.9% route), 3 logic levels.
    -
    -Report:    8.888ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 2.892ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQML
    -
    -   Data Path Delay:     7.120ns  (64.1% logic, 35.9% route), 3 logic levels.
    -
    -   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    -
    - Constraint Details:
    -      2.488ns delay RCLK to SLICE_64 and
    -      7.120ns delay SLICE_64 to RDQML (totaling 9.608ns) meets
    -     12.500ns offset RCLK to RDQML by 2.892ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    -ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQML:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.736       R2C6A.Q0 to R8C9C.D0       nRowColSel
    -CTOF_DEL    ---     0.371       R8C9C.D0 to       R8C9C.F0 SLICE_95
    -ROUTE         1     0.817       R8C9C.F0 to 61.PADDO       RDQML_c
    -DOPAD_DEL   ---     3.636       61.PADDO to         61.PAD RDQML
    -                  --------
    -                    7.120   (64.1% logic, 35.9% route), 3 logic levels.
    -
    -Report:    9.608ns is the minimum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            1 item scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -Passed:  The following path meets requirements by 8.163ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    -   Destination:    Port       Pad            RDQML
    -
    -   Data Path Delay:     6.564ns  (67.8% logic, 32.2% route), 3 logic levels.
    -
    -   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    -
    - Constraint Details:
    -      1.599ns delay RCLK to SLICE_64 and
    -      6.564ns delay SLICE_64 to RDQML (totaling 8.163ns) meets
    -      0.000ns hold offset RCLK to RDQML by 8.163ns
    -
    - Physical Path Details:
    -
    -      Clock path RCLK to SLICE_64:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    -ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    -                  --------
    -                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    -
    -      Data path SLICE_64 to RDQML:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    -ROUTE        13     1.466       R2C6A.Q0 to R8C9C.D0       nRowColSel
    -CTOF_DEL    ---     0.301       R8C9C.D0 to       R8C9C.F0 SLICE_95
    -ROUTE         1     0.646       R8C9C.F0 to 61.PADDO       RDQML_c
    -DOPAD_DEL   ---     3.636       61.PADDO to         61.PAD RDQML
    -                  --------
    -                    6.564   (67.8% logic, 32.2% route), 3 logic levels.
    -
    -Report:    8.163ns is the maximum offset for this preference.
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -
    -================================================================================
    -Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    -            0 items scored, 0 timing errors detected.
    ---------------------------------------------------------------------------------
    -
    -Report Summary
    ---------------
    -----------------------------------------------------------------------------
    -Preference                              |   Constraint|       Actual|Levels
    -----------------------------------------------------------------------------
    -                                        |             |             |
    -PERIOD NET "PHI2_c" 350.000000 ns  ;    |   350.000 ns|    22.150 ns|   7  
    -                                        |             |             |
    -PERIOD NET "nCCAS_c" 350.000000 ns  ;   |   350.000 ns|     2.000 ns|   0  
    -                                        |             |             |
    -PERIOD NET "nCRAS_c" 350.000000 ns  ;   |   350.000 ns|     2.000 ns|   0  
    -                                        |             |             |
    -PERIOD NET "RCLK_c" 16.000000 ns  ;     |    16.000 ns|     8.659 ns|   6  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    -ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.501 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.396 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    11.023 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     9.323 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.040 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.446 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.394 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.766 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.498 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.813 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    11.359 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     9.559 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.042 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.445 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.284 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.599 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.501 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.849 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.284 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.599 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.046 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.442 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.501 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.396 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.501 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.396 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.667 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     7.321 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.687 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     7.334 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.501 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.396 ns|   2  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.511 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.888 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.608 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.163 ns|   3  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    -                                        |             |             |
    -CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    -CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    -                                        |             |             |
    -----------------------------------------------------------------------------
    -
    -
    -All preferences were met.
    -
    -
    -Clock Domains Analysis
    -------------------------
    -
    -Found 4 clocks:
    -
    -Clock Domain: nCRAS_c   Source: nCRAS.PAD   Loads: 9
    -   No transfer within this clock domain is found
    -
    -   Data transfers from:
    -   Clock Domain: RCLK_c   Source: RCLK.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -Clock Domain: nCCAS_c   Source: nCCAS.PAD   Loads: 7
    -   No transfer within this clock domain is found
    -
    -Clock Domain: RCLK_c   Source: RCLK.PAD   Loads: 39
    -   Covered under: PERIOD NET "RCLK_c" 16.000000 ns  ;
    -
    -   Data transfers from:
    -   Clock Domain: nCRAS_c   Source: nCRAS.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -   Clock Domain: PHI2_c   Source: PHI2.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -Clock Domain: PHI2_c   Source: PHI2.PAD   Loads: 14
    -   Covered under: PERIOD NET "PHI2_c" 350.000000 ns  ;
    -
    -   Data transfers from:
    -   Clock Domain: RCLK_c   Source: RCLK.PAD
    -      Not reported because source and destination domains are unrelated.
    -      To report these transfers please refer to preference CLKSKEWDIFF to define
    -      external clock skew between clock ports.
    -
    -
    -Timing summary (Setup):
    ----------------
    -
    -Timing errors: 0  Score: 0
    -Cumulative negative slack: 0
    -
    -Constraints cover 538 paths, 6 nets, and 440 connections (71.54% coverage)
    -
    diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/automake.log b/CPLD-old/LCMXO/LCMXO640C/impl1/automake.log
    deleted file mode 100644
    index 1165506..0000000
    --- a/CPLD-old/LCMXO/LCMXO640C/impl1/automake.log
    +++ /dev/null
    @@ -1,528 +0,0 @@
    -
    -ibisgen "RAM2GS_LCMXO640C_impl1.pad" "C:/lscc/diamond/3.12/cae_library/ibis/machxo.ibs"   
    -IBIS Models Generator: Lattice Diamond (64-bit) 3.12.0.240.2
    -
    -Mon Aug 16 21:36:33 2021
    -
    -Comp: CROW[0]
    - Site: 32
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: CROW[1]
    - Site: 34
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[0]
    - Site: 21
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[1]
    - Site: 15
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[2]
    - Site: 14
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[3]
    - Site: 16
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[4]
    - Site: 18
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[5]
    - Site: 17
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[6]
    - Site: 20
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Din[7]
    - Site: 19
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: Dout[0]
    - Site: 1
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[1]
    - Site: 7
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[2]
    - Site: 8
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[3]
    - Site: 6
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[4]
    - Site: 4
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[5]
    - Site: 5
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[6]
    - Site: 2
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: Dout[7]
    - Site: 3
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: LED
    - Site: 57
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=16mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: MAin[0]
    - Site: 23
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[1]
    - Site: 38
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[2]
    - Site: 37
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[3]
    - Site: 47
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[4]
    - Site: 46
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[5]
    - Site: 45
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[6]
    - Site: 49
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[7]
    - Site: 44
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[8]
    - Site: 50
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: MAin[9]
    - Site: 51
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: PHI2
    - Site: 39
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: RA[0]
    - Site: 98
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[10]
    - Site: 87
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[11]
    - Site: 79
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[1]
    - Site: 89
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[2]
    - Site: 94
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[3]
    - Site: 97
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[4]
    - Site: 99
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[5]
    - Site: 95
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[6]
    - Site: 91
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[7]
    - Site: 100
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[8]
    - Site: 96
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RA[9]
    - Site: 85
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RBA[0]
    - Site: 63
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RBA[1]
    - Site: 83
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RCKE
    - Site: 82
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RCLK
    - Site: 86
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: RDQMH
    - Site: 76
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RDQML
    - Site: 61
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: RD[0]
    - Site: 64
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[1]
    - Site: 65
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[2]
    - Site: 66
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[3]
    - Site: 67
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[4]
    - Site: 68
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[5]
    - Site: 69
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[6]
    - Site: 70
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: RD[7]
    - Site: 71
    - Type: BIDI
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    - PULL=KEEPER 
    ------------------------
    -Comp: UFMCLK
    - Site: 58
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: UFMSDI
    - Site: 56
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: UFMSDO
    - Site: 55
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    - PULL=KEEPER 
    ------------------------
    -Comp: nCCAS
    - Site: 27
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: nCRAS
    - Site: 43
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: nFWE
    - Site: 22
    - Type: IN
    - IO_TYPE=LVTTL33 
    - SLEW=FAST 
    ------------------------
    -Comp: nRCAS
    - Site: 78
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: nRCS
    - Site: 77
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: nRRAS
    - Site: 73
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: nRWE
    - Site: 72
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Comp: nUFMCS
    - Site: 53
    - Type: OUT
    - IO_TYPE=LVTTL33 
    - DRIVE=4mA 
    - SLEW=SLOW 
    ------------------------
    -Created design models.
    -
    -
    -Generating: C:\Users\Dog\Documents\GitHub\RAM2GS\CPLD\LCMXO\LCMXO640C\impl1\IBIS\RAM2GS_LCMXO640C_im~.ibs
    -
    -
    -    
    -
    -tmcheck -par "RAM2GS_LCMXO640C_impl1.par" 
    -
    -bitgen -w "RAM2GS_LCMXO640C_impl1.ncd" -f "RAM2GS_LCMXO640C_impl1.t2b" "RAM2GS_LCMXO640C_impl1.prf"
    -
    -
    -BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -
    -
    -Loading design for application Bitgen from file RAM2GS_LCMXO640C_impl1.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO640C
    -Package:     TQFP100
    -Performance: 3
    -Loading device for application Bitgen from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    -Package Status:                     Final          Version 1.17.
    -Performance Hardware Data Status: Version 1.124.
    -
    -Running DRC.
    -DRC detected 0 errors and 0 warnings.
    -Reading Preference File from RAM2GS_LCMXO640C_impl1.prf.
    -
    -Preference Summary:
    -+---------------------------------+---------------------------------+
    -|  Preference                     |  Current Setting                |
    -+---------------------------------+---------------------------------+
    -|                  CONFIG_SECURE  |                          OFF**  |
    -+---------------------------------+---------------------------------+
    -|                          INBUF  |                           ON**  |
    -+---------------------------------+---------------------------------+
    -|                             ES  |                           No**  |
    -+---------------------------------+---------------------------------+
    - *  Default setting.
    - ** The specified setting matches the default setting.
    -
    -
    -Creating bit map...
    -Saving bit stream in "RAM2GS_LCMXO640C_impl1.bit".
    -Total CPU Time: 0 secs 
    -Total REAL Time: 0 secs 
    -Peak Memory Usage: 46 MB
    -
    -ddtcmd -dev LCMXO640C-XXT100 -if "RAM2GS_LCMXO640C_impl1.bit" -oft -jed -of "RAM2GS_LCMXO640C_impl1.jed"  -comment "RAM2GS_LCMXO640C_impl1.alt" 
    -Lattice Diamond Deployment Tool 3.12 Command Line
    -
    -Loading Programmer Device Database...
    -
    -Generating JED.....
    -Device Name: LCMXO640C-XXT100
    -Reading Input File: RAM2GS_LCMXO640C_impl1.bit
    -Output File: RAM2GS_LCMXO640C_impl1.jed
    -Comment file RAM2GS_LCMXO640C_impl1.alt.
    -Generating JEDEC.....
    -File RAM2GS_LCMXO640C_impl1.jed generated successfully.
    -Lattice Diamond Deployment Tool has exited successfully.
    -
    diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/hdla_gen_hierarchy.html b/CPLD-old/LCMXO/LCMXO640C/impl1/hdla_gen_hierarchy.html
    deleted file mode 100644
    index ef94b54..0000000
    --- a/CPLD-old/LCMXO/LCMXO640C/impl1/hdla_gen_hierarchy.html
    +++ /dev/null
    @@ -1,9 +0,0 @@
    -         	                                   	                                                	                                                 	                                                  	
    Setting log file to 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1/hdla_gen_hierarchy.html'.
    -Starting: parse design source files
    -(VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v'
    -(VERI-1482) Analyzing Verilog file 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v'
    -INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS'
    -INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v(1,1-397,10) (VERI-9000) elaborating module 'RAM2GS'
    -Done: design load finished with (0) errors, and (0) warnings
    -
    -
    \ No newline at end of file diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior b/CPLD-old/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior deleted file mode 100644 index 4473ca1..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior +++ /dev/null @@ -1,137 +0,0 @@ -Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO640C -Package: TQFP100 -Performance: 4 -Package Status: Final Version 1.17. -Performance Hardware Data Status: Version 1.124. -Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO640C -Package: TQFP100 -Performance: 5 -Package Status: Final Version 1.17. -Performance Hardware Data Status: Version 1.124. -Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd. -Design name: RAM2GS -NCD version: 3.3 -Vendor: LATTICE -Device: LCMXO640C -Package: TQFP100 -Performance: M -Package Status: Final Version 1.17. -Performance Hardware Data Status: Version 1.124. -// Design: RAM2GS -// Package: TQFP100 -// ncd File: ram2gs_lcmxo640c_impl1.ncd -// Version: Diamond (64-bit) 3.12.0.240.2 -// Written on Mon Aug 16 21:33:38 2021 -// M: Minimum Performance Grade -// iotiming RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml - -I/O Timing Report (All units are in ns) - -Worst Case Results across Performance Grades (M, 5, 4, 3): - -// Input Setup and Hold Times - -Port Clock Edge Setup Performance_Grade Hold Performance_Grade ----------------------------------------------------------------------- -CROW[0] nCRAS F 0.474 3 1.625 3 -CROW[1] nCRAS F 0.104 3 1.925 3 -Din[0] PHI2 F 6.682 3 1.613 3 -Din[0] nCCAS F -0.028 M 2.082 3 -Din[1] PHI2 F 6.886 3 2.631 3 -Din[1] nCCAS F -0.025 M 2.074 3 -Din[2] PHI2 F 5.426 3 1.921 3 -Din[2] nCCAS F 1.175 3 1.003 3 -Din[3] PHI2 F 5.699 3 1.852 3 -Din[3] nCCAS F 0.331 3 1.707 3 -Din[4] PHI2 F 6.556 3 1.438 3 -Din[4] nCCAS F 0.706 3 1.406 3 -Din[5] PHI2 F 6.281 3 1.959 3 -Din[5] nCCAS F 0.246 3 1.807 3 -Din[6] PHI2 F 5.585 3 1.441 3 -Din[6] nCCAS F 0.799 3 1.341 3 -Din[7] PHI2 F 7.980 3 1.725 3 -Din[7] nCCAS F 0.333 3 1.707 3 -MAin[0] PHI2 F 4.994 3 1.265 3 -MAin[0] nCRAS F 0.662 3 1.471 3 -MAin[1] PHI2 F 6.056 3 1.867 3 -MAin[1] nCRAS F 1.180 3 0.990 3 -MAin[2] PHI2 F 10.634 3 -1.183 M -MAin[2] nCRAS F -0.218 M 2.631 3 -MAin[3] PHI2 F 10.902 3 -1.260 M -MAin[3] nCRAS F 0.208 3 1.826 3 -MAin[4] PHI2 F 10.204 3 -1.072 M -MAin[4] nCRAS F -0.218 M 2.628 3 -MAin[5] PHI2 F 7.043 3 -0.270 M -MAin[5] nCRAS F 0.123 3 1.925 3 -MAin[6] PHI2 F 9.465 3 -0.885 M -MAin[6] nCRAS F 0.584 3 1.522 3 -MAin[7] PHI2 F 9.683 3 -0.938 M -MAin[7] nCRAS F -0.218 M 2.628 3 -MAin[8] nCRAS F 0.316 3 1.758 3 -MAin[9] nCRAS F -0.058 M 2.185 3 -PHI2 RCLK R 1.456 3 0.038 3 -UFMSDO RCLK R 2.953 3 -0.147 M -nCCAS RCLK R 2.435 3 -0.244 M -nCCAS nCRAS F 0.156 3 1.902 3 -nCRAS RCLK R 5.307 3 -0.787 M -nFWE PHI2 F 5.614 3 1.072 3 -nFWE nCRAS F -0.101 M 2.317 3 - - -// Clock to Output Delay - -Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade ------------------------------------------------------------------------- -LED RCLK R 11.635 3 3.019 M -RA[0] RCLK R 11.287 3 2.893 M -RA[0] nCRAS F 15.323 3 3.902 M -RA[10] RCLK R 7.501 3 1.949 M -RA[11] PHI2 R 9.747 3 2.487 M -RA[1] RCLK R 11.083 3 2.855 M -RA[1] nCRAS F 12.034 3 3.047 M -RA[2] RCLK R 10.857 3 2.787 M -RA[2] nCRAS F 13.411 3 3.403 M -RA[3] RCLK R 10.775 3 2.772 M -RA[3] nCRAS F 12.977 3 3.296 M -RA[4] RCLK R 10.758 3 2.776 M -RA[4] nCRAS F 14.192 3 3.619 M -RA[5] RCLK R 10.334 3 2.652 M -RA[5] nCRAS F 13.484 3 3.424 M -RA[6] RCLK R 10.334 3 2.652 M -RA[6] nCRAS F 12.972 3 3.291 M -RA[7] RCLK R 9.917 3 2.572 M -RA[7] nCRAS F 12.327 3 3.147 M -RA[8] RCLK R 10.465 3 2.689 M -RA[8] nCRAS F 12.559 3 3.170 M -RA[9] RCLK R 10.412 3 2.668 M -RA[9] nCRAS F 14.019 3 3.564 M -RBA[0] nCRAS F 11.063 3 2.809 M -RBA[1] nCRAS F 11.902 3 3.028 M -RCKE RCLK R 7.501 3 1.949 M -RDQMH RCLK R 9.831 3 2.523 M -RDQML RCLK R 9.117 3 2.351 M -RD[0] nCCAS F 12.575 3 3.249 M -RD[1] nCCAS F 13.016 3 3.365 M -RD[2] nCCAS F 11.602 3 2.993 M -RD[3] nCCAS F 10.893 3 2.834 M -RD[4] nCCAS F 12.063 3 3.116 M -RD[5] nCCAS F 12.555 3 3.242 M -RD[6] nCCAS F 12.537 3 3.240 M -RD[7] nCCAS F 12.524 3 3.239 M -UFMCLK RCLK R 8.079 3 2.126 M -UFMSDI RCLK R 8.079 3 2.126 M -nRCAS RCLK R 7.501 3 1.949 M -nRCS RCLK R 7.501 3 1.949 M -nRRAS RCLK R 9.175 3 2.363 M -nRWE RCLK R 9.141 3 2.356 M -nUFMCS RCLK R 8.804 3 2.290 M -WARNING: you must also run trce with hold speed: 3 -WARNING: you must also run trce with setup speed: M diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1_trce.asd b/CPLD-old/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1_trce.asd deleted file mode 100644 index 735293f..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1_trce.asd +++ /dev/null @@ -1,91 +0,0 @@ -[ActiveSupport TRCE] -; Setup Analysis -Period_0 = 27.276 ns (350.000 ns); -Period_1 = 2.000 ns (350.000 ns); -Period_2 = 2.000 ns (350.000 ns); -Period_3 = 9.443 ns (16.000 ns); -Tco_4 = - (-); -Tco_5 = - (-); -Tco_6 = - (-); -Tco_7 = - (-); -Tco_8 = - (-); -Tco_9 = - (-); -Tco_10 = - (-); -Tco_11 = - (-); -Tco_12 = - (-); -Tco_13 = - (-); -Tco_14 = - (-); -Tco_15 = - (-); -Tco_16 = 7.501 ns (12.500 ns); -Tco_17 = 10.412 ns (12.500 ns); -Tco_18 = 10.465 ns (12.500 ns); -Tco_19 = 9.917 ns (12.500 ns); -Tco_20 = 10.334 ns (12.500 ns); -Tco_21 = 10.334 ns (12.500 ns); -Tco_22 = 10.758 ns (12.500 ns); -Tco_23 = 10.775 ns (12.500 ns); -Tco_24 = 10.857 ns (12.500 ns); -Tco_25 = 11.083 ns (12.500 ns); -Tco_26 = 11.287 ns (12.500 ns); -Tco_27 = 7.501 ns (12.500 ns); -Tco_28 = 7.501 ns (12.500 ns); -Tco_29 = 9.141 ns (12.500 ns); -Tco_30 = 9.175 ns (12.500 ns); -Tco_31 = 7.501 ns (12.500 ns); -Tco_32 = 9.831 ns (12.500 ns); -Tco_33 = 9.117 ns (12.500 ns); -Tco_34 = - (-); -Tco_35 = - (-); -Tco_36 = - (-); -Tco_37 = - (-); -Tco_38 = - (-); -Tco_39 = - (-); -Tco_40 = - (-); -Failed = 0 (Total 41); -Clock_ports = 4; -Clock_nets = 4; -; Hold Analysis -Period_0 = - (-); -Period_1 = - (-); -Period_2 = - (-); -Period_3 = - (-); -Tco_4 = - (-); -Tco_5 = - (-); -Tco_6 = - (-); -Tco_7 = - (-); -Tco_8 = - (-); -Tco_9 = - (-); -Tco_10 = - (-); -Tco_11 = - (-); -Tco_12 = - (-); -Tco_13 = - (-); -Tco_14 = - (-); -Tco_15 = - (-); -Tco_16 = 1.949 ns (0.000 ns); -Tco_17 = 2.668 ns (0.000 ns); -Tco_18 = 2.689 ns (0.000 ns); -Tco_19 = 2.572 ns (0.000 ns); -Tco_20 = 2.652 ns (0.000 ns); -Tco_21 = 2.652 ns (0.000 ns); -Tco_22 = 2.776 ns (0.000 ns); -Tco_23 = 2.772 ns (0.000 ns); -Tco_24 = 2.787 ns (0.000 ns); -Tco_25 = 2.855 ns (0.000 ns); -Tco_26 = 2.893 ns (0.000 ns); -Tco_27 = 1.949 ns (0.000 ns); -Tco_28 = 1.949 ns (0.000 ns); -Tco_29 = 2.356 ns (0.000 ns); -Tco_30 = 2.363 ns (0.000 ns); -Tco_31 = 1.949 ns (0.000 ns); -Tco_32 = 2.523 ns (0.000 ns); -Tco_33 = 2.351 ns (0.000 ns); -Tco_34 = - (-); -Tco_35 = - (-); -Tco_36 = - (-); -Tco_37 = - (-); -Tco_38 = - (-); -Tco_39 = - (-); -Tco_40 = - (-); -Failed = 0 (Total 41); -Clock_ports = 4; -Clock_nets = 4; diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/synthesis.log b/CPLD-old/LCMXO/LCMXO640C/impl1/synthesis.log deleted file mode 100644 index f808002..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/synthesis.log +++ /dev/null @@ -1,239 +0,0 @@ -synthesis: version Diamond (64-bit) 3.12.0.240.2 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Mon Aug 16 21:33:29 2021 - - -Command Line: synthesis -f RAM2GS_LCMXO640C_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml - -Synthesis options: -The -a option is MachXO. -The -s option is 3. -The -t option is TQFP100. -The -d option is LCMXO640C. -Using package TQFP100. -Using performance grade 3. - - -########################################################## - -### Lattice Family : MachXO - -### Device : LCMXO640C - -### Package : TQFP100 - -### Speed : 3 - -########################################################## - - - -INFO - synthesis: User-Selected Strategy Settings -Optimization goal = Balanced -Top-level module name = RAM2GS. -Target frequency = 200.000000 MHz. -Maximum fanout = 1000. -Timing path count = 3 -BRAM utilization = 100.000000 % -DSP usage = true -DSP utilization = 100.000000 % -fsm_encoding_style = auto -resolve_mixed_drivers = 0 -fix_gated_clocks = 1 - -Mux style = Auto -Use Carry Chain = true -carry_chain_length = 0 -Loop Limit = 1950. -Use IO Insertion = TRUE -Use IO Reg = AUTO - -Resource Sharing = TRUE -Propagate Constants = TRUE -Remove Duplicate Registers = TRUE -force_gsr = auto -ROM style = auto -RAM style = auto -The -comp option is FALSE. -The -syn option is FALSE. --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C (searchpath added) --p C:/lscc/diamond/3.12/ispfpga/mj5g00/data (searchpath added) --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1 (searchpath added) --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C (searchpath added) -Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v -NGD file = RAM2GS_LCMXO640C_impl1.ngd --sdc option: SDC file input not used. --lpf option: Output file option is ON. -Hardtimer checking is enabled (default). The -dt option is not used. -The -r option is OFF. [ Remove LOC Properties is OFF. ] -Technology check ok... - -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482 -Compile design. -Compile Design Begin -Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v. VERI-1482 -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482 -Top module name (Verilog): RAM2GS -INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1): compiling module RAM2GS. VERI-1018 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131): expression size 32 truncated to fit in target size 2. VERI-1209 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136): expression size 32 truncated to fit in target size 18. VERI-1209 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263): expression size 32 truncated to fit in target size 4. VERI-1209 -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... -Loading device for application map from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga. -Package Status: Final Version 1.17. -Top-level module name = RAM2GS. -INFO - synthesis: Extracted state machine for register 'IS' with one-hot encoding -original encoding -> new encoding (one-hot encoding) - - 0000 -> 0000000000000001 - - 0001 -> 0000000000000010 - - 0010 -> 0000000000000100 - - 0011 -> 0000000000001000 - - 0100 -> 0000000000010000 - - 0101 -> 0000000000100000 - - 0110 -> 0000000001000000 - - 0111 -> 0000000010000000 - - 1000 -> 0000000100000000 - - 1001 -> 0000001000000000 - - 1010 -> 0000010000000000 - - 1011 -> 0000100000000000 - - 1100 -> 0001000000000000 - - 1101 -> 0010000000000000 - - 1110 -> 0100000000000000 - - 1111 -> 1000000000000000 - -INFO - synthesis: Extracted state machine for register 'S' with one-hot encoding -original encoding -> new encoding (one-hot encoding) - - 00 -> 0001 - - 01 -> 0010 - - 10 -> 0100 - - 11 -> 1000 - - - - -GSR will not be inferred because no asynchronous signal was found in the netlist. -WARNING - synthesis: Initial value found on instance C1Submitted_379 will be ignored. -Applying 200.000000 MHz constraint to all clocks - -WARNING - synthesis: No user .sdc file. -Results of NGD DRC are available in RAM2GS_drc.log. -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... -All blocks are expanded and NGD expansion is successful. -Writing NGD file RAM2GS_LCMXO640C_impl1.ngd. - -################### Begin Area Report (RAM2GS)###################### -Number of register bits => 102 of 862 (11 % ) -BB => 8 -CCU2 => 9 -FD1P3AX => 28 -FD1P3AY => 3 -FD1P3IX => 2 -FD1P3JX => 1 -FD1S3AX => 47 -FD1S3AY => 1 -FD1S3IX => 16 -FD1S3JX => 4 -GSR => 1 -IB => 26 -INV => 3 -OB => 33 -ORCALUT4 => 116 -PFUMX => 3 -################### End Area Report ################## - -################### Begin BlackBox Report ###################### -TSALL => 1 -################### End BlackBox Report ################## - -################### Begin Clock Report ###################### -Clock Nets -Number of Clocks: 4 - Net : RCLK_c, loads : 62 - Net : PHI2_c, loads : 11 - Net : nCCAS_c, loads : 2 - Net : nCRAS_c, loads : 2 -Clock Enable Nets -Number of Clock Enables: 13 -Top 10 highest fanout Clock Enables: - Net : RCLK_c_enable_23, loads : 16 - Net : RCLK_c_enable_4, loads : 3 - Net : PHI2_N_114_enable_7, loads : 3 - Net : RCLK_c_enable_24, loads : 2 - Net : PHI2_N_114_enable_6, loads : 2 - Net : RCLK_c_enable_7, loads : 1 - Net : RCLK_c_enable_6, loads : 1 - Net : RCLK_c_enable_3, loads : 1 - Net : PHI2_N_114_enable_2, loads : 1 - Net : PHI2_N_114_enable_1, loads : 1 -Highest fanout non-clock nets -Top 10 highest fanout non-clock nets: - Net : InitReady, loads : 17 - Net : RCLK_c_enable_23, loads : 16 - Net : RASr2, loads : 15 - Net : nCRAS_N_9, loads : 15 - Net : nRowColSel_N_35, loads : 14 - Net : nRowColSel, loads : 13 - Net : Ready, loads : 13 - Net : n2307, loads : 13 - Net : nCCAS_N_3, loads : 10 - Net : Din_c_6, loads : 9 -################### End Clock Report ################## - -Timing Report Summary --------------- --------------------------------------------------------------------------------- -Constraint | Constraint| Actual|Levels --------------------------------------------------------------------------------- - | | | -create_clock -period 5.000000 -name | | | -clk3 [get_nets nCCAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk2 [get_nets nCRAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk1 [get_nets PHI2_c] | 200.000 MHz| 38.826 MHz| 7 * - | | | -create_clock -period 5.000000 -name | | | -clk0 [get_nets RCLK_c] | 200.000 MHz| 88.566 MHz| 6 * - | | | --------------------------------------------------------------------------------- - - -2 constraints not met. - - -Peak Memory Usage: 50.699 MB - --------------------------------------------------------------- -Elapsed CPU time for LSE flow : 0.515 secs --------------------------------------------------------------- diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/synthesis_lse.html b/CPLD-old/LCMXO/LCMXO640C/impl1/synthesis_lse.html deleted file mode 100644 index 23a4fc7..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/synthesis_lse.html +++ /dev/null @@ -1,304 +0,0 @@ - -Synthesis and Ngdbuild Report - - -
    Synthesis and Ngdbuild  Report
    -synthesis:  version Diamond (64-bit) 3.12.0.240.2
    -
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Mon Aug 16 21:33:29 2021
    -
    -
    -Command Line:  synthesis -f RAM2GS_LCMXO640C_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml 
    -
    -Synthesis options:
    -The -a option is MachXO.
    -The -s option is 3.
    -The -t option is TQFP100.
    -The -d option is LCMXO640C.
    -Using package TQFP100.
    -Using performance grade 3.
    -                                                          
    -
    -##########################################################
    -
    -### Lattice Family : MachXO
    -
    -### Device  : LCMXO640C
    -
    -### Package : TQFP100
    -
    -### Speed   : 3
    -
    -##########################################################
    -
    -                                                          
    -
    -INFO - synthesis: User-Selected Strategy Settings
    -Optimization goal = Balanced
    -Top-level module name = RAM2GS.
    -Target frequency = 200.000000 MHz.
    -Maximum fanout = 1000.
    -Timing path count = 3
    -BRAM utilization = 100.000000 %
    -DSP usage = true
    -DSP utilization = 100.000000 %
    -fsm_encoding_style = auto
    -resolve_mixed_drivers = 0
    -fix_gated_clocks = 1
    -
    -Mux style = Auto
    -Use Carry Chain = true
    -carry_chain_length = 0
    -Loop Limit = 1950.
    -Use IO Insertion = TRUE
    -Use IO Reg = AUTO
    -
    -Resource Sharing = TRUE
    -Propagate Constants = TRUE
    -Remove Duplicate Registers = TRUE
    -force_gsr = auto
    -ROM style = auto
    -RAM style = auto
    -The -comp option is FALSE.
    -The -syn option is FALSE.
    --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C (searchpath added)
    --p C:/lscc/diamond/3.12/ispfpga/mj5g00/data (searchpath added)
    --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1 (searchpath added)
    --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C (searchpath added)
    -Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v
    -NGD file = RAM2GS_LCMXO640C_impl1.ngd
    --sdc option: SDC file input not used.
    --lpf option: Output file option is ON.
    -Hardtimer checking is enabled (default). The -dt option is not used.
    -The -r option is OFF. [ Remove LOC Properties is OFF. ]
    -Technology check ok...
    -
    -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482
    -Compile design.
    -Compile Design Begin
    -Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v. VERI-1482
    -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482
    -Top module name (Verilog): RAM2GS
    -INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1): compiling module RAM2GS. VERI-1018
    -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131): expression size 32 truncated to fit in target size 2. VERI-1209
    -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136): expression size 32 truncated to fit in target size 18. VERI-1209
    -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263): expression size 32 truncated to fit in target size 4. VERI-1209
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'...
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
    -Loading device for application map from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    -Package Status:                     Final          Version 1.17.
    -Top-level module name = RAM2GS.
    -INFO - synthesis: Extracted state machine for register 'IS' with one-hot encoding
    -original encoding -> new encoding (one-hot encoding)
    -
    - 0000 -> 0000000000000001
    -
    - 0001 -> 0000000000000010
    -
    - 0010 -> 0000000000000100
    -
    - 0011 -> 0000000000001000
    -
    - 0100 -> 0000000000010000
    -
    - 0101 -> 0000000000100000
    -
    - 0110 -> 0000000001000000
    -
    - 0111 -> 0000000010000000
    -
    - 1000 -> 0000000100000000
    -
    - 1001 -> 0000001000000000
    -
    - 1010 -> 0000010000000000
    -
    - 1011 -> 0000100000000000
    -
    - 1100 -> 0001000000000000
    -
    - 1101 -> 0010000000000000
    -
    - 1110 -> 0100000000000000
    -
    - 1111 -> 1000000000000000
    -
    -INFO - synthesis: Extracted state machine for register 'S' with one-hot encoding
    -original encoding -> new encoding (one-hot encoding)
    -
    - 00 -> 0001
    -
    - 01 -> 0010
    -
    - 10 -> 0100
    -
    - 11 -> 1000
    -
    -
    -
    -
    -GSR will not be inferred because no asynchronous signal was found in the netlist.
    -WARNING - synthesis: Initial value found on instance C1Submitted_379 will be ignored.
    -Applying 200.000000 MHz constraint to all clocks
    -
    -WARNING - synthesis: No user .sdc file.
    -Results of NGD DRC are available in RAM2GS_drc.log.
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'...
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
    -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
    -All blocks are expanded and NGD expansion is successful.
    -Writing NGD file RAM2GS_LCMXO640C_impl1.ngd.
    -
    -################### Begin Area Report (RAM2GS)######################
    -Number of register bits => 102 of 862 (11 % )
    -BB => 8
    -CCU2 => 9
    -FD1P3AX => 28
    -FD1P3AY => 3
    -FD1P3IX => 2
    -FD1P3JX => 1
    -FD1S3AX => 47
    -FD1S3AY => 1
    -FD1S3IX => 16
    -FD1S3JX => 4
    -GSR => 1
    -IB => 26
    -INV => 3
    -OB => 33
    -ORCALUT4 => 116
    -PFUMX => 3
    -################### End Area Report ##################
    -
    -################### Begin BlackBox Report ######################
    -TSALL => 1
    -################### End BlackBox Report ##################
    -
    -################### Begin Clock Report ######################
    -Clock Nets
    -Number of Clocks: 4
    -  Net : RCLK_c, loads : 62
    -  Net : PHI2_c, loads : 11
    -  Net : nCCAS_c, loads : 2
    -  Net : nCRAS_c, loads : 2
    -Clock Enable Nets
    -Number of Clock Enables: 13
    -Top 10 highest fanout Clock Enables:
    -  Net : RCLK_c_enable_23, loads : 16
    -  Net : RCLK_c_enable_4, loads : 3
    -  Net : PHI2_N_114_enable_7, loads : 3
    -  Net : RCLK_c_enable_24, loads : 2
    -  Net : PHI2_N_114_enable_6, loads : 2
    -  Net : RCLK_c_enable_7, loads : 1
    -  Net : RCLK_c_enable_6, loads : 1
    -  Net : RCLK_c_enable_3, loads : 1
    -  Net : PHI2_N_114_enable_2, loads : 1
    -  Net : PHI2_N_114_enable_1, loads : 1
    -Highest fanout non-clock nets
    -Top 10 highest fanout non-clock nets:
    -  Net : InitReady, loads : 17
    -  Net : RCLK_c_enable_23, loads : 16
    -  Net : RASr2, loads : 15
    -  Net : nCRAS_N_9, loads : 15
    -  Net : nRowColSel_N_35, loads : 14
    -  Net : nRowColSel, loads : 13
    -  Net : Ready, loads : 13
    -  Net : n2307, loads : 13
    -  Net : nCCAS_N_3, loads : 10
    -  Net : Din_c_6, loads : 9
    -################### End Clock Report ##################
    -
    -Timing Report Summary
    ---------------
    ---------------------------------------------------------------------------------
    -Constraint                              |   Constraint|       Actual|Levels
    ---------------------------------------------------------------------------------
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk3 [get_nets nCCAS_c]                 |            -|            -|     0  
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk2 [get_nets nCRAS_c]                 |            -|            -|     0  
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk1 [get_nets PHI2_c]                  |  200.000 MHz|   38.826 MHz|     7 *
    -                                        |             |             |
    -create_clock -period 5.000000 -name     |             |             |
    -clk0 [get_nets RCLK_c]                  |  200.000 MHz|   88.566 MHz|     6 *
    -                                        |             |             |
    ---------------------------------------------------------------------------------
    -
    -
    -2 constraints not met.
    -
    -
    -Peak Memory Usage: 50.699  MB
    -
    ---------------------------------------------------------------
    -Elapsed CPU time for LSE flow : 0.515  secs
    ---------------------------------------------------------------
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    - - diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/xxx_lse_cp_file_list b/CPLD-old/LCMXO/LCMXO640C/impl1/xxx_lse_cp_file_list deleted file mode 100644 index 29f9161..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/xxx_lse_cp_file_list +++ /dev/null @@ -1,252 +0,0 @@ -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v diff --git a/CPLD-old/LCMXO/LCMXO640C/impl1/xxx_lse_sign_file b/CPLD-old/LCMXO/LCMXO640C/impl1/xxx_lse_sign_file deleted file mode 100644 index 47217b1..0000000 --- a/CPLD-old/LCMXO/LCMXO640C/impl1/xxx_lse_sign_file +++ /dev/null @@ -1,252 +0,0 @@ -LSE_CPS_ID_1 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[8:13]" -LSE_CPS_ID_2 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_3 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_4 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_5 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_6 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_7 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_8 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_9 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_10 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_11 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_12 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_13 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_14 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_15 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_16 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_17 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_18 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_19 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:141[9] 144[5]" -LSE_CPS_ID_20 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_21 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_22 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_23 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" -LSE_CPS_ID_24 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_25 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_26 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_27 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_28 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:230[16:37]" -LSE_CPS_ID_29 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_30 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_31 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_32 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_33 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_34 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_35 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" -LSE_CPS_ID_36 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" -LSE_CPS_ID_37 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_38 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" -LSE_CPS_ID_39 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_40 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_41 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_42 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_43 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_44 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_45 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:58[17:46]" -LSE_CPS_ID_46 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_47 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:80[15:31]" -LSE_CPS_ID_48 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" -LSE_CPS_ID_49 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" -LSE_CPS_ID_50 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" -LSE_CPS_ID_51 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_52 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:378[53:60]" -LSE_CPS_ID_53 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_54 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_55 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_56 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" -LSE_CPS_ID_57 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" -LSE_CPS_ID_58 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_59 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_60 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" -LSE_CPS_ID_61 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" -LSE_CPS_ID_62 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_63 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_64 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_65 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" -LSE_CPS_ID_66 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_67 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_68 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:316[13] 322[7]" -LSE_CPS_ID_69 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_70 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:143[40:46]" -LSE_CPS_ID_71 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:310[8:22]" -LSE_CPS_ID_72 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:309[7:24]" -LSE_CPS_ID_73 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:48[6:16]" -LSE_CPS_ID_74 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_75 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_76 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_77 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:232[12] 284[6]" -LSE_CPS_ID_78 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" -LSE_CPS_ID_79 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:143[11:55]" -LSE_CPS_ID_80 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:134[9] 138[5]" -LSE_CPS_ID_81 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_82 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" -LSE_CPS_ID_83 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_84 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:384[16:26]" -LSE_CPS_ID_85 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:309[7:24]" -LSE_CPS_ID_86 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_87 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:339[4] 372[11]" -LSE_CPS_ID_88 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_89 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_90 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_91 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_92 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_93 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_94 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_95 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_96 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_97 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_98 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_99 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:13[15:34]" -LSE_CPS_ID_100 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_101 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_102 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:99[22:51]" -LSE_CPS_ID_103 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_104 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_105 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_106 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_107 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_108 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_109 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_110 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_111 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_112 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_113 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_114 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_115 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_116 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_117 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_118 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_119 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_120 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_121 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_122 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_123 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_124 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_125 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_126 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_127 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_128 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_129 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_130 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" -LSE_CPS_ID_131 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_132 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_133 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_134 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_135 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_136 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_137 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_138 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" -LSE_CPS_ID_139 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_140 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_141 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_142 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_143 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_144 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_145 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_146 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_147 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" -LSE_CPS_ID_148 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_149 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:80[15:31]" -LSE_CPS_ID_150 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_151 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_152 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_153 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_154 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_155 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_156 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_157 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" -LSE_CPS_ID_158 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_159 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_160 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_161 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_162 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_163 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_164 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:255[14] 262[8]" -LSE_CPS_ID_165 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" -LSE_CPS_ID_166 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_167 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_168 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_169 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_170 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_171 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_172 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_173 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" -LSE_CPS_ID_174 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:12[9:12]" -LSE_CPS_ID_175 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:47[19:22]" -LSE_CPS_ID_176 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:47[19:22]" -LSE_CPS_ID_177 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_178 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_179 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_180 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_181 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_182 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_183 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_184 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_185 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_186 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_187 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_188 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" -LSE_CPS_ID_189 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[13:17]" -LSE_CPS_ID_190 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:45[13:17]" -LSE_CPS_ID_191 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[45:49]" -LSE_CPS_ID_192 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[23:28]" -LSE_CPS_ID_193 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[34:39]" -LSE_CPS_ID_194 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:56[16:21]" -LSE_CPS_ID_195 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:56[9:14]" -LSE_CPS_ID_196 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:63[13:19]" -LSE_CPS_ID_197 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:64[13:19]" -LSE_CPS_ID_198 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:65[13:19]" -LSE_CPS_ID_199 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:8[8:12]" -LSE_CPS_ID_200 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_201 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_202 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_203 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_204 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_205 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_206 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_207 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_208 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_209 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" -LSE_CPS_ID_210 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:34[14:18]" -LSE_CPS_ID_211 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:34[14:18]" -LSE_CPS_ID_212 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_213 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_214 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_215 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_216 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_217 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_218 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_219 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" -LSE_CPS_ID_220 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[8:13]" -LSE_CPS_ID_221 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[15:20]" -LSE_CPS_ID_222 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:36[8:12]" -LSE_CPS_ID_223 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:41[8:12]" -LSE_CPS_ID_224 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:66[8:14]" -LSE_CPS_ID_225 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_226 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_227 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_228 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_229 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" -LSE_CPS_ID_230 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_231 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_232 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_233 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" -LSE_CPS_ID_234 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:214[26:30]" -LSE_CPS_ID_235 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_236 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_237 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_238 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_239 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_240 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" -LSE_CPS_ID_241 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_242 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" -LSE_CPS_ID_243 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_244 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" -LSE_CPS_ID_245 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_246 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" -LSE_CPS_ID_247 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" -LSE_CPS_ID_248 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_249 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" -LSE_CPS_ID_250 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[15:20]" -LSE_CPS_ID_251 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:8[8:12]" -LSE_CPS_ID_252 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" diff --git a/CPLD-old/LCMXO/RAM2GS-LCMXO.v b/CPLD-old/LCMXO/RAM2GS-LCMXO.v deleted file mode 100644 index fce50cf..0000000 --- a/CPLD-old/LCMXO/RAM2GS-LCMXO.v +++ /dev/null @@ -1,403 +0,0 @@ -module RAM2GS(PHI2, MAin, CROW, Din, Dout, - nCCAS, nCRAS, nFWE, LED, - RBA, RA, RD, nRCS, RCLK, RCKE, - nRWE, nRRAS, nRCAS, RDQMH, RDQML, - nUFMCS, UFMCLK, UFMSDI, UFMSDO); - - /* 65816 Phase 2 Clock */ - input PHI2; - - /* Activity LED */ - reg LEDEN = 0; - output LED; - assign LED = ~(~nCRAS && LEDEN); - - /* Async. DRAM Control Inputs */ - input nCCAS, nCRAS; - - /* Synchronized PHI2 and DRAM signals */ - reg PHI2r, PHI2r2, PHI2r3; - reg RASr, RASr2, RASr3; - reg CASr, CASr2, CASr3; - reg FWEr; - reg CBR; - - /* 65816 Data */ - input [7:0] Din; - output [7:0] Dout; - assign Dout[7:0] = RD[7:0]; - - /* Latched 65816 Bank Address */ - reg [7:0] Bank; - - /* Async. DRAM Address Bus */ - input [1:0] CROW; - input [9:0] MAin; - input nFWE; - reg n8MEGEN = 0; - reg XOR8MEG = 0; - - /* SDRAM Clock */ - input RCLK; - - /* SDRAM */ - reg RCKEEN; - output reg RCKE = 0; - output reg nRCS = 1, nRRAS = 1, nRCAS = 1, nRWE = 1; - output reg [1:0] RBA; - reg nRowColSel; - reg RA11; - reg RA10; - reg [9:0] RowA; - output [11:0] RA; - assign RA[11] = RA11; - assign RA[10] = RA10; - assign RA[9:0] = ~nRowColSel ? RowA[9:0] : MAin[9:0]; - output RDQML, RDQMH; - assign RDQML = ~nRowColSel ? 1'b1 : ~MAin[9]; - assign RDQMH = ~nRowColSel ? 1'b1 : MAin[9]; - reg [7:0] WRD; - inout [7:0] RD = (~nCCAS & ~nFWE) ? WRD[7:0] : 8'bZ; - - /* UFM Interface */ - output reg nUFMCS = 1; - output reg UFMCLK = 0; - output reg UFMSDI = 0; - input UFMSDO; - - /* UFM Command Interface */ - reg C1Submitted = 0; - reg ADSubmitted = 0; - reg CmdEnable = 0; - reg CmdSubmitted = 0; - reg CmdLEDEN = 0; - reg Cmdn8MEGEN = 0; - reg CmdUFMCLK = 0; - reg CmdUFMSDI = 0; - reg CmdUFMCS = 0; - wire ADWR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFF & ~nFWE; - wire C1WR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFE & ~nFWE; - wire CMDWR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFD & ~nFWE; - - /* State Counters */ - reg InitReady = 0; // 1 if ready for init sequence - reg Ready = 0; // 1 if done with init sequence - reg [1:0] S = 0; // post-RAS State counter - reg [17:0] FS = 0; // Fast init state counter - reg [3:0] IS = 0; // Init state counter - reg WriteDone; - - /* Synchronize PHI2, RAS, CAS */ - always @(posedge RCLK) begin - PHI2r <= PHI2; PHI2r2 <= PHI2r; PHI2r3 <= PHI2r2; - RASr <= ~nCRAS; RASr2 <= RASr; RASr3 <= RASr2; - CASr <= ~nCCAS; CASr2 <= CASr; CASr3 <= CASr2; - end - - /* Latch 65816 bank when PHI2 rises */ - always @(posedge PHI2) begin - if (Ready) RA11 <= (Din[6] & ~n8MEGEN) ^ XOR8MEG; // Set RA11 - else RA11 <= 1'b0; // Reserved in mode register - Bank[7:0] <= Din[7:0]; // Latch bank - end - - /* Latch bank address, row address, WE, and CAS when RAS falls */ - always @(negedge nCRAS) begin - if (Ready) begin - RBA[1:0] <= CROW[1:0]; - RowA[9:0] <= MAin[9:0]; - end else begin - RBA[1:0] <= 2'b00; // Reserved in mode register - RowA[9] <= 1'b1; // "1" for single write mode - RowA[8] <= 1'b0; // Reserved - RowA[7] <= 1'b0; // "0" for not test mode - RowA[6:4] <= 3'b010; // "2" for CAS latency 2 - RowA[3] <= 1'b0; // "0" for sequential burst (not used) - RowA[2:0] <= 3'b000; // "0" for burst length 1 (no burst) - end - FWEr <= ~nFWE; - CBR <= ~nCCAS; - end - - /* Latch write data when CAS falls */ - always @(negedge nCCAS) begin - WRD[7:0] <= Din[7:0]; - end - - /* State counter from RAS */ - always @(posedge RCLK) begin - if (~RASr2) S <= 0; - else if (S==2'h3) S <= 2'h3; - else S <= S+1; - end - /* Init state counter */ - always @(posedge RCLK) begin - // Wait ~4.178ms (at 62.5 MHz) before starting init sequence - FS <= FS+1; - if (FS[17:10] == 8'hFF) InitReady <= 1'b1; - end - - /* SDRAM CKE */ - always @(posedge RCLK) begin - // Only 1 LUT4 allowed for this function! - RCKE <= ((RASr | RASr2) & RCKEEN) | (~RASr2 & RASr3); - end - - /* SDRAM command */ - always @(posedge RCLK) begin - if (Ready) begin - if (S==0) begin - if (RASr2) begin - if (CBR) begin - // AREF - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b0; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end else begin - // ACT - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // Bank RA10 consistently "1" - end - // Enable clock only for reads - RCKEEN <= ~CBR & ~FWEr; - end else if (RCKE) begin - // PCall - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b1; - nRWE <= 1'b0; - RA10 <= 1'b1; // "all" - RCKEEN <= 1'b1; - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - RCKEEN <= 1'b1; - end - nRowColSel <= 1'b0; // Select registered row addres - end else if (S==1) begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - nRowColSel <= 1'b1; // Select asynchronous column address - RCKEEN <= ~CBR; // Disable clock if refresh cycle - end else if (S==2) begin - if (~FWEr & ~CBR) begin - // RD - nRCS <= 1'b0; - nRRAS <= 1'b1; - nRCAS <= 1'b0; - nRWE <= 1'b1; - RA10 <= 1'b1; // Auto-precharge - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - nRowColSel <= 1'b1; // Select asynchronous column address - RCKEEN <= ~CBR & FWEr; // Enable clock only for writes - end else if (S==3) begin - if (CASr2 & ~CASr3 & ~CBR & FWEr) begin - // WR - nRCS <= 1'b0; - nRRAS <= 1'b1; - nRCAS <= 1'b0; - nRWE <= 1'b0; - RA10 <= 1'b1; // Auto-precharge - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - nRowColSel <= ~(~FWEr | CASr3 | CBR); - RCKEEN <= ~(~FWEr | CASr2 | CBR); - end - end else if (InitReady) begin - if (S==0 & RASr2) begin - if (IS==0) begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end else if (IS==1) begin - // PC all - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b1; - nRWE <= 1'b0; - RA10 <= 1'b1; // "all" - end else if (IS==9) begin - // Load mode register - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b0; - nRWE <= 1'b0; - RA10 <= 1'b0; // Reserved in mode register - end else begin - // AREF - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b0; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - IS <= IS+1; - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - if (S==3 & ~RASr2 & IS==15) Ready <= 1'b1; - nRowColSel <= 1'b0; // Select registered row address - RCKEEN <= 1'b1; - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - nRowColSel <= 1'b0; // Select registered row address - RCKEEN <= 1'b0; - end - end - - /* Submit command when PHI2 falls */ - always @(negedge PHI2) begin - // Magic number check - if (C1WR & Din[7:0]==8'hC1) begin // "C1" magic number - if (ADSubmitted) begin - CmdEnable <= 1'b1; - end - C1Submitted <= 1'b1; - ADSubmitted <= 1'b0; - end else if (ADWR & Din[7:0]==8'hAD) begin // "AD" magic number - if (C1Submitted) begin - CmdEnable <= 1'b1; - end - ADSubmitted <= 1'b1; - C1Submitted <= 1'b0; - end else if (C1WR | ADWR) begin // wrong magic number submitted - CmdEnable <= 1'b0; - C1Submitted <= 1'b0; - ADSubmitted <= 1'b0; - end else if (CMDWR) CmdEnable <= 1'b0; - - // Submit command - if (CMDWR & CmdEnable) begin - if (Din[7:4]==4'h0) begin - XOR8MEG <= Din[0]; - end else if (Din[7:4]==4'h1) begin - CmdLEDEN <= ~Din[1]; - Cmdn8MEGEN <= ~Din[0]; - CmdSubmitted <= 1'b1; - end else if (Din[7:4]==4'h3 && ~Din[3]) begin - CmdLEDEN <= LEDEN; - Cmdn8MEGEN <= n8MEGEN; - CmdUFMCS <= Din[2]; - CmdUFMCLK <= Din[1]; - CmdUFMSDI <= Din[0]; - CmdSubmitted <= 1'b1; - end - end - end - - /* UFM Control */ - always @(posedge RCLK) begin - if (~InitReady && FS[17:10]==8'h00) begin - nUFMCS <= 1'b1; - UFMCLK <= 1'b0; - UFMSDI <= 1'b0; - end else if (~InitReady && FS[17:10]==8'h01) begin - nUFMCS <= 1'b0; - UFMCLK <= 1'b0; - UFMSDI <= 1'b0; - end else if (~InitReady && FS[17:10]==8'h02) begin - nUFMCS <= 1'b0; - UFMCLK <= FS[4]; - case (FS[9:5]) // Shift out read data command (0x03) - 5'h00: UFMSDI <= 1'b0; // command bit 7 (0) - 5'h01: UFMSDI <= 1'b0; // command bit 6 (0) - 5'h02: UFMSDI <= 1'b0; // command bit 5 (0) - 5'h03: UFMSDI <= 1'b0; // command bit 4 (0) - 5'h04: UFMSDI <= 1'b0; // command bit 3 (0) - 5'h05: UFMSDI <= 1'b0; // command bit 2 (0) - 5'h06: UFMSDI <= 1'b1; // command bit 1 (1) - 5'h07: UFMSDI <= 1'b1; // command bit 0 (1) - 5'h08: UFMSDI <= 1'b0; // address bit 23 (0) - 5'h09: UFMSDI <= 1'b0; // address bit 22 (0) - 5'h0A: UFMSDI <= 1'b0; // address bit 21 (0) - 5'h0B: UFMSDI <= 1'b0; // address bit 20 (0) - 5'h0C: UFMSDI <= 1'b0; // address bit 19 (0) - 5'h0D: UFMSDI <= 1'b0; // address bit 18 (0) - 5'h0E: UFMSDI <= 1'b0; // address bit 17 (0) - 5'h0F: UFMSDI <= 1'b0; // address bit 16 (0) - 5'h10: UFMSDI <= 1'b0; // address bit 15 (0) - 5'h11: UFMSDI <= 1'b0; // address bit 14 (0) - 5'h12: UFMSDI <= 1'b0; // address bit 13 (0) - 5'h13: UFMSDI <= 1'b1; // address bit 12 (0) - 5'h14: UFMSDI <= 1'b0; // address bit 11 (0) - 5'h15: UFMSDI <= 1'b0; // address bit 10 (0) - 5'h16: UFMSDI <= 1'b0; // address bit 09 (0) - 5'h17: UFMSDI <= 1'b0; // address bit 08 (0) - 5'h18: UFMSDI <= 1'b0; // address bit 07 (0) - 5'h19: UFMSDI <= 1'b0; // address bit 06 (0) - 5'h1A: UFMSDI <= 1'b0; // address bit 05 (0) - 5'h1B: UFMSDI <= 1'b0; // address bit 04 (0) - 5'h1C: UFMSDI <= 1'b0; // address bit 03 (0) - 5'h1D: UFMSDI <= 1'b0; // address bit 02 (0) - 5'h1E: UFMSDI <= 1'b0; // address bit 01 (0) - 5'h1F: UFMSDI <= 1'b0; // address bit 00 (0) - endcase - end else if (~InitReady && FS[17:10]==8'h03) begin - nUFMCS <= 1'b0; - UFMCLK <= FS[4]; - UFMSDI <= 1'b0; - // Latch n8MEGEN and LEDEN - if (FS[9:5]==5'h00 && FS[4:0]==5'h1F) n8MEGEN <= ~UFMSDO; - if (FS[9:5]==5'h01 && FS[4:0]==5'h1F) LEDEN <= ~UFMSDO; - end else if (~InitReady && FS[17:10]==8'h04) begin - nUFMCS <= 1'b0; - UFMCLK <= FS[4]; - UFMSDI <= 1'b0; - end else if (~InitReady && FS[17:10]==8'h05) begin - nUFMCS <= 1'b1; - UFMCLK <= FS[4]; - UFMSDI <= 1'b0; - end else if (~InitReady) begin - nUFMCS <= 1'b1; - UFMCLK <= 1'b0; - UFMSDI <= 1'b0; - end else if (~PHI2r2 & PHI2r3 & CmdSubmitted) begin - // Set user command signals after PHI2 falls - // CmdnLEDEN, Cmdn8MEGEN, CmdUFMCS, CmdUFMCLK, CmdUFMSDI - LEDEN <= CmdLEDEN; - n8MEGEN <= Cmdn8MEGEN; - nUFMCS <= ~CmdUFMCS; - UFMCLK <= CmdUFMCLK; - UFMSDI <= CmdUFMSDI; - end - end -endmodule diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/.run_manager.ini b/CPLD-old/LCMXO2/LCMXO2-640HC/.run_manager.ini deleted file mode 100644 index 8c0aa7b..0000000 --- a/CPLD-old/LCMXO2/LCMXO2-640HC/.run_manager.ini +++ /dev/null @@ -1,9 +0,0 @@ -[Runmanager] -Geometry=@ByteArray(\x1\xd9\xd0\xcb\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x1\x1c\0\0\0\xd8\0\0\0\0\0\0\0\0\xff\xff\xff\xff\xff\xff\xff\xff\0\0\0\0\0\0) -windowState=@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x1\0\0\0\0\0\0\0\x1\xff\xff\xff\xff\x3\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0) -headerState=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x1\0\0\0\x1\0\0\0\0\x1\0\0\0\0\0\0\0\0\0\0\0\x16\0\xe0?\0\0\0\t\0\0\0\x10\0\0\0\x64\0\0\0\xf\0\0\0\x64\0\0\0\xe\0\0\0\x64\0\0\0\r\0\0\0\x64\0\0\0\x15\0\0\0\x64\0\0\0\x14\0\0\0\x64\0\0\0\x13\0\0\0\x64\0\0\0\x12\0\0\0\x64\0\0\0\x11\0\0\0\x64\0\0\x4\xd3\0\0\0\x16\x1\x1\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x64\xff\xff\xff\xff\0\0\0\x81\0\0\0\0\0\0\0\x3\0\0\0#\0\0\0\x1\0\0\0\x2\0\0\x4\xb0\0\0\0\f\0\0\0\0\0\0\0\0\0\0\0\t\0\0\0\0) - -[impl1%3CStrategy1%3E] -isChecked=false -isHidden=false -isExpanded=false diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.vdbs/dbStat.txt b/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.vdbs/dbStat.txt deleted file mode 100644 index 0a575a4..0000000 --- a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.vdbs/dbStat.txt +++ /dev/null @@ -1 +0,0 @@ -RAM2GS_rtl.vdb diff --git a/CPLD-old/MAX/MAXII/RAM2GS-MAXII.qpf b/CPLD-old/MAX/MAXII/RAM2GS-MAXII.qpf deleted file mode 100644 index 74f038f..0000000 --- a/CPLD-old/MAX/MAXII/RAM2GS-MAXII.qpf +++ /dev/null @@ -1,30 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 64-Bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -# Date created = 18:32:31 August 16, 2021 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "13.0" -DATE = "18:32:31 August 16, 2021" - -# Revisions - -PROJECT_REVISION = "RAM2GS" diff --git a/CPLD-old/MAX/MAXII/RAM2GS.qsf b/CPLD-old/MAX/MAXII/RAM2GS.qsf deleted file mode 100644 index 5aa99ad..0000000 --- a/CPLD-old/MAX/MAXII/RAM2GS.qsf +++ /dev/null @@ -1,212 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 32-bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version -# Date created = 21:16:34 March 08, 2020 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# RAM4GS_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus II software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - -set_global_assignment -name FAMILY "MAX II" -set_global_assignment -name DEVICE EPM240T100C5 -set_global_assignment -name TOP_LEVEL_ENTITY RAM2GS -set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" -set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:16:34 MARCH 08, 2020" -set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP -set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" -set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE BALANCED -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF -set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON -set_global_assignment -name FITTER_EFFORT "STANDARD FIT" -set_global_assignment -name ALLOW_POWER_UP_DONT_CARE OFF -set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER OFF -set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE "12.5 %" -set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" -set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF -set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF -set_global_assignment -name SMART_RECOMPILE OFF -set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 10 -set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 10 -set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING "PACK ALL IO REGISTERS" -set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -set_global_assignment -name SAFE_STATE_MACHINE ON - - - -set_location_assignment PIN_12 -to RCLK -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RCLK - -set_location_assignment PIN_52 -to PHI2 -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to PHI2 - -set_location_assignment PIN_67 -to nCRAS -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCRAS - -set_location_assignment PIN_53 -to nCCAS -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCCAS - -set_location_assignment PIN_48 -to nFWE -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nFWE - -set_location_assignment PIN_49 -to MAin[0] -set_location_assignment PIN_51 -to MAin[1] -set_location_assignment PIN_50 -to MAin[2] -set_location_assignment PIN_71 -to MAin[3] -set_location_assignment PIN_70 -to MAin[4] -set_location_assignment PIN_69 -to MAin[5] -set_location_assignment PIN_72 -to MAin[6] -set_location_assignment PIN_68 -to MAin[7] -set_location_assignment PIN_73 -to MAin[8] -set_location_assignment PIN_74 -to MAin[9] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MAin - -set_location_assignment PIN_54 -to CROW[0] -set_location_assignment PIN_55 -to CROW[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CROW - -set_location_assignment PIN_35 -to Din[2] -set_location_assignment PIN_36 -to Din[1] -set_location_assignment PIN_37 -to Din[3] -set_location_assignment PIN_38 -to Din[5] -set_location_assignment PIN_39 -to Din[4] -set_location_assignment PIN_40 -to Din[7] -set_location_assignment PIN_41 -to Din[6] -set_location_assignment PIN_42 -to Din[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Din - -set_location_assignment PIN_33 -to Dout[0] -set_location_assignment PIN_57 -to Dout[1] -set_location_assignment PIN_56 -to Dout[2] -set_location_assignment PIN_47 -to Dout[3] -set_location_assignment PIN_44 -to Dout[4] -set_location_assignment PIN_28 -to Dout[5] -set_location_assignment PIN_34 -to Dout[6] -set_location_assignment PIN_43 -to Dout[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Dout - -set_location_assignment PIN_8 -to RCKE -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RCKE - -set_location_assignment PIN_3 -to nRCS -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRCS - -set_location_assignment PIN_100 -to nRWE -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRWE - -set_location_assignment PIN_6 -to nRRAS -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRRAS - -set_location_assignment PIN_4 -to nRCAS -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRCAS - -set_location_assignment PIN_5 -to RBA[0] -set_location_assignment PIN_14 -to RBA[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RBA - -set_location_assignment PIN_18 -to RA[0] -set_location_assignment PIN_20 -to RA[1] -set_location_assignment PIN_30 -to RA[2] -set_location_assignment PIN_27 -to RA[3] -set_location_assignment PIN_26 -to RA[4] -set_location_assignment PIN_29 -to RA[5] -set_location_assignment PIN_21 -to RA[6] -set_location_assignment PIN_19 -to RA[7] -set_location_assignment PIN_17 -to RA[8] -set_location_assignment PIN_15 -to RA[9] -set_location_assignment PIN_16 -to RA[10] -set_location_assignment PIN_7 -to RA[11] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RA - -set_location_assignment PIN_2 -to RDQMH -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RDQMH - -set_location_assignment PIN_98 -to RDQML -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RDQML - -set_location_assignment PIN_96 -to RD[0] -set_location_assignment PIN_90 -to RD[1] -set_location_assignment PIN_89 -to RD[2] -set_location_assignment PIN_99 -to RD[3] -set_location_assignment PIN_92 -to RD[4] -set_location_assignment PIN_91 -to RD[5] -set_location_assignment PIN_95 -to RD[6] -set_location_assignment PIN_97 -to RD[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RD - -set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nCRAS -set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nCCAS -set_instance_assignment -name PAD_TO_CORE_DELAY 1 -to nFWE -set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to MAin -set_instance_assignment -name PAD_TO_CORE_DELAY 1 -to CROW -set_instance_assignment -name PAD_TO_CORE_DELAY 1 -to Din -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to Dout -set_instance_assignment -name SLOW_SLEW_RATE OFF -to Dout -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RCKE -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RCKE -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to RCKE -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRCS -set_instance_assignment -name SLOW_SLEW_RATE OFF -to nRCS -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRCS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRWE -set_instance_assignment -name SLOW_SLEW_RATE OFF -to nRWE -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRWE -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRRAS -set_instance_assignment -name SLOW_SLEW_RATE OFF -to nRRAS -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRRAS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRCAS -set_instance_assignment -name SLOW_SLEW_RATE OFF -to nRCAS -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRCAS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RBA -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RBA -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to RBA -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RA -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RA -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RDQMH -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RDQMH -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RDQML -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RDQML -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RD -set_instance_assignment -name SLOW_SLEW_RATE ON -to RD -set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to RD -set_global_assignment -name VERILOG_FILE "../RAM2GS-MAX.v" -set_global_assignment -name MIF_FILE "../RAM2GS-MAX.mif" -set_global_assignment -name QIP_FILE UFM.qip \ No newline at end of file diff --git a/CPLD-old/MAX/MAXII/RAM2GS.qws b/CPLD-old/MAX/MAXII/RAM2GS.qws deleted file mode 100644 index 4620ebd54aa138f6cfdb41c02b9004f436262c7c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 818 zcmc(dyG{a85QcwH5=tv83kqY12^Wdtr4sR0U}8WMJG1PrN)VU8q9(={@YQT=eFq;w z#cxiQh8PoVJSTJJ_Me@9=InM}leM60c^WF#x$YEcpe|mYn6D5}3W-(MmP$G$6M`6G z?}EKdw6BqRWNtuO?m!)^L!xcGrfND;g=h<}u46U0T_RS~*;KxeM2osjYB%(t4XvuG zb#$Oj&H~!UuVSsR1C_Bn&cJ2MSQW(61>4gaJOcPV{#P$H#MBeV7}19vQl>@l4P!Qu zEIRw1I4Gr&C8ib9MXa25m}tz7m~>7j=!n;A)8W9N8na9%Yfk%I4QbsVzPjIm95pWF zd8ZC9di9q|_FobRmX0M>O2=i-MiX*5Vrq^f6>TIcQr%E;>(O90%pM8?<*El YuP@K;LsrABOp`7$6Ay-;Cg!L01?`7}%m4rY diff --git a/CPLD-old/MAX/MAXII/UFM.qip b/CPLD-old/MAX/MAXII/UFM.qip deleted file mode 100644 index e2d8458..0000000 --- a/CPLD-old/MAX/MAXII/UFM.qip +++ /dev/null @@ -1,3 +0,0 @@ -set_global_assignment -name IP_TOOL_NAME "ALTUFM_NONE" -set_global_assignment -name IP_TOOL_VERSION "13.0" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "UFM.v"] diff --git a/CPLD-old/MAX/MAXII/UFM.v b/CPLD-old/MAX/MAXII/UFM.v deleted file mode 100644 index f58dd72..0000000 --- a/CPLD-old/MAX/MAXII/UFM.v +++ /dev/null @@ -1,268 +0,0 @@ -// megafunction wizard: %ALTUFM_NONE% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: ALTUFM_NONE - -// ============================================================ -// File Name: UFM.v -// Megafunction Name(s): -// ALTUFM_NONE -// -// Simulation Library Files(s): -// maxii -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -// ************************************************************ - - -//Copyright (C) 1991-2013 Altera Corporation -//Your use of Altera Corporation's design tools, logic functions -//and other software and tools, and its AMPP partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Altera Program License -//Subscription Agreement, Altera MegaCore Function License -//Agreement, or other applicable license agreement, including, -//without limitation, that your use is for the sole purpose of -//programming logic devices manufactured by Altera and sold by -//Altera or its authorized distributors. Please refer to the -//applicable agreement for further details. - - -//altufm_none CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="MAX II" ERASE_TIME=500000000 LPM_FILE="RAM2GS-MAX.mif" OSC_FREQUENCY=180000 PORT_ARCLKENA="PORT_UNUSED" PORT_DRCLKENA="PORT_UNUSED" PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 arclk ardin arshft busy drclk drdin drdout drshft erase osc oscena program rtpbusy -//VERSION_BEGIN 13.0 cbx_a_gray2bin 2013:06:12:18:03:43:SJ cbx_a_graycounter 2013:06:12:18:03:43:SJ cbx_altufm_none 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_counter 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_maxii 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END -// synthesis VERILOG_INPUT_VERSION VERILOG_2001 -// altera message_off 10463 - - -//synthesis_resources = maxii_ufm 1 -//synopsys translate_off -`timescale 1 ps / 1 ps -//synopsys translate_on -module UFM_altufm_none_imr - ( - arclk, - ardin, - arshft, - busy, - drclk, - drdin, - drdout, - drshft, - erase, - osc, - oscena, - program, - rtpbusy) ; - input arclk; - input ardin; - input arshft; - output busy; - input drclk; - input drdin; - output drdout; - input drshft; - input erase; - output osc; - input oscena; - input program; - output rtpbusy; - - wire wire_maxii_ufm_block1_bgpbusy; - wire wire_maxii_ufm_block1_busy; - wire wire_maxii_ufm_block1_drdout; - wire wire_maxii_ufm_block1_osc; - wire ufm_arclk; - wire ufm_ardin; - wire ufm_arshft; - wire ufm_bgpbusy; - wire ufm_busy; - wire ufm_drclk; - wire ufm_drdin; - wire ufm_drdout; - wire ufm_drshft; - wire ufm_erase; - wire ufm_osc; - wire ufm_oscena; - wire ufm_program; - - maxii_ufm maxii_ufm_block1 - ( - .arclk(ufm_arclk), - .ardin(ufm_ardin), - .arshft(ufm_arshft), - .bgpbusy(wire_maxii_ufm_block1_bgpbusy), - .busy(wire_maxii_ufm_block1_busy), - .drclk(ufm_drclk), - .drdin(ufm_drdin), - .drdout(wire_maxii_ufm_block1_drdout), - .drshft(ufm_drshft), - .erase(ufm_erase), - .osc(wire_maxii_ufm_block1_osc), - .oscena(ufm_oscena), - .program(ufm_program) - // synopsys translate_off - , - .ctrl_bgpbusy(1'b0), - .devclrn(1'b1), - .devpor(1'b1), - .sbdin(1'b0), - .sbdout() - // synopsys translate_on - ); - defparam - maxii_ufm_block1.address_width = 9, - maxii_ufm_block1.erase_time = 500000000, - maxii_ufm_block1.init_file = "RAM2GS-MAX.mif", - maxii_ufm_block1.mem1 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem10 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem11 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem12 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem13 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem14 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem15 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem16 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem2 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem3 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem4 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem5 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem6 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem7 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem8 = 512'hFFFF7FFF000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem9 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.osc_sim_setting = 180000, - maxii_ufm_block1.program_time = 1600000, - maxii_ufm_block1.lpm_type = "maxii_ufm"; - assign - busy = ufm_busy, - drdout = ufm_drdout, - osc = ufm_osc, - rtpbusy = ufm_bgpbusy, - ufm_arclk = arclk, - ufm_ardin = ardin, - ufm_arshft = arshft, - ufm_bgpbusy = wire_maxii_ufm_block1_bgpbusy, - ufm_busy = wire_maxii_ufm_block1_busy, - ufm_drclk = drclk, - ufm_drdin = drdin, - ufm_drdout = wire_maxii_ufm_block1_drdout, - ufm_drshft = drshft, - ufm_erase = erase, - ufm_osc = wire_maxii_ufm_block1_osc, - ufm_oscena = oscena, - ufm_program = program; -endmodule //UFM_altufm_none_imr -//VALID FILE - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module UFM ( - arclk, - ardin, - arshft, - drclk, - drdin, - drshft, - erase, - oscena, - program, - busy, - drdout, - osc, - rtpbusy); - - input arclk; - input ardin; - input arshft; - input drclk; - input drdin; - input drshft; - input erase; - input oscena; - input program; - output busy; - output drdout; - output osc; - output rtpbusy; - - wire sub_wire0; - wire sub_wire1; - wire sub_wire2; - wire sub_wire3; - wire osc = sub_wire0; - wire rtpbusy = sub_wire1; - wire drdout = sub_wire2; - wire busy = sub_wire3; - - UFM_altufm_none_imr UFM_altufm_none_imr_component ( - .arshft (arshft), - .drclk (drclk), - .erase (erase), - .program (program), - .arclk (arclk), - .drdin (drdin), - .oscena (oscena), - .ardin (ardin), - .drshft (drshft), - .osc (sub_wire0), - .rtpbusy (sub_wire1), - .drdout (sub_wire2), - .busy (sub_wire3)); - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX II" -// Retrieval info: CONSTANT: ERASE_TIME NUMERIC "500000000" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX II" -// Retrieval info: CONSTANT: LPM_FILE STRING "RAM2GS-MAX.mif" -// Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED" -// Retrieval info: CONSTANT: LPM_TYPE STRING "altufm_none" -// Retrieval info: CONSTANT: OSC_FREQUENCY NUMERIC "180000" -// Retrieval info: CONSTANT: PORT_ARCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_DRCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PROGRAM_TIME NUMERIC "1600000" -// Retrieval info: CONSTANT: WIDTH_UFM_ADDRESS NUMERIC "9" -// Retrieval info: USED_PORT: arclk 0 0 0 0 INPUT NODEFVAL "arclk" -// Retrieval info: CONNECT: @arclk 0 0 0 0 arclk 0 0 0 0 -// Retrieval info: USED_PORT: ardin 0 0 0 0 INPUT NODEFVAL "ardin" -// Retrieval info: CONNECT: @ardin 0 0 0 0 ardin 0 0 0 0 -// Retrieval info: USED_PORT: arshft 0 0 0 0 INPUT NODEFVAL "arshft" -// Retrieval info: CONNECT: @arshft 0 0 0 0 arshft 0 0 0 0 -// Retrieval info: USED_PORT: busy 0 0 0 0 OUTPUT NODEFVAL "busy" -// Retrieval info: CONNECT: busy 0 0 0 0 @busy 0 0 0 0 -// Retrieval info: USED_PORT: drclk 0 0 0 0 INPUT NODEFVAL "drclk" -// Retrieval info: CONNECT: @drclk 0 0 0 0 drclk 0 0 0 0 -// Retrieval info: USED_PORT: drdin 0 0 0 0 INPUT NODEFVAL "drdin" -// Retrieval info: CONNECT: @drdin 0 0 0 0 drdin 0 0 0 0 -// Retrieval info: USED_PORT: drdout 0 0 0 0 OUTPUT NODEFVAL "drdout" -// Retrieval info: CONNECT: drdout 0 0 0 0 @drdout 0 0 0 0 -// Retrieval info: USED_PORT: drshft 0 0 0 0 INPUT NODEFVAL "drshft" -// Retrieval info: CONNECT: @drshft 0 0 0 0 drshft 0 0 0 0 -// Retrieval info: USED_PORT: erase 0 0 0 0 INPUT NODEFVAL "erase" -// Retrieval info: CONNECT: @erase 0 0 0 0 erase 0 0 0 0 -// Retrieval info: USED_PORT: osc 0 0 0 0 OUTPUT NODEFVAL "osc" -// Retrieval info: CONNECT: osc 0 0 0 0 @osc 0 0 0 0 -// Retrieval info: USED_PORT: oscena 0 0 0 0 INPUT NODEFVAL "oscena" -// Retrieval info: CONNECT: @oscena 0 0 0 0 oscena 0 0 0 0 -// Retrieval info: USED_PORT: program 0 0 0 0 INPUT NODEFVAL "program" -// Retrieval info: CONNECT: @program 0 0 0 0 program 0 0 0 0 -// Retrieval info: USED_PORT: rtpbusy 0 0 0 0 OUTPUT NODEFVAL "rtpbusy" -// Retrieval info: CONNECT: rtpbusy 0 0 0 0 @rtpbusy 0 0 0 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.v TRUE FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.qip TRUE FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.bsf FALSE TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM_inst.v FALSE TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM_bb.v FALSE TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.inc FALSE TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.cmp FALSE TRUE -// Retrieval info: LIB_FILE: maxii diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.(0).cnf.cdb b/CPLD-old/MAX/MAXII/db/RAM2GS.(0).cnf.cdb deleted file mode 100644 index b4b4e463d631023d227d6401c0a54997f83bc633..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20498 zcmZsCWl$W?*EJRh!GZ(`9z3`^OK^wa?(Xg`!6iU&3-0djEWWq~cMC4NEbNot`~UjZ z)byFYx9*(kGd0~^ckW=r!NJv_!T)RB{vBffP}9QI&Bn=*f}N9vjfI^;%)`dsoPvXs zgMy8Rm7Rl?gN>b&Le0X})5gq#;=75N9R)junjD3eg(-!kxsCh3NYuywZU+ZPsPz8; zE*SBDi1Yn_=na37i`q>i8E^%PGrf=QwN_Y+5>#a1XmlzmES3FWC!=7 zPX$~1yT(UL#lJWXb5qu~QzEPUd1^;vc;7A&PaCtB;JSUKrvU@NYSjW}PTj1W9r-*& zJi0m|eK1(dqwCS}@o}9Npnc{1RTM~Umj?0h-Ap!gihgs9HTW5g@JTMTPzH zR0TZN4{3#`M5V=eC<=0*C+PajmvZ}wbV`LrD-UI?JWmot^_hk|zc76a+J3ip)%Feg zN)Q#)k8p#fPw^(2vR{`aeDwTd*r-3B?d?q>1i~}u;!3T+f7Mzfws0K#+$|o;GL1M=%&$zyQqxrM1+;8D; zeVG@1HcOSMz|+-p_B_vv)4@Hb1Hd|D)?$`1-cO@RRaUm$EbYyiYvP)^ zQ{rYg|2WBtrj@3IOjzTd!sD55^6D#SE>MYe2lMJP|GUr`H2j8}(npu0O}&My#3)u= zr{Cm1s8dtGO1*AbojEt$$<_25JeBq1#!#jhRIT&>X)@X7#UlY-wv7!)2WuMAWCh|+ zA@r2w*pbP#A^0PC&Los_ywgeoNK*F1fpgt{Xg((@ks*Zh)T`1&u6(BGdXjb_!muPT zm|ydcIozAR&l}kt9Kejs&p4^!Bdj2i3<5FL{UikZb!4W<6*;-t~s#iZ}_s) zbnYxL7>IO2Wx7zx2D-^NlTbm2eF+N*K0IE@S)C zXmgHXKS8NMFyQv>T~MpBOoR zB9X7bs+6PXz~a*HjF0GWyFmKVj(vb~a&>aeyDcm?c2-Dj_ZK%Tb6HxRNmKC8ZOm%V zmmr%zTXW|@9eS%&P7Y4{ki#@BCT#1A>}<~{+0y#?{Y5LD1AU|(k zNmZ=8C?W?fFq#GsclRG7sVtDct6K`7^LEg74^3yu-C2qd7?{k<-LYs(x+XxQ^{rZw zXS`upYq2_i{`1BY%l&-fW_uhqB;l@i8OxzRDT6WM6hKzap3rd z^8+A}yao6(%8fN~-ATqrC@~at7_IKxV`Oz-(_1CvBLp%cyNrXjIbXUQ4^uex_4fD$ zZ0t;9c0ji&}EZfyEnCteE27?HApPy zDtRZr_;HMkIJyU?$V|G5S8&xux)SE#Zg!%q(CfVzL~~9)`*q!_e%o8>qKoJ{hfsJz z3V2p0@857&6<%kBg8MqQvH@G`3~Z|I3+1`hh8bUK-B%sI2V9Z6dKb*V%Lo1?yj~6r z3EKJ}yb)f{m!uuPGwa{*MtUVkfM@kvzBl4R?uKd%^=Vs(7~-Nm+qJmfYq|+Rz73~Z zH;`%H)q&5qaRdCHKcohTgw;(MEwlQ-@;H&ZpRO2z!B1~AWWZDuFffGIXY|K^XPY;t zH!*7z?kHMQ*#j)SGH;drU;jF#4VK(2(%-(bd&4|FidIB!XVx?E3B0t&9mIdqVANA| z_7iohfMePp|?5yR~z=b7%FZ>PM61uP;9jz4p^g8;kv)BCTy)rbz zkOVR5xo+7@y1I=IYF#heN2=4c%6JwESSmv@yA~1mTGHVOxVkv&?p>WW<|lg17ZBJo z>J<(YWs`!@F<-ZRQZ%OyQemSlJ4OrGucG7%a|+SoFLpTzlD)=xV4qcRT+p-fub$mT1PB+{0M1~o1WN%fSy>3J(r*4ik+6&Vco`Oqr zv}4zp5_^3D`2Mefs0p1Le9xem-q}G&sJ3m9ndfq^9dqmoFPLR@M}#!j<$`4Q7}0JA zrYZz^jK(qebr61>ND{FA?opzSK2c6?E063gH?+jT8nAM`5+XpLJGO116dhtrHuwBYAyh3AUvf0{UeB@Q=>2JkG64U$ct_{Sw0NC8rzC znNAlXO%=DVywVW)xUiw|cpoInT5JBO-Vo!~LHla*7JnTeAVp5%gmOS4MYoP&1Gz=N z>)LBF;Vr-@5&4n74&2M>b&!rhG3A{eM}>6?&l`@}aSa^vS92wv*^pe#r73GDb%xWu z-Zna)KV2@SiG|L5vE$9P2R)VA3SJ;2$k&HnT+$jp^o4hwEn-yh));tANTqVl_iWM3 zpL;b_p)xHcJ~&`DpNK|lO#rDv5)Jf80t zwu#~>XTM$zc%5n~yI2U5lzCqnVzSj3bC+d5vpszJerkGnthk zmO%+zk#)0kA`dI!cDzq{+h_3iUpTNM7e8V!wf4Nz3BNpir%cRX{teb3bINvd3bkSJ z-lmRa&(84MI_J&rRO-HhQ%P62rxBMpHev7apt?}a_|m`B zzo>!2!71qWOp9u4kfFkXvx4=dzygb!htzxVOk9hcnexo)I37-#>NfI=gf0L5?=BhW zH)^5Joj>|guulf_D-^EoD7cqV=+&N-CzjEgNqcUpj|-|Wg+aHk>s@5-{dGPoh($)2 zb6-Cn)sguxHs~qwY3QQ%T4$I@I5Lkm)<#utHF};)srba&I(O2HCK}1c^`$efyO(X9 zI|Y_5k%(k(jaiQ&KkSF6@?`O0fJ$KcIoeZu@G7MBoyQ#3hlr7SV1M?GALlzNmwhAG z`S`zeVdIAC_4i8SFuO7~`ikRMg_+4c|MpxSDqxf*phu@Jjdh2=xof)RD!zAxU;+{5qkXPkImizeU|JeVRX$;0PB9MD&o?L}_(d4^!M6xFiu2qkDl7 zoVA$Xyz<}e{OyAK^NJtb1w(Mgg7YE((Vq)rN;6hIJWwZdetNuLPoFsBkX7;CwRYTMlMeG#7hj%~7yMMWe$d z8W|i9e{68(D5zliXX5_P^gV3Kmw#RtamM09Y!P#wOLq$qxo7r$a#^Uf;99&l{HwT` zgo9XHpt|;#kic>66JQ;_7k4K7UviQVB`@-=Wjw$H80iHo?nm7hqh~MN5dY8#yr&dg zcgzPvIoJp%>-sT=#wq;G+&d-xyG#*ZSZ4l!MxEEx@f1=(McgbV@gRyuM5)~$$yI$s zMdR9hLQ4|?jR=a~v~ach{H5}wpCJWZIpKNapO9R?oJaoVqz3F|=6z*2F@_B-D zt8qVn^H8tX%cfb?>5JGZz)tL(^$R|@J=gNY2Bh%4e#cM7Ga>a$wF{-0>8)KnI4L$w z+3@nterbohd$^pW{<;2lxbkVc=F^S9mr`YSM^?PDF#P@s7@)Rn%I^v=aLT`T-;c_- z)Dr-sF;s?xWQxECb!umlpUU;r(PKTWzz@AXu(OZ7KB%+nw|v~3Ajau**@!ZldNyw` zjbvQ;H~qZcYR&}-ujw@$)YHW*B;h(&l4@>suTmx+#43dcb+3Nm^BTvVF67oD-d>2U z;ehzKXNR=>Mm+oKE&=Oyz6qt77|k`)WAQ=K-xySzL*kk5aXVLKBCK1mDCYqzrhA(V z*XQXLhz-Hb1_qhnyEX3beTB@KE!b!1k(HnO90#P$`jq~z`t5Q3tE(Pl{+Bbm+G{>d z+ZUr+@`7nvi&^CFlt)>f`{-w4-V^k4sri03Hf{TljIwiC@)rTQ1Qy1Dtxwmz$Le2> z13B+M+;Sk&PkCfn9W@9(?~dAx+fxox_mcseHjIMMuj zdEc)iZWLQ69b-_NBJu>$!7Ctd0;dso*=IkSS6c#&A0UgkM2rY&tPM7}CX=JMkB{ax z)zRV&Zo*<0-S@v_b&esX8ea-%Qt;iKqPj0+{cM(crX7WZ{}g|eHM3px+pd*wFut&) zHP(7^_U*K;k9_$S3nb@)r~6ayYH8i)a)m#FnHOw8YJ3Q;_9D=qjzCEmIMZN;FU8nu zgrF}%;JkOLf~(WxUvVvjVRvXzajr3}lq++fm> zftu7k^OBmcS3>YqzQ=D4XUV!xY9-30%hR+wnr#QSQIoIi`EjY9Q377Q+*NPDN+FvF z)F8*|K+Z2!?)gU4P4p)ZWa&$URr!kj6MKbf1h9Qn!iKA=cEWWa>l3p28s5tm64ch~ z2e;!8x3h`J2%XtO&5wG9x|w@S@vxqTPIm;&oywJ2B?av*%aD)cr1TXJC8*wW;vLeI zLOP7N+<;8m{LEm3%lh9sL#Fj(8r+)X2JfqG^&j_{F}u9QG&!4qx!&_;_fvzxI6Xr> z59&NMEE&O{6f+~?V+^|0Z8H`Lb48Gg(kzL&xP?@hjs-yVQJz^{=rX-4``#8*;;G}<@$U%pbWVuPY zonPt!j?7jIT zC{1bd*yNio9jPU3c*iIajfxd)+=6Hp&X;kWIeWx%tX@6qR$7T)=x|-L3_OO<>dUx( z<39NYaOB>*`yPL4J;jqMgU`KRw+icWfm~imyZNg6AWsH5h0$i6ym?c7&d*OApvxb? zIU>p#besr7uTc8m)YLM|$^`rvXd@<-r9b{t~0uQ0wF;B(Ve{6MuN<7F7vLE-_J zQXzV-ZPfTaPN8bG*n7P~k@@~{e6iCV-I3YWhH~yZMe-Af_q$nKFB>w|Ru&wP$EHYk zJ>E_u7PUZm^is{t*-k}1B|22s_qavHE)MXIGH7fuhP@o+ZaqiR?s`1KfD5?^zlJN{ zdW?LWe7SI`3uvr9AM>})ApkRqAjlz6IcxZgbx~{yCNIlWIA3%IL}G6ix<^<(xJ1*-jPB z{pY$4mR{0IMvbqC=*t3(NYya>X7fC|N#yR&fQQkqbjqLb@3U0xzh6e~rBaM!7P6jv zKcWxc`i%#gOXWc==dBj~%H>p^rFz{vp-LB0s~OQZ^Fcm%Ubq2StBY?;a`rO;Q{YP+ zT8=-DZ$PavX{O8c1JA#hdvz7jn5&cJaSf&9E}E}g`_+Me?N@&7iQ>u^U^As4=-t}y zId6u{Z^pjUfgbLO;k8&a8K|wSSm^`XymeN92_;p508a&j20j1vq7^^)Tf(fLe|*b7 zd^+7$o(I17STFZt)9JCtSJQM_+Mnw<{L~OMgvb*ry1RbeEcaXE2kdk^fflBu6bOUA z78a8xI$TklI6J?+>GFWeHF83Hd-TD`wN7_t!hg9A8WIsGgCKC~A2-kaSPcZFT!7N1 zy?LXoJAJ#Rb3@x|E6u8V*cHgoROaih51Mzu@XuGR{dX#bINhx=gEGMghGadHD&fcA z{onS;b-BMOp-;Ly;Aab2GT%*{*Dh2;;eZk>k12z+KcT`HY5RGv9d;u?=dI%NxjgI- z&pbYVu}zM*t7U&YrI%e@7D3MREou`PK~m$zWn)#7s$FEXBKK?jK4k|t_e&y3FU}6i z89?QP%{_a(Z`^0IV_;q>|plDmmGuGV|yopg#d4%_&<-JZ1 z{qV1)wgF=Ag)0N_x-@+ftQ4rH3lQ1T->2-ndSSZjUop}rsN3hTOmbgj zFn;;xD7}%qPMI7yeR?(K$tUqPlBjLmFeZ|^u-8Ho>8SynNDXLE5osyQwA@&Cv$2Gc z){#fO62GSAM+(|q%sxD_FY3RIG$v>>UCz{bG4b&IofAQIaRs`Aj?QfWzvHU}0v+q@ z{Mx~l5jp&`Eu`w7g#s^9!UKb#4pyGI$iL^mh$9fwWfznxRdHJiIds$sXozUE()m4bu)LPy&;;FlUTv3squ2tvwaU(qxWf71V-fHnoGFPmp~d^UCYqp3|8Bb7eA z4(l&_(N%##WB%BB+D6Km-o#eIEvqZ6fq?N9z+7&#_Oj7_wcY(sVYhL%G}g46^_LOg zuIWvzuzK5dpx|iHo7O+RRhEZXi(Zq`RYOlhrq>Q9R#xrs*NpV-e@WiB%graP4M+cG znJW#W)S#fUhg+KhWqQzg>k?$-<&g1fZ3Z0^exEnE#|Xa&3Nkvlglxp>zx^0d?t2Z? z@!WAk{S(yc6I!duEkSRe{ScK1Q?{piF^iN&AM1KO4BFyJ?z1_DR9DIu)L&kL$O>+> z<6c3nF+qWZ3GCq@u|Gd=K#3QtL{Fnuus5NQ7hzyIY!^%sD@}U78t1VAcq4duKFmMw zVh1M1em45^_vS8dG0^GaPWe>C%T_q3+@OB*vTt=I_0Ktv-RARpoy}I&J+;KZFXiUaGM4+1EbMwNof1= zCTN*5F@MAn=0GC?63HoV#ap@ZShRn7Ueml?!Qn;QFnD{KbX<7Qs+&KCKLV72iO-FX zLoXd+BV;0KVDaWfr>5JtWv;-Sccyb2#m7$~WjDC!i}lJ}Iu(H%prF!6*0qkuZyM=h zCbmIS^_S~T4x4AD@m_dTr~jn3)zAKeq}i*)J28<5!O@X{Q{Be?R1*uM>U$GHGjuJ^D!u6VUW8x|V^Y}CT? zM`3J&U3O|O(q>#2Y_BuPu|Got{wzgomR|DeS`vw{h?zEE)BUwA3M3Ml<5+lh!N!S= z=X22_et@Oy0Z-e1KQ%#x{><60ItaiL)4=(7B3oAr$K?74z&+1sSa#`^!fnzeuiZAH zrryJ!x&Y;1-(Hb#!XW95Cyes+zQHHucB^g_IJw2UO`+Za61%;iGcSTSRf`>A(%!@; zqJfPbtD+(h3#g~!JJvRDnJ;MN?~~hfFDuHnIF52Jm6h*JT>q;^AA>>Dm3MjIR&QH} zy65V7OfQr{0cmei%dG8-4Ke`#I2n%)R_|dGl%?jJUu$(v{P2Mf_izQN_3ZR@oH++{bz7Od2 z$@Q>k9h?v-QTan!fl&U?wkuClt6mrXs1tASL+pEqry}&0^`T?CZoWlEfa>>tOmaqblItkvlQ&}HeiV^W=O&$)iL32)f3u3R@A;EBrO;KqO2 zarV}I%5mqVebABrHNOh8>oigeA%K<6v&r{mrmT&aBlD+a8{n1DDIE>j59fUBYWJ|~ zj4nFDsqX}h)L0&JmM%@?QkY*>k@io-u?WNAe@+Y@+ZYfC)U z+mkoCe>j+6t+aQ6OBfNk<$74spgHq+|2P18^sioedgXi1`hwm+l+yaaA$blvoBwwD z;mXTdLAJgJO(U^Jq?}5hS~|ErAhaVj;nir3-%sai2$jMBYr8BGE;F%E>_C;Y!%^b7 z;B#RA_Y(VzDrjw9AdwRF;^Hysj|ikITb2DFX`F|;f|$7K4H;V0 z`EECVj^AK&bBY0T<8m>`D?pYqLh=ViL-h}&z-STt2`BCZib1PWdDn8>r#?Ogh7ZSu z^zMq}ic$lU*7jS9g~jBvpOP^2Jy27k0;1fi^>ApQ8RH?+H30XAxk6_Y(boag&^qT! zW)8{U5+C446C;?yRGwu&UJ=}lv#nHyY5l(2;GOi16kRBB!GjZlc1B)vhY)=v-@1~) zZC2Z88=zk>qJHY=JZuK3uy^JNIA3FvmZKMwl>0n)^e5B4eG5dbdkTe$U z2#tS789`8|9MW^U)88SS#?K+|wf!b^?DFd$C`?j5R!w6%DNBz#?KlcWlGAG6;rsBN$f%5hF5d(BpeqgfyQ(m&03Ncbr=Z)6h79x zFXLS^Q}kzBpsO3pAdiu#5)M7uevD2dlt#BZ zz+&2=Ldb0M{77~6HyW*_eo1fHakCsh8Kzyia?mZY2QvT6mq`m{LVc|U+!|d_qJ#8< z35x>>OdRf1mj>sOT_O?Wf^F2}1OwlT2r;1|aX7V;0D*}$p`$rouCkEPD8X|0T#^=D z^r>{Ney9TxR~Xo(@aH64E78pR&r>nY3(4r$yhi$8Vi2kVWLV2?aCr0NdgLvKO&FdF zBJ$tek6)X>kQ+u62+S|CX|*ZGcs?A{eo$>h7#-{ny(S=2u>fs4-+df3j#rU_qWA|t z^M^e0%@%eOqI?g=$><?c^OP({G)DV2oX*K#$~|yG*18W^&NI&PzzV`G;;P z`kxf&bz`$V@LVyx$-7}MmBRq1=$%M%MFLpLyJOT}*I-2! z0@BpFPs>TyautmT(x4|MpdZO|<&P^>X`uHPthJd-nav4x5Psr}xLkdz(%3^9-LYj` zSB1aMhqIjHWHuBywGGKadCo8L``n{F)SGtC^N)Gn{ok~qK zx_B%Ojcx?e0}*v;xPK01XV)ylenhMB1zy45=?>EfFfAoA*0KTd$qk^jTldVX1mX3Z zWx)FrSWOW*4~2UsM41{K(yAx5*r%2$kYBE~QQ=Q&aW-yn_5eO03IqX6>zlxv8+YSM zN=_(F5M!$^+g?cKuc z&o=b`FtD+9RlU(!QldiU`DKH!z_wrvfDzxPr;ys@w{ z(dH<0ieW8+3eG5|90IyM6@z zGza#9oIMXUYjzkpRxZ*=+afeeJCFIiyDZGFd9aG#jF4b|hKKedAtlk6E{9I1+f)O+ z-=slW#6zO^HA}WIzuuR#&S|TkuyNGC+lZvHrAW|eTvFN*vbS>qY1$nq^Y%)KK-Z`T zh~R~gbP1GOjE;UTl~8Q=E{v4kid_?g^7{&mfa2h(+E3-v3;ktTuJAC$izM>2fbY#p z=Uj><`0|F|Feb`-z06MitP4Z$elS}!$}#Sc-`&-y9b0GHB}`7=KI2mbNpWx(X3Q0A zSwHJl$4&MJeUna!WXIR8F=IG^w6Ajy*tHpdGrEf&3t2Xk&f+VxU!kwU`Uc$L^wxt# zL!X}*Z#p4hnSaBqL`k!w1$n8r@|E@C9ZRA0&YA?i%dI<=A&rLg;l3WcjBzcQA@1~j z^*$E~LE*{I&dM44U~o!;!^6{ORMNPnW4Ap4RSH0GdQJjIwV;iD)9WU}a<(qBL>Xm| z72j#-JGJmnx+7UCA~=^Ux;p)SwUP8dyy(Y2o#!L`cn?59>9qur$0Uq=N%Rzw`0S&- z?ZZC}SoG^1<~+W>zCAy(CXTF2I$3YAJ@ri8$9J21sCf3v zW_VnT=i629?u0Bl+LV5=6M+4(5$2*+dvTwRny~_(OH*bt*1DrNL^}wj-W7HG@ujZC zmu)DPv>JRn_?DN<Wi6) z_7;%8*Q3+qMi7?#b*P=<-$ar&eU}NG&L_w_Eq9pz`MZFvqwjV*r`hKG^Q*2$uzP5( z>u>_hWlJZ!Ek}XmkA$cTZfE(g>aPXkOB4F+<5LhbWao7^l5gbhc~Z?jxWPrJAzw3d z)58giRX@Pfvn4`rof%k=nu!->XwlZc)lkd3E;Z;~6yRZ4NdEXPwTwpk_?2O3ygCcd zwGdkV?T73gTT|RAahFzVm#2S~A?3~Kq1+>(`r&#gL%C?6B3f$pB%kMoOrjP~vd<6p z`z(B@=+{Lmtah4de7%5kbluVUjZ=(r^~dWG5pfI19F^qk zFL%~9E`dU&bK8!+r^}{PK2R;%BmO`&f6HHaNO%X=v-Hz&Ltiic&S^v-oNIF;?A{Gb z!66FA;pZZn5{8(>+&hH>#PDvdH3^9DM8mOYu$i)mU1VsU29q|E+e*Npz`_p4gktVf zSrf-YLxY_}@X&Q0w;LNEyqy?&~RXhR(sNSBgc(tpG^gP z{U86Zi!|Y}WP`tpaEIV(Oue`iEKbj=J3Ya4#G$Zm>VBF`1eM@=A|qOO|Jz#;-U764 zgwcbiU8=t|kDUJahh*Cg+uwTR>v>;oP);0p*dD{w#CurR^|~xxzZU3O35+^k;8>3^ z5-Y72yILa`^0y&XzHjiHT*f8GUAB}jq2#BS6R#F@JI8fDnS=cfQgjHrDKG-(9ygi9 z?uX&PqX0S^!BhQKnU!liZs`h0hV^Tc^*{Znux`!>(Nz~xzV%G&t*9m14{ItaJP`BN z9O7)`A2_21<>IIF>YJs|WWXc3I81&n%k0D=;Mb5&hD!l3A-N$OXRww`d>~=Q`S}U? zjmYAx4!tgLl+o`Io}nml!GRppyDIUS`?xZZ2uh^nLQzE&6wl#4rfH<6vPl+dWd_&# z1^|l)cn9U@xS(pI%}6wTDJv5vu=W?u1=0vOEzV8eu>)7GUBQ>Vg)3hNXNcv*$*LAz z_I&S|=f=9Mey7NPyVQS*T!IwVwAhle!b53bQglchZbFy ztEp5=ar6g`4iLMX_3hl>x=eC6g(PmE2nvi6XgAJ1_}3&JWDQhlZ>sr&G%VwHcl%CH z2qVf!PZwFLxVF)pLa)6`%iMa4%zQ;7J9mNX47a%j!jfonf6c-C9N4OC*D4jvh|zcZs?%9OY+U$bb8i6~E^m z+}!pxLVi)07_du`%;x)~83%;QJ#hD;UK(cVp|U5R+O{z?x^tet;@4BOePmSUWQ2sV z*fqj=0QE#8jpt3>ZC)FA`QaI(Jo#l@65aiFs+rS4jXp4g22v`iZ{* zpyIgD+RnfG2cgLR4jq-F>H{0wiAMXYus(gkTmL$z>zWSkXW!>nnjiu}n9Q|QOINP+ z#;&Jq;QAAvr*~rt@cdyFU$7bv%3&aGh2G}LR#+cDu#`wJXFE3+O z)82HZO$z4(DnY2LiTj8K>u*_1v>_=ZuNcENq@mgAW8|AqR+O%SZ`$b;4Mw>&D;0Sow15A9vF5 zEaYPf$QqM<@>JdZmiyL@B9D~U1!Me_VZUz&c@nuch*yOUJX>W$knW2G7P~}}g#f}7 z1a?=Abz&uG5#HjYBGkL@scy2>2p2=QzeC*sTNaRh8JTwbhf}LsVGHn1Mihx4bK*4-rnG80}Z+{>Jv60Q6kH~KM;Z#`$#&xwf5>A-k6-j@(Kqbe>K@6#= zT<4^}}TLeb}pV*liS!}(Gml&gj9(_$hj-Xf})aoq&&>jc@qhpi^fC=$d5rnUwpxLiY%Fyhl>z&+qgKmu)0M9P7w~oes@9lK zzIXF4&e6Q~mXK=3PU^ep7IPVrFRDME3lantr=tw1H_w!cc(lZCPq1W9O7moQX8V{} zdcSTONGLpu9NhqBqQ(m16Slro7Aa?N;hsv6dU7b2JvQZZW<<2)YasthNKJT&p=5rD zXkES>QH$sp03Z26KJr#QZh&HTFOT0ijJE={sXpT>|0(3P6Cs#*KTf*4L)_0z`7YW& z`9#XZj#z522*y=F94E`hhWmTzSyTNKiXX!R6))xGO?70FzUmxkU$yeq*QcpEbTNtW zbBa|%74XBK78GAH@iluUp!Uzk$j~~he^a}Ry9R*k+u5*Z>5bsckP6{kZm7U|Me}$c zr;!8WCY-m4AMFa_KczD*h-{I&I;iDhm{4t>6 zUtaZzFbt=jm8r9(8d*a=IOdPZv1|v?2c6Ho%jcc|IQ=>`wO6uK+egq!oKygRYRfVqxiovpK|D$|H!$l>dC3mZ1@7YXEU=Ec;c3P+aYrv_t8)2j> z>S#G{7#gPCh^RjziltJ@5vHh5+^Vtl8ruQ6BjP)GxwfTD_GKNDagJ2aKfk*r9=~uw zLL$4pettSNnTqK;@Ab$Y-6%4oyw8Gep9wQ9YQD zW6u?gx9Y0xp*&u2cCH96wW7pLKJ^pd`XJ7;%jnmkcY&jGPq#T=eH;s+hKG}bxshyt zH6kYGdczQN%f7zY-T2-KlIOkcOFYT!)~i@v^@vB(M9$q@+kZ66FA-RORo?L-Gp-tv zZGR8~TI&eoYF_Vk$gyi^Mge^Ur_XBEug~2W@pgBu1f`J28dxKjTF1>+x^6ycYM6Bn zQfxy^u?@A#9_A#}1QjknGPAV|En;pV@vSZzpkv*<2PM{j)b#vzxr zcSwDC54Y`~oR_NWK&20Jc!E)+kQ+~l7*x~p$F#FFmSo@Tu7g`x!`WKqa6+H^i?{&B zo${F?%Y@v+<&kzBOzyKnxjc*^Xm4TxVjWjOTIv~vpRv9xWgY4JzN-6n^O(*;AQ134 zvRd_wiRwF&@hfP2;@ITol&=fA6 zshY(*^1UL;_16f1m2FQACm?0_{Sm&`K4CUYHLSYhXuGYz?s^Y>Oi%|67Oag9`Z#@sHR`>=^PI0-mawL^_HNzo2a40gnS~}f&?zYzSl4+)V zxb8CzfUwO?tON+E3g7Pg9k@5Dp`VM^f_=lv04uSbkp%aoWm|nK9u9k89J-XJqGS12 zGh9%Wd-Ds^*f=AF5bo~o9NNpBe|X(W4!sbyM9<2~j+>zUmG6P{V={E?wn!oH;By(E z?JkisphvhPZ3CBV%h3`g@5}weSl#w{b%q+mH^pt|Xr7+RR4?^ZE*)j_T} z({1QI@=V_LW(>?Igsu)@ns2?~_CbDN_}zP2EuGTUXgTS2{lx>&Ompr5M29Z0a&a)W zH1zoQgN|MpL#HY-sPpBM51gVqycqBGjj4Pj0fMEJLj1=I?J?E5e?R$j;@u=l+~pZ1 z|MvWJbhC!%AZR+MV-w>3=+8S5>4o}4{O0}i^34_1hb120W-M4}r5M7)Urpv?Na;P6 zCB&=6Kh|Ul+eJ84nTRM8T@(!6-D>zeLb!$<;hFFCb2lpeYaeZd16F$w7e{S$+q(`T z3o7t4LfC45~+F3SecU|t&l2Fefd+p{MtK#&`Z5XfvzxK!Ur}3{9lUeRbETX?e z?;+uK&(NM>%puIFDH_jklP)`{?uC+X_8!x8$)a)XpOKn)vU9e6I!gTFwTr3VYU6Aw z%<37a*Rs~omK;|+ z>KH#d1m7^4Pc7Xo>^;prfg!+Lx=u8VgiUBRFxLk?j5;VX!2fe~k*L&tXtU8D1;10~ zkWD*kK}^Rv{XA|Khs%F-SMHj0*9HFE zsOv1H7QRKBi%I*%K5)u0c{2^%?QjFK>9AY)exO!42!oJM^J9j3q<(mG`_7=bBKxfq zw|0X=M|(F%K(!br1|IP19u)CuC;yAjqQejPfgxghp;5;E7hhJ;{yiUj0R3hzv!1JW z5D(LSI%I9TBpq32PggjR+vUbqG+EiIqt-1 z+e=le>A&x(1!?6fPH(0!he8jL`ErerOF0Oy3|p%UH9Tl9HxNriuMf!eQ6S4#vmfk` zB3&9df0UsgnSlA}utdOiT3r{wMSF=4YxSQcTwCoe=l)TS-+KQ>K#l!2 zMm_XW4Eys`zWU;l<+|C0=Yb`FA5!w^kXnF18E zNznVmtE@PCZF6Lr&Aox86XX8C!w>w;{OF7Ste!dfBDJ60lDUNzkh-tt22Z}N6 zu;M5bcZvJb-lpn057`m{S9Y6EOWDHa7DJc*#V)^|&_RB=9^3RnzC~-af&s|3`dlD~ zQ$kf*M*}LR0+0B*@MZ2!9Nm>wIcozd#(##Ya8F~Pvw}4Wf3ph|ztHY5>#&z|?}5Rw zpjT^GjGl2GIe8b%2M)wH^s&mp^-0+wrvwmS?(Vxpd1GDGrB0|li&hN}u-My_#_EmM z+Y*|*^i_RTFpY(JTf9#-9mc2*rf2tqCf~j!1Ld*BC^n>p5LKbfi9;h}v#K8ZALle{ zgla{~Zy}p})=ivP!e{k>b+8zfyF}g;mq%WaazP8~(}T$O$JV7 zIm}AAl{KGP>tz>BS$e*BzOeaH%D*i1LQEGCDihpJkFfMEToCxG>l7{F zBsn%3-xGQQW1VyFLTcetm8Nh6wcCP?MZ%YxGE`c;LeIxvg~ zh+th&D`sG%uyf(N>AYQk)qVC;C(*TeaITlXrj7xFdi5ksAA^VS(`{Grk8M6ns$tcP zALRBEnf?3!et2`d=6l7ub5o8|w5zuKJGAQU132-#Fo~?QX6sOk?1g{neJC9=TjMOg z0y}f59-ePZ%!;V26>1-g<6bLk;D78`9Z_>aeGWQb)e?3?@)yQuy287r#gQJq?Y&!( zv)D9~t(B=gpZzX`W;`fK?dNSV_mDvSj^MActQqyn#;EEhpf`P#A2!f?7VPv=slR+21NL2EF( zais2`pxTpnZnoQOOaB=gpoq^Y*by} zwX(REDS4>!>FmQ*LY9|}LoHFbNdUHMzjCbls^C0uc%Y${K96opd<@EjKfbyYk@M-P z^}Nus%TH?Ol`TQMa5Yb}aXr0Mcve!o4L3^GwlF>Hl9JDDn-PgU=LEWw=?_d1YA6o) zi8KuH`0)|f?dPM8k4Fm1LsdFu#Tu0S-|6yHS|MTYJJN8c~(}3t>yB zVnP{zTe?&mQO%meM0jfZzc;2>zPO6V7&?8{G>4o=wKgMrF2+tGQ-Lu?1a#!zRqu+k z=EYtTx+lW`ygA~m7NFyE(@}yns=oLTrVW=%H25Dlei7FOfHiMN{{ozNmmq=6$oh~ zTk{Oa;~|hINqslVr&KP8Tx<6fe_pa=Da0h^z>;OzzDQ^6}#behw~-ksWOaOxaCY?dw{TOwptM<)=4hCPkX z=0u~F{Eu7tH386Hs$?KQ(#Yc=NmfLPCrDk|o2dHzzvq0Syt^^&-V9wD0Wb+T zGHz@$OjDgyN0h8Yzk!w-Y%6u(wTSkG0XL(L?Pp^nN8&v+|57c1WE#h*OBjL(+mhS+ zg6k+?pC?g{pVG7kbKUJY6h7vrMZaUAW1O}==b3qwkkiA4iIuk0e5MXott~z8{#khp zd3R0dMYr)-kE*hCPl@t}-dLy?&L+z(tdQzeSaAOMG7s-1^_1(P+zKi%4qA9;aDn*B za(gk4ooD6WHRjfqa1VB9Wx2gOu_Jii6r6BVl!n~r8XWkjOF#2^{yN4cJ?-nF3K)H4 zf!wOg5BVqxn^y^mCaBOp70dDzB3 z^QlabxHN?ITn09raG$@O^mdeRp9yl0R^GxsMF72prQO7p{8RESsOz(KJW>K&?w%t3 z#;3Q2WLVG5+qm{EYpM`=6tB`~s1*ws@#Y)WVG`9&WoN2>H$S5KDq=2TQU4>hK`RPa3iU9QwZ386{j7Pu#UcuU%^fB4DVJAYEHixUROLk^3Q3gmZnWB+30D?cCs$oEl=&{#{j&Ph@grxnon*&Zw&5*bqeU>^JAM~!|o06Qm9xXFqB=xb*9a~K?)5TSylHT+G9FY5_f_RFsA?z5mh#hj zkrWL$Xr@iBf!GFyE1A9duV^9}<*l3e%j}0|m0M%--mkX=sD=%~TqgNlA0A_6$>Oub zajS#?UDUrn^sC&AX1Bz!8;?^ha16|mz56E+bx@gsS_N?+k9K%f((pTq{|kT*fAIfw znuQVzj2uEGk4*AsC;PBU>O%jg9p&M{prujvYNYT2;A<{j;2H6{!1INeF7WD~<__*S zi@Qghv5uuLw(nwpV6SiwdycXxx~}ga4{as&$z#b6{8Kv2T9Lnt{gZ8KHPznc>yvV! zA;0iPr3U;cU|pZWXGYSjpU2n^Idkh1+?DE#5n%z^?8A=D(UQk2N2*a|gcG-8wyt;8 zo!ih))UJhJIU21+BpM}^M+TO=A*v&fOu%<-6aLQFCJ+b$-;H?-Bnpd z^2h}IW!qVP<}4C~JTf6q*Z6sFhd!J6%?Ta)Y~)EV+f^fl7Xa|O`wA?1WLhe?WgXz5 zy*=gWDnIaDcJkQiVV)zyR3nWe0O)s_lR}?)pis#pQ&;+o$#+vu^J?T9nvnhdPTx^u zEb_BX5z%TW;dGHXJv{y;!7Dz7rgw;TTHjUxj=J-Io#4CJlQm73_y-;zNEGtOG%38k zq*_6I=?D(cf0lH9a)KY_-**Z2W6HKB8@0zkUoIIci9s&DA3?n({Ck#Q(|esGpX^{w z>~{)B`4`)Fu|H1*vF?z3C!$^l~z^4}`Dk@4(J1|xPHXq(9^-U50AQzMV~#$on#;S3FxT(4^8?qhk0Q{hOD6sIt14$HTUU-`?_crQa2v_+gI1SC_Gk+QZOvso-cc0wRyRheIrM zxl@PyUB+wt+hzX4{F$dcB|3RzV!pAo13dDeg9wuc(*Mb71lwCBSjGV2TKK&?stlOq z3@N<=S^u!irKAz$;Dq?~=K)Lj)~|6*xkA27{`xzC6XMq&3@qV~p@045z!Lt4WIy7D zYsw}0>rV*ki?Q>5oCO%$-qZ^MET>d*atjp=UET)aN zwMmUxX=irz(P~!f1zY-3@!yGrcPV8OqOIS2>ble-;S%c8KXK#dqXt@J3;OE>PDf&i z@_Ul-Z3<}NmHk@GqY64a*O@@fD>$?Wah@*aLcDzpA>8K}WR0g4gg8f3=pSLslgBzV zgy3aDp>p|1uDOIEk(*^^30*@+Z|x~(t$o7Q1ClF#6kO4FMuHJkX?ves7 zFW?}z=S!J1sI@kB8VT;|WjfeRpZyqVH`712+uL;^x7%Nf`!`zbCcDrb9=m1p30u~l zit*H7z5IyJ8(rfYKtUo3eJYhGc(>me%Y=*UfGGwW;)tJ?dSQsQ@DKDJ9=W~TDLaOy zU=7hXg_V5Bfp88O{eR#xOV@5_u^AE0D`$^8@ubI`A#C4xp#e6{|C%A#Gc|UJH?Q{p zumwAf%&WJo-O8^p!ptYmxk2*H;pn>M8V2@_A&#d}=vx~ev1l2XYmNAk!}Y-zeV^iv zPiy}reKoqbn_L5kLG(LD`$>mVG~G#9>}-Rj)zT0OK)`dYa$vG#nF`B=qwZ$zuIWhm zhRhNnNVU!iOO~nhz(0~Srnw0-_{&$fm3;=Sc!_G+H+;q)Np6#Ou~_j0*=f*`YuQxh(%jykY$I9zv^s#@54n2#nH#*cA9p0d~de+|Xu(-DRHv2F$EcyLEMaSqH z4USrT6y?#SssxWbR`30mbQ+M;?fH7PJ^WRY6FQ}O?){tHm=75-;sIHIp0ycfA||K7 za^#+U7dmOFTzMLluNB{|qLtS}8=Slz|1s0a>si!VJM!0{V{-cXH0vLr1N{;_ZK71) zM*nv$bZFNlI%;2Y570Gad{#PA#_u|?=H%GhDfLUhd;j)5S>H$lTgT8&zog@eP%lYh zs;rP5r}fbwQ#(xU5G^pZ!_*Gm0^2nn(g36WdXQzPY1G>|#rSe(J>$Z*-4V+6E2-4m z_?5<>KqQr+73kS`?O_1igMTrFF^zGOIfkbXj?G7Q(#uGsK{|cCm;>3^_?6uvyq{}C zcJ=?NE;S3&`e=`-9j11O7MR*$Y6owDKSDg%uYA+L+qi=mv6l}5RpEd7=f@X=Kg^9U zj0=}(ykI=}TODnEHwk^lomYqstZn>)4)ixDzcO9pI{a=%y^epTqtl?`dLdPXI;y7i z(J)gx>`->-&&OzEpI6>z8t2hN&HvN5-J#;PcFvf7>Bo7E84C4?l+lUs_P*7vwWfO2 zv|Th!7tb=g)gaUQMPZ+QyWn|*wd(($JdN`@h+SbC&x<0SYmbfTuYUa3n6AKmOr3`J z6mP3Bz+DRWQ+}p-DVy<>ZzmwUl& z8JX^qYDv4fvFJZ;-_Y$5Rp!-@$*B;Mh*pqI^1BgNBK5KfI-JX^EXMy-%2<-DV*P9>@GCcL*qgeTsL=z^^Lgf~svG{2UwV5>cgYu?`^84cszZ=_ zz#jV!w+B+LRSpU-(VhR^t0X^nmwCJ&+3^zG@Df%67_4rdJQP)KM5KThD)myolMOG3sVyV{q8s}GbUI#zbI<_OV14e!7eyRYAT<{4Z-f;vH_ z$&y#PQ4J8TuCu}t&M=j~TC)TyT2>I@ME_EAu~UDn{D~_sus&!Gxs1*{b)+i%AN*IW zm&RFr8~vJK1|RtfE2}TVi(j48O@wey6?$3#T70dfN49f3OXi%cr<8iHGb!z;`jB)j zCMX=F*b+c!U)$pY)vfb!)k^9;h1bmUo}KUb?}45erKs?P7H^B?GZg^v8l>51w5 zQ@Zw2!SF%}`*bf-hCVbuq2qx%8o&Gqf1Z)xS+2*59@lxELw=}^&=KA|E5X=vZymp< z^MiC$pIh-1;^$O;pN{v_@ukXoO{d~l6#iPr&nq)-^IaP3`BdfqtMWlQ@~qI6D(|Ty z@W<+SA06qZfJH7kpvSAsQ`y=B4g9dtUMgcVWK5$D-yRB4FFVb@css;%DIO-RyO-q7 z{ov2n$kx#2`6%k~0q59~IRtUYSirc)`>NQA^Xrs}0e*#JSHW2wc#LS|A1FUl*H-Iz zx{h??*l~AdF9S5O^)l5%M`P|B^8A-FaMUp}{;M+I;NhK|gH`4^GP@2Ayuo9hqgUdB zY&>6t9<*b!`OwWIwyDZfWq!%RiIGIu&kxbk<1E%`sl1YNG(Ceh}Z zDC}oEkiVzQvrnH@evz*6D*(uh&g7S4cKuu`?=Lwh!-wbHIN}H5fJ~WqLqBMc&6iiG zd}m$5F4z#-#1L^uTSn${mFXYByFmGnbp2A5_fN6i)^;Ab9osJcgD<{$yyW0}Ydm8| zU-k`^@2%rgbmY4k`0l>SJ;Cj+^Y^O^Jnijlo$sQ2p=4dA>)%uzcDq=|&nxSvZmZLj zKcg~n@*y1qJ(c;6hs7i9@mgi@@2dK*>xd2M>u5K$FWL=uMn39+y{C@5W-#>Gmnq*% z_21L+?mFHj!C3p_O()t5{U>b%9X_Zd{qZF_@>~S|!>5`Rhw>U7i4~sTgFbRtED{rB zlM`LgnIkboCQhvFp%Xsf2%e8E{PO<+00960cmZ@%zfTlF6n+CJoC+KV*BBBhj4@~d z2}VFcZujPHH@VwAXP0;+Boiu4u+qYgP*7kjBr$aDwkP2Rql_kC~Q&&`scc_Lcfc{dG38Awp{v?s%o8-!YVp_*cVnjl>?+)PyK zvjtmjK^})CZB2T%BlFtx^YWpcw{%d1kPHpueSav^%9f-*2fWyy zJ({SB_s0cij1%aAMByA)s+_W&DBCnGf;O{T{|=(5EMotyj{#FzH}&DPb+5P1mK%_W zYy0I&E_AJu)JhhSq*MX3%yWenp`X5)t)-#4eUW3RTr}qo_YkSH(Ffq!`lrtvpgYF( zD@Z@|mAGbVw0`_-0Z!M?k#xmZ|Ig($7_E&Yc5&;7`IINCqM6oUTYmEL!6WGQ81DL_ zla^o_P5&BegYHVeD4`%O8_MjGelY_$9wA>Sh;~Y&!0O7k1E|DgxPQKfO%fe+8|c~b z**a9BHfAMj>jW!hBHx@pxzmpuTcQTT#}Zem2IH&Kuh#kOk$OQY(PR3vdFZTwnfL~8 zt++(b0Bpbia&n6Nd6H2OAM-A=AMN8GXrT$5-cF3{&0(YCOt-;Ip+i}-?1M)e&)N6i Rv>R)xtz00*ZvX%Q|NjE)&*lIC diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.(0).cnf.hdb b/CPLD-old/MAX/MAXII/db/RAM2GS.(0).cnf.hdb deleted file mode 100644 index bc59c9de517aa1e2cd75c7809b8745eacc67be0f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3642 zcmZ`*XHXN2vJEwwP$ZPli4YK__ufH55$Q!hdJ*Zp2m;a(f+i43C{m<@NUws@Arcf( zKto4b=pser^36AMXWo1BcF&x%Gdp``cJ|NG0RVtnFv%4MT&4Pzn!ET1Jo52|iAe~H z3X8$igC2Q0!^9=TVWQF^V&Wp=qGA#-6BqyBM@}vWEq9$MmJ0n2E+*=Gls{k&6Nm3g6mwDZbP?8rgNG`D^F2 zz{5~-S<0+5ip=`pSRn9KYq^t2vV@w|ziz|ZwoIl)2t zJr%XCca!H1e|5`pK8+_L-Lu)=<+0lJ;B_u_3+UhxN73z{n3;SGB=Sx-!}~QCr{y&p znp;(j28Qepl#T>)ad%}l5pAao&>v8}Eh2(ZNvPF&)Tv})H8rh*=h}gWrO+339!`fB zGTkzsG#6{Q)zsO8+qKK z-Tfm8$6EZ$4!)`*RKhsIOgvg}%5=;TW0mYZen1 z(10WIJNdtXja@HtVHyd~n-i3F_f5IO#-%B8O2}U$7=*m}@Wj}cHO~2{6z^N&#{d7o zawcP;tcSfqAlpK;+}>R6o|>6j70hTs(B!V2>llK_ObjRZPtw5u7bGZ3l``ZuVrt|T z6yt@4{0iQ`T$a7>0DSZkL@zE(%_e(zgDkBp;&Es|ER)b4d6PVak1$=wpcj_h(ndVc z3et_LNpFQ0Bi$D*?{%n1*E6arpg18vKuZYQ9oABy=pD~BdT0A}<%&SioV zQ6ojPZzm7n5dVPIdEs!GV9JWvq&;<6?Iy6FtTqGfm=h~Y$eX!ac7Ku(X~5?0)L!qV z>42`hk?{0W_qrQo93PgS;I~wU=&1nB!nN650$t#&q?gTjn{VUlE9QV1P6 zocr4Fdq_qS3`VD>${ic6Nlw!6-H3yWqs9>p_#9}?}Y}O1mB*xjpVDP z*zv^D#=>OLALnpCp9gTq29K?A&0_bmLj>da zY`^IbD}A&w@G)A7!E|Y`??nvm7H>KX*~{9n=}@F1&&l-}ODAMCGc-!l!{ zROxF%T@CBmaFZL+rD@#2DA|n$rxOKGvRLX_1!LK-I_g;~b2>#l5N8<0?=^3%#}AZy zz=e>vEhG41eDL!8nKM1k{C5^i z^}LK%Wh2m37ZhoTtzV@qf>$o710bCEhh&=fu{hOUZu&=>W@`JU#=28?5y%=!FszHo zxXQz?fEtG)Xa4ACUO1mL+@6h18+o8_?j)Wz(vNx)E!6L<#P^J?hW)#}cw3*d@3WBw zN>m>;U-|b6-*?$M?DfnFuIyTG+KSMjMQGU~^ie%JupWI>hYn09_*UWxhC^`#)%gF3 z0i|IC9V;9)6B0Mx&C^e(oy3)XLx%n4Ls@mKZ`{ekHNQSOhq{gN`t|kIa}a-p(RG#@ zI=ULVo6|R{{Szx*W#0NclccY>0kS5>Zn>HT{c0L*`)Hmc(68o5(mv4vD%&ZfrLHta zN?NVV;RcAW5d~B#8P0082RM0;oHt|;HSxk%R^NGOxlBfXw+>6S(6R+?EE8#^RwxXZ z`-<#%IJeRGpVU@h1I1UjX$?Op%WeuczL5EzdYDiv%l=#Wi|w}lsPT&7Sy$EJz^P!M z5O|RIr>fteoc;boSw`EMEp^kHj?NqNQ|znJ9fM0#Rk(?xQs`sRaeU>!_PYM-x|o>au|y#TWJY{u8C+TWXJy{FNLc6YCP zPB3qij&-R|FDlt8VWqLtF{6O0t4J_Q&r_Al2F`}jY`-+H?^S9a4CQd)Wh;oU(6C#F zj@c}1316d=WJ!%~NrB=U7Of?1!PDwGwGed1SXLWAJp~R6jER+8NcCiF;QuO&K)+nq zXhMyH+gMxC6*{M{6O45E?=n_155PsA8%tGtGPLzReLbI~d9OeWE$|!c%$c_Bc@;9`*d2m+cji1X*Z%kQ+l_n{MXe`u zNpI76qdaQ6bvt$9XUZ8S6(YtVM*Z3^cdeT-g8L~_7q$YEDvzFFE{1iZydqOS4KAA> zD9pWn#H-Tq(oCTJQF3d|?C+YD7GA;|j~6k}TKH^QPNrs0x>v6HSYsVe z7Qfv}Bl;>U`-J^((EZ~U?r=AW7V31_$fac-`KR2UPj;IUTTjz6pWMCLT}ylJYjO#b zM2S>03C~D<%?9ECqO%vBqxCX^{y0MK82FWW(`st%Fd=24#vS<1o4SY!f9w3^l_w?U zEygR4UrXDAjt-}W_9#v>$og=0lK)FgJm`2EdN`;X-g6$GIoRAdu>;xKw3qxoGbe24 zE`*6#-uLbN#=(F($R|`VGtI3MN@XxatcxMiM zsHYnJ(xf;euzSpBo!$`AsJM~V0z@64HdwZ+&qG2x!abgB=F7|FV>b&sLR894Acd#! zUs{Ax;DrdP^oXyP$P!*}hr`r)376+t%!Ro%MiTuAJHZOo80oJE<-$^IkBQT3vzu$i zZbYDka>QyFv^n%5*_Fje^jYrdXq1oS3>QWrpGE%!Ebiud6SPEly0ULNVTM%vqH|&S z^Xxh+2QJ9CFxQh+El2auA8k}^+Fn%ei1fYm8(%lnSBFuy3e)YuezvLQtbZ{v zS$&1i&k3x?>a*NU-=l!ZVLNjdgRyixu2itS=KWyGpwAZ14T)n?7Xpz&K}6CEuuZf7 zEw%Zav4|d~`J6?CdAWd(IrJ}zsJYMD+B=><3nDU@jtZ0ghMjOCc33^bP69_bd5 zlL#T1UK^FKFf|Xgu*~P>w6J!&e!-+k45V*u_`IHxGYFPi#p;`;9z(l00=bU&KXvM{ z2Z9%%kjD>KdMbX_9z@ATY|w3+9t9VIJE_NY%bB{H%%>wg#Tnp1=E0)WA+%-=81123 z+Lz#LndEe9(*88VBl^>|Yx1ms(QykoEt#^S2?4j<0JGee-@hI7B^I4++}=JLOD1EV z0;0plw*wfVOAp-brIey@-UTKbYwQ+{yX5nXe1{=>D-r_Qxud260$$kZ$fU^`tb^J~ zy>u0*_(MHZWv(xfL<)045xgvNs&ss7t}|l%2(UeoCeRPq_raO&J{g(}^z$o5nyV5o zzfoJY^QL=vQjKXG=<=UjwARuV_EM1v3QTdcKK8_@dn|O`-zl!#Z^(56t5}x@5A0)G>iI-&mob*3E{?mg1 diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.(1).cnf.cdb b/CPLD-old/MAX/MAXII/db/RAM2GS.(1).cnf.cdb deleted file mode 100644 index f8b441fefdefde684a8b90c5eeb94bb04009fdb0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1261 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KDw00000003|U00000 z004jj00000008X-00000004La>{vT*)IbpS@eCjkfdbJXfoN!WbW~f>QBqM@&e?%e za_5tMr-+98qx=FSULu4y=KJ>L^~(3~UW!DzjmF-c*>7e%-d%r}s;a7gkgHJ?{l&ej z0A|}!FN%8j+tL~gqd)M;`)}N-KFbT*1xQl#_xI#T;dIw(mZ8(Z$U+#yZHr=G#Kfd1 zU++#Vq6?gqK|3GpfU?nsKW@C8(zV>OOnz z4R+zqZ=Y3bH}mUSwDm&j6wE@k?HYEi5=%VDp>8^y8Ai&Il5o)#1dVhS}a-G(oU^=Bz(BRPjteS#y3 zbym~N8*oICCXYfk+<7$XBivCW4(ZAE9SW>Np@;Tn99f0#oF+Z%=jV^HJ+3|5iP!VI zD5pE|!Jx>VILQnAM4jvhJ3#pIZbE5XLuxIw%W`28_jeI zAfKU_u^|y=d=oZ`Y*-(%abL3Oq4EGaLtZN%VBXyT7{#AsY>dVa)GGdbAFGTR=RW`d z0RR7Z0pep|WN2VuV3@OOatx4S5CY<0cfWW)*AO4i;E;G%zmT9vkOTu05OXnDFf%aB zP;h1hiKqc_V2Dq=Q>dS_hpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGdUHAr z+(d{SB!aFVWFc<-ARSB$5kT@_i-#@90U|(*u+GENHOMi@*#qHPPKFMkvc!FRo&gnt zeTGmC^&dzA>@yw)1t4pismvabh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLKYz!Sh zI=wr41}BgP1s_6VNRTHma3E?WfHKSs3xMRb@M3FTAPvF@wVwWr>L9xcj z%8&sR+%fyY3uPcJfTF-3=wDx;2qeN-7#x7Ceh16_IzSp#r6*jaHBgQZ9NC7qR_6dY X48lMRvJ@Uo@lKAxu8aTx00960xb8qh diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.(1).cnf.hdb b/CPLD-old/MAX/MAXII/db/RAM2GS.(1).cnf.hdb deleted file mode 100644 index 619591b1e4033601116b169ce5bff133b8f202dd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 929 zcmV;S177?Q000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I&700000002+|00000 z000OA00000005x_00000004La#8t^o#4rr)unUP3MjLv(wgfT?ZK3m@kljYEn!ZJDAUi`&aV`dp%-y zux}6Z`0yx-r)4!xqb$#oXp*V}4;BscbOw7?(&8;Fe8BswGYC3C=Uaj%46filDydg%)7h>cOk*K&xL}1!4FNR4L3wRyyOy#F4P6fUK z{G=+b47db6pcpttUfDAXJ`xX_{G5VGb z>m0j0TW85L!}M^{X3Uee6T)SV;Wo<*2890W(7lO1)&lyD^3r9~J| zNH^OCq^7?%Ar{q1bU9H;*HwD1(sz}?F4io(@V|3Zx`oubIyEXA)dU$)I!#+Q$Q`cw z+>JEHhg1{GIBH&uW5W%pmObOCNi`z@4~Zlvm-=oS=(mAh z8yFDK_g8H3XPXw@VqRl0Ui|G0jQ}hN`9nhzMo7OSEkdDxo>lmR+i21vqJ2_%KlGm2 z5%2KEJ%Ko=*hg6CkIjdrs-wgAFJ1uv0RR7Z0bEhJPQySD9R~sd2LU1C5<(mjf(oUg zWn(t>B7DfZMIs6}1Swrg=%C;S=;)ECD3B;9=qZvPfG?op50H4XCJCZ=GwU~R4$qXy zp(`SKxbC#!r~+s|%1&ZW7!~88wBZ01fH5k;_Sc^iX4U}KCNkAf$;f2dq$=_=Jku6S zXa^|J9PIXc<%O9+hfr!QeECPajOZk>ZExuaAK{2Q=E^|HRHRzYCkiw ziQ0ohXfKeV;0(K>vK^vVySref3UJClwKHW8ws`in#j4p~l{&(KR09JsYbW>t00960 D7QvDe diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.(2).cnf.cdb b/CPLD-old/MAX/MAXII/db/RAM2GS.(2).cnf.cdb deleted file mode 100644 index 0d881683cea55a10ecabe7684df98ba8ab6148a4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1267 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Id600000001%r00000 z008v@00000008p@00000004La>{rcd6hRQ~sL{lzkeeuxfZ{<=&?o4eJmsQ@USyg5 zi(|6cFq=hl+Ps-h;0uVaAP6do#*E+BJvCi3JH+kiMcE2HUEN(@{q$B>ck(>XkI^n0 zV}7EKZGe@udd8Rq{4EtT3+Ruall>3$oIcAB=sa4Ib#}Jh$m0sOocSHPo9>nn+R${8 z?F$f0veAqFeu;>IF9Ovbj}9D|z!)&&?v`H!DAg~I`3t}tt=ThJU=n66QAwxRZ@mJE~1V5gI0MA za76OYc|PHp!J0*LhVt9w0tKMT1bAdMFo*|k1+2HIlYbUu(BWLNdQ7+Vn7p_cQXP|Z zL-kFp0wZH1Scry%XW!(Z?1jo`NXEEWN#;6Gn{VP{TvWL`ql=dy#~-?uwtw5nrCUBU zChaUiicCHDmG}NYab7M8%6_?NTxQkf|8+f25D@7*67!?lJ9Un%jv4o=P-XrooI48{ zD?+pA(ek{}3aaQY+~iV4|FN>G{k#IfWwU6$6%~P105xfX;e_@ykBiXa;V~heb&D4x z9tT!rnFr)0AMRkCw2C%JUZ-h`3EtircDC=k1%7x%X*$H_nKA3S_~cXQ*}y+PVH>&-WTSJSA5{iY&+91GpjSRt_wnAW?*J67hE717ziq8V4{hU ziHL}g*yOmtz#ky~3;n8B9rwhBud4g&uez$bJ3|KjCZhfGtwlI$2O=j>frvdB#YzNm zSm6z-1DYvcPqf=-8_e_rbFrtaQeeBHpn_sSOxOiWMUEK@y&TBqhK8nCOpQPbIRa)awU@Gila)wysyP+ z*l%Jk`De!$yYO;>F5W1 zZQPP^q_?uU;e7QQaBy0GgWUb`3a_|CKI-iOm1Rc4Xwvxxdw%uv#df`jUQhPXrjq`w d2fjKol)~iZ$Hq9X+Wc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DvZ00000005!_00000 z000OA00000008m>00000004La#8$~p12GJpvWw-w6$D3)NL)G1i5o}Gqv--vP>QCM z<$w7HcFKEBoW$*vMMw}So!EY{oo8pJqtR%TVQgZ)WBpf?F*z9b4RQZ!z9QBOW&?3I zFni@~w_6O4d;4KkG|&63vpu5LfOy99r!&hMS>som=MlNATIXj^2#+-!VmNKPdttN- zu$0x{^!UoC=b&y52Y0HRQ4j4}zwN5dFSPw(@GCADu00u!xpk^?(9w93@hr6cK}&VP z0d$>TY$M@^D=6mT5Hxznmi>JkMoLgCAfA?Wk2A>ymfA?DNWA)u~~)SFJALc1Xn zp^!jERCq#kPb5VlC11s9oWPXsiKQ9qJnnkHJV5#JkWWX>k1J#uIg6(wCo~9b4js9? zlD%Xds9PFy{$B`trdtfmxaL<D*gb8H*1neDc;Qb&6~qBWpe0-h@NgcZ8)j`+K;lc*b_#@cqnZ+ zKm}leO0fOS*Myl3fVGKCHB>S(nKr44{0z^u#S+>B3bX*b`&oHoX3!y&S_@x}X{Tug zn)dcVZ4G`Is0%Hdn8#OM=EV^@2o>ccaq(GO{77^^@Ii~igpt&lq5$-_`=z+1JCr1G zI;!K+F#^};hc9q62jq?8`l1-RouGHgVg8>y%r!c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I*D00000005T+00000 z0027y00000003_V00000004La>{i`x8$}Sefr|1iDggrVFb@bNh}dTn2#R><#dZ>h zn53~=Azsk>yyskdAMSpHxG((QKncIOwc|V24r+u153ID_nYo?c&d%5R>gww1Ps~cC zav!r&tybQ^;%hCFVJsEPmPhptB+sGrM`8XB8uM2GypPY<0MxfhBGhylgn7oPzJj0M zpv?0&3?LY*avvw(!&*358WW{s{TieX{Ct}widC;%7PLJF5p8q4yZnvIUGqL99kd2ycsZFwQjeVSew@dB$ z4a$k#lUj?hT$|YMLCx7+6FR9qP4t*c&5fn%G{RWzzI=|QZ-W5np^a&adRa=o9aG~Q zYJ9u9?d>*psOdEuZu`4f&frT8H_{IxVJ~C6j_D51*~*7l2H9jQmKQRnPHG}Eyk8m%}Bo{-M0LiL21g28DRjV83_1T=7K--=$Ld64OD3N+J7{Vo6&Odve^Pk|i9bH5tfaK;bu!pmR;$|_+-~!1d<6D!F zMJs>-bC(qTUZgqg`Z7S|kj=x$fW;{Jxx{c=qF1llNrWs2LM((moPmAkBPnd(M;cY;Vi=c<{QjBB}B_gH`PRDo)Rwlx1(n;RG=VzVEOEmP&JgHym4qO(+ zQ;M+Ka0?Nm5!V^^VQ{{AIaMS#AXx_zWHvQ4ij)i%U5K;e;l$w->F*%A4pd*N2={&j zMMTEkdwySi0pD^3ztm+*@Pdvy?M{pO1ON1R3I4H2ZUJ8koj{?u4uz-xNF=j!_NGQs z3&lyznm51A<6-b$Ij&f@MfwXwrkif{r`pJbPE|HmBFP_t`5V-VMKYm2UgKFWeiJGK z6>TAnZV++5<9|l^gGx&S-!Ry(|KMMo95a99Z+u_*!JYllavH1OX>Pkm1OFgzyWDlj z?S2c3cjsZGK~q{sI61|NnRa;$UE85MW?nh%&TH z22u>%Kpf=a6d&Xo;2#tM5@BEhVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j z{!U)5&JZn}Kn1K|EkcHC%s^U1pjupgom^d9TwS258QB;VfHJW=9=?hM(lCP|1_p-& zdHT7>yZX5Ly81y3jshxTXOIArH785TffR!f5QE$T(E?S=$iiR%v^9*)(w7-1FNmTB x;!Z{;1`VJRV;{F@ko#c9xcU3IxCX&o$HBk>l$><)C=XBxJZzu_00000|NlgNdeQ&@ diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.asm_labs.ddb b/CPLD-old/MAX/MAXII/db/RAM2GS.asm_labs.ddb deleted file mode 100644 index 3d7511a094566b939a37f4d6adc55db837e237e2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2638 zcmV-U3bFMO000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FK300000000XL00000 z002|~00000002%300000004La)K^h&RL2$m?s)F*-Gz9s7s9$0MPswxH9VjQ^`VLs zG`kpT(?;1KAXU_&8|t`j6d@G#!LiI{Y#Fels$SeGxIEoB5q9#BAJC^2LRFN9)_rOF zxFAXmQl&gZ6+*=Hn|rUnVOyZ?`^Aa09& zFZoc?U&0c5vpM(|uA=oy5tEMB_!(yj40Hd`Nh036wS{fTpA_P_{mj<}z?p zV3<-W8nZtdh7!%!Vrh#mIKiQx%D|*Xa11ytU~+W4 zpjtQl-|ekPQy9Vbd!b&2{y@`VfJUHH#*6&?8d|vs_f#4;Otb}}vSb@FvEL<}1qHbb zcop0&025L2GMw@G@{pcC(4SRqz^* z81j_Oi{JX;pi_4$=<>v>a+e&Kvwe-ZUJr{NGsk#{j8nke>TZ0s(7>T-O!ukje-`6f z|C;ADHXqA~>OC0=*wRfx0o!kvDu795+Qx!T8g|DIQyx6*+F`?WE*lDyB)K~QX$J6D+YZEs-)e~6A>^Go3;&^*uVTa@cI;%$|>_TJ&YD{9hlCEO2DO^D(D#wOo?Yq zUJphGgGX>oZkmoOtFOv8>OzOtY&CDiyS2YW!EZD$;NtHkWRDyab9+3Dv5-4~^I9P1 zc<)sF;3E$sj+jX^H+hTAwJ`Ah2jq zEkK_=j@iCNzoD>Na!{|hbGdFj^vCY^3Hh1VZ?dOE=;79G;14tCQ**Pk=!)X}ZS~$@ zSdo?bOAoEgq8v^6HAebWRs{Vx_S)eH7=Hq?ooRrF+~t)3bqAUQ1Z-K@Yb1($tDHey z;Fjy*r-Ep8?fgMTz+ik@Xf%=vvNx@UX1y~Yn$<2vAes4TS;#um6|W0((yr6APuxjkyR4aJSv&Po_2w?ej8CEUn*MNN zYO47giHibv(!N>Oi}pml%bBH&R~M?uYxLm|9;Er6u$E#SEpLZCQZasMq~iC-hAsR7 z(QbUjY}W?65Op?0t;8Leo{Xvs1X~dgjN%t_@@CHae7K3cifL z5bl=`hzms$HBV{d#UShXcx2gAKjY-#8D;L#cPfouAGm%GYUbrs_F>?j} zgp;|~E16hf%8nj)(b~c1j*;ths%yryc8&}Nd~t~zZoVs>}`I&%RjQ<2TM<=GTN5Hu(t7qfxJ-bz0e5Zbgc&KjN4vWQ0L{dbWvsZ_zcr z3_lWJ?h|UIc?-1XKe)mQa*}$_JSa%k`}1H7M_5leAtqB=b77UtOI2vL5%v)T$wusU z{IqD)MYdVaWX5Sa?YsZdvYD>ccox>KAz!a%Xa3~lC4La5JaNPB@+!uyQBoUAyT8Pq z*#$h>$+HS?a@aTN=;SIYF7u`62UccJD%LhX1k^6b7o;C{z&*n8BK#rEGSE057nub} zvJLrYJa~@x332pLqW?Vo4Z`^Xrj>@%1C5f9Jy07uDa99zM+d}x(!wKkTV~_k< zKOqRwFmP|pp`|3==5AAbL|CRg{`CbIrxsxdnQ4KklQ4V)LvFU7mz)*Foy{h8O^Mk_ z=uX)&Je`u84IrAmko!*fMZ)KjCIfinINt^7C}EnTd|i0BrC-z4kE57ByWWix;t_9j zen4Ja5SRK0r-4uSp>?PPlOJddz`J_}{@cCq`_Jiz8lPmUI2;*-$RijU#G4{Is}{5c zLcYwnJLpXbJ&nfH4Nr6aYC$&YT`7|S#wwIp;W8V&++L=Y&7WM7a%P8%6;^+tqgEH; zO@4uoRo%$wc5!$3#?+WYebGJO`gx2^$v_c|d$N^>sIDx-f3H`} zlsq+_$I86$-*XtrDDIlTH{Yf{ac($8uhF}Y>M3bP#dX#YjCTKarl`&f%z}gC9CbwLGw~FAjCOJ7aa&M~R7j zK_cv6ewm#jZ%_SS>%BS8cS|%@{)*?v9JJnu${s0%=UCJ2df##u-f!1CSnueN^031q zCJ|4Y;{H-wjshns672{rmpd@Y9p?ppuhsqiyHSMIS_;VQI|gQ4MEgo@Q|~r@^}{?a zj^Lu3`(cipXcUEHU1ys68G)0w!g&#@n@b6fzwcGpUMJQ+6bPK5i}_0HLr0&MhZ5?`Zo#hZvSLk-pneCVPIu=tveJiX6}inE(rUy?Y) zwf=5QDfwWj7@d*)ZXDqMRhHy61PbgqZrwIjYDKXM8JZmXrSnnj7*8yAV_@~$l zpl#O6$U&71^n$?lZKN&h2ueJ+C*zXBdd zF*^3pPwsGQLX!ht6S8eA;0W(~+f>OU5i_wTRc!b?@N55be!g^8u~N$Vv$UdyG0no{ zZUSKV22Vy6%`OTanmNAeQ~0pZZPq-Ae**vj|NnRaVq#!qkYHe7Sn+a#7LZ~P1Y*Zv w-*_KKr+6pNka$l&H-C^g0}~i40Ll0n8hJp9fftC8lm>&u7}S6m00030|72VNssI20 diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.cdb b/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.cdb deleted file mode 100644 index 819e5d84ba7039c4495ea7036e8a6a7009b2405f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 41577 zcmeEshf@cL{v;f>_1WQC&mumA6@Jmo*2BfbA2NE#8~@@nZvs$ z>h>n!>HPc$#4; ztr7`7Rf&>&1;?{|!EDS)TZ!>^o)z3n68rY@)VlDFVh*<*7x?HBh-sT?c0&1#HsK%u z)b<{Q0waMlT@LDJ>t-zjVZkGc{^Pmok;!{lu>ZyJ$CxV~lUklxdb!V;R}c#x(;p)n zhF^Qt0w{TQD?&->B7URGGlOSficvR^O=`u*h8kw*i*X~ZjnT2MKWr!lr5qJD^O)E1 zV`&s(s_Um`SI4DR9;c7!v=)oJ)!n`tX;&v0V8CKE726!suvz_AWYtSAI3re0YMgbt z;Wm_+RGhBcA|EMVL24xYl#QwFfZY{7LmnYl+}*V=Pg?IHZZA*KwR&GKYK=JsTdnvl z&RbJ^Xu%ce+jWDq&Btz=2eAJavpMs9vIpUY{AgQr+xE|ZT?RFK1-hzO&?T|zBV>3YGGuUUzdiquwDm_v&T~Yh(2M1|;9hIJ6%)(M; zpXp^nB6|PruPg;odyvd~(+APrtM}d0HXfSHeglOG=>;w%vPlf0vn|59{n#Kj4S!s} zQoDIMYakD09>@Ck@Qh>pzxOmY=qjYWQ7*DIL(sJnM2}>80+>eJ_OusITJ`*;X7hFo z%qm4H2T$cj8{}51r;$VF&C$JXyO&UQ;68Kj{7#FEyQ3=9%S*Fg^0$Wa4#)`wZZ{Yju><8z(VqpmR?) z%1@R+PM^ePr74topK&Ef59_LC$G(b7c?3zN|08k=Y}MQpxls2QbMe%5=S0N7<)iWTL+AyY#K1YrNR6VM-8^~EqAr$vFT(ogHe^8?JiDv~ z7M$JD%?0(twgoL@4)#cfaHk!ngN{nH5AKQ7SKGQUTS&#a`wohLbtAM01I`A5LR$Tx zoQN=m6_7vgzH;Celi`EjEC+=g`dP4T67B39*N2s`{io2Kl(_oHb1(K|i?x(>3^&Op zhO&MXhe7#IWZ`bD`ejUI6$EQ+BE8O)$y#e%`?n#fAl@Xn8&ClCy-nxRo7|9pq0aI~ z!7t>JYUcrUx&!27tnaT!^$LJGl+_qbcsIe;HB*Rq{VJtMx8sfO4K9T zol%bTdA7SOVja*#M3=~i9K%?rg%qs&r4BLbWL64tRQO**MWxWrm(j_K#_zzh^+)*P z*{3N|_}upX_PU4~nS;R~i4nlwDeuNxG9$rY^ix=@!5HR*flxm$zBA5|nmc3)Jo4nb zP^Dc^1_aE`JP0KK9}xb)hzWmSLeK?$Zy5tFh<{W3wRs1@n= z3aq`c=N(o>B;xE2*WMrz)vUBySy%9feqI%_Afg9}J|6@4A#RYwDEb1Rq0tBM;Jz@5 zg1Hs*4oQo|GMg~KboNIClafctjXf-DtwV^P+ML<&Cr&be{kO=ENPGp5AxfSarTq?4f0++c#G|eK1rY+d?~#*ESm1-z0phmC%X>@3T30ZHVBzDxa^PeF4Z6C^=A6V`X&{wRVO- zwpwOf8Vy*D%yMSsae!>3zs)iP3pXZ^jbfbE_pc(fPJH@kA+qf``cX-8?inbu`?X+g zLL6;2T!yTX&gXs*0>Cm>0$>G|%6a_ljy?$LHt)7NJ$mwm9Y1|g-YZW1R1xIaU9cbd z%;|`#s62S(Gq9f|S;yxG8S_lTIBrk{T_l}OYF!3Qk<91B&y=&k?ZVq^2}gnlz}t`` zjcutwW6csIJ)sOpb$4$NE4{~x;Jff+4tYg)9bnn^w*r(5j?x5eZ-Yg!Ue<(rvaL-~1tL_6jK6 zY%LL-?%z}~=x0;G7Skfkau=CDc;BXC$GiJ%J$F!j5+0C(ZnmD?Y+h~rU(^2$)BmQ# z|DQLfv$Y~cM!5U{6Zt^s-8wj{A>DUGO26Lq+J5<34&gs`6VZe(3z<#-!HR}4OMxs^ zH**bVA}twZY(AD6QWuqwrLP(J`Wc%h<-n!twX# z+?zw%9<(f<1fbBQqC%ua1yM<_To>m_n*AhFR!@%%TKQOtNVy1~imFvT&p)lZt6}Ie zsThEIRY+XWaI;5*ylVy>Hc$Hjk$%vLhfDgbc|>II4mnY@8R%fz4E$i)44TR%;%soe zQR5_S9KHcUDMh!JyhC8=Bg?rM8>h8vu6cf<1Fv zxuOlSPEqb#(bdCSwKc=PYfsXl@VPAo_;8G!TkXNGU$Sbuv|ko3xBM%t(oxp?Sa(S6 zzF6U*J;W!|_4@gRwRTM2)MJ$|{wK4lWEF~B~YL2h2bC0S{9~N*l9cft7LQm zm_~8-JjT)xTkvzi+goVKHl~#?}%zV+$ZNNH*?r_ zUYcWnx#Jm!)}E1CB3dC^D%Qk4xN{fSYJC+3>PY6xk%)Y<8aT zWtz3m*^!>c92(9!MtCwO-;1ot*D8OJXBhm$qis1kz*9U3I86PXtJwp@?NfXPZjl*y zFP99?6d=ASDs9cO@KDRNf61ZjVgX2cA&3mKK_oZb89nM!wcVNL&8^ULGD6k`^iBN0 zrKr@g*xhI+4|mRvf4_B^PLxx8X!!ksRWr5wt7|4XBnx%o*x5^JkacGejnFk!Ls<1Gyj^@@2L2V-(?2{p(D?xn>Osf zl*#se_DgS!o_MyWvFnS9Qqu@O5w*Z^)Ku(eiHEFcMU;!&o_(rCdML3FlgBM;*(=Y> z7xXfI(P~kqD7l>f#{l8yt+`?`ZAOg-TZ}?V{*a-;9j&de3FhIGd&16{#{I*F8XOwC zbtWDqcjhp?Pyx0XzhV%)nytG(sGnE0ttAr0ufE*&GFagE?kkuM@7aP=P|{W4rso=$|Fmt z0qibj0ly;+Yu6r>UK3_}xAFDaJ1MF+nRkMHmvP|q{=)(r;9(6r+UIkjq$Vd>H!WBB zYsrtEkutVg8A9m62bSxgfmW`oLZFHE7}=yV>sKISPE~NLW&t@YgW{f2RQ! zVhEX#V5$h_0Vi>y^h@o)x->y8Sf)c1D~G@FhwrqTUOZmS!f{dTfbnr~aCDyDt<U+To%>3rQhW-e-8(+KODW zxRB?DwolQFPp!jj{m>dq)|Rt%Hq;XW4#4lsrsDIEM89%Ca75nd?dA_@I_QPxrl#@H zfo;=ul#=Zy74#_uelGzy)~#zcx9*z@+goPln$~Y|vJ?{JSz;bC&>> zo2)B3+6sO6^ zlV<5Ao}rMcn1zj+OgLzu?X~Hyi;gK0&CX-to3)Iw{TLxUko94)PUNo1LaT4bLyHvgIwcT%%B5Ql!x|6L4^5^d+G@LXDnSbx zRiI`MhZ$8(Ump^IF-DGcQ=Pc^-Ki=6>_jKh`ji1Zeij5fn6TLU$-LNz919?H{jybZ zdnJOBC`_U39U#GYaSwjr+2*MuUN5@kAjMvB*5{aAK5_Sp%~tMRpW(dL_T@p(gu}VD z1doslWQw83>{E zo^DrPduMl<-vBk|nS5Xd@Ll4nSg6@PQKFjlBSyPXSm*t@Skf{I;ey}Y5LS@~vHoP} zV>_Zv&m5U(=LYS6h!l~|Ie@}~UyHxom4$39a3kA?F?LD+*dnTe|M|B)v;+_rSGsHA z8D;4s>CK)h8304G+u2jSr%w6Rbkl7r?iP(_#X3pu)S%cY^SH={1_}=8J?R%BGkbo| z6zhTY41ZGs_P`Fe$jc7~V>AB19&&+_v2}il$K*N}sTnDc8p1=oR&0St)4{^YPJfmr z^2B_j1Y8Cagvx82$4Xz@O=n>Vu7%@QoifgOPBz&7m31pa{p*DwyG8cS_a22(NT9C@ zk|JhBI=k)eiKBA&@~T5C2?*OK*ZGPf__n|E4x9)6CQHB-&zm$PT)OZyX-F>+__L0V zbx^@hdJ3zpWo?0Hg+KmXbhbOWdh}O2z6|HC=rMgqcJg}9*)W0We0a1ri-9HT=B6_f zm)>I&#hOo5^wHFden|OG^xiFGP5rUgM$4}LQAl@x#f%#bFVYQ;Ppwrj>>29mHZzax zQgnH$Xqy%tZ<@*Cs%TRp?O?|r1FRx#I#Fggh1M6067!pwkzAos4keyZ5A*@@UGTKU z{6WY=?PvR@@cBjjB$d#|NZuMxA851Xgv9)T4cWdx7ZW(5jTx+&nH(cRDsYG&GK8=1 z_@0v#pp5LbEuRyRJoQQgD-T2kn!QO~>!+!FTj6*0yz3xSBlmf&aQE|uw3@Pu&Xjz9 zZAOvB$2Jw58Who#og4b72$HHe%++Re7yqB<{o?%A*+Zr*Cg6T>(~JKiX5bzhf4dYu zBE8odK`OxuK81kzxs9)x{AbW4CW_l1{=esnZ3whox8{=Y=*gT18WXEOhe}5G-%EUk zLZO>%vE&#c?s{*ldS|I&Vm|?`|9vsUswuu^*?m4?!fNq{yLb}3iERpF-bFM8hE^?tQJg?f@b#4QZY8<~Eh10KgB-Q@e5NrtLW4Og&NWtRMn}*+=)*3N zSu&1^i|cwMqn{VMru4A^PsNtWDBpgeDZeFT&Tezt4vZJ|NCAs@=HkW9^n4k3B4T)k zRkEaXi>2wSB!#V#Ld7K$L#z`nY?RZftuc8oY!aev66~BxBD?_0v9oG6@xiIsw@Hb( zg=0FJS>lDFb~=wV+&S0j!H6h#Tw`{cM+6ZAu{P(PxTPpO|awNo6aE<$9Ul`%h>n>Dw_l(J_$^OfS#IyvSNIwy8<@z|hnIU7 ztlNeLn0RJtZPZ$tmJ=ho9-86 ztn66XxfP}S!CRe*^G7=^zxCQz?bBU84EU8t?%#~Slp&JR{Nq65FE)NJ5g*cLPcwCX zw(pM0bjho9{(NAWkZ8DEt;=&nogx9Ie&Z5$q9F5K!og;V=gjDADo{LjW4KyNZRl|C z87@@}KmSDVB7K)*olE@dqd14eVYfdDlkOU7$0O&F z`Jt8tqu_l+VL0E4^KBi8r(*54YOfdJk>1{=`AnfNOJb2ZyKGC0<4)$KQ&Y!Lec^2h zE>V1eFqy20B>`O+NR4?Sw;#+X`%uD#kzsbmsd~od%;LO#jL$h%K3D6L6}x}iolk~W zg(YvNf6w>RDyH`odRdnh6R+lLFLau-7X#u;>a2 zHXLVqdmFqTDcmo1czZ&@3cTGpajgIs@ag$jXkggL@5NT-x4Sju7e$D#fkw{SNF(G) zhTMRRF8a7)dqIGq7i9pmc7tS~iv8(!d%oiXKhO-?tJr^26p%Gz;o+uPVut>?B(Z(o73*OXTxH)2rCeA#8|?}g+Ku!Z!G$sSfw#%qu>al_?N zQuwh-b{*Vbf3hr%;M&@rp z0h~LzVzP)#7E11kpV}TR+_|Ci9+Ko6DVaC8ylYFjJKFiSe?o3$x)S+jU5J(SD$T0T znd?hN>_lsri2G*zo?LZXOO1{E|eyeaos&Wyvn-Xv>==no}w_?Q~;AM48ize0OOJ>;)r-tVe5m7ATW+`X1%iFUp zJVWCH)mH%UOM<>Ml&PSE`rzQ#dIl3iN&JakGB4f7iC|&}d2#*t1n25U4^3VPy|F7o ze&x)l)n72?DUipV31chvL-AbMcyJvT#Om^Oi4R@M(%r>ggX2=9omh`d$(rbP8ZK$cDAuCpGf8e*~$32JC{7UhB zK;M)#Q#DjP)B7+20#%E%y4Dl-Fx+(^RxpoX?ZL}xAO2kH6Uz)8o!8^uP=aB`D^~sO z)VZFl?kZ~Q8~L8Zczf=HR*&r1yo!TxZr$-)@&{_0Up_E%oXn*TBch;@#=efRJ3kGG ztzChBi#Bgd32#p;7;P!g&qgf9A9bsk@mJX9ef|vj`lbj)AD^KhBPD1rZ9;ak^ae^&_b5T_IOAxSpZk! z)L0m`3N*DDLeESz9r8@{D^*%$OYJ2N0bo2?yY)+kh7LIkni@%EvdG&Rhg&BhliU>f z5`z*Px9O6~33+^1e}YM)BmiUoi0i`gcn`a8CJ^HDZ3k@_Y`x7AY#Zj&7D)9Y^TNXS zo+82rW34RJ-7xqGww77S>;7QqxCvV@~wfMwYJ@=gjwnR$PwiUGx4yj#%f*{B=|9`D?=~Wp&yCdh7+arG6yfZ9m;$ZZGD0 zb07wDt+>$*W;QVM78osY74*~6R^WVI3Ebu~TlDlXG!hvVe>dpC%**!d-SY^$d!6TT zalcT*8_!#c%w{qFwF|nI2q;dYMaDlBQ(1Vt$W8WrnR3zg$Xjw6bLSr<6gsd7%5H~H zAF;s>APe_73ho{Z2R>6SG^KPNu~rP*WxPt43d5&8V@|J2-9AIsE&Zu4w>njr-D0sF zUCfBO1Jis@M`3EAerc7cFD?l}z4kj9Cud@4IP%SAH+(Q!P+Y`Ud`7@SumP|n>?spr zy7tBg$H|Y|1b;;B`iLP#SS;khn3S)nqCU`8Nwj|L6GpVqAXdBzFAxF)j~>PY_zv#jS{2 z$;4DJA+--R6^8G)3Q91xa~dp6ujg|l#oEk(abpH1eZbdl5!Mqf7?L+m1?TcQKDe6p<8K6f&fJ?o~SHhuA%Sf@7S$5FjnbkWrQLO-1Y19jgce#*NYAd}tO_<<9<;BwkhvenXc8pWKSgJ&)+O}M{A zS^`iQyhbk!En`((?>M>U+?kT~sdQ&aS9347h|Y+8)@R;$s}|v>XF!SJ#=eS^Wf$&W z)(O>q$;g;3x&80tvC3)QEJCojbk7W215x8`tr=c>Pw>6eZQp!@{@{C6hP{UILAu|- zZJ>=dMYAQnMEp4$bAWyX@56MK^)E(&xrf-!@m8wVx{^T5HJilv)u(Q;6S~}?$9!v# zFC0%eS`QG-;U|Ld8(5$}M)r@O#iZl&T)LltN%{KcfBY2ngSbw92J()d@*W2!*=y3L zNGf(Y(PItY>GZ?XPG)kHvKW@E_y}X{5CBUsXI0%OU1w2DP4#BW*+%jXIRcGi1%&?e z)Qvr?uitubzEmE5*c{wlNQt}*eGjVa)sQCaQ>Av29kPM}_XYfj-9W9!`{&ogpjuDB zve2(cGllN?OHD(m+GhK0Lf3C-dj-8<4N7bIBXC?9xbaih=k4Qg3x2QNR301tXMEv? zv-;U*?<;!|17O9{ms5y|UWQDZl5v?s`^yss-ISI~9kH#=cQf+AItNb_0%omwF0HkqR8 zZ#^t(DX5+bo+48V1Cl=#Rsh6r>I6$7or9T}`|+_EW&PCL(XL4s`kLB`qm{h6pZ0Ji zoTCVXS?XpUw%;7OSsQ6DC^9ZtF8$J7@n%;IEV73KyyxK?q8=sSEN}*KUr?d2Y6G_6 zV-_KGfF172S8dMe%bU1qj**U!6MZdKkQwznV zq@3^7*J$$(!yHV+7T=Q(`=52X4}4(3mt7Ha2z)MNAeTfVqKiFzrRwFADi)PiuzgXs zV|ok|(S62ql;KbU(zEIFOP5UXas4{^mtxxV%n#dm7Z1ZM_ob6`4^ps%Lg@C}o28fj zS!`4CN1U}953H|$reUqgr+@xICidF%k-@x3QHiW-nksLNsLH)RCHaioUUzXyk~{XRw_o7Z?rRZ3Q~%0BNDoh_Pgm4C6`=MoBF7p%6BFd;oWI(doY`?KDv z&>1GHHQD-?oFINU+}ZprOh$xXn2vXHhRNZ4INKVSoUt&{^-)(YGyTBeoC~p9?Bg<- zGvdWuv?T7GdSBlJ$Qb#9Gy^H~Db_JU&|MnFXZ-SO?^ApuOkk+cov9CWPB%&SoY~xL zrlV!w>ot_yRSd%WEZJ$(_;mMws`H|;Sqs0h8Nko5o#*>#OJYR2*Xw-bKr zu0m2Xx7AslbrD8$hwo1m=$tNv8m&MYk!wCitUP-wXE+(^+(o*%y*C_0A3a6eiux>V zf!=*xJcVHq_IF>W&0Z<9ab`oWj}~+e1}N2Vu_*m%K%Dk^@?G8LQ^jHH7tR$q783JP za}-6T1ySH@2HroU&&Ae$*|71ZxzLHYBA5GuROIYDE533CYw`PSr4e?nSM(+s#?L`5 z`Fl5wylMDlV%G0r^JFYG)&Ysn`ujB&#PnzV-XvRwrCN6d`sPXm41;RizcRVzYO=I{ z$|QOy-%_|F#us#Y|k}3uWPR9h54pli+AN_=wcn=H$;>dg@gCInN}~Z37stU zYL-z8+BMM^um_dD`Qj`!4jk33GB`-z1M#Tz8ILCF;M)jAt%|sT6c?e-@-L4>&R#XY zuQp|{_(=#0EQEw_3!4UaV)|=@bqINPluJ%2vD;Y}(~naL9O{Q(zNZz~S(BSd}I6(`O51?1XW^&&mH_GlG$VKA9Dxg84%#X85Gyo^v?z@TSc`7%F zHbrQmHVQVdnEM0R{Vl@PSIAsAcB(l>Zz9VBQR-(n;9mi!SXV!2h^>^gdBUMEKG~RZ zxO$E+TfkJ3Wl?y*9+?I6a9;?oR6rQAZlMj;1b7IlUUfZ1hy+rm4ww)`f=m9r@fG5| zw}5ha-Y*kZjkJ3?5O&GspEqlAhAk&z707tM<0Tt}kVAT2D=B6f8ecCBIsx|Y&!~R< zLX~Bacpgc(Z$oal^e#XGC@$|2>ByoAip4SzER{q{qFAQ@{v21J1k^7pP3I`9wiHoj z;|{VPdCps;G7Opu!eZ#PvVHR^G3Zvf?kbE{vAq)*Q3+tvH%Pr4`XCde7Gwy`K%2#) z0J4;&9~UI`9E$5O{iJO>*bL_hQNB&$T0|Vj9>U$F}|B|j#OhDs2DMhj*Oe0is zUO#-74-T@T0RN!T1bz(9La(L3c}r1;7-c$x(q)af`E`aEd(HsxBb2^`w$00lj~;|k zb|gx4J;m{jliG1m{HCoI#V7^y2&A}a<^eS$Y6b+!l-Ic)3&LyKroNj|Xce)jDC^ZT z%4$3ZWv=37tiPa8o2z%EZr2R0UA-(@BJ_M~w2$5;RQOQJ;IWsK z6;9y3t2-E!6t%-U?i9m!g8TBD@?-C3;N5>w+WGpGatioWxB2KX`$UQ&aQXn};feUO zPD`<=42Q8aQL->(DN7e(71}`tIa4>4HqRI(}%0?qRkg_Ak za!G8Y)gL8ZxZO^Y=f&z z*VAP@hd1Y#F;-2Xgnwd`nX!PQ1TIfPf%8!Ugi{*))EBMciQr%!BitG7be11@f-yA9 z+IZH#F?i-I3(}0^e)mMAarRcPdy>-LxohM%|8au?VT!&D`66EU5++1|dXWhPL!(+> zNSsS2Z4T~Q>^GkbrKY3&a*2W+b`qV66h|>5#OL!!^sTKPc`ozYfl+o#yoG!KGGj0 zH!bJ!Wv-GfG#=er88OKh>JuA?tI0o`lBUrOmXs>rw|lgBpE+3PC;Y~vDz(Ma&*-*= z%I&NddYQ#}Zo3CbY(8FL^|S|96S(HggY(Y7uv0>tA9J?IUBoL!J|W*0NfL;eamfnq z{qu=OF6uA;QX%Wt7cR0aA+7)E&(GKIeCfgyBU=p`W}$AI=T`S)>g$7q7Bmsd&v_De z%rbc@oFwAZv03{4P7Vl;m9yF7pgYlU7RWJUB`TKY{sq()+czRvO-~=( zB6r%0u`bLI95D?f*aiSoCPY5>I@Yh_@-90)MzVN*D^sIq6@C3G)#97Y-ZjZRcb<-A zxTR~`tfD~|<;CG^mwbU7`CMY`&S!3FD9%nOz#>xIzxVbX=zq?F=bK z^rLYi`-RWrH3RG$`vUJZ@%gocmK7`w__&%JbP4#iFwCE;1qG($-;K-~tx4}7E0W!x@Ep0*Hmi|6vH@>iniu{AudkX%B5b6(y zZ$A%gS>~%t#9fKM91nCZEQbEvE4hxdPMK=;Xbk&fI4RqIi^YLe*hlM^$*IF*8H1VR z*8{e@R!WYdg3+S7q~+0*$}^>$yYXK6w=#TRo+j<*N=zdr1<|z&>`O*$Eh6Ib7uu_X zec6S(Ki_>cm_Q99F}nxjnE#@ypANPK7k*F|S_kh^Tia>1l7vqGrGKjISBAy@#T<6lm4 zYbl-UMa~{jB;Y7K$3_g*t~EF6#a8WN34Fk(MDr+k+Q<1!3`ZpfGIk`YFmx11+M*{O z@4Oph0|>S%uPB&Jv0;9*X1Q{doZEkEOsD46csznA1B?BY&|q$>EviXq^vW2j&foHE(zAw532UE1Rrk;^{C#X4IsH0qx6Ym2Nb4iN%zQyh zjlF1_bU$mhN5`;VqT)g6dy-W7s)hd>^HJ>?@@6}j(a!&mtj4HXx}oNTMQ|3&1^poJaf8N(YqwHP zelhg!Yk1X&6u$2eFutqzjAdzWIO^?KB}aFE`wD{x4`Scl!hqa{tI#O5u9&e^!O9}k z^RmfI{OoIaPuiHU^ae=YW&mXvS;M6tYn0@@PfanD;6S&~OD!{a7nEv^w5P|8rYe%zzoBc@q; z$pO&r#pI0dzizL0?YJBjNL#NA(-~;xgfhJe%KlL$BD0p_`x1I=_zU9pJ61B$&lT$g zCWbYV)qm3XeCpnwZ358s`E^l7+AH$!hmy6~EyUkd4*h`QR0*GNc`cpyP>hz5x}KMl?Lq5^DVWpNqbkS! z!Iw3ajeJEzeZAf$v_#Hf-2q&}7^enow%-E^__`Lg5ziD$p?f z#cpVGxQfKM(-c%EeML*of%v&LbFXCmQq#5)Z7s|+U^ z&Mz9?w2#Gz9HW0iwnx9Q?BqsJDwJm6$Fm5BO`~l9$@m0U`O@){J(ChTg6Kzt{z@G8 z=|H4!$Pb~B8-Zq#LA{N^N~_qp?VeodT4uOw@7%WK4P%#eXypTPuNT8d1`3dIBi8|*ov6r*GBrV*S11zs=gGmToKPw<{Mja>j_ zT}7tJ7!+*pr^jP1RMJ0l(;oCC!WIo62jjZZY;I7dB_Y-&$7{@O&Mg1!{z5~Gy^flc ztRgPIq*gMJ1IYRMvnz%Ea-Gx7dC2LpmVI@PUvZ>uKX4V$3?M~?8}+&k`K{ITN?-AP3Hylu z96Wa5U+8wEHj8n1UHk;0rgeEZSD6sA+sJTYOd+a}jesy%(FMyWp_P5{S67#(^%vdA zwp-{C+;B9=%zW*}q*9kfM93saVKOEDic@5qe+KguTuUVrY(Tn4>A1eJk;dJM+u|u` z#>l7 zBUcuoWkWnQ4Jc1MV@F8~n?OrQ9uDbE0{)P{l2e%XUI{xbs)R*8fXU6_dl92aN)*T4 zLvrG~5!3U!jge+Kx)i&?;w&kK%3+fGc$du%#e)9KsS?JKw;QJfexCR&9lTbp)KY?q z+y&Yv{MGmL*YT=^H&htz-f)Qc70jWq6T(xWvr;I7N$dXXR8uw#O ztojcQR!(4Mp9W42`+Eni6qpKqdgm~+LRpLyW#)NmG9s~v;~yzgz)eBrq5FlEn%prM z0{{VetTp|99_>|0*644A;VKbJb1;%mC7I00hAkd_Q}Ke?`2;7J(Npi<-;4}1J_)bLF_b{}9aoSv3B zad+?571}yU{C1wz1|@$o7WDWDtXVALmGG$zxts=XYi`duqyA0i4XT&rz)S+DUHJjO zmxtrmqgZwClo#oa4Q-}-zyfYUNy730eyv*ZXO4ElbDHR55&`-PkdKS09zE^-iz6-q zKdkc*8-^?NKTs6M*O`dg)6Y@odo6w65FaQpuYM&laA19sZXfJEv%7gS#0yY_$s7F; z4X=H0tR8FMlV9j$7udp6=Th@Gq4;RxHKx*ot~?boEacbZMDue0$$TeUm(lS-Vp_qA z(U~>-_-pBf0W|J@&~ixge;D`RLnAn2J<0^qX@nE<{+Li;yPy32H1)#B&T6E+#Nm|% z>QX+m;FE`M&af2YNd%y`t_5DyJDAnjiC&Mn3QLq8c$54 z>JpoZrtH#0b$Az)<+X$-x2UjQrHMdv|bQ*f$TGjESmb}P03dkh-y69b8N+Z4&iGOpKK z$n5iyLgX(H6`j((n39=c3h9fcKc8B4Z88OGbl6#1s+M4%C zv2Ehw2OcM)PByWCrMq|LaCz@z=`VXYj2!Tn!Bel+_I{4`ev_gsA+e>nQS=h9@`&6E z1Rm5vA1at%io{24&u$>c2n9H1Jhk0afG{ec23C2^5ub%7CtSvGP{{9dD739)x0Kk6 zAm0v{ztc3gy9|$#D)5&Sew${wy#gv`G0|cTz0|4ZtV$}9r2y{ZTO!Cf%l%RYI!hPI zSF-I*5{o=3X_0~?VD9SyO42SWCvsur?&eX-6YM&13N}_1uZb@>PoNKed&OhOmZxz$ zEzybddPb8-!~Ja|ju5&nzV(|0T-1#ZFALUku_|8S?-sF@;y3-@bOkZ{x;$LyAst;6 z?m5_8%O&P8x4KtMqDiO>AE4Xk$YZ3cwUXEs>7}O0;^(Nc7L7EEor=Ih70vZ_cw?*o z6^}($#C9@~_n&WEaQEi$^$+Xh4%uyT^*?_kzqJ$SzXizAjYX$zKLE$dyG0bAaOI=V zY&cb(6{QvksG?62k4t4-d9axgc=yjVQh>r}4N}p=b7Jm55;luzhVF2CJ~9hCV!>80 zkx5}I4Mr_xv1}ScJ0h=bo9+HQqJo7mAB6pF*hoEK|NbgGS zkXwAo>$rBir0vWBMOY1pNUuDA9lYI^0J`mREkS8;>qVi&dh z{`WOFG12XMOs(@nl<$GPhYvfBQfrMx2f%Jh;z0QKYjP*|ZS$zVi4}|5Wz-epuNa(u zscgA~_9YWNzaxTQLekSqwZOHb@O@IbzH>L%g}a&gibQ~npbk|?N)m?VAJoE7+B_!p z$h-Q8Jbkl>=HcS)O)7FLXByf(V7ww&-e8zbe+UbE&M!bb2Rr>ZL1(Vh)_&_bHvEcN zZKjB#?H`ZcYkedA=lJgR$3Ov(p{R}qTthjAgP*4Iof#Z}>c)6ke$mLUJxV^C-eh)H2#p}J=8%k}tHo5d;t(6mtb0L}_{ z_*lCC@%MX8RH!0ET4oE0nen#nIEf&pc|T4V@Bb0n&5Ahxo~WX zq0Fnth*Yk{qq>A#S?Hr@A+@!LnJt$H+iXYMb--*Xr8wZm8gbHI5EKM9Mc zaVGW2hed_FQLNhOh23f|>?)xx6>EMfi?|q*r{-VrWFcFA7kT)sN4d4jSM@m=xAf(hZ>33o26D+pT&k(Rf+aT>$?L zX!Hv3p!a*gZmjyM-*slCr$aj>`t}jS9+fOSHLODs=s)uIo@^`5ihM0Zn%na?LN*O_Bj9L>Ah@7d4HS^YBaLOj}-!U|pM7{ng!bO2~@)ww}A zG8|d5Lxb*FyqCT7A8wrXf4#K)CDkkHy3hT}(Ky)jP<<%0iVDI1yu2guuRd$`9iA*` zCLbWq^%cub^H zqkfMj%;HPg*H`CvBc{K3;@n@ng7lz9=jRgdn4!YcRq>LZCG)JySXv@RHVpV&mvMxnf`H)rY`KcBCijm6GZNJTO5@0sH>}DWHSU`TmbC4V78m4lO4N z69n}9^}N>kA1L8O{n}hmg9+&1zt5f|^Y$EPs*1x-0U$34soorSPb6$64HF{ zW=Q)n%*~|Ndo4=f1*G8MJsv-wTDjXfL{aFl$dobe{S_BKf00?4FxKHauj7t9yg`jI zxeE)N{z2uG6eH|V6HrGeEF3I`;7h7dPl-G7E$=;>TR~#bfESLZ&kpYacw}lNm#OdC z&@r@^KjC8Owx985aXQm;7|3oFm%&BckNQ6>U1dNUU9bfjifhs0#ogWAt+*F=cZU#y zI}~>0p!{dAJ{Y^G|?~a@^b2BqrC#*Ns?LxvT-sKQO;NB1tM(XNqhJ!)J z3u*rLA?>S}uQ2&<&(hslIxFo&F5VvgU8#rj#Cxbc(!b!ad3X5w+h;-Mftp6p-j5zZ z;^v1ynhgr6A)DrWiC5=B+DQ!#hExB5=Il~yb!0@ld;hAGEWAAklR~LT>xGfvTW_^xSw67k0iWX1aXhzLi@qc*eL>f=V z()k?G$3GDuU4EfhFwH8!IZak}419}8VpzdwZC7G(zUW?@k`tKSgS{L5U?2LM5R8ep z`CL4oO8R}XjS*6h%uwDsq5XQXn(ag`=-#rg;0$ir?npBG?yja?~v;D{%EP2w2V0=SXuY8ub@fp-%FEcbd!=2SCB>sib5 zQzkA|K2K0|L-%XghB~~6_-wqKSb%-b(AhjbB~R-p9Sikq$osOJCKtlUr}`a|^1;#n zPPE}&vdE+^pVV|kI6dXU@S8eE=hB1p>|tGRh`yQ}sost3B4D+%%l13-3zT{i4dqmE z^21TO#MlFv{GcOc(gLu`>-=cF5Z7?h*ojl$A{{*rV{7VQbJr0l!19;?T~)AO9{(C( z(^Jwg{XuY@U^sl#;D7)2WUqD2IRf6m-L&A?P{4;_XOX^S=+Mdr8h9)U0J9e$4$8bS z8O)P*%l%YcDOx)FbQ65Cs-tI%9vo-gQiG)RE2|wRiQXqKAUw|D_Mkm0ZR5KXE-!d8 z<_BXllEPJ>IdLiwvw2M`OMgng6DIrYjV+S-H(bKlPGBzm?ZTiC*$;U^xT(^DD(HUD znAL-*q&oG-**DQCx$An(^szuNKBxgqDuXAZIzj=ZBnkc71s+#-a z2h@4_r@>SDgMRS=Yxc^vx5@=Xsc~q*4}m!wr9V^a!@029uP!G)xWf(< z9NOz>JRH<<`LL@ih#hw^@ipZi?4sGhdo|mtnqN%k`1+CX_!XL0o##i#ujOunEI26z z%<664zeS_qJZ`S&e^!Vod`B-8&yIC+?zvuz3;ybpi@M6E3_@KbDW1iflu~x*gd+R= zCLJj3hu1}DttBC^(lD+4X^|Vr@OiG7nCEhWV6wNY21)|4!A+`PS%0a7GL}_jsK$(w z{bT%;f3>KOfbgCCP1OL(okHaraDRbJR`r4W^?CE(7 z+_)BxAKJ|)98MuJ2xqY6`CFqnnK}vuoPQr>dUd!0mSor_&qcepaIbRKq9<0>l9$y> z?_|BFBR;d0qE|$LZ&Q~Y)_`orW@*4ucokK> z`2AAfl(%%&hXq)73vrnb01NE`_P(S!o=25=LWIn8_hzi zRQ^hNx3%A|cW*7t5|WO|piqr!sDAYYNZnz$8a#Ypo72nnj*ErZlL0LU$b3RKu~E9^ zdyz8i2hv}55)fIn+WB<2C?81bjp^SoXN<@{OF*O5XSbnx?t)<&B8>E(3rVWV^4^p3 zayMGN1XHl2M%`P0GXHQDx!fS^wA+O)GY1y8Pgt}tqG7_WC6*S-NBAUtIW5MGYxkyo zOl>jsZd!}ODQJHWK0M)8*+TmV`WR&$pRR2hBlvUh;_f?xv^49g5FN(Bb#A}o=zm^A7 z)kA+BB>G-dQcgOw1G>o<0O0fMW_V^H_w(p4oM;vBefB~GDz$4C9GZH>fC4n)YU}EW z7owsquQaE*U4^t~p)UAsKc%+WVPS|x_H)zX=2w9*g4q$@&deW<HaHHHSAh24#>OIv0MS_ji7$-6|H4*{A0l-;IVkEPZ<4@OkkXPv|R^ z=<~CNTkmV|EwES9f&8W3co0BVgf{7ZKD2u4{U*0TcJMa*z_WU|vOMq}FTO#O8w9MK)- z<}YbvCM}BaopG1hsaFTWMecQ%H`4wZOCWE2JhYtL4?v2RWUOGsu?8!2{@7vTa6m)F zgFmS?5&bKB|8ywc`@$!H^*kMyL^*x+PdUWVKc$sM{^pm&Z$NWyDB4$X&b&b_cl%x! zZ78~4>jnqiw~j5vLFEu*iBXQt5jQxYA-i7w?}ucr){D9_mykob?PF1X=daX_euzfP zN>)8LwAD)^1Ac;_2|n9>(hF)AZfc!rDnUu~N)oztvt`mgdGz-P`~0ug`O~srH?a^T z;X2FN48bkXx-e!2g3=x1ZziIdMpH=Q1mtGwQ zvR}`&{N|e)kFJTe((eo%%2UqUEm>10$4hr_8em-59*Lk);N8ky4CghNI52+%Q;D`_ zI??Wg&RM52blkAOm#}xWSaFGLN%hhk{$07}u5MUBU}=9CNJP=>r?4EtT6~NUr${3mtLvUI zeB4!`9!Z@Z-iyaN=i(Vg#l#9ZdfUVdr8Dp%m24u&>O4;vzktl?t)=@* zr}~rHHG8Kt_4leXavAN zb5pI6S?^wbtn=sP;QbYCdg6x$R>%Ft>6XOOeG)?zTBheRBB6Z|^Tu{|C=jX($tTr# zsZLSn+vbA{`I?jXGkTx<$ce1|k8{<#unuZVmMSWOgNG;4Q9i3c2Y+6OrgyLAO+4i8 ziY^9>j0LqnF6F;6)ZPXV<$sc$R2iTs3Gp0X@~i*+NUtz_YbdH;7jbP@re?!DVe}p5 z@oww+mmV!PyE$ah%EZF*@#j(=dq4a$O1^4+)peoKBP56KVjCGQ@Te!=#X7&dNH|Jo z(2+^T3vTh*NR~@(D>De_dn0U7a3`V}g?rZsxv?`SSH5Wrh@9GpO6KkqFuos@y;z$} z)kKD6>C%4{O!7<{YZ{(-wcoX3e_@!D&Npv4v3|n4|~U8Ft*!i zNvlspyi<>KUDZfi&@8B=!+suvBVd3(VP!AYMo*t#J7 z(MUU*e{uF#9<@L(t@BXSqA8NtV}b&xpWRTq{!~|z$!Hn8Jy76l(WukSrJi*oCaw0S zFn6vqiJnP`B4zEqvGJ|lEBiZ7(E#p*hQ@IHKPmi+m1JD{%A~P(6CtkpFTj|J9}0Wl zdrkA)YOD?I2el_&FJs5c2oJ)Vr0y$+&{MGdQ8UlJ*KeM`AANC{-A)XzvY5uklR_ZM z1PDh&1mB=q)54wwY)+1S$kI<>zoH;%t_f zVE)n>ASY)PCX%=D#xs2UneRaxq zKxDrTx@+}quq18lBr?>ryyrp#al?e7PFV6DO_JquIZw&7%N4L?Fs4CRO@^vIb!*UV znatP4a?Xd{W+_AyF`fi2C^d4HJ$k7cBWRJMc|G=;u6eO*$AA~uCXZ++3%==w{L`FH zYJACSY9TI|#lZD+NfRY=^`c;PRE5GW^-_d3Q@Ku*A9u_+liWf(SAO`eyQktyi^ry3 z=8{A>T`WXUM_k9R+MF-;)2iITyx*p!H?=t25dZJKaEY`Cudf!XEq!`ByGo@@suy=X&875C&(h$Xf(m6gcIh$Ax~1ywF*5wlLb_|>zkvkU|6 zTTw3z+~9?RdMiKw4>g1`y0HE(nFV4nCKOK!@3Bt+jUs!by;sz37;w0A>M@`~?j+05_)-=_f^v-9qDBjdO#Y1ka>He;MF`A8Qe-O+61<>7h7B?s8 zxghtskxu}%zd1+Dnjmqw>*+6Kn}2_W9md!1aIR#Pj>dQuQkMAM$M?^4U2SQQxKU8u z(U3I3kMy_ql!<^M%cXUjR}8D$s_bP5oICwA;)$=g3l1J#kTCCheu>|>A-*DNk^1E~ z4L3#WH>b2sM(1Y3iO~?o&1!B14lisrl-&+2-b{haD`wRLo#f1a^+{sOVXAB z&12jbw|!skb`1PBLC&#knZ~IxKLo=>K`IZiytm1)t!&Ci)`2*7LW?^u^N7;pc!ecZ zV2qwHN*Q3zsVnOHY6}ydRvlwkL(9)gmFL@lrM1CXy)S)625XrEZF1fw_NmFrDU@B! zjOn+hzRDOz3|8*s`x+W>Hz8l}=})fb=o5}FN|O}VOTGRyTb9dj(fgxkHr;a190uhH zVToS^r=~fY>_+jbklNv&RW1-WR&ibbC4Ej}c5ONQ$68VSQPyAhJtWsK@gk`uIg$3n zS0(YzUwhbU-0QZNcu(W=7?$+HW{IoVpB;nZty!yr$e-bn{)>9U67+^>hYf_?dPC)A zmt}zck4FuBzhBYLedZiG$12Z#NBbWgoY?O|ovrhS&8P0zrdckb;T$Clq_Bjw(Jou# zf2XcUNBHg)z_CuudRTA=O$_Nh@*L_Oza4R99&LLC)gLbs3GV@em)Yg`)*dxgULj3X zWg9J87Y>5GGR@TuTPg_ROB?stfKs7L{?23q7LJxz{@OKKi?A)HJ%;_InNSu~HfNa!=xnt!4NkM&g8 z(iV$@8Mao&C`ATSnl6-XZ2z8wqA|77N!6_Q=|Jng7 zKUOkLqEXl%pT_BEUf76MaqnS9P)!N?n#Qn{o{p$W^8u&Zfp47G^=_czr|mX6YU991@((9^pX`uiGr_N{xPRq^N?%wB>Oxtk_-ma_W4 z`&U>3K>>&+zbynNa~@sB$Um9qwrUeeplqD(raQH)UuK~9%33R;M8!0+{>7mKOp2dl z-#$YN?BSnY$wD65H_3<_j<|N?wV#qA<+@YDwJ$`$$uzNTP9}kOL*vAgj_Qred&NGm zFi7K!CQAJl?ZNn*gfGZA(z(1*=Zd=XxW&B{s?jBfFBp5%el*0p4$~TKh7{qlZp&o> zVMhq8=-j>hz#yzGCiD4#==2;~2{yPxG~eMbI40oRrT>BvE8-khVEmcPIP@9-lnpy2 zcfYzkoQiY8EuRpOb03`~o8h3+c28=gbIMYB^O&)kXH|UqoU)dN!#HyGw^wuEO+9PM+*4(v$=S8g*1UZ$-v~JTx3Hx zlDlJFCjj;gWjZ?fC_4(p&OE_Cqvx8vG6voVbO&!s`Gu$MQbK<`3N83%)}C|s7TkSf zA)hssH1wONUg5=|fJ+pld}IvnQm*9WvJad=@RkF^KO@!Nj%ZQzr@IA!QD zd5>gDjmac^+<_lq#CWZH(%yK`(7PQqho;=F{#GUrDJXZDjNZ@8$a&C`-L7ovIlkd{UdvC?H}2JR7`;D;+*C|p7R3wr z2y&b`a9B1-&=K_U9vOL-?=X5AF4PKkR=es zcfTI)f#_S2bQf1I#fQm`r6w0>!W^-8{8pm1nfD@>9JvTbZ?5@^Aw* zy4v>_zI3d#se~x*U)+mByGTBUgYAvWX6B7>2S8Q0iogEqYkP;F*@Gl!5 zkN(H4QN2T@4!{vzeIHRVl%P0%$Kh1LK{o$5y>w0eTex&mo*1(e(LKE`r(oRqF+^5< zK`<#FiEH8F?r}cX{K$vka$bB#WO0H9(OkEas*$9Ct;1LRE~xp`uYk^FXXukjyS)b^4EZ2`d(6W{T4xPRfeQKkepNPdcJRP!Di9x_FEosRo}pN3^f^;;FmCh0iX zeg;9RW2Z8I^t*6=+Hw=m$B1E$9J&RS+BRDa~`Wexh7f9>C-4V!53l|I~}3`>uy`lVdH;TaTq^^~VQ4M!|gbw|LlAfEU;FMb!3SCKTtL*@~rpB(VN4h87>$zPD0 zDOMbhBc9$CFnt24s;n;o^^0vXvBD$!lu8S$oOxXMW3Oml;gbYhYvuXdqp>Lu$y=TtFf0kjyq!e|>4|?(Lt~Jvu(m zLg~Ab`S&@h@NAi5PW_;CF%^3aEd# zb1V$YxF{D#ix=Oj)HmV9_V?Bn@=k`hkrC8<&uIiZw~l- zcY?3|n7jQfV>>&JepniU6tQf^Bul|*$Z-pLN%6z{t9wVQI}Dy34|UMfUUB)HIE-*` z6z$?LcYUU}DeqvH{=$e$1YTKRUYOzd8$vlD?>I;o|Ms$aT#0+gQF}gH|0b-HNxS4E z+((BmU8AVd!#e0(*nq?ELvp@F#C6!oc2r*WxAltNP5fSXVX?iTublBv`fr~utEE?l zsieVx*@jE*Z7yn!bN9OvW902Sq~lqli97?zwJOCda-8YAouIG|OS|Su%1SRpPUgjy z;n04o6-2XPQ@G}9uU;2loJw2gF!HjKTh<@=sRMEwycGP{Z!z3x$! z?olWS4aL@mZ@0`<{}e`C?P>*mdD1;H@hT(dyar5+5GIi{&VQJ*vVMLt)}70?=I5Kn zwa$L!Wo}mFK8Z#=t#&4=pyUR-*!A^q*!3FE_BN_U3*}y)eqS1d>CHtZJtZ8{~ z>*c)TpjLYx?ULd&2m46d8S`!jo;EtM=Z?md;ES~))=PQgSz=+lTEf(sz7 zN3ARUH1iLl#8%n^9kfghWEu9acERslegJx9_hlIFhV%j34Ki+3#Ghx(Vp=~8ldF{v zaPsUx!aj*Sx+}kzzat9s803^vJqI4j432}^C##)C z<{8St*{-mkRv^FJ<{}W?M0-{YlSYIrrJa8G+HmSEmd^PLgnr>aiE8nepxRG@TUs+f z`@7LHAzH2yN)$SSJXl7@0>rS;!Kl=BQ@91lg|uQTdXNsVO63O{r%Mj4`^G@nca!o- z?@s+wZlH0M|DpUFe$bPk>8O=nSv@iq*4`tu5f0HYUw2cnL5vz*y282Bf)&6;PWZU_ z-pAEE z^_yzgl|8yBpPEG>XCgSKX{9|kIs_tO2gxvi3D)Ir(r||%c3fIFp2D|fy(1(l{I9S< z5O^9C4`8@Hqm{4r#E?HiOSKcoTt9bEcL!E#_X91Iu(WWVQZQ3qf@cTLDsRCv5RL*P z5Xzh_oR=UkC{ez`eIzS(CXB|tuY8a(Le*Ro3wRcIBhHb|oB1QV>p2FYo)R=xMh&p9b=>Jyy+-7}x1VHa=}=SZ*; zxTb(520WTeknC{ZNuCi@!(1pF29lXI#In-om1ODNsEU=IqP_xCzwwSeV4JwsQFBqh z22M18Zd;d0OX~0&r|5bBHi+0(q8m@9eFl%BV+}mGjT7kRe2zq?`0CHeIb=@xc_ckt zd$+1|f6dlz$gveb`FU?JoO#@{-EaWs=DE#hEdkRA>VHxhM?yod&x~TGm_%w<1;_%Ag1gr;e zW>{ENW3|jV*Q@-YRcDw@{#$}aR{1%63vtT{Hj`DmOKK3V9dgbhat0wHEN-*HP&r$i zCn~ZF>EVI~XB*K@`#%TkL%poB$5emON++R_kh(Ror3~u>fFT;#0Px`W9q<@>6opHr&A;3v3*i+Fkylv27bkw+m(C9PexI)P)5 z+5@P$GSO1d`X;m#8l7Jg4<&_?2~bip447oUOzEaYVpiNR%G*S9KSWr= z2vU7H@&Gu)_*L))k_gcw<*GlONalVHQByMbp3l}Fbn0BNgsO2(jhQq8aN{5l?M}ts zrTDz7tEkv%41ClNGN;f)ut$G%5gp-`wQqXFj7cauM|yP*K`!&NvLO0dnXRDhH=J!7 zOYbla!-JPE1n37C!-6c5I18JtyEYU*r~QU;AVsGzzu`a%%h<#AFa>N@h?*0`Sc_Po zy;nlL6vE15e(n(#ju?kH+L18jvk6%7H<=*CNU?Q#H>_fO(Mb!Y63|2P>DRyCcw)W~ zlhP*n@~sfx2$ckT+d#o|do|;z3?WL~2@#B5DbfFl?^8R+1AP8dM?QFD5xNk(x2)1OETU07R{3LwjQ@G_GK2HOE6q5a1UCCWJAoUR^9mWr(3X_yuVKl}l>bdC(l)>bN<41!O(#h- zxhX;WONDf`!7HcP-@NkkvG0^WuhQeKbsRP6gapm(HS-hrK7lq)l+=qS+7_%-cZ&A8 z_%^r~l~!>HHK`}|@C;prs3)@@HD>`S_oN>jZwrRa=$?&>7d2m8^FU^{aXLm(%=;9#Xqwa_<^X>e0BI84yw-F1yR-3St;36JqZZ70wwP z_NDt8rVZ^g!u($r1}zQ_ngHQOzieil?~_Uh=l9Lx;6rav z6CmlY4b{J0wCH6)P!9ws8AMXTyN@GEu65p6=Jub`4MU5RN~&pgB3Z>i67yJL@1zsh zmex!F!t9Nwhbaix3=%WE?}o6Ts0|SudEg?WRzcbP;%qfB_s;Cj1G2BoK}q5AkI)524(C)@;#&yyWqO6 z&^Id{4i8a5x>dYRs(ROXuo=}N2A1py-Vd^Es{1eblDZ+qi5_=iOZZq80}H=G{WQD9 z$(0U9P^Ww*od4;hn)Ji!MiV-gNkD)%2u6!C5eI%M8WWH2DLT?Ur-x(e5``{armEVi6K^;iA)Hf1Ks25>d)371C;gdw6!a9p=IX`g$n4$duCgvDH z#mVj8;lt|*^!V~74A;)}*8*)3|6l>`(fsW+ie{s_q`X@?g8((T})OS+uF zbEErx-o;^b)G2)>&2QwRIh5^fE<^Ai8GYIt5ID;L@aZFV1bmWLB5XuaSYpFph?$~k z)aSxipiUzj-x(%aOQN6#nIHg)J8ztH&JCjKHgqo&BDcpT37u_66D;wGIDxFkT_rfI)p;+X;B6o>tTW?mtKSBDx7@mFeC7>FCLy(9?OHG)7gWa$Z}?F7O?vIEk7mlN0JnpJE(R)-9JSz(PR3(@f&M`4ak(Z z(kRx7$PjHLc@Vzr&4$I^BadsN)E3HKQ(?I>Y)e&%s}~AdxqckB5VG4D9oK*6N%W78 zXIAhuvS!9&%ofsFSbWaLPrSialJDr`C5zIu1hphmiOsx=IECY=9td2Utyj%s;FQT! z_%NqHj_^-)CP*sr$~=|cUA~EHnIE`}-}JXwzU7iA1HHO>Ne?Xp&4~Yl3W(x1Q?z)`qSa+Y<*qIqrv3w# z{8KdEGZL%+oO6~Hu!`M>>Ksq1Nu@qa=m-mB!-LAW1ad*87l=h$3>I1w7O#%?p|y_Xi{liGllBJ!aGyv>P@54|F(PxM#{L&~*Dpye8~y`RKxa}94Iwo75Q+^m zT{MeG*a4Z70Qb5754yxPuUDUsu;u?)u2PIc%tM%J$qe@R3fzsy)Zg->G`?>e&OJ%; zS15fwzs!H$*1!e=O~U7q>7{Aon#{~iD&kvN3(855s#Y5Dy^ z+TO|I2_*3=W_T;(z`>SzFpq#PXVmFt67i6z*O1x+qM4NI;?D_!&_u47#E1;XhD{`L ztg*sB01^S&4<;Ny?Qw`5F1vT7ZO3Rwtfw;y3C)q@%RjWTYp`>{!ZPiRH^Wg=Y+)m+ zFbBv1GNb_GNFhbZDwxmne1!IZ5FpOh#WIzt&cqkWP1%WW6t8Hsz`4k&uF--@)f8)Z zCPcEg+C`I`K6@&C3?0vYXZdB&wId?WPIQ8IZ=bjob06g1KOB~oNK~%Ckj>5adf1S0 zNsuU&zMx15)anHI!4NdfsZoUyTok6#H$KmCJTvnxQKt5~n&K#mMP!V&+x7dB#h@*q zKV;;FJmNn?0*R%g(TwfaF^M_TE0Gyp_tu;vA#rM$ORdbHS|#b})lOD7;6jlCkR=;N z*Bu5OGg8s^&q}_30={>o5~q-E5at1Xnfg4gM9y3X$t}|!QRTw7bu(I5_oq9dub65KzRTxP)rM_?+ zkqW0tGu5-@c40MY2QD5YRidHw|Trd9%R_S0FH9?>W z^&}8AWe&DJolda&v1Y)8@JjFL?rxb_;eu71!MJOj^fCjTad~4X4F2XT5i_lQL+N`v zi3mxwKL0&aDjJ!dqW_&h7-u({%ZM({*8NTtmV~Ak%-Mh8;%FSyVLUUGfPkT$P^g#G zArBCKx!k=l^is=LtUh*4o7uJ8%p{in?^ zoBAcP;nqC)#cm^ntU{8J*G;EpF+O!cQJo-?;|m#}j4?;zK7&fgfETMmffGaOczWF> zJo$-4PGv=Xu=t*r#jV_#`h3kNb8_zJ|2X=f<_sVK3*mAY3H-tzodIFreLN>;qG8>f z&unNrm=DTW1ffmY3QLvm_$*mNf98u$=mK~`IruN#$K;U#xlohRyVZ|6%k8SMgw;j%|e+VBDoJ>&kLl{Utxoo0M*hmI#Q~(M_3%L zYARC17f@GjcGPMo3x$SiT z6rRoJcIudgw7gfr(@eN#TXEL|#zn{sc0c&M#u%8qZ5x|du>vvWFiSRMG;=|=oWEST zIUGa}Un$wFLPaHo$*h7@^71OUV3=*$kd@~G@-F4@yTfpjgr^rjOM)9F^xG8X1ztM5 zJ4)o&subocpBK}t=BuqQob8b31~z%67$XR`Obhf0M~4R0^2$#tr!5SwvL5gHS70aM zFnaiN+l~cvB$RB!xk%%>?MIz(E$6@k%ZS26ziQ#WktwNc!?S~EPpQ9#KUpwcNALst zf;gqxj4>&3pe*?8sc@q``XRpa_tI*z@Ea7Vfd4Kd28yM1T<2u}f(`j`s9I>qHofBYBcs9wVh&eI!NeesLsNlW40ZH59)Il~YLr{&Je9 zVu!-^G5w)FGyN$L>@zDe*!Q zn$Mijqny)R^b7R7mrE_+o8u;PZ{xZ}3*;*ClokdS9wb29SAIE3VrVYp=8JeY9954N zDec!Rq1&uUh?ktm*3kU$>pu@ToB5Cni?9}A1+tBOu!u11lO2)5+7b?k)Lf3E5}MlN zoVHvpL+dzx9aMU!a5w>6S7l*L00pMtI&2s6I+m_6YOcgVJR|5m6@R7(w=O?L3;Y4; z`$BptR1r3)tZq;UaCe*uat-$@sTe*)b(skUmTgw|>vLoPnZ@UO)BZ(o<@_EF=}$pR zLHVI@R*Pnmg3kmzcCOCT+U6aaf!%9Y(&vRFLO#QU`f^IXj`EYI2iB)9l>%@YVr0c1 zk(d-%%S8?3{7${2(T3&ORb8qb91mtpNNlUk`u%UY0WVK|F^OcFJKP39fdkxd2?Z;a z@p1D6{U(vY_o(i|y@3)4Q*Tnw_yx%Qy54P5wO_})!2(2q$;Qj6tH=_%7=(?YHcX@Z z1=5ha0i;MMI_ya~zKBaW;Jb8J#w%;GaZBtOcUrIc{v@p8zmUMm#(l_E6kHI}xD9{$8@p;E%RR)-#VGDJGi94SeIj77rm{> zS`7_@JzHFa;+{KuBJ?a3sbf3`J$c4arJ$XFBmrsY<5}+eSqqUj>DI8|LHjroCKf`b zedu+xf?0l%*{6FPpd%6Pg4f2#&wlZk6dq-XLjDWtdSij>AA)PB9(_rKB&3&Zaw~?R z8~ibk^yzet?%>{k4iGzr%Tt>nHEtRSaDaVb=6#{had0j{JZVa0@wv}~_fg+7Ch zB#763i9Yso&y(t2{X3b4HTLAoGYe=}KLF!wGWiCSnY`avDG_L*&n2FB|G{T z7{{>(ER~KP%p28H7>TPBO+{Ri??CHFG^80zkb0BSr{EN#Utn?wtdCPk)#rIOLYwc=t*tcq72%8Her;(+4PSXUXr3SMwHT**Zn z;iQl{YN#)iJivDV(k|+m7Jp|K>G$-0c~;}-%_G`l;Ll|f^E`VU8J-?}!64OD({sp~ zfy;8B-C}H5zzKw#P|UsjIx-@J>xu@W6CC-muD=Go?yytkaz2%Go9!s2bo})QZKuU@ zy<5r#zddpNQq9tjei&Yd6Y$xN7|90y9_=+UxmEZLI?};9tC{vc#$yBG<;>ssmI-i< z!@3~-l)L;YrK4y@erOoIatV(ZXk_Z}iP|_L8pBj{Y1q1+)mbs%P){c2W;C!jC{!qa zoTb|nlk^a2f_`8T9vNNWJLF!NLaix)LV+oo{WD!i=TM%-H5z@27tN(T66E}g*BH8W z9$v_)s*fw6mzD??k{-@NnqdJg7lew6R}U^#BHDXQ+%ffFngXPoZbcm#qXG(!`{}vQ z1OvXwZ7n(E6zfQY_Vw^XmE&QMdJOgV!DOL^2#>*NvW~_QN20)Wy1ht>$TL9+_P*`N z)v`jtOF!Z(*P8+cV}Y!DtbWHIL#&Nof;^V;<_^Fd$YETjnU8mh>S)$%^!oQZ{xkYDr59gS@i3IyK1A~0dDmXO09OsjLSU7<@ z-AbJ@5{A<>)48pRMCdg(bb{mG&Z!iI!owsW6olXqb4L5w18Z649DY${Kj)P&24~F( z?6=qjTi*0C{M-|YOZf%*QdqNL)#eoEISSib5X#C+aj8PI>*f6b`XiCE#%BMR6+0+* z14gmty4=VmIhSdZEGgwC>)v4(b6EE)Gn0jyX#PS3uP6P{!h8dz7t})G@g+}7(Tw!* zEilHL6OjugF_-JB1HNmb{|VeK59#V@KkYKCMdMe}9O4>N0O#)=CH&KdK7X#~qalAT zD58+wXA~I3Zbn_(Vzg~=i79}OWLpWooR1Vn0?5RzIZb!9B_zz&k62C2YOBx<3qxfW zg0?z-WN3oRDsl@oSXmigXX<3S3cLPd7h1WuJ$WR-r3Ymbf+h#@K_P+9|CT=qvuP31 zW1~OzEgvk0EaCjSnX>shYXSm+Wj3n%^L3cnXx9?Mm^PkJcVh_AqIT8(=8SAi_=JNf zveZi0UnD~HH(mAj7b`GGQU6|$*wIZqU|Ipu&Ka{inG#MDl}1;~)aUS#OBJI@FmXkC zQB@2O3j=B2Pp-g3p?#h|ErBHK#bKKi3&vDeZLEw#icO|f5E-aZ-RucOrluuZ-AV#? zm_(Q7kXb)D$mO!JFQ?(MAXJ0A)CpEcqbrJ)@z4=SX8(}kU(9f4gj zg4oTl1F3+r4&hKKUU{M%fIQ$%%K?9-><-NuHKNfNRDw<=sU;PR7J1LS84Vh7)Acy> zNfG`R%o$4Rb;YL|ha#p)i~#QI-{Elwd9P8R#k^WfydOc)ZcXcx78YAtPt$vzd-62e ztzU`sfLf@3Xt!AJw5axJVQ)l3a%IlYmBstXO&UQ4Q21aB#;Sr;-eVc>i3}*g)}(D` z_mrE$gK?oO=`0eYPK=18ko}EUtCJDl-v<^fV6E9 z)1OcC?xdqMO8o!*lzI$iY@8VCN{j7OKG z$xz)maxyw^@MsF=rHqMrEE;VluKdDTIheeT)=u9HJAY^oLd6Zl%PB$eGG?^Jdtf z?Ap<2*(W%@)!;6Y70z0z_!ow53~T-_>HMj7k}w&5L}|7ox>KL?$sWoSd)XsIr|ZQ>$s_;Y+!7 z#;tfy0i7e{IErGC=f^PHhG6Rc7s}bWuBOtZy1bV@irKku&tYst?5X?I36_LBsr$nT zmdA~O0+bAg4~z0ePjka;2JER6evD{g$`gGDz5+jlb*Lt~gxO;st|{*agG8 zzPH$q4;6!z@efbYt^>Nc;{?S${7@QoHE~@0;>0sP8{C;*Q9U6`J-O0He%qOIcZi%2 zdjQ{Zz*oEN+6L=+&3Em2vwA^n(3&$VIMkqenyi{+_wDcWKCx)Pb@5weJ%ufBr(G5K|)h*e(_6lARtW*m)l)tQ7& z9*;%+jgmsFl#rDtxmNxxSW2m^_CS(n7uVnd$4@VVhzh1K2|Ct2F~h4lj*5kcYyRPgZMjM{I4UPT$ZuwdY_9zt((Q`i~IN(FmKe4SRtCIP}(n-x~3lWe$sN zGe_f@ccc%#`z?Z8W3K7B|5AT74X=JYpoT@ZQFXQ@u-;GShx~?l!f_N_{~I?=@-&;v z80Z6%SZ(8t+MYNj(Ar*t85$hEzTh;;@f_nD7sPsaz;%)^vOF@r8Ipwe+)&6L(j(9X zVN`v2=s2+K)MHaj&lc6^jp5U5%@&ABOf?pIctQA6E7pPp8@J-6k^nM-j>V=HSc?@_ zKnixk*VDLf&vHaz6I`5z&pzUF^q=yvSD(PmqFy5suz!M?boMWf^=FB}r%7b@s)~K4 zbf=aQYXtJiI<32`%lI>aj%j=~S~o29^Zm0Pb{WI=*Kd4PTO=RLW1ov8s1F20TekeX z9R=O>C&DLBBrNvYZrDQLO=-{QOicMpi9L~hhsn~OYo=2dq3LPZs_4C*IjIWIp?|W? z_=zwJL+NB0p zNY+?!>${LDz+|$f8RB=?5qjoNh*)Z}de_W^Z}}~mj9$!HCRiFtL8HH?I&~fj_@W} zvxyuf)@z9U@x5kCrDyou-#&Y{O!eLMH(h{wX}2HF8qw^#1A64V#QM32kmqgiM>oag z;>5U6Epm$(PD>Wr?9zK{LD|Byu|3xVZTM5WVL=vT12~cG*nyFR+j}y!Qf~g>dn=_E zkj3Poks!t)<`nphILaf!nQH*paE1A4Hb)YXiY zv_h%@+O1F-#ep7au+2KzbgpbfXdEVq^QSQTKE?(DY^G+}`@6<+~5C zfo6u}>EH#eF?D-Sn*fXJj@vIJ#X=?d$dw5A{8+-Qat&+YHxO zH)WA_7mZ(A;%;`QuznneI(g>I6KlwhsDf5rEw*H1H(=+s>`2FIhZw9Jmf{pqxDG51OQscn=8TITdp%9;*id%zUbb&P7n9SZ5An zFLhkl&PAWC^d5S%tfpo`;#vUNF6qAUqY26Syfn3brV5w@~%b?W}$9GQDmrei4 z6Q4!%O>+$mYwU?aSW-GrV;X6quJgG`7e zLLVPVc!qa>d)$>HEu(0;YUd{+jyj+5)UQ840lH;U&xB=0{%Xz#&y8h7QXO59bI`m6x{c2r^ukPq- zNkWlQGM3?$Ei^=yF-w~z+lXFMwh2j*CEM6$CS+@3EHU;m7-P#Yi!uAx@AvuK|L?u$ zo^$VW?mhQ;_V3&i$v=U(WJ_ zJ}G&5Zh8ty=c<#?J3qv8He6q`pbpWq0f%wI({Hb^!mD5qM~#>h1DWcfONnV{&a-zLf^GQdAhU-{Xd-bv-R1?3~guj_^C?@aJybc~-#I=FGgvE~{F9R;6+Z5RyqOuB_H|o~ z95P}~3>zx)0QB3eC>+Om;2$==%hNm(BiD3gACm*izv5q%f_epIS&IsZq)3!br3X!K>2J>%h$-taNAe z;_kX99KM{0A@6m9;X%PurejL{|9&$f(ou-!{fjo4w@?C^g*qWEipo|Sy9nK~U|xVl zrzH`C(F2X}-3FamJ&KO=AO2Q9d;3fDC`n(8&t`Kp70vVx^k|I4azD?q5{Q=o0XdJ= z4>LJ}gIMB_0pJ+e2t~MdDVk4bg;5}I5qUL2)ZWWx7wD!JErKYlQ7|>j1Qf_P9#MyS|1*$OMq)+f}v;k|!kXmcE=Dy9vA!C|k7Z(i;9cLl}ctktwpq?&r zodrOD<|^xV2Mq5daQ)_Rc*}{V*V`lKcQmbq`w;dDL}C46+U^2X+Ooh~Af)M}>yLfpq92Zx4Q>vZ zSa-yOJ_&S~CI_AnvS1@VC4^02kEqCip{|n^nm1QpV{%~<*py?|ueY*)0T5%{_=kxe zjI{t_A4AXxw|~(Zdta4n+*c1Gf} zM?ycSP6M9#t$dIXRG;bo2Y9q>Q`Rfs?=tOv(lZI|MkiS^3>0)0gy9R!`YToeKTq@O zg^B%tY1xZcPwy`er!w20^3gor8LL&xFJaKJcv>@c(C%9v>+!FN-bRf-l(V1cAQ4!Z zJ!5(@{NLRCL(Mk1kOoMBUfdYJl)qAp=`%!r?{{Ao?ch4nwJ#L6g0J9Ax-99d87KvU zr05Ic_B2Qo<2__vOQ!%k~wY^#kJ> z%C3CAXa#w;&l096EcaL|I{SJ~kPJTiQF&}GXe(y45#)P+O#j=Kx|oyp)Mr`vU&+wV)H45Zhdh85d~wyDY8hJ)S3>Q(_#IP&p-+@u{38e` zv(?frTrkvx0I@Tzwo?4b1s>F?9G#5yz77!gEt6HteUJV1<#-(D z+x9>4(1I7b)3z=~Ym&6~1pV|#ZV7bwDn-9e_)8>qm6wWZI5%~7v6t~^bq4$;~r2`( zDRb(>RE266Jpz&Mk87>eH6gfgCI&YJR9%x&(_=~FYEAMERm4DxMBTsKVYU=_#R-6$zl6sj-5B-d#Kw(lk9#icv7?(T9&^dX-!reT`i9Bv5tSHwGE}7Wm8+ip%7KNs`TPDi=>MLL; zbo10bUXU0UvgP0A7)1JpygoYloycvuVpYK|2q={rY@ng1fIKAAuAJ-b*n{xgc;_B* zPxy}NkiJLr4I}`qS(>*V@q!9M{D5tvz^yWBI-J|zz&rF>H}#EcLP+PJUB)+1jVd5S z2z-6w{`&80_`8&1Rf4rkNoWqEP!DzMo1W6pW(m+rHhQ#b#Wiv8Z6f9T=ox=rOVZ9g z6Cly_{Q|5@^QiN+3YwIIavVR~WsJG=-1(a*p^4!8EuNE`e(g#XsxrA7Jpydz8SJQgJ~>3WZhUT<3s(36e%|kmpA4WfwvF;ZQ_?9d_nRW!=JA_e zD@GV6Q8gA_ZgkRXQ+sGj~Iv!K5ub5H~ouElR*5HJ4%KY_p+I$tAu^ft}75LoHTqqgN}r1$hec?(B91%X@2f;S`qkoIeWRu##ortKkgWuVD)|-{+*h+`=5?&ID)(` zj?}=kXycI71T33co9u65wanE|#dp394U!iT7vdRP9IpV3zAJ-s9sw?15abTCC|{JL zdDUgFEb-rXk~2`g>P2qFL?jLW=)TIr#Q4B4tVpmPo>2DRbdf73XDw#NPBgv)oML8U zBpnb^l$53MB}y*xz#&ENAH6y=F)~(2J?IO=r4K(R@T^mV#IxT|E&Ky&Z(b#KT45^umtpGuU@f00rqKX*mq5{yW-P0p0_#C`LQy= zPwR#ukFyhUPBo}Mp%=i7E#F&fL8Frx;sP!<5dr+u$}^%)#>V>Yj}Pihpa&$1n?D&~ zE=WQh1U7}_EQe77@K(L6T6u@_%pCoZ#(~vYnGng~5?uXpzh$QiNEGV5ZfY+U7VoAE zRCs*h1NLUik_RGPIGBU0(=R>VS@C`f)FwJ(jG%vdanItKpJr9EFFp)WI}bTG#n$ih zW7uHl_YdD6o-)aX={9Y$Zgbln5FC-ncCHk>Md{cfa`iLw%u;s*<>fk2JdekZ$Mnu^j~uuc?D4D)XA-Z_xZH~g^X*}8>J=4fJcjTc;hIWGyEXNaP= zB&06$VjGH4OV@IAazyzdQU1r&FQCZ}Mr=pUo1z#h?J1 ze7hAX7NM7%SN$8Yx7>=viym7-(G!%yhJ$Ydik(6yLB=B z>BB6!i^d*Ptv0E1Dg#PewM1ApE;W3!rk4RR83#P0kI)2eOyaJi>%@SO&f_oR**k_X z?%!mNdRDsJ$~gf2KUiPRcq@|!u>#Gx^6}UBKo{mDnH@ZdJZpzX9$fwL!QEI@2FUyU z65MX+zbn4ylV~5oXc~rWs1<0WpEB#tKq%!6+N7TPSApp!3GmAG=s~Q})Wzou=mfv1 zEd#RmwgMk$HM4*BPMOn6Wcs`j(byS8+^pQy#>FuCD*VccjjcHWJM_7ifKwpmcR6<} zL;Bsq;>O=-yzA?oZPf|i1>4v(forjjx{qi)OR^@o_=k`*4EC=gP(B@0b%ky=>{HoM zKPFz{6>xmpWiL$JSgEL_;10pf`1z(+S&|%$Y5h)}ryeQETPsNS%tI?ANn>StBrwIn(}d0$OT>)wexX2wWq`VBo&GBCa#buwfrQK3s*ft64nNH3DU)RGa zKY?`2Ym=6RxUg$CV{YcH*H9pAaY1AF*ZydN|I4z)7cgQ8-QATynIYIEDN-Ouy68gF zShad44r*ZQsdrJVi#JE7h}8F)UNmI?3jK*d!*fOaCt-Qt%3S+H*6zF)?6y5u8_j&? zi;Xg`&SO1Vo>&i@5lAlz9IL^B@Y(1exI+|AL-vJKMg5CFaf2h zrMWtdTTGXUOxn$CL9c%wmzKjUu=fPuPYwQ7E1e325=RNk<>7x}#wuWh=3Nts%sZzCLWM6Y)O38XT$=)7)Q5b;|TO z0cz&*ZQG(HnJnVClVk^{leC|_ghC|^pKd25f4i7|ULx)Q7WgqQbE4uZyBegoz`_O# zhkj&2t4;~qVOHiaM0fSGl`^+`eXR$f-8&0YkmGS3=W;_NQ$d05J|eSABfAMYFhD3X zY_L;{_VP{!0Kd}WaUet&|E=`5Gvjau7zOie;6_;hHOscF#>4w0y~gaTb-(_75R+v|

    _wjZfcH<<%GCoC zkaP^ti7ATYy^x^LVxW&<>eS)ztL8k1R9f@$vW&{L9zAWlHTdTlV)7HhDuCChLG=A< zO$-x3UY_fvzKq39fIT} zP`2{Z0hV=~#ScA=T4==@?@xtp&uoIwZz%2{$N-8}#;Iu`T0?Zr?~I27sJ-XhhGW?2gA&F`5UZ%{B`D(4) z=7e<*zPS8yH1Zk#Zm-%$h1J4(cADvIfIgVvyyi0%bu-O@1r^IRp1m|p6e9ps;Y3qW ze3l=W^Q>NyYl1#m%)@K)TY9rTk0dQ3bhchvy9D^JIh}TH4f-1b-Bs566L5{F7ptv} z-18=IxJJk3TI7vqZOlNL|1xx* z+56`6qB}+!|J;)eRHYYW7=ot}{3G3aCo|pW)ZRb=fwjc_KT%;cs|afHp*)}@_?>&& za+3u<)7?-iB0H^oNUzDEhIpk%&ji%yIJ*Fv>4eUShO1-(JE3Df8Kbdqsc_fX1=k0p zhf>%rv*Y|Kb~;=m>aC9|)YtN5pnMl;HfUKv1zgxNG#`41&S?`w$VR?d&^4BLo6TSc z-h*Kp{UBw$j;zoPy_L{#GrscxW|@b$=TzU`6Vxqny^v?&gc9kvj}b=mNn-Ch^(eTKw5Ahj-*)0{Pvrkr=+hGXum z9jbWuX0h;wL;IHKhiaQ63D+O|?zD+D>aAVj@28hrX_ibt9G$H<%zDeb4e$-(@vPHN1yW+|a56^5co^={RP%YWi-2 z%`XhKkS4V~y_(i=CUzkvFC6!H0VOtCd45f7ZbAn45gxzAkbY(wn7s1N(QiFQK^~Ap z@=RzgT=9h?)|n_N7(0&v zBUmVdUaOPcU0o1QeyDE{U}f%lMc2R_xIG+4 zQlT6+i#qyo)2e^`QT=dOXo}>K(9{6`E@C^>SwS}loHpIqo?RK!6IgB=86 zNmF`j@T?i{WX+KW5)bV>7+`i=wh6S!Fg|BKw3n+exCO3O06?uQvDucpU4RHFA0bH< z6vkS)LV8UIj7+OJCm9OqvVM&XB&VZTg^^2cq)-EB36VmLNyrtw2&SegWkLZ4N2(_8 zRE2sx4Q%5w_lutM5(OuEFXp=x7S9OtwEYUPpy%Fs!m%AknBO7SfKiHkxH|)>ZJ!KS zn+SUm*sW-E?Yw%W78$FydP|!*1xmxP!(_j+W zb^g*g&HX;qvZ)UOyzK9?^(N3vpu^d>a4#%@QxtfCP;3zw+@n9jdqg!0)fxD!kw-O(hC$QyiDB7Hg*LVd=39qdGaF^EEPpz@eTHgQlz2tcQ)zO1o0?8Y%m} z@gVPN`f~c*rSa(MD2)EuQ@VKW9k^+6z&gzhU>s>+LSFc4EZIXL@0Y>H&H@3r7Wv19 zOO(?Sqh9!uGS}&Zi37g>o?x%t>(xB!T*#H|+O}<*L=v_AwbSjJPL2oh4t}?K*3Ekm zznR6A3ZFGv9#wXHFoBfgq9OCRD@)R$d&8Y&l>39uO20gpR^YQs_qDCE)f3R%aksdG z7V^{rD~lVu4(vLtl^f73%%i)ds1zM~ULxMY=1m`02u4(*5LNdtSKl=$i8p6Ibf82UH|BrS1z8v zp=QxXbh~C!r}`{Ll=gW92md6!EZV>8`}Xk!MesnKqOlwHpz6||=U&p%5R5@hTsZci zdT8HX_@#Xj9rBbPz!w{31+%iB{r7Yig{-yS$$Gf2a@qNEx!IoU_l{|Q&AKb|^!B}o z!DNVTb9Uz+Ilt3rW2}MKsON9f;BR~0>BGWgxN^Hc)Om_OHr&}G%{bhcfNv;jsHn6o z{|=NcNt8ct(e+0ANZIzjac%63f2*v^$vqED&+bqP3@wS?F^|2>Xg56mWu@2@fQdd+ z+qQEq|NP#=jlHkxo<7$enFx}|Zr>NSa3euSDqtyd3gUJZVo}!CDkoD;Ybx>U+!h*% z^V+x+LOZu@H2IcfZBqN$^VdNh?!gu@LY%3m03sIQI6^l$+&cS@)aN$%dAGTU8C+pCSiO`r3Onn|3Qx zJqb_#=N+qzbitW-mMgmrA?)5yo?3F(uCM0*<4un7OH=ntKeJcib+JD*dvBEAWlqfj zL+u@kcDq(W&kwged9fP(0gpN@rLwQn^WC@CnV6n7b`#Xo1D|pgApKP8?9GxDY4-_R zV%3W$#qjWZUbdR}v;e%%|oApt9v2t&W P#B(7(W`2SHKcM~x#FRE3 diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.hdb b/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.hdb deleted file mode 100644 index d79937adf445baec127cc55c8e980c8fae9f0bb9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18013 zcmZs?b8sfl_XZlkH@59$V{B}`u{YS*wry=}+s?+eZa%;7AGdDRt*PfZ(=#eGCK%vI-%dPUr%2LYXCI(a5`*RalgA-JSq~YGMIu9Iqf1=r0og zC+#J|Pfy7K!+hD%+(FoZn5!4lMbCo3Rm}lol|Wp%7xMP2yIuV$+uMGz%B!YB8mtEB zYp9-em!(>wz}RB9S>%4P)^w&!@FTcnr}PQIl>yHeN+s5RZA2I`Y(F(%KQ_)+U{8=D zU7dc3$nGU?k(hf<=Yyo4_??KGC^HQhw&Loq?u`~>^XP>mrIO4M*3iB+8wwC|?SngB@2uq?=&MCNw5v+k@2JCp1AVu5G%wGA z5o}f_U)Dy$+|J>3Vd8oP&Mnh;(Q+q?P;#>pXd{;0aT0XEwcPNrMNp-1JYhPuOb zeiQ7;!4xxT9$Myk73hmUVFy1lk{=uA(x3}-O6anzo=UWm57z3X8~AZ$yA>7Y^sUPJ zi}mZ0C>4wKJ!PFwtU`xw3l%b2+6x7ekE4o+(waS_8>}h}hvJ7D`3sq%65fs1_H1p; zOg*by#=#kp&jH9ItQ~KaE6y^_&I>L>bI0>ZfYL|jiLEOimt~~aWZm)&`&Q&e@4>W~ z1C0@Wp>4UxT+UbRA#Km;@$gw6Yhh?le*whi`rA7RcwZn5{T%AI7zAQkwJ~r_cXq zhsJwnXsvApdZ+(=g)|jsyVXr%cM}Hi;I~`McsAI9lste9&VR)(1(V}3y_Hs*dGv6@ z>J{4II4ZL_;mo%$M}Ux=a}e(?zyNiXbU_m1F+wLRsj=$-L3Sk~{RRYwH7^e&yR#5- z7l`K%H~L%o@Ov$5M+&oXtMkezfJ%ciG}k9OXiljYa~9gAX;rtx?4be0+WZ0z1$ch@{s`Mz>{bWd=RP z@Bi>l3n%Ts{tS$4(qx3Pe`6+kF{>I{Amz!Ph38fEY{NokS_S_QsgP5$dBXMaO#F}} zmOIP!l&+uHmzCjLxBizPx2l_0lNw^V=nj2^pZ`VPa#nBRZy|FG(1U zef+yo^5O|QQPnVprENg&X00ms5$TqVXJw(Ru#^|6*?70K4Ty~3zBxBTVYp@QlD4)# z#`aBkh&t$0Js|Iye?3kMj3~U+gr&X7xkenN1P01b==pTtr8yDa1`eA_f0@1zOp6RB zo?*AA*_UN$95JU`Z!4@NV*PTe?r)@zC6J$HSCUoV;bGLBjJY z;2h2Jc6o8eUbHU3FGxOlzTbF>_&8aLoj(rAP8y*Z(^WuQ&xE4M>PS1JcIl?h38#9u zQ8O>2dgi-$5glQ(dXo%Cw)A-s{{pH$yBk{4-3p70+zW0!dms{DWGuw)-`!~R4A*}= z+h&YD$5yf6dM>%zCk+4SQ&|6c_KobC1io&pO)q5I3EFZ9PuE~?HJx=2eA>i-8{XMj zSLh$^m+`q(x!-scx1P|9u_k45nR)_ve5*>wO=OOLr`Cz*cw3sRDxkQx+ptPeGt>Xo z&u(m3DNZ3C@`Y+)Y&DP>rynyrzCC&>QqshC!5_V40IwVCe&}0Bko{0uBRkZh&r!H7 zG%O@=r%7)lRzm+JX%vY=-g+esDP_K+Sq~8wX8s zCB{BQ3HrP8`|9`$pOxU#M~u0di>*tbAe`r<#ZgsEop5`V;Jn!jHLqY>&(PUx#L;_0 zLTs+6&oXu6SDI{)G2Dj%@#w~&9v+6O4Y2`NS3sJ|8Upx1-;2bkl8S^h zxUV02#l8-8{8s%m_KX8Vs7x_vn~E(#8L zKD(dx;8){kye}Wm=kq%ZQ#k6m<-)fmx`4OTU%+~wSl=?A1Rs4tKvsiD zhFWvZA#udMfZbaoe~u_mg~;d2&PR|gz_e${kI%Hmn@K@p@ooqm*!}&p5 zg6l@v;rDA5k!c}*@-?g;Eqa`PG}CFo*wM*O{C=XwFOAWM(<0}m#0ydt-BlONC(CB4 z-5Gm*Xy5NfJ}Q2`pl2or2!aLJAn7?9dg=H&wuh6N?3V-^?>?+i9MbH3QN|&91e^2W~EZ(4A}Kh@t1ad)V_Dx2$p)31~l zex(2TZezD0zU8(%#2WJX*rQ^0&|M4jaccRev${XA_4dKoydjVc_~E1Z^$GvXP}bsR z@R~5MQc?DUmReS6O1T({hUp)>r4y*W;Y|PCPn9jQQF+ryjBJe4u963flKVjIor-*v zrwi)SE`b6>={g#zA5@7L>h|TOhtZ3Xj}Nx(KXpZu$XcuQV<1F=pBkkMMT=(OAG+tm zu(&9Hti)k#&gc?rZ^fYu%WtI6)q5CVq8L6~pwnyGueIWSc^HGqm}8a1x*X_ILW_(J-9#-_ z>5lqo)mir!FP^QxcsR4^2c|)op=-pXa9~%j*Snc1dmN@S{$jx(_-HHKZ!;jQU|Na% zD4h$ZvEuHlFq&UlWQF@D>i$C`tAocB($sZluHj$kMFIS)L~@M!>d(So)ExtoSic{a zQyv&_&aY0+DxP9vmfo;E@0)PNo2|Hcv3@I7PH(sy+e$c{g<&#h4-U3VVM)WcnDd5bA81VOZgi2={Y;@nSfyCz)>l!G(?M z%L}SvwI~WH;Axs(^6wd}Q8eQx>{iv$zfCAMy8(aMJL%K8$l|Uyj#DX2&$a0*ubZc& zjQ1~=aSQxHf06scOi1T%SN?Rc;5-h)tg{PK(UPjfTFIwD+vc<*5}e^UalmP3#x-mH zcsP)-^`su2a1Jo`GW4Af@$5i*0!~z@VTF3pg4il?8m-5=PCNw`F8Lw8I^PRk*P(?c z$?IA*0~F9-yr>mCps!Af!Fr3V=;czFYmUv(bGir#6ngA(c6$&G)Ax|LS^osVfXx%s z(H~{&R|qI348$7ap>;4YhPZ=guh?maW6mo)XAbS?NB`x+4|3;#vbRS7tizYM+j!6Y z;peIh4{}z8nIw)dSH!RCy)B~s6|Q}LUuF5!g4&YFkbPDgKzDeK30b=xgjCz(O7PQf z{Ekf_y{;BD12m=ucgG_G^l@FUlBD`&;_2Jq3g+*D`(;~!wz#E|A+ z76s3eQH}li`)f1#`*^eY`#Rr9|Hj%k@aFPA7)QU%%q8X6f}THylUuZ`k2Ve@vDZWY zdDx>9UfJgFcgeeSwnW4{an1tm@0796gtHM^9m-Pci{Mo~GKar0{*CEx%ztC~8|$jA z+gA#bLz7x%ZeN*$VTLvpPI^C+^kz#z3Jb!|?jgDezg$q3LpeCGF`Vaobp3nqmHN_6 z;4K9^>OpAx;LgM&dmyY7;bN~Wb-aBf=dYh*ig#}a<@@*f(nH_)(tW~ytiD(LgRQSa zY+XCHp@IUhe)Is7|GW6f>lGe@iu+8p-(P)0G5{-TX*TiD$oChJ$ml>6}Res?OZVq^9 zsay*^rNm!7IvQEIw^(?Smx5n`H;7w{UZT--!@OSHHQY5nyb+=8qno!a^P3E<68Y0I^l z!pnR$R`_kLFR;-U^Vdldb8Nj%EbanJb;T|2 zHmn}%qum-yyPN3k5VDlE5~tNi7Ea81L0xD8mw0qgBkA$5<{9aP157$+y_WV?AXk|$-=go?79U^)2U7FWTB^JVT-xBuDF+*tiE z^dnWVfY1ysU?iUL*zPxLR26i?>uFa|$&cVG{M7Kjce}uU_N}B-IAwPf>gvG{noECK z*ZS;!d@Me5@Y9xT<`>XQ2xJJo`OUZK4$VS*2RE?%SHhC;?83G^m!}2EXIK5ABe(w%CxGvhGX_5~Tv@bfXK2lBET> zc~j=W?dhoL^S_nc*+rZ)P}7%x&%Dc!0+z_u=`I9u!uWHJ0>=*Hq-VE`JaSQX%cGw1 zXCLyiEavo-CMcPOsa;|)c4i+~Yd4}eRv*e(+D6i@EyqWyk{xWl6xVpdXy^{t*pY0>vi>Tv}?aw#pbUgcvFI>aMeW8 z%<0eIhav&gW-2C~s64xB^&uU52{pSTM&{A(w^u6o!G#xVHg zVKRxtR$bl2H(ceJh7&V`UU`Hu?oTW)V3Cc~ z5uF9&S2N&fm7hQ4b927#Wnn<|Au9YKCHaz3Et|<%Uzk zqW;q4;Jy3obTP>T7!wkE;V1~p)(uU5A($u>zh)!sUUIticP%C{%3X|#AS^kL90nRI zFb!CCt>zT-TmocB@eBQzN#M;HAoGuSY5jRe#;m$zz9Na?Qsv*dQ;PL1oBe`>{w=Gs zWXwCtPk?#!7yEHTYeHCndS;L)L=L9v z>UPQ@#1cEpQns~WE)#hEDP1FmXgJr;xS)Rt+&XQ-=fnUYgUZR@NJ5dPWv+#ownifb zq@OnjF)T9D`?`{tUYs;x*PJxfWCj82gz$zM_hJ{!5ALm&M)II#CKII&C>BRfDon`3 zLTbfKo$5Qmg+jAB&AE(M#G|nGn>tYMwqRTnhX}*PD)AK@Q>e;{on8}-4muV73<~g- z={a?C1u7fV1-^FLLlnFlLq5E0!*~ghS6D(mSn;A}o;^fY#CV~aLh$)0Bn38yIX#Vx zc>4BF|2X*!BEB?m5cJ_|5eL6|(80Bn8CIs~6crMSQ_$zO+y zMvqrE*jL0eIHL?E!8=&1XCr~oRe{TTUu8Zp(~yqZe?IdY2P=0n+(-pBe6#{DTlM9) zd8_rUv?vnp7BPGh#gm+k^lox;*NRL?M^a_dR@^{VL!57^1YSJpBx0rio9S26(Joje zY}qx6GY`v#=OMohBZ9lgi4eUyk)a#3?)Lc^?x_}swZMoyL~5=EsS%UfcdGU{J()P( z`4W8z`Td>b>)}N6=1P39Rl{v&B{g;528!M!rlQLiVKbB$`|EfqXxUN}u<%R0>PI$q{)cQRZ@YPH({%P`)Y+zrQsK4V z^es3Pe+uTu-!J*`UvlUe7OxT)pLp*RY?192!dxG}0(preBwSmrtL|Xiqpcr;$}XS@ zYTmo$94DGhCT@-AAO^bz%5Fcn`0oaCa^-+tgAjw5wsmqjT_qwK=O*-aAXsM98DGi6 zm)N;BHxLnfyk7NYKj^RSrfF;cVDnMYY=KxdBhWwP5cy>W*Z%`Y$Fm;B7D+y4r}yST zYwpzGZ!+mQoK6;G?OZ@FBKCp4!?e>+u7*??$n2jaN5>G%eiplls5*Av#bZ#9lyOf5S-p{`CATN1Z<{YYu*={L;*}q929Pw} zDEK2b%bsG!d0~TxWLwUNKoISTww%+ zJmH7a1L93M+QqNM#D_e>c`sP((2uJ{Q658$j^ffOHThsxTDV|RUH{4(OU~o@oZwmT z&o$yyPQGz=DknF(ePN(vB7*Odv58t-=o*r;#TUG{2}08xe^pCwO{J^7$89i(*6R4w@;GBL1q7O)yuLXSMBdvFxD=lKY!SeMu6U&-7^o%YC%Lv4 zk?jV1>5-(b21~f{Mn!*9duQoJPCZV)ulciueFBY=5N3(}bOG=;K{z=>VHTHr(;)K| zxL?eh9$F^tA$ai<_bJZpL4GM3>4CqYN9n=7`QZNR)aOrUuLb>Cz-Vm|YKB0N@do(PJ+auf=} zZOn#me~;6SNxPATqy7Nq3Jrtrjik2yO#pKlsD`j@cc^!wbA`%ZZQMtslWeKkQTKQW zml9r#bAI4Fw^G!$kjAHfv(KwPQ2dafA1mDTLwFg4^jD~up=@z(#N)(O-Yf<72Dt%X z6FK`h!s*=xP^)F(g3tnN4E~pb`Ghq>JURW2Y^mVkj7R!XTI`0c_^DUCnxi6Mi8hX9!#C zF9Fi_ARbtAf|BpPE6?iJQzD;!C^;Z&Ml*iQd-^+FIQK|1Z7pVRtXfvSw(02b5l^Cz zJ%0{-sc*S8aOT~SgPy1cG9Nvdhm3d1*AkSc-cawl5pGKMJz$6L;p@&HiD-q@H3=4e0hg1FluvtIu2n!7Zo^`na0UVAnGGAl> ztO!}+MPeh3oj={{#^-tRvM(MAR+8)wfe1l!J=&F4_v-@kr&-p5R{_8VzolzuXoO+!Xo;cFe4aU1-yiQ{W8G=$^jQs|C+whi|b@x$74CjsIjQOx&7 zd1cdhfVSlIHjo&UZa{B|^dC=>7;x8r5hCEZI*TTPN)yHmGrw`1jmc9EWpaGM4+Fu% zDv<>LUi}FKGT(-!ciNVi2<^khco9oXu&plh#Axh2{~|!J2Vs=HSbKoZV7YO-wuFzZ z%AJ&y`yOR*BjP@YVtD6HLXmFOTu6*h_iuW*TmOu39M8t?|4iTa=7~{E zdk084t6sU&SfDf_lM4o>M!o$)6(nZOU(+D47xQA=`-Pelmg)>rvsNv^AMh-Q>IXG! zUf2`;JkM5b|sh&O;3Zw_0IeA%)tc+wV3)DK2r2H^ zv6E3oDLPUa?RIW}bv{q02v=cPC@OYmS>KcPsWR|M9Y+n~svG86@oq;ZyKtL8R}E&A z#s7Xt3_bortu~;c<(>Bl{ed1ZjVS8p^uvUt$WrOnbCEv_a$mKaAb^Y}-uWwC-O&GH zr#9sahqF#X_3a=L#8o=tnITKf_GA=WTRZ@2y2eL}A4OvN7ES-nKc|=;jsl@`{nYs1 zSJtHo0v0Yq4))5L3d>?g$mFThIBw^0xFZ|4qQe$F|FeUh?U1VZDJd!SA}7ePdrM9B zyg;|tqIKuM0xQ7J)#5nw@v_=;fAiSI#m)V;T|Eq$*z0&ZkEP2WfRg9~iocxYOwhhe z-k|^zC8_=s(^FwL0q3}89YHwQWHOIEgjkmcZm#JS6)F7Uu23)O6Z)trpvzq0_+5Q7 zOTSd?_&sLz;*Qs1`ZALbIMq4z<8C>or3Oqa%s6SOk_|a(LZ|CAUmM$Y!=LQYd%)SL zK_)&m+0k2s-zHzb?+B(X2=B7_V&pgVIXLeFZVPOp@y+%!9e@YC;RcDzUQk24&GRYY ze3wv*bU5DENp*ZbiP8Ka?eq4tH;N~uA8I$Htcmi*b)UDV;%p~b_VkH-t=a}Mm5P`4 zO3Q+e!l^}7>}D8()Ll+GMxju_P=IQD+Qx0!wS6qQ?yX1Jo@n#|xMh)Of&a2bE8&-9 zCu3084AsZnTZnJJerhsU&ZAnf)5w>b&Io)tNYX*8*{{jT5)65np24UB`lJFn8pqT_48V zQ*b;ho7qg^)F~PNMEVi;FbgkR?+K6{9ak)7ntUxO-{z`lpj_5kuQ!~rnBQ$F^wk#W zt{F_!UgRqGkQTV#N;m#XRqB&wmhrENu4{CIE9w-(Pp&i*yKJ7zLIK!$qwQbMxa)R+ zB&1z^+L?NteViye*3rPR9sG{loPc-hHU$yj1XxA!W8+kBGQpg-ptrTsh*6YlU0O{j zGfc`--_Mt+jvVGo@qpc*t=ah@-`WQykc~#9+c3x;WA!5bl%kPS3m#$;wBPkZL&rCN zk-8DuZQMg@N#YW)!obX9&soi`t;oT&T$#n#*0sK@{!tmJxp=AWGQ zk=;_#5a&bB?zmK>Ai`gP=rWf&1vWgUnUP?14p=Z|KMIXAW4OWmWW!+HTxJg@GDXr-u&Sup1w#qsb#NpHV?_V^cFYDx_niShW3;f z36h&}mcp#j)-69MA6rlGPEImB>E}$x+<)YHTn40Uh{VyU6#5N?DWTkH=A9o^Aah4 z@e9CbH76nqKFb#{dtz7M;2A|XMlZNOn4SIaK$g&quj185C9K@J*HB~Os-Wmr%VaHn z#o10e*(dqr*M6tZiw+sHUsS`p+?TBEMOF&)G%Bml)Sqt8_*+t-xuNr6*a7>OqK074 zu!`zCtMK6mJ2&Ge;-Y=~i|TRD=&Rq;NZ9H2-ulbrCgA4NlR7Mk%|y0@r+<3@;bPm{`(yv&*hV>9aPM$)UyyhH|83naJNZ)jQynTxV$Md+Q}c;{{lO#PyxPv1hxN^LM~HkDvK_TxUklQ{%QD_eaX=_Tjzako&S<{U`ir*x2;Y zvEb?J&9=;*_X%IB_B1iX7KN(ZKROPHnU1O?GB09HG8u?2wo0*ozQz$W+G8~jtc9+- zVHY?mBGL!75OJh3uCVwTBApX7i{eo(8j(#VY%m{4>==bf`+iqOQm{*->8097)Z%g> zgw3xBw1+Q!yp(g=`u9oEyRE3r%|&BH!M;`e!+W6ZzT{`-QJ z4x`c_OGkmF&qB!OfLxDdPiByZeT+(@{rfo2V#Jm_loG$jidnTSR_C1_zI`Sc9}?$P zY5FP+OCtL3Ei2j5nDE6lacbm!8xNr@oG|Hs>L()XbM51*h?UjJlD$5V($3}mfFXPY zZ!c5PT~BmyQ62!|aEd2J+6MmoP*xe6OJWt4L?n^1n6Dk~D^9`EeD488pzK!(Y;mH^ zS394Ln1ULt7qWSzbY0 zWP)Ab%`9PAE(bOj3%%jbT*vA=1N7n6-ryQpXSNJ;qXQoUz^ahe9w66Fa(!!sbivjT zA=h9mxv(tzbA3yN@WC$nA=gMO75gz0&he3_OKIPOMhU8*TXo?Z+j2KGa$SSmR0E2I zI@*N1X)RkYESW(OzpgnnFLMGOU%)ODh2KO19*t+ZwQ{>)8ksRI?}Xo+0yvQy-7zeW z1Ki{T+>k7{X=e;T*4W`cy+J;`H3A-g%{(&Ce6Nh_fP0+^?RLkuWQM-Tfp5gj?J5!C zgKzYsotc8TFobVp7kX;}v*oc|#u;hDd-%DrlXCx5>csP2>RwgZCaG4w4Gj#dzI)k_n3RT%_#&;Jhw{vx z=j~+qg#}_bSc5<`^E+aJ16kULb6O%k?cbqo=3x{+2sO@T$SBayC#XI^+ZICH>-|Uy zgK3@X&rQOd-QtQSOpuIDqikujek)GKt>dp3tG^u9Gx^ z1QG4`!mb)m=?Sb>lohCDgXyXXtJ&mJ@UZMYPDG_-w+$LO^lYq#7$Z399<v(;NZ>}S7~#njJe!E>R5m3dn6>eW3dp&9kGRaxf2$G-6$=;9Qs}_MD)tk>x5#Qq z!sqerCqDLRbjWon>VCLP-a|oV@)&6D?#*x> z%R+Wucg;h`kJm>8|I}Ao6tzl1MU(M!qjv;Y6sJnI86M+ch}<%+M$$)=5viiX>%wJ~ z8+#!@gpWp^yE=NHIJ^ z%wqbry_%GJo9#(n$FaU->sE9e`5e5e;4nyo*zzIZ|D|#O2{VcA$^Vuf{*T8PoX-zm@DkmPfu)-O}KTlQrTjXcUt+u zd@K^3o)gdA&!EjeW5fq$Kd|JzV=_VCA!W)1k|(f@C^brF5iAp2Sq=4PaRH^?3r|Et zR&?al5=I*lk+dR*)U;`Si20|hQdFbnvhb))5%hoSNu2GIF%@ouN_H=_FF>jqukJ~G z97#d7`bchd$VhYJI>TxDN#H*J=_+4KZbt?RzG`5+&$i_6#CBtP`pMltKJM^Ar5T}j zJ!C8YqCMlRbMWk$oUe{(kDKxLvZsp!iNrfigMxEls#7n)X8pASHgc5Pv>E03D74<; z5th4U(uN_izfx)b7*K4FX1m^sYj2a>ry$&hWySfO9okKDo(n53vpbe$*FyR+JQoo! zoZo()qUGZJrj)grtXfpTUOu4EX_g%Qz z0<=riJeFvh$)xF6D>%2VIj_i+tPkx#{*a^B^qTL1~@s$-Nl&4Ft4 z{~dD9H;=!h(brF6G{xsDcUIRi`=QSHgISn+wQ>5f`A1Jj|HI1434994+%6Wwgf$oC zQx0FkUpeU>HcBtM(dQU`fJrV%!pIy@Syov%6nc8Pz6NeL54hN52&!GPPBQ zz+P7E5ZgjKcdnnJkQCci1`K=8;SxlN~p6Jq90&i*#0{;$*W|kye}z6l*7FmDQzN)bw(qOMk6;y zBe4EznwA0+V5J8ekZEoB0w}xdRYX$7h0Ta3)~ZZ!^lcQS2d+kx@6=6;$=8r}DXK!v zyU0=yB+$mKJY&il;#H3}Bw#WMP{9J;yK{fvBk1N;ej!(+odtw*zB?m}t-SGmlM^mU zj7*f19SnWj4}=$Fcb>kLnl{wFr5b3|Z3wVCRYrYP9V_qVcPtR-0B(L2;!|9kGeTS) zHkK83&Yc|L%P_Ir$(si$YB6q9Vk4Kf)$}l&oa=?+V{B#_5+&9Y()>hRqHrar!T^LA z2J8jB+ZTUsYKs6~I2;2%3Lpjoe^%Dvnw-%fyKrdf?)abfwG$n+YZo*_DgzhRy0>B1dxi&J1kFb&S^uK?-^`FhN zpRYo(?w>j!9EQvnCxq}pH)T6eYWpVrH{~}?dr)f32nFg#`aY~YKf`g{J3&#d06vXv zpd5g=4G=uy7(0+?Mc6PesBWRknjWZ7=phXxgxKickLC(vCD)+)ahyI++z@{)lCn@{ zISYuwS)hp>s|jQHLr+HL>L_K0*IrM-$GNYu7A2FByoWIWFc%E^9Gu;C_^C42W?Mr? zus&NYte`&Rt>0w%A65NFx2Q=UwpN0>>6_w@v8K*`&tk(2?DhL~V#LYVAP=BEl3PqBY<5ND0ZrA$ zv5qp+)hbiPXvi&gZenIFhUMEaNAN*rf5Dw?X8--mE>7Ea@bwGA2eS9M;t+dDWLtj+ zYG_fwXvEiO)PnfmCHqaY%>RK*{)^-l&zJZM8Eo_p9 z1c8SIfoB99m3*ho-vMR$%^M0@f*$JTj=x=OGZsNKn>dsJGqf>;R5FZoIGA)elyo?R zbU2KZI+&C?l$1Jzlo~v+XAdcFEZs3rGU6Xc6n~tYv@I^lhS{)JL+h)RE`X)E)z;H* z+R9e8meyr#?mjs(Zh2H*DX<65q~*V60lxv0l;jGDQoSlnZM6$=2Z5$j= z-yhc!N~#$~>USIfQ}bQ`P1bo!genSd$PX>9U9wx-PUJ}-|XXpvngJ@a~&>kawNY%Kt3ZmqjWw{ zZ8VqB%>?!|$WUUz{;DpEKnPD!x`XzoqxZ*|(ESPeVUWqgNqcy6!DU;i8mj*zDIeyiCwlE~7YA9+pggC-QO|_8t znT;8+DhTH6k4&EFL&C!9`)^g9JMSu&F7x{3uR8bclc;~cVHtG<88T$fX7fx!FdDFP zsYpyH8$FbOD3ky-lph;KLF}c1emCtHwDbJx-&YP*TrD={1{>pWmZ1f_=r!84kb(i=%>W=`whL|3n zm>v=5L4wgpi2zCx?maFth3EnRKXd~lbORyW#3lT(ZLmO0>x~Ebn_gHB;EhJGLCcyS zWr-;J)^tI4%~aaMs3#^i3wtIDWOsTl1H$*Z;bDkn*V>IH$L^T4D1)6te34nE8uzhn z*46r8^WFp~;YN133j`ov9%HnfW3cb_Zreb3^k`Y8!2?5)V;dO>aL%za^=_qlf2vx+ zVdd@znPs79I2q&IiU?WWtfa|8d40(CsRkZRu!SRC&Fhyyp*94-JQwkm9xxhFYT}L9 ze8p_=f%2dMcYVD#j{j9XAwM9;J|I-zZ<1+f@9U#C@8}?l$&uyU3W*8lKoz!&0;$5e zF`v>NC}pN`ZNb5{DdX4-E2-soA@ExZLWY5r_XGv#TwHuu(K_WZ>dAb>ys;u~KMQT8 zr&so7IXAX!`X^@s@`^-lO=MrutV1Pbv>GAj7G1ZIZ2+4VR~g1qF^7#26HtZv0*Z~p zs18NlbbT1JZk=(HlvknJOyYE+9~Vx|QALYGoq_N>s{(=VFDzAmG&;3i)1uU-c0<1_W~ z`8m5Y0>*QaT z5Feh1rJo_n%_qqoxbVP(5QLALD$eb01N2Vm8{Gzc&HIJa*s2dF~jUDN2&y*l0AM;gXYwB{hfl$gkc(-6V)@>WL; zyx9j3r8exQ#Hvy^RQQQHgo=>CCe5eKquoS5jGerSp}|aSxCv0Q(LP-~zJFm$(JGG# z$u|kuvvS1EKEWl>Zba*%@}rkCiysCbKe1cg>;9W`ad+%)&QKUUCkW1>HktyqZ^@Q) zqGl%sReBRWEi|q5Q!b5tU68;lIvwe&$=+}#8OSB#VU~wadfEUJ9=8p(p%c8V0N!_q zX*BjzX*5nUqSkE%9QE+G2Z_jdJj=m7OCgffh;uZ0<{rpNg}F5qD%sRp1K9U>iMCO_?K|bYKktu%Ot9 zR;Yxr$hC8c@YP+Ppb-QA@xp`!te}4k0`<0kbc0}k-+^b#a10XaPgiqg6TPlP)uT0+ z$ikrrNvp>QKAoDLsha84tM!A(;Gl%KriLBh0k0sxR+jm|82uQ}b`s07zY`J*>D~(nhx6?R zM}_poN2#8KeoJ{kJ}N=T`_~g-oMoOx7v2`~h|Dhz`;H6>ix|I-SllS3k(STrlZv47 zR>xYBp9QogiIJtK_{Stvo^fjzi#Dt91cFxn_~p3}uJc7EGRYj^xI+K?1rx=_Za9dz zc`n>l_|AkA~|H7rTkcYSFi_rGUUeb#%nDRPnE-@cE28gxAXrCV?# z8imm#7@yR|29j)Nb-67m+?WZT-m?puOwKa{nhfrh8d`K2=Ky_h$;5Y8}0!TE_C)uReI1OJP;&2AZjE^ghcn^i*gf2vKK2nK>i(K9?{NE)R8CW zSqiyF*7+nRZfSO^lhH~#VmmY~=;;4Z(hScgi$HISc|*ly|E(*657R)3MR>B~jxFXRbxYpsY0$yU~?3gHSADOGGWTV3LE}!)tv4u_Qd@5fvPn z?`Sj_PEc(o&`=EpAsBNa4apb|hFgomgzJq0$nDy#^U#^W^hO!x!}a1fW^F2ls=Xz8 z6bqX~It+^g>vjp_j7|7RP$4n#lXPx_Dpq1*oFZ+H{l!vMYzmGV#W2)@`NMhVGft$7 zt%P{*uVfeqJ+tY;&eZ-{R~82p^7M3=7#wdrK32DFW;LvJ@Ex^GpPbtb zXPbJvd;L0$qWOpkgmijuojaHDk)-9s=rEA7Qyd;%X^DL%Ze;a%6}$R8IF!_fUf#KVJHQ|Kf;otVP{?jH;O&iwe0aAfv;yGGJ zPFs^4oA9fIyV)|uTM^HQXlccvE#}56NAfarK2#S(No|W z$MdI59p zXyJVeSO>1>Yrto%+uAc@?*0kz;Ys4SNy6|~ht@o$oJgnCqJo)2tSc<-I^=f5Ws=u65k`IL= z*~mvousH0-K0T$=IQD0$!gG?xxxoetzZYly zdHty7r$4UAiD}<*@CiKoujev^67fcSl6xF?*$Qa=SQ+$(XVhV! zv0>1Vz_QV6{!*dhD%%BZDoIkgs`DgTTyl2Pmg5B$L~XkoyN*Jnylrd|vXGqh$lAC{ zUp-}ufd{U4;#67=qEPpm$RYs@dN{d1W2e_m6ez<)XecaZmF}J=qH@Sd%-49Y4m<@o`f7zE1i1x<= zLisa#!r@MnZ*x5tTMG&G$cioRAjQy-Ld{s}Vqdj#-n@&QhrRVXHhcy{WSK5`3YSTx zLWahBy<^U=V4z5oKnBFH0%-TJXQ99Gm2 zse4KZ#lhr%-#qaOAY??kO&Gl4okJHZ!w6@sZmL@YI2kXdEOcK~5zym)!b&zF z34Nnj8I0p=j-Em~X%TLd$iZcEg@eV9;1H!?U-Z>CTg*+kBt?qfHS~IdO@sd3{gF1D@1n23y!hxXGp_b}XpuYfdMbMd1O zPA1i*p5tl|nLkm+GkR>~)M98Cx^68IlH52enCFO?X!YQc-xgB;G z!}M55=!MGDvrz_l<2@Z}Vt`a;LJp{Xka!$ER;<#p=(>pjVFl@G%UL9O08F zO7w`Fz+QvCn*B5r-voo9QKkqUPfD6n^U3Xh7r+K9iSN1*Bix)wuaLq+&t1Wp%+9%p z@;!(b;o&Bg1f5tP-KCz#6>0B4(GaUNlD^F)kGCU6`k#W&72HwN{$i0!Ud6;wUs-Vu zJt$S7_hd4G4Couoj=;FOv~xQeU;2`nTc*92A8Uzik>TpvF5+|-2Zl)mST7650|E!bJ7m4CL2( zB#5$Tl?^jjXu%6vz+;Ddq8=9mZ)tvlyP}~i_gBUwcT{X^Uya<;l6#^NJnO3*-iCL2 zf)$e=(?d7;yLcZn*6nLy$Fwlz8Tne=@i}Fb4r?lR7h>qh@@_!kvr@V0K<-d=Km;K? ziKuox)l!7%sb?xzSwi+Y^ZWjlNH6Qp&CXC9y1wmuW=%zCEa|G2>2ci*?>cU~z55}r za^1ckyuSJ2j?bA)iog8nj<@%MW@sbB)qGDZ_xz}e1l1QcXXl`0N&U044@5ZvN%GLj a-IPhjH2*~w#aoXkJ)Su+$^8!i0RR8nKBPPV diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.idb b/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.idb deleted file mode 100644 index a767c09c290e5dfee92fc04b6f237e48cc695ee6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11913 zcma)iWmH_twr&z2BtVehK?8xH4GqDik>J5SSbz{9xHmL3A!x7;f#B}$P6Hc<;O-8M zHQGqykG=1?=e~2_pEp*mS+#18s#T*#)%VRgtB4*wdQ^h@_(8fnJW>z2j)k*}wSzr9 zkQcxW0MbjjS=)Z5=i%j{=jP`E@^JBR19|B+Eu7u0%`NEFOwDcRf%KY+^tu*i^fI5V zT_0K!=ltE>qeno_f3ipPPyQ?a0r;;R{1};n?Hw_F-_t7aS~`5DD5UxOdo_`$nJlwO zW2Rb)4E|A#678k{1~ZsiR%l52mwj!>@XWg?`GecL;jIl(r~TS$-!qZnJvaC;I`zzR z$YrSEVnaMW!G%!$c?%f|bxZ6B3=Ea(Nu&G1L4{&t{3va{k2!CyFoFcGX5!i-m5B*t( zUq$E6Rp;(h=MGQjF8mK2*A>~@1auNi zOsP9Nwwt0g&Mx_``A`p%L$)PNco|yaIAe{mt0&Z#MYzywpEQMJoP}AlxNJtksr8Sq z#BuuC>#mMaIT9EfttK)zUhDTo!kTE;KATVQnp2kvi6(m!VHYtQ)PK#J^u7tlLCY|G zO||fdxhs(EE(Rx<6`*mPrwy)JGb8nt4eTI|%STtS!DXBZLP2@$M4|m!H=d+Rh4qGA zdD@*Afyb*Q?fRr*r0lF-tfgvr+Qs>L#ueYq^t+rx)7ZRN5NgR8y9C8c> z1nR4op(qkx?Hzp*50)uScsdfmc9jo~W?+7~&25xZrg5X(CBmYkiKnr{OKQoQsw1r@#Tm|T)&^XdE*1HU3 zCP@})8jG>6yY54W-T9}6p{k_oB8t(&!K@yiI0p!;Cd@c7VEJVf_bSO{Ga``;Hbk1BXjvpm?o{4VoEm0BqgW6=y8g zlkOtdT5S)V)rJ@07-)&fXw`=*a^<$$haQA>k&2NhvBYW>=bZQ!wp)<&vmpp=m`O8Y1g_B`im1vu!9@oYD4OPr3;=P75tLpiTcyGI_PU z_EQS5-e`tCobPGp+!K4X&O|@%sb_>XPeS+}btV&-MPf6O)UlZzNQoi=-uO)l}LmA7^ZjvD%}0TNGteXhu1vooWn3H zd0g@3TSjQSraJaHy`D)1Zpf8HHNpGq2hrIa%!ynn_h=Kw6O*ha?xg-E7_eGiC&Ws9 z`gD|l&^<(&0w0p5*}e+>w*B^*Nat%j4_&k>Ztyjh6!yQgdAtwW?<3DU7ckfKC10(r zmiGv=Qy+*t6O)|rFPHnff?VXSVE}_`FkL_g?LOSmfYb>@*nRuR3G_1vEQs7J=lTf| zTJ13&G6}N}EW|(3LsF?bcw(`c1>0vY-;;$1N^D!VI6QdG>NaAvV4)MpG;*U9s z^i+thF*{Z%yP<84;O+_sZtB!C%FOU=2K;x1#V6*2QijkBs&n$74&x_K?5?KJ3rt@k zdrh@waU&CMVjDYH*v3WlY5YF`iER=3m!czRfef4LQ`|W znKl9uLU(#(?XzGpb<26ob_c-?f4WPF4U8yFYBN~<$5>_$&lqPT>Gc>PspJO(gz?|Cqo@XBvnAmr{lm|yag>V50~h_=emZGrX& z9n}9pSYp3#k_h)CT*vMdd<5+N%QJMFsy$oKsLQ-80xSyp#3!@;gZmWIl2uAKAcI{` zUy!izyEbVNyOd=oHakSRXO>M=&Z%?_M+RB*lK{d=_)l_dU`RC&sHl5l^9)-@y_g9s znY}7h&qAGwK}Up5^A{>qm$X7oO7vWwS#rj&9K#g59lw+IYuSoJyWa@LT&_+49lo%C zIYtIASa!5G*o)9t;W&}uJS0S}Nsa7}z#q&P!6&P7m-K(I)x|@cB~LNlH>9fruKan_ z#Je%OUswso;DQb=oL1o^Kx4vg@Z)2Byv_$niQ9<^;yFsDctv4{rCJ0IXABrMCfvt* z5La0$9T~=Gpo-)KL8dVw#BPQH-~Ffqztd8Z&|RLFdTdorIK;JcwE_D$IScm8KgeI~ z#>&BNwFmwTL5R?(=u6+_h~+p#(B><_{%cB=B4!-0mn! zv5Y-Lh$=v=_YceoCKwJGVL0(ai@GSF!=8acAkQ3bPq88She5&`t^~Qm~ z1ETJFY~TSG?pmtfHe-l4eM?n6GxgPD2HH*!GWuN%b-=@7@MaF?yu!*fQEwMh57+G6 z`fWu@z(C92>tPgwp)4ud^9jBtObYWNf_X`vZiUhxybgh2K5W$+`WF8NHtGY;dV@gI zU~Z&@x17IV^;@0#yim0LV^iGSvjKld@6OeD53%vvyv8`6ut!HrP_Zj5xhvf>jF-ZM&+_K_#+m%+=9&yoU?*w^M}nF*mCuNQUdKM`%c z9h2-dy?cye2c2ikWKjZALOclZuQierzJ-+-evOL#P|w6r8YhokLNgYP8+>c@?VqA1 zPOy)ONfiD^k!%s%U@u8zu;c^&ixcr%y}b#N7A$cr-+TK9%fh6y8G{$MPOXy?e-*Di z!-4+lQDzDK946ppwR>-zg5bv9>)pph!M7qIo+OY^j?nJZ$EL{N{Ft^%i7=Wx64}fMM^m>K3?@&dsFLSwTp(YLWD8BAs5?A7=w;()_coKIh7{YLz()} z{one)i4lw-F|Q^qJF1Z$CSbeVySyT$7x$??*Y#-ka{@;~w`mrgI$NK#xf<%<%gcGt zoTs^`3~w5_xLy;rwSAOlzLlMk$9PQ&V8pMj59f~G2rPH=oN^DMv*W%gSN=*Wo9ISi zVGu0C4dnVGac#me+$hGf+$eU=5Z<3pcWF{x2Ow};=Rul=^*`%>#8%E>i#T|t`<}Ki z>yhwi<+9`(ikuEEE^01DN5%S^KMvGU{aX<-EZmR?1>9N(B$VI5`(@~+->jxErZE(a zX|RP!6-9KXYivbbYBlP`VSm4=biS%gLntMh7eEe5y}o^&$8T@%@W)Nz4WgWf1?VA* zNG~cWYa*y+qXug1~#^#|!KAx!j+*|6b_{K&iPVUwEdw1-P66;8XUn080ejmN%Gyx>GoC@3>~AJ%Q=&Q+`*bBA0L-|(^(V(QY z9-jVNGMmyZTgTla;Kdiw$NJ3AMAo6}*f`zf8X_rn;(qCe-2~RgdBdPd zY@<>g3HEeS^b85u+Tp6q_vLD(Ym|Gh$rl`_GL6e?L_;)JEq_CUwyh)y3)ymff~c+5 z{E=ygt+!RZb)bb+dD)J0QnK&oj4&02-W-#b67m*hr(Ka?<|r{K=8602g_?G3-sWll zAQ&QA-eQk)yoW!=&G*iPw3ml}X3I;WsGR6}-qTBYR(etz<&u3bRp^yL=$4P@5~y;o zysgZTe^w%Z1AJ6y8o)XvIIQV+S=#Hft9n-`TU8=OO6sPZGOJ|#F0jOD<; z!F~a>lQ1jfi}`BfKCEOq`|GhmF_rGp}_ko3*HzwXNS-zqHSzQyr~h|Cd6;QigU}zN#Ojx!gDUhF>8R_(-aIy zOO_rEY^HDsxVEpncm;I%IScJuv3v1kpS^^FjIsaEvIxwwO;unHYJ3C`VE^Ulz{}@y z+Sowv)gRLZ6K&#CAtG{XpYLA)ljeygi?*|L#ZX8V9bG+#=2B|4nh-_C1|K%2!k~mtl zzl(yeXoOqH1U}BK=7s(|o;?)NZfUuZNH*_~@y^_SlPYYQ&f53OC6*|RSuUo9w{?uJ zs_A2?FLD3HfoyXvK{L55n9~-~Ccvq}b$0LOBc^#$p}W-FXOSS?6mfL(^(1}kM7*(S zl-DAWjfk%)@4T?#O>)yB+qq$*x;@IF3e36fp&ZNm^-A(kSVp(2DfW4Ih%j_yzToFP z0u(BKo{Z`P(0bK7{<@Ci4Hn}gJr}OL)J>hW!O5Z6=r+nDsb*c6=~^30kKX^`%G(|~ z=%cpdxTm#>OO|_dE*=xd>sxnN;}SgjRQYdwT2@d0bd6`+It;JbtRkfn)txSTb$mEc zXfujqg;o7uAoll`9r@?;IS%~lL#ItVm`LfP8i5U zVkk+vH|NSqRW%7k)y|o~+oif(zoxRoW{jR(Eh?2bCV%1Qd*M)SqP;@3ezZl5Hkz|L zwj@5H@}-r=jR1Y|G5}rsgeJr*+@>^Mv$#X{hWA{5I<9Am`j%sjX}RbRSbITbYD=Dx`BBD1Gm)*X1&{&R;i|o4KKERu{OuST9#B?lsy-|KRhI&GmUNN7Q$;e~PA8)GeQ z2VjKFY`m|s_AlDS>RxCGYixia_GJ3U<7*4_SD1~V+nfM@N$V?H75?(KeE1^t>xTo+ z)`Qq(`}pmUqJP=yPA3rtJ4b-g1cwHx`H$P_#mBJNWt7z1;i2X{68I&e`mnk`B{xp|FRY#~(eB-#1jV=O8dUxtrgPVS6R&mQ(a?xFRuEm4^*s=cfP#&HaHOVtwbmmhjDiW-Jkb`FV$eRqv4*q69`2AM6; z0cg43_=VS~T^_CpnjRtDoC+aY_=I7-He=GC7K}kt_S@RE1b&BsFD=|=+?zj+suHyL z5|DNGLF+t&jnxx5UlI-vtu0Z?J65Q_j3PB)uBoGl_@}iSf(|$rAo$Qr!lu4+#R7Vl zS~2bSP(#4)O0GE?--f=tA0jfXeWU}p{2c4sla!wgN8;`Ht5%#w>8do^j zo)h_s{1{ikNo@hnsrLehaXEvQE%O$dR=-+>4*t;MU*eSMyk@h5>4p%xvGVqc+HHmC zB4n;m(?tmWJt0AEhPI`6ho#zDF_WucN=0y_$s&K&A=g}Wg{#nV|Jd=8b+@-8*kpe? zatBn04R+gqUcohW>ouRk`ZZ~9K(WJv3akIv)drp->a zx9uo&X?eZ_ea2VTND7$7+{^4INc!wwEt0Y;CO~F1Kfql^9RoW-9RE6=pZ5Uj#tUiB z>cQj|!Wwehur>5#>(|fpr!JUH_aPcw@bBhU2J>cHrHKSv?M2q%{pEb!Wke3)%a{>| z?>iI6J?Hc{d6D5a&sz0@Oi`;Z)0DfmCgTni%)*xAS(xE2CmzZR9I5a`eeXd;<$=w z{$b#Rt}amAePFTg>k!TG@b<-*q-|W0f-eC+p{6Vu1rKm0@p!liwOE^L9eIx{XY!A6 zD8YV|N;=|#gpg^GU*wVGTajoc^0 zHXVnHfAE>HsizkluR)t{v~H01Pny-DyqihO6~Li&!!=i)@@~lE1>Y@_t!b{OVrRl( z;8Iakce#S%SlBS?=^7j4^j(=d$^EGH?x~iQglyu4;3{9k`1KojBj1~PVhGg8-z@rz z3P+x1@KYqEP!x4;ZZ=4mcFoOX=}RjG6;33lLZ43pftV2I{JWNWYjJS>E9I~EKgsW6 zv=Oku`fyFLv)tH7>)vu{DT%+dhai0^Q)hir*Uq`QFSic+cuqKtIy?l(^3ZhSYBBlj zah7jXMU&IuIC;7LnY8O3UrSOB9Pj37V{A~YOACt;L(EiNVl=H=8v43wIeidMr_Zd4 z&6(nKbDvgG`%)}Nd+?a7N!s(}*zd>|=pT@GRLl?_{$^IuM}=$7MMXv00aE&Tq0=g< ziJAk3+WVRp5v`~Im}k&2L4m!?M!wEFx9>lM_m_t+zbk601(Kd9<>fAUEL=?~D?KL0 z8RaUta#`s5wClW2yBwy>)ZbKv;)yFXNv-X2h(3??Gha;a*vgS}Y@=4S9=K$ot>9m! zrEkdzCa^#X3RfV1sSgrzmQmwdp9 z5x?F`sLW>jO`H5f7{)hxq&e=X*AmGyJYG>W14y@*YU8@0`dtl*5Zi5MByj)5YF&z# z3rX`H6!EIpsLBOnGejN!(9-I?e{# zfQG88%4KuNJF&q9K0v&5WKgJSOSR=&naIW0Fg!~pG#;{x`}w2IR-%@*r*7hTjed{_ zo^XO--NL{zmbSI0pTMBY>-nBVw4S`WkN{TvmeY@#TWgaNbshqcy-FBLCo6Z_puAw5 zl=8R*K$>UHd|n6qO`x{KvrQMIEKj&mK3sw#S-M`5sHc)m5hIUr&!RnT~8t#(O8B z@G%h0pj}GjoQbLuU)swWT8d7W!byaV0ZsyrCtcjWRqKW3flw=cO=46WGdMn98mN1c zsurVil}A5p4{|!Xbz7KidcGLJHZZRF8R+I(sN80EcwD?#HRGb|Q8_#PJVEgS;*}Nd(dyuQCI!RMJJoTJzo?$~?E4uxOr} za#(`q3mcg;<|RarPnu)sDE>R$YAmN3yX4EQGU*RzUGrAcBtsG)+pyndYA>1%qWALj zqQy(CegG9sF-FYhT!H9LupK^x*d{3fy zZ4#^;g+&VKT+*Ts`sJ;CJwul*P|aTztQ9)aW-C2;Rp&j8YR3!oNDf<*3}PpD^WMVO zi|#%fr%49MEk*u5>;H!CIS>CKE0e5T+ypLzB#Rh0y-GRp&NPa;fz62Bkw>1n{oZ7B zn8|di3;`L{29Xx-LJ6>6gQ9;X>*hyxjA&!t ztV3HMKFoDQ!|bI=Z*kQKefrO_KmKvzem(bwJSjDjtU)D)YI8F+&yqwn#QLvt>{rheRpX#}xNfBx9PH)PM-Pmqq+6Fjn=^aT+A zwShWIQYl#(Vxao-Zi-gU%G5<}cd3-dQsMH)j@Lcj#)?2dPjO>M@ceYPbj<<_n>cxs zgPjazA|kJ*Aoh3`s9C?9#a?YQA$*>aoTe^!KPQ)O*NW}EP%FFEEZek;Oy*3wXkbkV zSDmwDw@BS$yrn+lT%9d9&`d3Y63Hd`bkrw%Se!WApmSO%eI_RU(?UQCl7RO-uF)fA zi`raJ(Ti(o6}yEEvU%aIIbQ`#XFZvico9aIk;$p)MeO-e)V)#Q?@=Lzx}o)ZNCBo713+|OxvzP$w9`Ph`!QB}vgb&9qcMO_#l%-h^~aMz~W(E3X9}Fe^)QVQU}s+4}iE;*7Q9&2UHJ;-hri)md0lmOkqDt8+dxKdQg== zOc$V*;;$Oa9$kE`{25C|(JR*z-R5`%Y*KvfJYw}oI(v$cQ9E3I7AM?L_R5 z?(NP_s!-zUXKWU$h2w+BwbTH`;svJr&nxm*mYzS)s?C8?SLsSyf^v-H+OO10tTLZ# zdz{2N^KTemkzK=F({VDoc4WnPP0&6?SQZ_EwlOwlsjxv}P|-KetJCUhhvOu1gZGoc zgX<@nY#J+#E`~b@JXu3NB3;SO$=T?XFS>jEHMk{Tl7LX=?UstH7mF%hFYV&tN*gK5*9@w;j@ zJOaX6{otmb1s^nq48@AzJryabdSeH@wsR+avXSKL;tK2rR}A{PsWg0njV5yz`WucR zA0)>&#biyS=wcC9tRCulE06RK2-Od|PaE+QFav_fPL;&bDM_$X8ydU3IpI2%qznE}ytgvs=bM2No zcF!YmfDw|y!t$aX$xl=9!~A8x zFE8}E^>u6G5Yyh4&Ou&wedpfnDz))K;ClficPYJF*ww(qguM`*hlu$s<-};fb*e>u z7+m#I**br8`*CuP3IQMi&MVNgXEPVI%kB=a&1AF;cG@>{kJQ0ZQ{` zhkYPWq!X2pd#u%RI=pb5&ZTEx>Zz_Mq&C%~;rN^NQC>%K0O`aVWStHE!_kS5{*rLmumGgYlxC5I(cFc%PVD zmH3a<^uDwv7>jd|5sGi+#xpGORTq}aY<$j`d;ievLE3O%Tb-rWuhtqp#n%UmHm(6H>;WVha}G}t%BxADY+SEKbylru77j_#%Jn~^Z; zeze9lqE4vx1KH60UN);G%q3QOlD{Ge-Jr5S@M6FSQeUPqxj4tIxXJ?{Mw zpYnY9$Get%n@b4NxqDy|yUXPq--$JC6E_@;m=^y}XUh+!?gvAhO5Jy^nNz1Ws?tS2 zfzVBY(H>Xht-6$Dth6V@wk-fA`3Yt_uU&PX7-S5c<3u`+qrB;es z`~EO%Ujf?~>xmynVHTA9vT&lO5#F+iM76b-Ttwo}az$3WlIu z`>UVaGhv;+4iwKG2`0Jvxn_jm@R83TVEw{~f>lhcH(prj_ zsk0L5l)|t1kMAi&3&?v~W^tqC#`J1qUgPLFg|-gIz=jrPdyGN1L+bz$4NVm0(p?w5 zu;@Ns9XsgCVdrT5yv6%eO9lEb-&P!!SD8$mOMD`>B65MqbKDA|)*UhGo{nT!rBYGd z`09C^R^(v!Yd`!Q;d2|+_-)h0r|(=Xpw@8>N>ZLE6j?SB8)|v&iQ!p#C~zuQ1GXl} zvZo1lgneGmw{Ar7*n|BH;8!R5sVlPqnaR>G_n*ko{&vsWeLM5oq4Fmy&%s^1%@6mG zv}sT3I4fR129DwTw5NUkBK_#beSpIC>&>42b8nZuZkJ2d!}wlQ%3C$MI&;yH0`6nD zsJE4W;Fh;Bc31R8;H2U7?E4UrWkA4MG$P|CFJyKvKIOwbpgXdX@A>A5$ICk|Ov-}0 z9}A<4+!x1_iV3=S`_U01I4-f&1&1;BapyzWpU%?UuJ9Q$DHliYMt_ zaMjxJ=%;E^hVPTen77fci^{b{2~o664XpcArB3d=yM}SBLFirL(p!V4jG?gsWDN7` zNjY|!ha&a_*W`*Hngn^cQl2dX#;KtU7p&JWmnN4*^Vn0$RH|)u)$Q*m-4bXMJfn-> zifWR5Ov-yT*mM@q+>h;qb_XSLEX6GsT!B+tTH-jGAnToM*?HPeu^SUTUV40tQw@r0 zJx?$}n`P~O7Eb=yJxK8RVBb42tN%@SUeEr4a~Tt3z2^$Gvz}vOldDC6T(+-xp`=~? z<|?tF_l9?&-c)-?2cIwc@g=|kU37{jLbGrM%k?RTIS`ec)B~;|rqCaqP=xJW(nOyy z;4A6{?eAvsLk08PDx#kEa! zBTJ_qd0Y$+?Z@b^NZNe7NePXK*m{2J-j8Y?4Y-h+wmUFemPcDYA(U#-_YI1}MI~w* zR#+vu`(QKS3LnZWwVTrQ}g)TpSMtAPnKwW z@pY|U;@0~5bR$siS8Mi*tB7_-P%@oX4!KaztQdzCw$Ca=(8^VCr#sEr}_B^SCImTu{?wQSSY&5Pv~yFn9a8B|0{HIW6O$=c~r7L6b$wb1``|`I$1(B!)+;fq6W< zhIrWUdLpSlF`ntu&h*d+Udvhe`)YipO>pO}{Oel5adOqq=B3sNooS7sZr09Ui97J) zc6c*~YF-_e6g7OHtV&ffg#9GfZ3tsb9ryWqD9$6UEiXd}0vs~q2pZ{MjsKoWu`&R% zMLx}$?PNUEVZQH%nd~NUyuZ)-Uqms=l1{)Xo@h`Yl1Yj#C;f*Xr06uZr9(Xua!y~% zv`gZ>d)LD4O?viP24m`P_IS{~8L0i6MRaKXAIezPdZ3J(q_2C851iA1vn`GDFQVyc z`r!9Z+ieq#eOe;%KC*FUrhCAe7Hj;rjCZ zJ^1mO*~%lSr$P0TC8psLA76%u86~f!Q2UFetouvf_){+$*^N1$5Gx_SY2e`{OAJJ2 z$~2LnW$k9I>n`Ud&|gArT+g^Ef=MUJ5lPkF+4P-Aw#cwdIOs%1+u z95c!MNMP#vq%T5aqHB`=)@O~z$NMIQ#;+wXgnfo}23VPn)}Y?SnfTT>z+Peg`KgFU zmbK(FTs0z5%-dU^wT^FhKRlf9oG`I-*wqsKBwvIiP*8vS%)JGZu%_pH+L=y>S#VAu zr7_&wNB=0s#3ezcC~7}3O5%JY ouG0001ZoTZUbYr-%Th2Q%t4tZbOq~en;D2(A?;4I9?65A^aUDGT{ z1z|tlx`1P-AozOE{l0VI&RXNEW(zGl%GrAUCSJ<8?hL~z*pqdt*E!=cXZRkZ^@IDH zzJ~#pOH3zE3+3?JF|;@XA&ZjlSO>710qa=aBlP-f0m4 diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.logdb b/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.logdb deleted file mode 100644 index 626799f..0000000 --- a/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.rdb b/CPLD-old/MAX/MAXII/db/RAM2GS.cmp.rdb deleted file mode 100644 index 8a93cadbf11ed2e0b27d71184a4416b12d1ad723..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15262 zcmV;PJ7L5T000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D?t0RR91008$n00000 z0071U00000005pl00000004La?0svDWJz{bHL$?8*LWWLgk6Z;*_r9tovN&gx>c1-R%UHJx~Enn+rkomjEn>lwk6BvANC)xgv<{lgg_ub z;_(NJjAR*Pw6@F-uNQ-{z3buo;&J2LypfNp>dNlv&8x1?xN%RMIC0{{i4!MY@4x^4 z`+tJJ@8WL?&$Vk)FX5-yJUOk^qfV{aP`mMIv(@=Ci2dr?+iL`p&$aKz+jo%qkMZ|M zJ%4|Q_y1@A{s6!HV@|*S{`)_MpC3bRpF;Az+DZJ?ZrtuDgldf=RcJ)@vv0&LooRWm zATwn68vpyV_}eXP=GV)A8liS4!v8-Aj32@8Mtl_2)mF1ptHyZEJlDScr&!3-vsUfs zxT9_q-%z=gmE3fGHaDjV^-kQ1RI%AY!8H%AKWuhes@slL^DyxF^|m^Q+qI*H>NK16 z_M58SJgQaIVYg8w2ixj;)HqPh&T-sQ?dDHLCUQ0mBFJBXB~U zwwgz+=tT3l30i6=U0cZ-*&9BO>ruPitk$AVe4q}R)$U2$=ooQ8x>^IdoS0V@C4Tp` z6}Q{&P);R`i z0d`U|WqlK6h&t-bRelZZ24b?g-2}qZE+oVV#dXPAQ+0;tZFLegx`$D<(`|v5`)95k ztaM<}QP(Xm$Xm!k)CDE2+BeAiTD#M#?RPuPR(nRNoq8N0!&ZC<39&rBE{d2GO%r<9 zZE2Z1h&xfO-k!Ox+ktB%ck0c@s@y#}iCSlw27-w1!{0SDld1oUrpmvk{{n(Yl~z-K z0Y7`t85HmJsCyK*^_QOiuKhS7pl+yglD{69$?Nma0SY~PDkJo9hhh^H7m9XTZ|*0D`(O+vP!B1Eut-7R6Ki=N-ix%_9?={{Pw+U@U&U z3!|#ruAD@tYI?dAM+cR*ZHX0a`Ft66?)3EIR;?3fz8oGZV`ffo7TzwE)O6LpUw3E^ zYn^^*k@(_xqxQ*_;DxQ+uSlACl!T8Wq|NX)te)3Y`K$p`0%2p4Kio=l8REc>nPULj z`~N%e*EL=Ydc2N*t03>;JSROX;I&Rj3j5nehC@|_rY zqGl(-kvQ;MruDPkI4i5Ku3}@MHu6meG=)BRukOWo>($wI6s=}KkVCXS} z+e(X9+qut{Fc!RU-f$d2agbsM%-C^gAFuEBxXsve&uN`t)Bu39;Q8a4a@O6Y~~ z)qX-)+M#O~%(A{ODRw5g`Jb+@Ykv;^4Pw(!0E3I__YDMt>USHi&J))fpzzRp^f$9f zl{2OPr|G_4MpUucXu$DWdqn9h9>>*p+Emu7w{PSB)B{RP=l7c?HFai?EN9U6;}6G* zW?(dfan;P%PufSqM844vZ8>W)pCbo$?`Hbm$P&6@cGZi(7 z7|sETy>`*)0dwL_)a@rQa{dA^UZWb28Z6xyGG8YVX>LvU@htGeG!2UX_sCd{lh`}8 z#`HGEl^mB*3(>B1ECML-a!w}k&YnX1tyf?-Lt8qQTRPMn6!kiPn%b8rWdO@e?`A;id z$D03QiY*j*%G3%}voN*bYwk6*{vt9<455ravR~&Az)8mPLG^R07*<`Sr5Atb*q;A% zk%0UMx%lJPhS-wBJTkOWCq0#!W@l%e<;A2QdKUVjNxk$KlOGtdgo43T6MHremv`eM z`ixqt%#}XS>eay`Zl}6`-RQZG1I4Cw^1hqGf)AMod72ToP0gY44wlrx4UrkN-%_8u zcW3h!{wlRbyYo5nH!z6jK>HdsyR_`J;<$oHWCO#1tfQ~M_B4rYkaEoS>9Of~PLDgr z)O}AfebQdu5jlzq$3vN+B~fZ{!6NM0ekL7Fm}_+C^vd(7?~}x^8b)Q7RumI%PZ%~# zU?#0<(yE4Pm8T~p=r8cFlc7Hyu96|W%wGZ2H9C-n$^Ci1PmoS{dQMF-OvEN4H4&+a zNDXTx=lMQqQIi%mX;J5CF~eZL$6FK(Nj%QSal3Xl<6D~<^^b1e8)Q>YVe!?Zmjeg+?m-=x=#!t8;xzR5?;Zi@&$oL7DBscnn zCtT{s85uv}lH^9e@bkbWxYY2_sn2D)eoYB>e3+BGHk#3a-jr_6#e~ytuedGTjz589 z#{I`#ISS_};$TRgV91T@+_wEFZnd~WT<=VWyHBIV;eOmqt{r`LvpFz7it0EPQ~L+) z_Hw(y+tX!ESM-w)X0LpEhNa-6H_MPbS!qvc8M`J(^Ol=wG7E`AX95VuPL2fVe;mQ& zNPzx}Ila_z<-gguRXoNKPj1_|kTC;mQ{d+*HIzxV&xF33RKuhi#EOv%h=bK6uqL?O z68n8RttL(Zg+&n6`|X9`tlrRLMKr*-1#z-_XLtf&dW4~T@KyAe5VYsCzNWTep0(7S z2#1mnt}eY5i~sf3fUX682vw6lJ@d(Y@CD%dW*$0g3vm}`o1+9~6Zzmm{0>rPclMCJ z-=i#Xx7Ork!h?w%OxnUkA53)Oq+ghHhZB=vVirwI!w-~sj3$eRzHQ#Rgah+&pee7R z*~M{CyhdfnF;6%@L!X-bEvWzAzyEFy#+U}kNQWdj-y7id^QF{zuP40UN8U1ob+I^0 z8K)=n)}gBEw4z2ESK#2PB^=kz^Hy7`)onCb2%djxUCYrCy?o}lSj5_MHgP;E4{PF? zn*eW`dxmbf6N+$-d!ze?!fib~0={{8ICFjP7)Ri1-@QHWStm+P?8G^&lD5;Rb8MXQ zxE6`G1A(BE)O9?OJBhv9CIvB$vjzR68wW^*FTzqh1Q!6sI_DFr1Y}ZuZYS=^O1%Aa zWnRinF?t2AnoEUj{Z08*&zfE4#NK9ucS0REAGbC2o#v^U!?C=b;~Q6yndo%3U~5Q*hg7o`T~Sb0j{IjCjlmi2%+pWdinc+wNo5Sd}# zUqw(J6@_z?I;}2mgQEiX$4{vn=`TBRi9eVadYO?gw%9lsFfLJN zER1sQ)6)?FUSPRVxUGzVvj(Gqv+sRo`Lwq^D5Z&M#|jl~=E0@G923cpJ$PMhiewy6{RhIeosD_)$(9tF^zl`tyf-p>v za{<+Uy@}KPnLJSw`c&k;6d>{YzfAA|1&sbFVjn;OVJ=6(?*hX=AesOLPmBk|Kcx+N zb2S%EcI+B9>6>rL-74?mIqcTewA#dgvd}$JxkZ&*zBNC4E4QTbv-zCC!PDrzYOah^ zxbSUpe)=|Uc)O4BCQcN|wXJ1VEjUr`U@ zeYIvTzBLJ{6L+!2lc>~IYIS>) zE8lU_GpIM{_-@WtHt)P6ZEa^WKR>&do1HB#m{`(gN0yt|Y+AS6W3O_jhC!==;&IJ= zWlf*1nOj^|Hx-bp8)|7*y{fDY!@jns1?cM&7v})Jtf5yt^vel!rcF#ynX(G-5NS`d z&|PK@ICCHXjrTJgRhH($f!A*x1;9P}$jju(n&dw^Lc&-rB1a3%hFuf=1yq?_{ydAt-{{D-L*<-RYU3{L{}E`%X3S)-2Bqw+)QpUzmi*+Tg;)N za*Oi@N+RqRW-DFWD%{>!tK7azG&`=up7T%s!?cscdegoyy+!_C{r^uxVM#S}JVp z@vPUa?JXPG4~2}UbU1YP*LKUL?JdOM`4Il7mGn!hR%CJ+rey;~Q7LYtj=pkltGEYb zmA$pi9gkz#st1{_Us&TSZS}<`8g4%nGX9I8VtZ$=v|0Kgh|qSivb(lkDzkcaHVVbH z%{9m>s;NSVt#v~(1&~|wanW{Nm9~>Xpw(tn0b9Jj+^RE~oecA=+a&AFdmDQt7HSJw z%gmVKToT6dsi)ltSw*AY*(j~;;wv6v&DmO{+~$T==_>#-EqHb9P65PLN?SYk@c%Z1 zZhak1WVf(qC5#Nn_K1D8w2QZG*V-uM z@Fe4m19PzeUhzmA{ldh(3#3W2yF5qOdz1@z)+*&al)SQ8DBdl}Hg>K``^!Z3aBJ_b zt^3OAZt4EoRz=6Hl}lxO$1{?OkvyOVKu_DnRe`>5*L`#5E@56dT7X+aA2{K!6~r3z z175*N)|$%R&t% zD!tj+Tl{COrIdhDxaQG2Zr<((P6*?l&p^zXm<+;&`Q;Eo3(l@LxgIrxGt`Pm!rby8 zBH6d}b;f7%=*Nh;rTGxu7M$%)*GUH75Pd7EV9}%v%F&DXwi#8AahENwr)YN?iLpty z3@&)~B1h8z5h)9o3l?{rpy?a&5P@f~c#yTYhh%!EsDZV?{{WZoaSC9`M6r4oXDky4 z|L*c)#dZ9>(s5aQ0pYkT$`NGavRU-MulIa|n*4J;sG%hGABbozvSekB0^U3Fpdwzh zI^^Z#`;!}MFwdj`wef<7g0ZDD2yaI3 z)=s)7RNl?UPW#iYvQ6r<2=dAx-M-E2CwC%EV?Yq&Zdn{#)HwzS9%5q$!fPY9;tsbM z>3H30HHtl4glVG8(f=u|h5dH3-o+@%W29!;sO{tON!#%-i&n^1|N#LySf3Z8o3$ZFX88W*Btp9+g*lqr<#X~7e=@HkfMYwPgC*$7c#aE zrq}hn2dhPpa|=M{BgfpjBr^5XP@B8U6cEIt>Em^`=Jgz6{u)v!Jk!&-TjFFNV|L!7 z1aFd_18?wdVRP?=!A!|owjCsMu}PCz6HecH$oz^4(@wOIflQ)69*fH+r7yG(y@^l8 zQE$Cw*aJr!2e-nSt6X`{>BhZ1$S4d;D=$9zr#vz921Ffp;lSkeQ6^Isa={WHpy&ES z&s&xV===a^+w25%E)2~!nk8hmKd{B@OY1{yF)6mS$uS-gW=icLUq*zju7)1=2q>*1 z7i~5~X#t}a)+C(7Tx#J_YCU8Pju$=b*AMsK5l~`)N0ng_I=NHTs9lXPkKxgZ1h4o6 z?XyPpxYcYlyKPmi$5HEMo%=4+$yNZ5=kxe;gpZWY*01N04^dy4j>3^F#AwC#CZ9`y zjK8-U*X#Q3-D=coovA7}mx*LKn~W`;r`08{T|G8(T@bsAUOyIjV{3=)(`o)gtm(%< zOEr?}{t&+I7B}9)4;~hx2Uf@H{1z5Wn63^}Qj5++QzRDW=gbQcxj{z?iuvVd7Hz>e zK`cC7>aM$fSZyT~(nK`^TO_yehKEC)jbiTz-Erc!cXVsd29DDc>D(|U9Scn{z0Fl8 z8$}CCkDk+LJ)bDWNO04A_K8^VqOMG{Q!v4%J9Ri0&><&-$)Q zxB)9_R4q6^Ag@xRTJNFzO zl2Qz`Rr`dKt?Wx{bv;@&Xjlo!v}5Y!Eqt*wpGH`cSjYT$;{Mgk+50+f)qz=cm&r{Q zQidt4HZP`$urS74h-*$+8Aj@70br%oRFYeQt_!L*IJa+bu<^=Csor-IQm8+U&f3b- zW3JS@-96+oc!MOxrql~%KM|$ORmI#kjCnG8am>PXry%xrR!ywIKPntY1?yNo5y9aU zD>fU0`fl_nRzDQCn#pa+vBDB?y~o-#43m$n0U2g{+JVcf1^GWyI+S9lN&PZ@3@fuz zHRv17i-bqAO$|yk=o@ua4??{SV6C{_Hl(KNDD`p^02q~6=M!8S7+oAfd0FYRatuti zjLwmkLXvtR^ERM%I@EjHm=fR|jNU_F^x-gyI0dE`fe7tmOQ)sT7KvJcbK-iR1{&v? z!P_VLO^p-;v{d2fsDaAV z6m05+124VqK%8Y`c_W*P3{QuWn2XEpAp!I!7@gt=)TvxkFGb+9E0opF@fo(HfUo7V z26l3A){xy&G39_XLx0BGWZte%Ax^!JYL`ngMqllo){z}Od^(?V;QK-d-!9x-dNa*A ziF;ijD@eDKSsRO60Z!vOEG^g1r%IN3QN)yGqBU^N(Mxa`?|m$&PPo)HpQe?G7Q6!} z9*&AAO8TYejmCi%vk>b;3DU?CDYd6j6zR<|$)se;@T)FInXAD0QGFMVMl98@G8dGn3fvD_F5=CS2Z|;W~+o1C0GY#@5jIwJSjS9#y}Jf zYe!w)jR6JFu3~eY9j{PX?{Iq@x{xwz+AZ*59#E}u3-Mg=&~1QE2f_=>j=Iox*K!=R zYLD129<`d?#sNOz;k?RiIxpb5)t=Ww&P_3Y`1uZ7_|IP3p`p`653NAkZZB!djQ$NbGPCQ=`xl2y#}EOo;LES^+-r(S4`ySde%m2M?Nc&W#oEU8At?PjU4J3^L1G@xuz1cjq z*vNy0+1XkA;7PyTL`(=DJnYkhaFVF}f<>8nxq&Z*11@K1x2N|oSJ=gASH{dihhJ)7 zT+G!D(0)VsMVZ&&8de>)nkO*z9~)fwhDXY|48M$TJI)D_n7=y7z%*Oidup9+b*I}t zp1zIwq`_%8c-zHtJ`RrJ19VHQ0dMIItxZnQ+4Rl?Bqp;KUY zbNGZzS1uM-*G5grKF#L1zOj9~urX>vU6V%gcefv?^CcGL+$gSXjGQTZG)rxH`_5jP zRw*npOa);^;{`VF522n+&Z|vR973)m~gZZq&Z+b_RSH-)~2C4zmsr z#FLGo_@eiPJ#1$=n@61cj2~rYBU45%tmWRi*3Cr6pX?$lHsG@c_d`!TAgih zq=FKlmx7eQNpC$}u-^?*Bhm?4Sr!@21F3D4`m7MRmg5Qz^U(g`L~?YDy`b-rtFV;p zq+Y0AP&u5ipwh?s7??-y+z@=jBWaLfk<@INrOUcz&jlZx)aY)VD;U$@WrB}rV+-Q$pu!$X^X&h9s$ik{~7s@M+C3dc}uNCMS21k zB3F;;ZF8Cgz2s8+P%KRx0?Bu_>@vp8uzK5GIiyr8rmi7B2Wrz`AF7*IfYPq&M3XWd zuRn}{xv)Bc5Q-3twN5h=Ylu!8PwBV06!!At__Sj!{mbFKmBO1jLtzxMDK^-~Wy+9x z(L;CbhuFOLHf?Dxc1@fbvZ=^0`&PXQ2!k3n7p^f#+J0J>rr)@-$8%QBPo?3~Z(nAr zzMTZNjT&uRfC33BNmFiF02zK2pE0(Y-i%J+MmT~L0FSMEDQ*T!ss*NAUI9SC9U=6Z z5Fjg_z4J<&@j^zRmAPOu5rV_kt;>^{rJ6qO;uZ zwrvLF&bD@4`L709v>Gb|SM& z<6^IKERuXQ8xJfNby1Rlw(Ow%$t{#-7nEOMHe*^TO}E=mk+kW~K0lM+9HMtDV%M9fQOF6(I}^ zM?iQu!_>O~oaurG8PF$h5$fq3TTsH!TdGnAA5e3CWv{Sq;h20Da6BME5dS)&3+Qrb zLSy(9x2k5uVfSR*=YQ zELm&UDOkV5f%!nPu@+1((J?V3J6Wfo?w$JB?20&cju^w6F(T*Uj(CdZzA)g5@XUQ} z_OBTvx*o0gv$a?S%b?vT!=TmfJpdwh)?;f}bB~x^n6_22)r>F6!ZH@kE#NC|ZkO?j zht&#?L`%Qu3$!%RIZ3ots68}=i58TK;FS{1>RzoiQjDG#+!b_)l30>hC=pyVd=Rmc zxiWk?Mmw4%pXWs8f@UEyf>2SuEP$j_hMx@0c_ABff}?`wxeOZxM;R2!#v&VYl4fg( zkPbm}mW@3C7@KiL! zu@k*4I+rCulVD_+(}HLLJ04E2NTLPH1R-IfEqSaJk?cx|=AdimZpo6yw&L7v{bfV& z){@C|?i5d^vm~dQ+mmaDq}lJfCti0>3-cD#iJ*`j8`NdZ_Tg7VGrbgzVzE%hFCLw_ zpeZaGS^9NhMfBA&+7P`fiFRiX^p}oosWj19!{drLP3dUdd9bGWtQkEoTAScqmLeg# z42qImc^e$3KkEgQ&CIu9GE^Q+qh}EV`)-&Z=(VEnl&g!BUR{J2JlfH>Tk?yBO!Va( zTrUDiv5S?3Sb$gV;3ruy^$C2@TiI-h++q#4aQ|cY-rqGwVd2g4_`yS1i^i^wH>*bU zM}5*?`s+GYKc1S8G}2d_-A>B&GbbcS2%dD}cLH~dU6K#s)bg(WwX0airLTWAUYOfW!>>hfV> z7p>trlmIzGoC`}pxEFX{nt&W_x?`=_%MEP;AMjp)&2M9E)pU<+my1Hx37Hp-LI}c@ z7M^pPZq_Y1HiJEVZqeBQDdoY5A?{XpGjb!D0R-ADq^zKvD66RcaPR}(2a;zfeooG` z=7a=<3oYd01KtC;@;f7tO+IV^2u_FwU}I&6cHVGGhWRo^BiKs6D?LfiXM49EJt#Qw z0k3^qd}#pBg^Mq$TmW3D`U@{QpnyE7A&@P;G=KA9;TH{F6#x0CeQEsW!>TV_{O6L$&(8#uOssT~^Hh5ljC*hv~)h7*K=@Ayi4+o!*+LvZ;E?oQJ;@enRo97Kb1GO)w zYuxd>Lwh>{JRh|$Cw_8bY9UB=wd zzMLrE(E5K$y8nqWfIQnRzO{xQJgokqV?JBwgndeO&l4-Xw) zfsl@;RQXTT>P6`{bm8?h!iuPU!3hx*+Tl4Ueq%S8*kv_~x)n7pf&$=!F8gfxD1O;g zK1(kewkWa)iqhNI^IAF*{vuXS(()yu3+R0|{KjrFKhDyJ2Rx$mf;~@3@{`i1Sa*Tq zo4R*1?ZlRBLMAIja~DC;@}IWsU$XXD{Bcg(e;oNe!EGpZD*Fmn06gbY{ieH5xwuzE zvlp^1+Im*(*+hh17@`bPZB+`c45xtjawV=$TDw)8GWw&Ucy>L2WsS6hS8Tz0G=k^^ z1ZxC8MDTfHjr5H!3kQLanlNhw8=q6wNWbYym^Fe+-&0Z}eX1*h2_h&OqhQVRFE!HN zx*}SJU`@C|2>_AodD1n~7rP>aLXn7HlQAmW4c8*(IeoXou&*;_)YP zghJ#=5toUcH2lf=9Sq0yOxO=Dk_=vKmyKl~Hn1WTh3lSB1 z%DZI%g2$)nuh~6}>@Ir4?iwo(?L%yG(2%8Vou@ur^T-`J@1cB1;tt132!?aEcpln^ zSP{&9Ywzl^7ivaGZb~lPrKMzszT?+Wb2X}2^TcWy&j}wQPbe0SCnPE;7CbUMKeKTE zX4q)(7<+5PnH8E6p;$x<5+X{~H{RB)=@P0%jDJN_!O}uE$2^|)tjV&fMTfI}JqeLZ z9>++Sm2FL!<&w}f6e1Aj)+CIPE-O@;F3}Xz73r3Uj*%`aRGO}ApKtl)hDPI~%Po=A zbcwc^=GXY!qg%hE=@M--O_v*GkC9(irZipQe*cxd%`(IvPmg~08q$Y|$LYs#tb|}V zZ>2|55bj}K*;_3!|Er?F%88~RtHfzOys}q(OA~NKJg^d>Dafj8L&2)GF?xl=v)PA; z=$zFcG_z|&Sq12vhrKim>*_;;Lt=kunA(4`fdz33Uv;>zZgwu;@scLgb3Ut{lZ}kd zvp8PjgpPKtEL){dg*3O6TUTjcHSH!i#>%xhD`CDd37(OXv5Yz9tT1^he28lJYR4RI zv$3w8Y;To_^+oNDOQ{352Tl{0?XnW$F4~!1jyR#oo!;t^A0F!GB)g|ay;3#m4&u{% z%XV`VZIb3}qp^}EtnYlb|8t}{6+c$egobxoD^Q_Mi;k5vq2Ha>3Xtad>~WGdFD7`L zDhrV2#s_01&FXhH1E=Q#q&e*|R?>tq?zCKhG^cgPN}90J1E*sqO4rrsSi5YuFJ4#a zfF8lMgz*w*dj#Kq_f+Xv?1_2H7Egr_QI4+Go^U5O=nBe?@e=8A3Vys5BsF}tU(_=_ z$r(UnZF5q;XM06*Ep-6pIB9doFS16RvKMll(DpP89e19krY$>OOlW%=mX13SP17a@ zj5bJN^GB$ivqD+0^G|h>k_DmNbo%{V$Jq6HOAm<49{D zGVJl4ESs4-iz~I9R<}Q^-95Nq4LPB01koCU6IxUrmzm32v_`w9!iU(juGU6a6n6Q% z=4U0A}T_s|6YMdlkP3$@ELrxIJGqbrUl&|Yig;Y}5uDV$)W=!WaGboUb8EjKe zc;?+5x1+_u%7EYib4-rs=~Y+319Cj|8AU<7Tlm8>*ct8)&D2C$VG%@`t~y!OGPWMk zodT@M5)NIn}4yj0YthVNu7_|0s%ne${ z#xZwDxh6~u)_MtZgSGJyW+~8wiGf-#VHb4WT%sz4`Mi+rx)K?4%Lm5CF`>gpDcfD7%l$E|_*OIW7UElu{s1TO%VpgM%ix zQD$|q?~#3oWL<6Fykh0Y=P9@{w!YbIebf@29UIx&kpqyi)sEE5**?lrCZ~?aMw!&r z*?!AXCLIG~qfF>;XZs1MGL$8!5%s!wu2yvlrS1&E3~67JL-27hjjpNWTo$$gXC(^K z*y}ZR-AOKEV;XBCyN!~>_&Yg1fw#T5b;7HAYt063ENR!;ov2Zb@c~cJX-6p#H&$@t z>Q=L(3Xh^%J=(9^q+wwgEiIw25k=j{FP_m8Env3E9# z$z3%zmOcdD+kLy5jG7c*ht$>RDB1RFeh;zMckb(u9g<%#wKIL;-M&R)b_y-a3hJO z6Y9O)!e)NGOrWVLZhy)xViz_3dqc;V^VUSKCX6KMn6RT{VqC)Q<4ZZ4oDun1bt6A3 zB9@i_u_PjLh{(AJ$_)X;_Y{$=gvZU+JNUsv#^N&f_fS>0@K-_Ybl%fnbfZDmE@$c^ zF@a+X(i3X|NP|dvnb(g2m8CyLq@gigm|KYC&Ge=`m8jn79-dTi{drueowRiMdg3ZL zDd!a58_!mu*ZUNj95`RGLTn<_ycB;JZ`@MvcfnI1b1QzQsfx{3tVE?Yim7=Jp{|G& zvZC;VhjN=)NHZK-j$4L9yBT+i*Klaru@8rqqk-Yja#S-MTH3P1p`{f!99sIrheJyz zbzf-KtiR81D6=!mM|u(Gq>!ZUkPqQbph-r09ZxUfMEYnUaozPGMyK%l3+V=u;P6V5 zzn6#vuLB-be;MFG4Il$NNJcZjgG4j~Jg8-5fCn|K1ekp7S?|=#xup82JW`jnk(K5= zJOuF2OmI3Ty#(&1n#=7W5ogN2y|qb(9LWprcf;1s$bwE$Ap!Ye7e;SPSa2qKD$(HGf+&R{R@;5l;h`Aq5@=6>MI|!)(P0kV?2DX@VX{53ao20qrkdGGYYJ0HKV|~W-}0$ z984R==`*U>*W8I3(~u>E@DQ6gg^G2zUT^M4b$&D#mYR3^MVj4KHC8xY>cD*Ez}0@; zs5N3Su$<$lN=Ze6z=m=-z0R&m37PS`)X8G6}Q%5ai}cF{18 zh2A`@+x+5r{NwlEe_t0P$z#6qFjv{Kh)Kew^EgQIAoq7lTNSw;+?^4?iqd>CNwN3A!Nd8sGY<&Gi+pGkcGEx&*4Amvfv3^a z=N9L!BokLZasj?O@aN+7V;PdLYszKgrqIc4ca_}5v$0;4b1NcxNiL#k{v^vbTT+(C zwVo%~LI$I8OZs;m@hE?XuIMs=b}{LY}&5#asLjF>irZ`3$%P5Saa2+;C#BhvD60N?Ki;6i=M{|MCE zHv;%L>Dc>lY|{Ju$3VWH=I}{BzLY-XzD)&n@!ts0H)`5(z}-P6z68Cc^j|`9AENK2 z0KRW}G)X9wHWc^q5%GoEmI|c(jf4Up2<01!yB5Ir9gijng>1NTpi%!)KsG{sR7f|4 z%6kyV<7WeT#7`Km8Nm0q1NnyRmrx)7^MSOZ!u^v#+E87NBhHUR6499YTRxbCek}?4 zK#0B&|3Yw~KK{R5f-kQJ>i1Uz_yS--8{`WCz)(59ghcn{$V@h=YK z@iPH@C~k(9kd5?n0Rn$Gz^h*jz}>$D+&>K9+YaFS=K;7c2jG4pP~H$v=_crXLU2hJ zDuBIh>mx&H|89VmKrw={7NYN;1@MLJgP-+eC83W6(*92J)dwbUQ&UfxFZ3PtJ`mkZ zyA1X5FCbyr%nNAO^NqG$wMBp4YTWL)WtHi#FX^xK=u8z34zP#~t9RU@I$Dp(y$tk2 ziWNKjmYcDjv=ku6BYug8fwK-YL84n>2VgwCB&NR(Akk2jOrtTk;_Y3H(=61SnVS_} z_wuk&mtwB!StsPqzSO;>FDfVel4?Vt!G}!Ro7<+rrl}9?YKi4&4s-- z!zLEZKZ**p$;mq*0bEiY{>lZSdQy1MXGn1PGudKf zr_nvx$EsckN6k^4h?DxVng<}Bm+`mRt#@jt_4r-42-u1nOTmk09@Gvx#{{05;w;JS zs2$fiX;^5*k&e~vk#DMY$l1nDt6S}KTW+$E>$Je6s+@!Gr53CL2C zJ~@@n);o90GL>^8d9`2bSmN0v=henq|JPanm6#sJ7L-cWt@ta>}mQx)r~Utj`Wz(n-3;sPet>PF!< zW(fTvY+?ruxbBJ9H7)c)-`i7Fu9mjcYv?6F=aJ@yTK2S%Yn&dhL$;wFtIb9O?Iw5V_O+zjiNdG?}t+IznU~K|M+9l!X zM{$5f>N@~tdN$dG(lh7B9qVE9woV73Ihw(jcaAKL7v#|NnRaRFXSO13?hS=gdbkZb%b>5X3O#d~f@gg(O5sku-|5E?6ZD%Xpu?eSAU(DKsW47>(G(p*l6F>8eKY zy`LwNNZ(`wcp4HB)KICWQBCT`IJMtSzWI|^<0hV$X>Ce3l&|X kmQ)LJZb2_>vGtRy(#s?uh$0uSoiHNZH5E1e0RRC1|9_Lmq5uE@ diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.cmp0.ddb b/CPLD-old/MAX/MAXII/db/RAM2GS.cmp0.ddb deleted file mode 100644 index ff3e753e625ad00a59549c17655ef0c26c9fec6e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 73778 zcmV)KK)SyX000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I9a3;+NC0046x0RR91 z005H(00000001%|0RR91004La%)NDZ6xa6$Jc|Uk;_k8o?fYC&0Ytb8ha;CT)M;Ki)sycb!2^2&zF!hg#BN^(VC@l{eq5z6s}@*BA` zo_zi&pOy8LF20sj`u?zx`09Qom6AcxPvE1A!d3Ds?cxaZi*N}OI*H^PWif3}X#H01 zQPxN(_b7Q{%V!k{N^8Z}w^h;Q>k9={G3HH` zNu*NuD0vi?@}1)7>qqTtrz)y@6a{ss$`tik<%@6bC>f#~-??A-7XB}c|7YhKerW#X zO3L3&X7|_O|H1hy-#?JUZ43Xe|GpPa1Al+v&mZC&-k4eV5B?mWn(Duw@!$J8KGpl> zuzSk~#nR}_9hIvKH%7a9X{k;k!?R|}ZZo46Wj-jh=f+q+dMj=H^o{(!RW(O`umb#U z@t51dbv3{rPYj{=zn>s{eiz&De$C7u3WB%y2R8!-pQ0QMzti76s+z?ZI|i@^yO{T+ zD9n4`*|t=w=t;pY9M$lC0rnj3H;o}|C|k6#=6G|Hvb|yC;#;hb$cpdf##5QPm+3kHoRfnFl$jrs7X(}-^~`#6xUtoRD%6$S;N0l(6j8GbayM78#H!zVAsNp zljC(EPwWNs_uGPI2Ubj6vJawJter79YZJ;m=(vb>g+&vWoDH)Atab29+#PG_as5$L zym-iX9I@og^lnqlm|nuEsm$ScI7F-;+!j`6G* zxJMgx$@?|#g_?LxmfH0R_nhq3hE`-L5Rv$IFz*QBSEH0CV|acczLZrF;xl29MlhZB z_pA}QO%_!}YL95Fn0Pf|%+|CoYeOM^jlmMB={b`lMoTlxV=}`4iI(ml&IuP_RL|X> z4u0q(*sXQ6jDyu!4aaJM8CSVa#)PnZ^#yNHk!HA z!3MJN3#`^7jGHgFx6_5c6qq@xD+Ac>!sqQ1w^6~#3OqDUcp%5Zjk)+9SGt{BsKK6S zo}(#)%{4j=dIE7X|FgC=45eWU-ildJIRQXHw2blm!PWanC@u1HiDD9b03U*AaG>$H zKqz(VrLfOy-r1w^JteuZx_;S0^Uo^YKWp9`RhDZmNc3J1N`FRG#1Xo3TY z4y8^b6mi6-fUofY;gb`4fGwl+F{k=LYi+T~kr+rHe6k%$`f7yWXW+4HuX(v`>yf zMkJ0a`GM8+di`B07;KmxSOXWAa>IDR_gGUm7obD#v10QQ@4|~mS!Aei>ii*x{~t$k z3wSZhDk)eN#6uvtPQk5-O-Uk&^OCSyGY%%#qY^FX#EklaJ(A5Lah@Ckl!KbOI^5}9 z*WE?Jlp#a;pX&rOw>B&+Ma$jk>Hf$q<~^-pcm? zi=kQ5E{&&XwMri7T~_OT4w44}{PkdU>OE;(M7@t8#H-?-FQJ9}C;X}O{;c&r50&#U zvR!)q_*Tj(Js@k8=Xd-3B z&$P6-Kc&gI)qJD%p24D786n!83v1~_&@FnF;;#8bW1oU(Z_t0Q&UlN=mI*x%(`<6j z&}I?Qq-kn-sOkHK5%uPAf!CeP;NGHL0?1l&P>8qES@JvR7K7xPc>B-I-G6As z(gVzYc#Wi^i_`sV8K{1fvu0Hd-us=+&9?*?74H2`+rPe}tZiSL&osC`5U-(|YhL~% z6)cio%n~CSq~R+gnigm}WXMNH*Nlj0mZz+fD?Orl@vK7r1J;MbS%!nl3h>rx zFnd4=Ck;Al*BN=|cBD!h0)+Tgod%eCy*1D< zf;kOlcUF2o(t00Yqq<7NvRdy6jdGeSkXO?G8p2^8%+e`YOFGf1fe^o=^=lPpN0Bk>>BLw~RF3!C5%jni()PR=v4UhM?44$PMx0)xlVufIllW6W%T}YieeV1;om=GhX>y5D@hnkvMM1iYwf%i>nNAK_U72@L}=q& zR(h{zAzU*+qGi`0N^?N@d#l#FIb1DrXuUTMuj}rp^%jUU`zDs6=q1wA$&H81Svt-g zaICODsL!^S)O~XDoGG;4&v~${Zhc=Oz9%qLb?@1<-h+{xK@h+7mu7T4Po!ZD&k_TS z!DwE5&EP-hmhyKNzF+Ejf4DliZ-(CeeA!(`N9ubRwHaJebL4RP5K^3CZ+&Q{*P?wz zQR;%5*X+DTX8m~L5{eeC(QL3y_mypvF0=&onmjRLD5iqYJYe)1Pu3OkU-h7@fbVG0 z9s_$68r@08Ej`iwR*U9Xtz@1Ww62?*X61Qh&eKWs9%06VBCksEn+lyd*lh>{0`?sG)T>Ai*g3$!UF;Nn{H z*l?E&0dzPt30vUy>M2tX#Q7CiHdfr`6suSs;T=cw9s}aLK>S>x0u*cf?1;SMK&mp_ z7!?*5@?Za473^eeCvoU%4mvA01kec__nueAYijM31tr%v|6ZIsQ3zrwuHLvlnGw9avC1wIH-x+iXZ8ZiM zMq|FmE}DIJ?{v@69usg4zS&jlOGh$K6`|l-`OOwO6a~B)*iQ@hq4z%~69$9RHFy=I zYJ<+~S+N|nHcv=Iqt=ILbI_UXiJQa!aju*KP82~j;h^>2^Y>BrB(1kVq`7;&8>MSn zLa-w)O9(h&)N=HBhFX>=q=_$vxtk*oHE|daN(}QSwM^bQz>_0&3hn-OKI#-wkR!6nVOnx3ozGfqviqKENHq!>qEf@*N9Q? ze6j+X+-AA~xNkH>d!5sthO|~)%{1n{BolvID~u8Mf|a%!c)x@$xE6u#a{l1bygS|5 zXD-*gC8#EIjkNCh{Xuya-VokbnRiq0u81_?xnO`Xw|qg`^HlMk!n_xQH_zL`dm_yn z9{Z$uk7B*8Ke@NEhwrDVtTID2@7oCE^juht>4oN#HTAskzQVklfj6&)c#WNCj^(XP z+Xt#(y3SuL0`HViIkvCf=wb)s3tah;AjQz=F7~&y8_YA&6x65fIXaY5U3wtAZ!_=a z;O$(!a^|A8T@-H~QwM~Cw{vN|QO`%}dM@CuEU3%3oKO-JS9wk9yLF#FAWSRoA9|B}O zbuW0A;gI=v;x$0yE<;_fMopy|xfC`ge?q)2+WTxnD`@sK+I&QTdvXE`@i7R+_y~60 zLHEuv-n~Om8F3Kk*5$^qmQn)2pJR$WOIZ=9a zI26w}(mhjmHKDM`X;#eDC|?aKKh~tnfxnw`wB9{opX)GP&##*)Q``3xVze+H>NU-m zVBDJTvC2dpNz*<)l**JgPWX(f0{ZVke2YtCcPKW-yr-=OWa9=#Wp(>5p;gJ$+8#4g zRXjVwfpurqVx`h2$ptuCET z);tR6HIF^ueUhzUrF=Yso{T&sl0Vnck_&qG;nHLGMA&t>qN@Y8n~eE(g5VWvH08Ua_k5DvZijHe7$c2P>d z-^^=zB{(B^k3{pjUl4Wta6)*yIo2e<7o)cDP82U6WWPhHcE1unm@t z8)G63|4Z3C1%KTLpw&o_-tcPVOQh%J^#J2CV$Bykg3UNTv+p-{j+Gs@*xebj;^NZl zHNJzxgw4Ov^s)J9VAYmpR-I#5y;Q63MS69k#@}a)-siR6CE=_G=mlS89YJr(E4^3i zeZfNvaPiD1z$Tu%X%*AdabA)6Tg=I8JnYhyZWdN?&NEMCwK9+|9R$_&yifiTPOSBV zFK3II>j=0$E)ed*AF|1lzH>z<=J;mzEV&9rRk(N9r1PH(n#YxFmf0 z?840>?kd_W&!RDEM>fATC`AW~x}_M+d9mA+sSs$U<2qqvMaYz%c8)$y`bb{}k2SAo zf2W2;S|QQi-J3z_C%uwr0ZExUfP%U%&z(V;D=Tmvj!mg8yvF4! zumdRAe)0NKx^~JX!Aw(14@X&mag=3q>EiLR#xQqwt+xnW3i6eUQHdt{XQf>QH=FFj z$k&(mr>NS(Fxr{4qM4H=kJM4snmdf9)K-Chz@t|AmG!GXTl z3N9&h-myVa)ST@dfVd~B=b-8V!QiUm6^g^gP|=S{wAy-bz+(q5`ftn9#5XUQ zVCK+qo*1Ec0VKi_ZJ-6Cqsy+Mwu+GfyoYe(^82=qwtR^uU{py_`5q95b7QsoxSsq5 zDQqtHs_eakJ$pa^UAUUKJ3Co&g2}kHf>6@*UfPvtf;bdm*c4xugR`!KL*z>$H5EkL z1AqI1hx4}*sl0h@8D$Yn+9eoT?9fJ)VFT}xJ41`08kfxxI>)vFdoSzh$~gQ6_5Tsa zv!TyaDLs;7zafTMG|Ng-bUyW5q|9Pl?HDBg1j(DNolEY(H=>wrwXd*9a){p{#(YXL zRbkhO5ndJj;F6zMG}q=zmudZuq@Ib@gUsYQT14Bcm>cB$J(S8{yhGltUA3iA z6xh7w;x+1TXhE*=YD)tSklJzt*pL3xV>y6$m+Nx`k8zC+5RdULa)6PMdD{cB2+_j6 z@w#}D>oxZKjiPbK$BX2FOjBwqmb0uu7}jWiSD{Dl-?hJo!?QJDpwWNzr=z#Zk>8Ou z<{0ho(@;4f7i?GQ-`PbC?)+jSOXNlz4Y}m5{y!y;DjgFsD4z>MV3z3kFC2@JX zFQXkRUyEoE2n-E&U4YRWL&JBims6HG3i~1JBa+{PS&lH&(xNr~{Mh`Vhmsj!mJN{a z(bS!kqf~59h63l!AzJc2z^;Y~cEO-al;>?+&!cip%%Lo~uBm)bf+ca)Xfl%8Hh(Ol zP4){fTsYcd%z=>UPn@Hh9bE>XH)YTlg z)0g%vR;6b$Q~)TFYSJ_I?BH*@bucx9a8v1&$`) zaMP2qjmuEJ-^j_-BbEXW6o4=rzXhB97&>|S!Gu)#9H>F z%jlPzs+)sLguWOcH+a>%u#Dc{iv-58A35}Boc+3t?!H#w3!3**@Lq5RBaL+}27#P_7$SizG&#&YwVj)yi-nOC&EuHYN9C}h<`TCpK>&URX{00{X6 zR?2WOo9DpFs-CG$Dw)xAUHc81NmU#fFo0U6QoO(Gb*9}AEgIrnV`uK(){Mf~(phl* z^I}!fYjkfnh0^=0l52bH9Ei_^-hpA0DdihAMLx{L#VkAzHH={lc0JrTi#AQ-b3K`I zn>nKai?8P3eT#Wp*FJTnthv^S&ELNb&(MWn8wI_=k7ghJzxt~oRc`)5Qt&rm!$vM` zwO!Xvnzm?XAo({WdDnFZ=()*DK?N?Eb9IKwAsd|RHT=FDpu3skc&==#Cv!pR4XUD> zdyXd4<3QS8MJaZKtD;Qy*ydgXQ$M3`vte{KP=O2T+R_YqFU26|NB7JAe!njf#R_w@ zh~$|ed535$`A>Jw)3<RPc!aLIe<{k7^v|1@E0+yZUog0&4Ee(>&q5BLX%gXp~s;DfkZd+f-* zU!{8&o6BNtOn?(zo=79ZCwuwX)M9f!Ol-NNx6*^6Z8;~qTj)U%A7u)#b|R2xN3BPH zrOZtamwq+<*o-3D5sZ3LK=S$>e^5{zwz!amP;b?=jQ7 ztBGHM$7*0{e|P%1G_UzSs@!&onMZr<3S!NJm?$!M6Xn{YDwED%Lyik_AJ1o#mT#ge z=M-3s1^#j#gl8X?k-hk4s-8eq#Y?&e#v-tB9Hx7mZZma{s=#@45Bv&ch3mOtcoJCt zi+4Rhqn0Cv;QjcZ>+$<>zs$ijpUPP#0~}KRX4>uA$l2h zi{%ROn@n+Xt8vQ^{#Mo%h4?;br$<~^jb&q~iHCqYz_QkWPESczR$&H zC!E#yb7Ml>Z}n!(eAdZY3>sf|)}`F_a?!m;70npB+)sjx@T{>cv@11zc2L40mL^^s zW?2Y_>LFGCyWibi^pL6=@_Qb5zq>b@{C}=M!zKlr>vcc&I}o!nZCAGfQ)tPfzl69> z5@RzE5ldFtL{q6w>n8%n7m&xO0p3yB#;$5>mQm8t3VeyJ=JbLk(FX2&v;F)Mo+s>SBSW+UxU>3mxZ zzByBi?uC#;pnTe@t!P-RITR)Fa5Jh7#^akf}Z zV(lG-p3aS{aobfi`L!CaA$$Z!KA^GA|GJE3ELULkQ<5bKV71O@u9TTZgRd&^c~(W@ z{0E4~h2*|gW3|0vH9nzY8N3x|86AJ>N?)U@67N=y2e{zvapS>|e_S(bSTM#ozv#cm`I%dcl9$i&);X>)m~|&A%cN zk}$S)ZhRZsy1pR!Z#rcb7n^XCmx)_dSpe6p)E6nsqokg$y-X2_>1zB=)-~o^h>3JW*yesDIOQ0SlXo>De#pVw`}2>>V!jXD5gbgF?Fu_Rd*I` zVAP>d?Cjpf^l_n|R7D-A1|Lo_T*JV-<*7wfsfhx^7$VhXZmnZbtl8~Fbi9QEk7UUu z9P(li%*&1ehUPtMMK5!)mV6%&`P`+~NOL)auBKOG2RlPc1F;mEObsVd&khQFNGo;% zh+olYk4>o4)G0lv_J-&YJf=To{zHWWJR*?%pc@s<4sSo|*k6HpBB~Pj7Smoy;O*@&MGhE3Ee9D-$(-)?bQMA zzYynNHg=(9T~(}McIu=cXxmF*A8Xce&(ytOEacAzh_-4zKTxne9nHQu!Hlm9_H8zp z6`PS=@!pT8r4@1~A$q^w#YeO;aA6qf`Z_ zo52F(=2z~LjKdHuZRMF%D)R-(TYauMPKU!PIIA$GHjC0Mpqhtn(2F5W%y}$%GAzgR zgY9@f$1v`-4x+@nR7Gydk@_q%e_4jpz_kE_gSx3lQmlj*DCp15<`NxD^ASgU8=nnG zF`5nzxFCA-<7feqf=N5HQJw*j24sT0IAjbJcB{=8B%XZfG#ko}p)qgNR!$+-ClYZz zFNqUng!_TSf`iJRHn?h1p#pA7x1_DvS%Z11=ylD2Wt+EcMQxr=p{Gd~nHa9f^^RL> zJ8r&waXqE#d{2%fyk)(mCwjp$x;A3Ec5O}|eLko%^rbG?2W_)x-sh4Gce2EVFl)o< z?D{fcB;9+Z24r?^`3VxtLFe!&#wbc&R;?>y=}00Kk6B6Cv$Nz$ApVVaek*@E!M9F$|Fn@%Fhr>n~Ozzuw)l2L1U8D{c}`P3+UpU6%kMwDLIGGYvyPyPMXzQIL~ zRFQl!ip&`}NQ;)}+Hgm-j)3Guz>VuYk>c-H*oagy3&O@&&aF}I(M0N6TA2mkicwF( zbzE2t<7mMjuP%C{JY%8#PfQPh!PTd`M& zqv$Z|nU15VLn;M^LnC=?@B5Jya#qzA48S854_%Q%-h{Z@>vDe==H}VE*BDf}7PZXn zrq)v?$uL%WqKqt~#lw#PW5kNZ)GtGnh(k%ptgh^R7E@Ft|y3=;^P(* z{iMJc6iI&PWEBlQBw*M*(dm3%_ieE#fBBJBwD|EM%eA>td0HBhm8$-jY3|gq_5q6} zwSgT_XFP43a#1?ypSXh-$(w_1Q;0J7m+9n*ph{XMxmn31rDHIUswv(=3{CbhlvJ9xKmW4Jl9A;?AURFX!eTxzz~HI+~Fg^SiM?`BHd_XFX)Vi;EkaS4`AESwoJGM?>E2Rp78-tU;E zq~H=rH3-UU#WEG>Bq=D-a@a1pZ~h)d^Qx(3$6vKeirFPsOMWWe5+_ncc|KPLRk7}lXT)YIguVp4uw?J&|LOwM$cO)$^T}FBuk!x zu5i%AlVw--FMrNz{?&vgnN^s7^Hcfx92AlhF6Uiy;lk!@6`wv+@1ZPYPCC(De=nZ+JJ zOt^ncqPrCq3N|>3Xm?TW`NRqDGF=FvJ!@1jVH78NALE#HB?oV9^IO?Zxrb@J?e%6O zbX0eW;qFUG%1!lIPlW*nfq49&vDE(9ZaMaoSNA17AXPAk*9huO&F(%F(T3_n1y$MR z*iPXCiQ&X&lV8qEnzuZJc^1{HXj8*ebGPZiGBd`=k|p(U-v^W#zabMSYiVV*&)m(4 z)p*my6}5JPqxfe%w#%{`J@I<&oSb%>U5=9XVrGf8CFFC8T&9|KHDt4 z@`bo(>Uu6mt)f8kxPR55rBicJ<%(YO81IjXX#BuX`17k(>yDt-N&ghRlj`n$0&IR8 zyft>ei#N=QTJIft3wby-ESBJ3x--Yr4EjrP|p~YzWgh6IY z(8SdczHEpJ5>#^(bve3Umfxmo>>xzKN~FO!qa{)4&4ewi587jcf!zSuKUHr{t$(O+ zNYD2>ajw{ezbqtSk&$K?-!E|<4FwUWbd4%|LMU&t`C`=E{DlPaJ=ljUtkccSPkqsS zS9f!#c|dFQhhniFb)kcc`jHVl*0fJU)q+(0(X4DZn%<=51m+=CaxNZH_9}yS8Z>oo z44_Z{p|8!y;rF^dfnL|viLhpvvT5w~pr~Y#lx&f*~`@l}LKd)Maj>VZk~ zbf?{^B1{$MqV`?;)`d3CDn?1Nv@zT2Sc5r@)~J1@76nqv!P}*9VI5iGT)@(-U*M&T zO;oJmaHqptz?%1klc(K@Bk;b7I3H4~D;cMX(R25Fvx|;3LClJdD);DhG<(wvs{eaQ zlTQiyT*V;y{iIDOMeW&C=lWvPejre8It}rM*HpC1Qu7LnGU>ru0_{wLdmO z7Y}|rj@P(_{MNi@y$CetXuajM_jeZ5bvr`{ef{xDLJ{s3mzThtC_6kB=odnj{#4)# z>`)agh@rfs)TG%2U*Bs=OjcO=&djB(3sJ#KNpdfakx zR1-6f?gi_BZ97EENWVc;tioxLoYO+Z+B&eE#f=?n12;C1fr+HxARr2dg+arqQj}4G z9fq2LD;S9K>2R_jddAY@kF$&<8QPfR!gU`;^*yJF2Vx}0cv}j?=af6HduILlq)IN98oAFbPA5x zvfrIRTP>U!{}ruQn=@a>EALd{^p} zo*Jntdbaa^Nhxh}0oR7jKMY?(crR6dVv;P5LyRokpNMeCP` zD&|~ZHr5X1JwLESSw(NpU6#dKdsi_!0!8G@lxHe6-=>xZn(JtBB-c4s|M-0O z0$p2*!>Dr4kV(y`Ri8X!mT#<>BydJ9h}J0%WaCwlmbCHd3JJy2td9h8AY;1n!?ORH z2GJSW+Q^bPLhz^I8apl0pU*TpJ6zd{2DlRS%LziVpHApLV(6(Bdc|o*pt+E>W z2vICPROY8s9FMh6RL*Uep1+~;01N?|^(sc~FQldu`Fol?cvROEmRuS28b3<7x%WD{ zl&ZPB8cvML-C?-L4nGW}U$d%lsyz1z{H`!qUo_uZ4x?H{)PgAklxPF^lZ(%4JDV~4 zhE+A6#^Wz=r9^c|g=ncexI5*Uriw>OK9XQyE!VjopLpj#ckvK$D3-X5EDnr6>Dm(W zL0RW1tWCCJ6&@F)`L$Bgk)XC_9IbavC{`F{FYLoaiaS~@ar=)F7N7w|fKhf`#<>JQs4eClu4YAP$L5&?(60X1qpAjuzu$aW7@wrFucA z^a|Ng3el1s;}Bo)6y`0pMb;E#RYhkBc(>KOuYzrL2%vf62@%r4v;<~Z2LVDejHEn; z)Y1SmQ-cHU<}9lWMA2n0!h0?FECWB32fg?9ZcBeYQ)RD<7A-wutuO@8E@|EHsr!Jo zImj9nkR^GR^ra1LhSKd#`OGvC24p)iW~!F9K9w3gfRePY;yi_Q2ts#;2aY6ePbpg; z7nks>2vor?4z^Q~p_=%qN~_H*x^M|^X};{9PN{#pE_<=rBJ>74TKBrVg_ z(n;0;T@lTJ zQZ1!;aj#R}OPx%+_vab3*TpZ0;(=)EA(SoJcEa;xWptLTfoT0uxHiY>Pn(mdK~V_n z9cfsjMZ>$H_WhH$emB%P^P2fegG+!nCT~XcliTRSpYLgIyM5*;9jOPw`yxEnp$A9Gfw zuIaK;~=JF`82Ebif}G z{v+Y)HLRuzSKQ1O>XG_{HUj9MU;G37Kd>R1b7iyp+3@cYaDMw`(Kq}7tLdtU_lUA& z%4)BWB|pHcclQ1iW4fAnOw-};6S9OiPYruTw0Eo#?%29u3;ps4xczTx(1r?b+LgmA zu@-5PdnG*DXL$lV>RhoOmw#5-kX)>YAsK*8xn zm;c~h6!X^)GEL3RRZ)0nMS_5!b+1`B+L20)74tGpASI>#2n zBA>y#Ys#*4?XP}Rc;hAWf-d(Xff$o*XpDQYm1_2h;lTsmcXTL@7d$rXBBF%xfO)0orK3W!clk=*Pv-Ti3+PPJ9rtVF`1KtJMW0JsmpqOBP z%sP}B`m3?x0v6b*Oaj)DcipXau${GB0bgz~hNeFXkznGQs(OQOP&pgYz_+6+qdO{I z`U@~_AdrPbc$1rvB6U>0*nDX8|LW#2FNuV$C13H`XBB!M-{SS`oRbUPlr5GO}4KUK6(ZnYdX@IAvB8!&cYF{efb+nj;{T)G3CjOgW zKgu{rf%&kF8dAN6!T6lG6D@ctEoYz_J5M5<(iaICfF(T&>{*l^gBe`$Qz#HcB{$+(PU`x z8200*$DO_eZ%Bk$M6?u0&IFLWmNk&3epZ8jm?csYX^-J`n=p%vnRxBfYZQfT*3}(E zFQ$1#F?*D|5^Ql6#Gc%DOjpi1nKKDW_rpDxL%LIks)2%ygcqao`wK1O85-X}<~N(F zXh{ai7cvQDIFeSV&cXU1AhHb&<5Rz2+FA4uDm}5DnM?O2t(mwL4U$g^ZcW#& zZkAI)huCUT*TPss7yFlAM^d&swJfOmlJl&Ogdz{k`Jfj6v(G!spBZSj)x`Pj5WIB< z@i!J8N#38=%TehQx-Sv!{F%7xNXz~-a`Z8Y7IvXexxc`o**hMGQm%2=(*71~JmBX# z(4aNFZcu<$W$R*Y(!{qQi8i7J41MgSGN0p9uWbfUG#?zL(rPK(U?VzFsZQa3W2tTU!z(z}E&i5= zfj)%sJvxv>1{`wxYpd0TH>PpE8WYcSplip95T8{ycWKc?z&_9}Ua2rT8#9Mz-{j`z zKI)-DWvYWn zXaH>8z2mJn(==}$JQ>z`W^TXrrhc^4|=Z zjPl@9;)|ncnyHSU*iS3$W2p>&Yj}XMB-d!lbzTkM?FXguBgfJT?^mPgQxS!Y2M{D$ zP9a12T_VomwDJwM1T0OO^`og@bQLWTOsH;2Gj%lO`KYk*oRGNWFfud@ zPS<+lbn94cR6ZPM7}c1VrBAnF^X-C8;2x-J{N#gW#QtjlO`(D_yGf{ZkFIR5|kCj9Xm^wFyf8%88g_*olpx#nA0(uu?LG6+oVa;P8un(iR(rX?q zg;CE7L)QcYvJQzvGxZ;i~+dO7u++h;eD5xzuW=QcpE7o0@%i`3SZU-2Vd+y z8ha}ecohQK7i?p90wOPXdtJU@9vmp%(|}kIBjHV#&(Qu}F+9Vf6fnDMy}JQ>1>9Dt za(ha6YPRToPV0ROzT}g&hO0r`9F+eLwRmUq7Aj~gUvO_N`6lpY3f8}4_XTep*NA}K zU1N^{_TT@B&HLnPDLewU&3iVmC!+Bc&6d16W4nrigfBP~Z#s&{wlJc_#~Jz6H$GbeWAh5J8P4GxA1xLdky-;KYuXn z{04Kj=G_5oOQM;-?L1Y;@JT$jR(nhanS8#OpL%$ZkA6=zA!3d#1M%rlEYZ?T{#6T< z6YzAMW5QM%U0Y6{Y2j}4&&pNeTuG|+I33OXyxYvGMRTwpT|Gd9GJcLIS|1i#6}bxu zImh@sG!X3c=cgFM^)3^d$^U%j@&bm{K4f+%^CxXJyjQZT*4w#5zX^0hkk{mwQAWO= zzUxIXH?E<0o9>xjE%{8;79In6U7Ir9q4MeC%j?l6YjAtyZccbCT(Avi$Smxp3O(DHaW!w}rnX0eDABU@VpQAa{KinP zi_@8pD^nIKSweLdwo!i;q2X`$m-PO#=M@ss_)!^U)Sf`B2IaNCgPP5A+dn{bhzqtW z2$H0@Uzavt%}v?D`a91-Pe5*uL9jRLGn$t1%R=!wUEX_A7r*x@z*r96`>$uF;{_v$ z<|vlEcn<$={Obt#CRB^o5~AfrqG^BQeLWMk)gFNDB7~EE72vA=+f8|)3&;?J;1zny z^~;3Q)U{a*&$v1JoOR?kSa;ZlPI6lR%QW=JkBCO)A3ouQIW#*v<)>NIA|(+RsO!$y zLjHIAt0dZ_C4Xnfxv%68wB+%auF7vM`Ie*uoV__snjfvbGMeJA*cVYW2fU|eW_p!z zE!@QvvosFO)7#Hxpyt_aDI#s8*o@ci@nT6S?vPxAHgF#NQ0Hfx)akTXOYl#-T z&qIci4sne=_+xc*x^_u0i1TI|zw)PSFb&D7X0eQbykE(-?HQ}Ax*Ci2N9rYN9a!;GQUfNnjG^ z((oBA!$<{t)&hyhmk7*LY5v_KTm0~Qu&y{iGygFXY(KJ3>`*+iX`sBPNIb@G88%#B zkSeMD$52>2m1EdclicOU$Svy%|78qGIhLMnQed{Z)d*k8mOno(5pl8yh=|q-LKomB za=7|r32wF>x`O&UqCK&7s+M>RZJjhjg2|p>|A7o$gnO3n9Ya(2nFCN_y{#trFq}Ua z6)*~qe2Hi`AsUa${zJ66FzP05?AhGYwcTW)SQ5B8R~=VPC>A4wSq+|JAXCcwH(HnzRt#!fcb*f!t1+1R#i z+qP}nwr$(S-BWe`#XaAq2G3MYO$~awd(tIis1c3@!5M81!pUYrjrq2qb%KJ@A++xi zH3srRg+%MhSFi`nZS;}+YGpRY=)?agbjoCO84AsN*^vLqc%*OvUd_c=F{FtQemZ&nlTHnx)~vFYc(~Cwsb8&`#PjgF;*2YmjWcnucP2>Jndzlu z$H72aeFBS@{R>3SrH7`Dmsd_H`rHw7s*i>rF2;|95+a19d%nOM@rpp7OG%bk23s>{ zqCfom?mWwb{jZAWo=j4nTI`6?ji?p5wgdy`k%Y2C?5uYwecNMo0|F6G&;yV1by`t| zSMofLEz<@Xvnb{&#X%2U31VK1bs@5=4&qac18Zre+a;Eg&BfDGlSllPtYQuMwV3h= zZ_lGGN`DFVY<{+(A<`uqfGkFps+d>Rne55;R{7*rY5J+27h2NqAXTJD4XfQd-cQ(~ z^w_F0mH#bdZD^dg^wM|@NmY~L1p~7oX0lm;SbL>Q8jiQ?zK<$E#P)%6vDtof@N`XK zN4ExI2Ez)c(b@Z(h&wLA-GM?kx*Yp7N_iQa9HYxXc^Dp>>@9~&0VaC1z2&)I=d~Hb z?epb$?PhM3PMvszxAnJa-t;{Tz5Tf^_y$%KaT>~Son;?3zq*ln{zPNVI zNKG1~h7$hm`&hnPzgi4DzK$L^)Ogn%4TI+g{StZ!pliB5+ro_y!ilXnTycrJU-_xH z8q~bieqXnw1SVH{^bw=<3E;8zg?GvI(+EeE0Za;|UiieRnBVQz3y;opa}xe=HzVHfhgGT_spG4Y zmW7rtlz%Mg^1$s-rnhT~?#E^>i|03J?@@kSY;fF~nQH%$yb_lNn?kBpzy5vrLs3WI zLSLWkk}`Gt&rT|^RgcOb?Ge;bW1+)$Xp!x3ihro|cYw~E3S8%AU#7OYjExp?O3($B zgc4t2emiR$I}$txDMdBDgiP{11Nz;mD%l_tY1M}BHq>#flsXH<+tWV7)`X|b+HxD6qpzJj*+>~>cN3;0fd3J+)0RGrk%AD z6AUH_SWO-gbsFy%$&~P8%bOEr7;rm4ypr?nIe(ddnvwc+ zhv%=}b)Y-4^QL05PUxQbC#|d_GQMQKbZl`Ius2TO3r=?(Fkhdz5%@bY=lMU!2a=ui z%!fJ{+d97DBb`FL3ZV5pFa-t%+=n4GJCUTcc3ofG*|jRv4(^n}>q#`loz6 zEgoo|0w5dZ9#S8ZRLwDmaFDUqOgn!UY8+V=Jx&c1RuI;_?nO+R4!3?hSn31nYapi$ z*F?4dwBF{Mlck+yie_?V|9O2#fDJ}Nmid$0HqjR`wnrj3ZCoot&C9i zm`ivlxiWtHOjH8_mM>Wz>d+F!X~z0XwB9 zJbWE$av{(d^MK(S_k${>@{~pLPTberEci{Jj6z%>v!_HF$-!`su;K<66SosIsFuX* zwsk3_=MoQujz^Nm(~ol*uQd%#h|~xLbknrg!yj%PkD!^Uj@u5W@&~H>&d?+==@?#8 zVu<9AJHYu{m%jxRxptqN2}4D|;+Fc_?qB#gOJ763c`WWnz-Ct{)?(bd&!ldCCKl+l z1bk#y|Fv1ujG*3utMCA?ziA$${qQJRe(7^H!K$iBZpq#uDMZ-&_b2c}<6@>$S#LG&5M6K0lp@VXiUO_ecO=IxS3hV~Z=R0-~BUg}jFN z=jbp$$mIKH8J~1BxfVF`S0&Q8q^c1Z!u3b&e;iPLpS15ZNP+&kb4o-`LtjXIj8 z&(`2ECtZR<=1gOrP0*aB7A{=C=Z#V@3A@yDKs;=~k<^i>o4^#d& zF6s6^BVF>0W|~aIdY)+ea&Hc89f+^B^)QQ0f8QY^a)y45q)&2JXP?@-xa&9PoY1ww zIq_O9EI4hhFkeS{C*K^Ku_Gu45Ia{qc|`BRMIrpHXFMUEU`C6S1zSi$Tsuk1^lWR| z>ACf+Oby3SvVhdw5E`ZMh!NwzNdXk>)p~$-r|xB*`MR!NcZZ2x%1vhEC&1_~bco+= z-1}2HJ=NwtYkd}NvoW6GkiLFuzv5H@^}#hX(#c9Kla|Hk-;$~KF={j=vg*eq?X(%& zx$ffS*T`H%<16!`6glZ}x+>T{HAE4@UQ(P4g5Q={-#xp#`u~3Be%$H3mO37TXNhDC9lW7jSBUTU;jcAxV{Iv*tSU+I_CM1x(tt}HB`){158#g6xO;Mat+2qutXjgTeW zAm=N1rcz_ahPtnCnQ8xPo%i0q=uk=TU2f&`y3{A$wZpPIA}SlhG4id^wZr9s-rqL5 zeOGOAZ!U_$+@({Y3+G!}^NP;Wr9;OC8dtWscMuqP|R$bz{KMWah8yndM(7*0&Da5ka56|S+7t(ip^?POV zA4z6dp-;0z>t>(86JTn0;nthgAfDO6;z9zF+fwoo4W&bcaA)?UUp+cK`zG2#+(M0y ze@6sIqAKP_!SH~+4^2~L688K?MwIC(7uaec=r$5L#6RF+4>eGkhiY|)acia|V8t*ebgI0_5SOKp+^A)74iS-DL@S?1VC0cSJc3pB4_b!k7Nn3f|8q-2CNVkX;=1!D+ z?E1(zsg6>S1e%x0?GUAh5pn((y*=7hCD>m-343#BJ|=5yd;Nj5nF3bNE5!Rb`97gu zQv<0<>QXx+)klXD;Gr|kG$Y2aR}hj+oPYvtQ^iOrq{!AF-4A>EqV%%PC4R(@YQ zheKU2Pv7s>4#^6VM*5SlC>FY;G_DgyGTa~QRmhTWe{20u9_npI8-?%(8-!woh5jXK zWb-y>x6+?DWS}yxH-y$)xr>ht{P}jRi=0CbtSh^98_c;ApB{ia0<^6!T*Tr5KK@A^IgLY+bh023gCTgX2RBO*1r3dR zT&}77=9!QpHb`5i`i~qd{>;ySVAvTjsSG3^1*p8)mCF2!-}mdD2sMXwdlm%{f!gvx zr~Q)%Yh<`4*v?M$|I85*y5;^c0D6Wi5R_-`1>dfXA}%L}Z3ebkpB&i26b0!>J#{)W zztUA%-ht~wON578qs5u{ZFi+NekKbo+lFhraLR2!c$IEgg5c4O2xerPN5K%`ur*d5Fv;`lT=q*6-Q7j>*%Ua#6&Z`wpp>jrW zIhvcMqkR_i-!H##INDNH`s2!B*Z#n>#_`}w*1M?A^Bqyv*E*R1+0E(vsFs=n6Ucns zWZ38Uosl#x~Q=w`liIUD$?V&E^ThIC&@ zDW)~<;Ul!SuWsa6dZkzzBM68!a!jd(eH}q=-lQLLQ{7_5c_*1VM5Hb>`o2NbdQ0q# z6B^6=a>D)`#Yf)1ZNh124*0Qd{EvB@;WTiQ@7$2sa1mB^oV!ML+2h1%bgfqV&Lr2$ zcB=CRYC)iV6Hv|%IfifIV%9xs!MiY#57Le|z|h$aZF&3)=EZidU`;+cs$`7}u?3he%wqhdp2l&K^BNq*3MwbWmQ3_Ut~T=ov5RpSPIBN(;b89z z;Wq;R%@b}CQRs;)`+aw@tIU~5&Ewo+BXN3)=zl4u?cDrKZq{-X*kQL1hL+ri@xwn+ zP&?Uo+peLou@CZA92myXPpR^Qm8ZJ+@e54w`oW+Ku@S?XM=X>}cd{AMmHaCZSp~!43-46)X=i zwBuvPmu4lBbh5InrUg)ZFNQ4LXFueo^d?uz2~Vt`Z{Xf{)yntGyY~vWw3HUAFEel5 zfjYa8VV@&N-ecIsjIG+8H`e5zvu^+I;Dc|lcW>eGZinkG(NDsTZ>W{3mX&(eDB@Ai z(EWs*l88&Fe8#v9tdDQmyU;bVQhAoZNQT?THL_?Fv2T#|uu8ufZ+)AcaLe@$-hilU zE_M(qF13IwZ?x}kkPklL{(H(O)?Ru#f#Wsv6Xv^1Jbi1o+Nr)W8N)% z9gfjQ&Xl}?a5GlNy;gg01P_NciJ z5leCRzqCFel{fMqhlpN^2!1;bu=6rNMe{&^IXMKb@;e*gQ1(t&9-ca9qCUNu zskz;36UJ4G^epn;q>g)Np)eqgBz+i7G50aZ&y~+%=-z;nv{ELTniw#zOyegk60ZAB!8elcs4gV0VN6wy-SK9eb=hQt&5B zwmnnMp$H0YIN;Mx-Rml{B!G*ZL7AL+vOT%qr%CV}X0i|NSMsJ_w}5+8e~!JwioU*h zHz(rzoBaz;CIW|64sChKw+ki@qMtV2{Gf1^iDHySW#}~5K&A#Cy#7IJIlydLc~~3r z-dxtejd!q0TCE`%!aL=IzZ`Z@^d#KeN9bu%m!&;&ect}U>>jQU3aIx`mENmM`2Epa z=;}Or{bW?1g$VUCoY@P~>56pRL?%tWPJGf!8A$%MqlR>G4pFX{`F562+$nCk+zqYc z9{a`?uxAx=9qy@YTv9_B?C#O~6hfl`{xyyDF>Mk8vgC&cuqc1kJ6@)0L9ht)XK?WX zpZx-DT0HFE*UJA{aTIQu+*#4n0f+SBXF03qE2~1e2iFun?3m&X)z^!)Auolvc6JBh z{%t+VG4apCg^k2oz4ph?58CSdB^e|f%YD#TCIqV zJ6WB=x%uD~KLp3Xzi#f6^k4t!Wf)RCa~`%rk>)I4{T%)7`CG5=w|Sds?Q3$G1m2tJBmf@u`4oAr)>zD+<@A!6AK)u-qD#{~ZOeV&0^ymOZMN8@L5&WQFTh zeoh&Wce7QHd-SZI#7t{Rxn{mPt<|G?yew0pySQ~VBDb>ef%+q+DQSIA%_vC*a+a~c z;bGQh1@oCoit2){dRJsTJ4y#jfAq?WHo2UK4QgZulEBH zHEf2$iY|Q~fN;6rR$_~-s~ckRFQx<&Rcn;lpxR!IB*nx1f@W&3|Gp(higN)!uY))GBu7z_Fygt8UX)(i z%Y>=3wAZ`0K{9&eQ`{eKsROF@j>^>0DQ~>b=_#R;y+KPm#%oyTnY?BMR;@;CStCos z#zNb#+9KBm3w`PdW53&~EuGGkeVu66<@6s}l%x7}2YUAn|Dbd2die7`zP8Hu);0d^ zs1~}k{%NIl8>`pa(_ITpj#@^D&36Y?%ZG8|giXaf;>H7>yhVX#jJT7LBjSdG%pcP` zKhdHsLHD?=D*jw|$EMC{%f>hx?lEI)Kw5ac`7{%dLnzEujBPJF;IjO9f@a}FkbhD+ zty_i+;g~t8Ywonq$hiAS=a2?AU~a-0IB;vxt~THgoTFF{+r@N*cuo_M(Jw`#w)}Sl zI&NY4<3(D~ozwxjaNOsIpuPdHlfySrrgdzH2xL0>Ga zv6T&Lex%uKbpC1!%BN~X<^2g2w(4i;Lj<@cXthOSKv5A;M`v7goI2#`yXKjoM+JSl z`-N7+Zi?erY6Yi`h=U48xDH&x?;vBE*f@rWLjo04A%;`{Ss<2(T7a2Eg5NIc{cYae z*tzxPvunG1tNRSlnO51>pOtx;bv5nq{19NT8mc$BTlmEA-7?`#CjYm#{^X352+6Na z+Mg37t~*@NU(MAf}!I{Pri zm)D(HQD;tf3&To4t4cx7a{uKnDjKwPQ5&Mz;I&D#Hq@h7!i_Qb;8%Z%__$$4E41l* z@h)y%j)zXe>D=^5*|@%a42@}(?j=8ME9s-w?-$dq(JvUwOX?+>D|F=+JJQUmefP19 zIzk;TIog(bd}~@_GVHZPplvkmec=Ri>$DQ;aRw&QyoSHthJPlqAq;&usNlillKT0W zP0#w(&GE#e4)|pbm@tO^u2&bfLS@<1) z+8I4QrrsGO<}|m^?29WH_?zM0;=TCimBS?7(TkY43*`ozTTP6zzrd zRNiY0>x3zr-4 z>X&1Sn`Zo@t42x=k3`~GXX%GdeI8biTKM>X=GFv?-uW;=68`Lx>hsUd4GeV@@Gy1#7i<+loKX-HjE&}j$-9bDvgK{~h7HlkhHloKNl zH;y8=5r+K~N?OzQyjJR9aU&+y2Ed8TzsbGyaH>gN5O8N+K%J?j5%KCv9D(3d24eR#RR86O8 zG8nS2{e1yza;RIVhz2Z=E4Py8?Yw8-u||ajY^EpWuUG#*Oy^#Ee&RLt%mfsq;zMXp}6(0}prL*Pw9a!pFFFf55s*AkL z&*@qTEz9ifvkY7>e41IZB_dpp$(7zTG$_EZizTWLRZ)<`$*bdXxVHcbGFYzm&i`_ESsJwJV(PhlGqeoBemVs=Dyg6 z(6gdl!#T7np;hXw-vKRfDr__J_TPy*kLfbqqMzi{)qw6(p=yA8|3-K7XLoK;=6it0 z^S8jA{{du2Vt)XIj=~xOvij5c&4J--%|UW$SqFa!1+%sRiZH{m$ij5Db?e9#1WC`K>~o+MT)I0<5G>ddsA}l5a%{sppEiRaET=&4yYY^CCo%fPqZoYKUoa|I zynNjwNt)T~630+o0j`L)`}A!Fk6Wcq<=LCtRMfeiH=f8=LhGiyQ~Gl3*pn$L{xz^` z^epwlxi0OS*$WbHYRQcJYD^BJ+G@3wHz$OfWKh{#kpoDpHj`9lOAs#K<;G5{BR}kh z80>#_ezTCUv7UEPQbl$;odrZ{SKfbp$3>nnrHRi}ryRNs(t=l7Ujd!<-3sm zHply{Q?3X(Y1F$-ZbG3ge*zX~_Y`ANUyR^Tm5*O3#>@&nBd zX=c3*@nq~i)>2<^7n<9WDOq-;mOt-JN7wz^=t~+8Y8vg=mX>^M7+@{UktEq;AH4Hg zH0MA93KbkU?#8xOI&w5nwg_59!jJNw%r->b@1~CbkT8Encs!iXOOjnwN4GSoNS|MJ zH?#l>IvD{h>i6Yv7gHK0I%v&OZt=_Z4maiBkL`3`jbB#dVPfYbrs^Cz<{wk_&sgjK z=6#)cWeEXxg(p^J4oXurlq#< z`XX}Y(U-=mmCE4f9=yofjYmVI?G{zwkiTW~qNOp|HnLy3)%!K?`7fmom~i&i6@vJ~ zxJ;j~V_oQ1)x7s)JdfXUZlxfbiYi5A-iLt(Dz5lwg0RT5&0t^9YV z%C6T8;AL6a9U5CTg2QDMTkac$Td*A59WHx)!y+Q_milE=wtUIFkD%og%+`Dy2-& zt0{rbW%oBIe|1X{)$Jg-#}ynF4iQ$KfnUHWN2`}>CI}x#1UE3!#GEOuMAK}5 zBEJZwynG^J?o!`&r`3k(g;6$$rgy@!sUqh@Kc{$<2gN`%Bz+?pKq4!wy-NNU2`4(M zE})ahS>uhgj{btUJ^P$`JI~$9nl%fRRM0?i-QY7Yoh&qbIj{t#6{FLzFe5{_ax-3h zu%NhNnV&`J3xmisS(24KUfHmax~nelB3$oeC_yqo1{U#Z5x1jF=tU7jsr2$az6{Dr zN^pQo3lR`pIk}r~R_P+qN=J?tVTT@84@4_P2;DC?P7C{T91uzn8zWOGUmFH8+=62f zg#A@kMfNy|bm0ZiK`-E6Ph4iIX8y$5wl3#XPJ=BAfI%(C{kva2yyJh)7|;!~%i6!M zJgAw9)@Q8qV%;Q<;MP%nhur&nCKG(o5CmqO5>OW&Ks*T9Ur`-`Wi@bYova+3M4cFY z)k+f#8bX7qn?d~r(o}*bjN$8SJRhm_Lyx>#T?1cAi~q2=c)It5I;}NCPv!BFc{pcs zE*?4I%F*#d-E6E!u#Cvl0LC#8*-+&%ewolYUaT>|GzYa571K}=LqQ}RUB0P^6S7k_ zuk!5kZDdubePo*zASqXkJqlYIZGE6(lUQ6;*4CKF^)`}a>Nc(&N{vWhB=p;0TsKx< z9)@*Ksx-``?B3)Eiv=2{KlN=?n+2m@Dge^krD~yO>lMHxGYHVQuv!oz&)x(OEGTo# zNRx&EWo;WfyOqgU7XLPg)C3M7Wj`d^6nv(Q8K;nTuWOUWxho6@LOcqoPJA;%xQ78B zp_Ngey5574@fBc@pT#aAhfs%LaWn&9tJ{=yJ!wQmE*`-lt z9hj%4eEQ-Rcfw{8?<2+T?_G$P$F=N4VJq41^LrzvwR4J+r*Y4VvisJUOpcd z!>qpmP*D~60=5mr@v-a>ea#m0jqg-8%6S30@~nZ6Uk$(W-BSOw3KEq;iI?mPPQjir zpu9fBT`){3!Yx!&rupokfe+?2UyYd6TD<_W{d2hd_AYYSTIrB&i1MP~;9!k(&-Jd= z?Nk!GJoipM#kPMHW z0mUT2Jw?ChM^A+XCw>J7kEs^+quRy~ii=%`7!Y;3+GRv+x+h!+60+MV5Ar>piWHk( z37dJ;u8ifMfx=Mz2_ASvfKh%jtHmg3p>yLqON-Obq20`I+Vl5E9iYz&b~?OUwk2!uo2!& zE*D)qHPwht0@6LyFRZXoJ>4MWm1a>tfL2w|yNI%^K(r>Uu^fqz?*0nza%)~rfN;P+ zkk89L1SVj&5&20}Sk^!fb3TaO2dp%de|_(u0-Q6T)?-iHpb7S4n7r|kB_@`qNQyF` zQ4q%o78+4zZOY|dC5abUDWFZXFl#PWt^ z<>XBWvM=r>DYabfYFlHV{$t>U8=-1?F5M2UD? zbY}BknL~%+lhY+mk1-^%fQxopJ*tsRJO3S!fLPZ>W7L3WYVN=$;WH(O`x{CQae_IU zoe1U3#)X)0fAtU_63j-dtO5Ou$ug4Wl2VZ$Bq6NsWZ!YERlXLTJZK!bH_Ht}a4D(| zQ(BYd(V(*R&v?=($}JJBtwFHBt!>0n(vC?QQ7}dD}Ecqb>j8xU%q@L1p zOvLbQhpQq$ zFcjm6YU0j1Wzb0(;fuq6jf)e3;__nL#;n+*=!PfIF-Nk_ zye2PTT_OnT^<>%?56#4Q@3iV)h!?>vE5X3@Dm{SB3#q-YAF zW(hESVwe;YMZ`(I+|Au(6g?pz*P2W-7YRVtdOeKQv@2T~ zbVcVW5Kbqx(dv1WOnlYaD#a~v6K7olwekMAe@0D6kzn$uU7gH*2GXdFWbbt_Fgb@f zT@ubHemS0bo)$uB@MRO#sx?{DrSq9k%K2~w9GBBcoUjngX?yY3wF}!EoTK;&5u$S~ zStdL)S0^)CVD973sVEC7xX;nUK-f$JjaMEld)8|gpP8R0uMc52QH{_M<%pgtU$p3> zKK(li%JuvSbl^f}OY9gh{mCN0Jpyx>$#=w0cc^BoBIdnfrzHs!(7E%qrQQkS+<7;r zN9>DV7;>t;c)#;Cgc0idfw-PvY;toGTYEq38gPZqX>KU_Vl_PCVN)*Yukeun$trNR@HJ_og8eF@C~a_4IlA zSM9YwTPZ6B-vjjY_n}6PGZSNO-ohozgia-4M|qsbq&s4gPBNNG%S$+ZQJ1);=_2Wf z<0y!4AOIAUWP$Rgr<)~9{6XeY!PbX8b?KyjzkYA{8om998v&{x_=~0=dQKugBC0iI zaQ!+kE*HHK2d5_?%OXLIKW+iE9F|SC?4rY=^9)DC3~XZLGl1^K?9QY1%`9Yy`37!l zFB8ErCpc$O$aD8FilLkGt9j`$FY?(7vPv``0>bx_XP9O63cLoW|uw;h1q+e@k zbg7;>00fhSVw00-LaYVuUM^5z97>72O7diCS?NIcmNT|!-RSW4!%E?G_FaQrOXz0A zN3Lq?#A!LpST+as?3`rZr23|9Mh>=Vo1vK4Ys6q$1eUIK7TGCwE=knbb#|#92+@1D zOwsX7<-^cRm38yu@s(kt@u7!Us5hA`5zhxtFxCe{nMVo*+l*q7V#>}TUiut8<7o^8 zt)mbLYCA-5x@MSa;UJP}#F*noY-b5|lxSS^Ry|`y?>`ddc4x`PyZE$=Auu?=@wm(+ zN$VW%>@8cyh!E#4RXSs@&V=>7B|DIi4$8OB1@Wb}M*<}#`3ZKKy6Vb8+|gw1KL^-@ zBZQDA-g)yyla{p0=$1r4ROccRXV_M=jo12G@cE{JkWzG)N*_p1@?~J~`;tP9YY`{B zmJG3IiHj#IlaXfNDyNdAi2ArdW0Qm@MzbD%;DLYXh^~l@SD|BO+mF~2vY8&Tm>}9{JTYS0-$Ihh0ViRIVo7mkIvS5?ox||iL>FEWKk6fg z*!D~2(!#W$VI*q>Gf?PSv4M-=gc{xgev_1I@NnZr=|LlfCfGnDO6Yh&bbc2R<^|&N>;w-rZAHEXA#>a> zyq1s!B5}WF^{CUa%-WYTC-~PCZUGuFq?zJGkFMNo#!41 z=yVF?fYMoC0Z-&7{Yp!cz^6|T#mIAC3O{<)wS^9pO(G%&9zs^z?QmXC>ASKYTmDTc z$xA3|huUeo{R{6%G>Dd!_6ksza=o9Uu3Il-mL5b}%1>=nR!xNCO?>BVr;6>dUBqBf z3%AOIz@jeif*7}rngm=bT=j?FJ-I*F(ZDD0maW~uCW-u~F7(_4}at<1bvcEobw4g$A5 zjnBuKDk|PcEWc^MPn(Q&s2RZZ6m#p*YY@ zX|CeCXt=_#$@;|9+e^SN8l}j$p0W}kmr@^0ad{)x0wNZe7EIlep@fef!6D__hI!Q5 zx(E4P*~9is7=^+i{&5*y@5J)-e$YSpOP(JhSZXBVD1+%yC0aRnu?|t>a%Jrmls-uE z(tHIJUuv}f_!KcB%lH+nJ<1fGElwKW5j&fhrFNJjKn_s5QUylzV=3|Yp%p@z)>70P z#%9hRnz&`*Ix1t>Rr!?l60RFY!lz@Gs3UhXbCQ9U9I?KOHm$eFA{I1lBw!B(1dOYZ zC5^qIgn!7VLQrc$EDJf0jr4v>+GBt@g7TyLf$BtBYW3fD1whP5|JJ)E>OAu}VM2P? z@J9zVi7>8TQ%%1_uCBEtg{(Fp%8YAnYUp+miy}#FsdSGaf@G>;xl_HoZ9gP8SoJWe z&Cqg+$`Hrs3Ppt@9!pd-n_d)eq7?Pdw!5{RR4fy$-b+A7cIF<1flS$wU01wgos zS!Vj)uqi~mb;h{0@+yLAC*4>g&uFTWdU2~cg<(&n3sfuRIqS2LX;o5cc0)^B?raoGl>m`D0`Rg%AezkHkI$$A3^%RfiJyaaQy*TW*;q!&F~Qy>*}uOEICjucpUP0p7?P1UH$N+>rdiB+8?HMWAM8 zaRrizYxinrmcu2U<(Amp)J?RXcT5y+7dE02r_E(Q?#C9wqu(Kj{^BTOJjR4-hk5i! zlefJE1v0RVdisFE0M##k6Sc<=l_6~HIA1SmpP$~q1L5@tMhG81_<#C54a!ida z8AdbSX*5E>JLiVPv)5BUkycVm{L~^W0Y`;B09jeyH`;=#u2)vQt5&=F5Mwp4^^%1s zhDw>?$T8#YD7p}Rwyi8m?$VuQ?uuKSHSW z-Y0B;J5IEVE+c40Bh*;@5hTL>KQ>obpQO6!>_~PGf}h&P&S}_x;OFKD0(qPRL(USQ z8ys!i1-D!S814sUJ%45ul6F(mkJQ42G1G4kVwtGLmRao~eXafg6wM%%bl2sQKmbw*0Ca8-k z|GqU-UxXuC>Xa5P&}U1X{x~;q^{V34*wRA1OXl^dpT|-0DN|b(>|pP|;Rc0xhEK8& z`h$H`ZA}~GI0cTI!jRm~o`O^NXv9Bo)O2K^P4kYgG3n;%Ly^2orGY{FVyN~5I{b<9 zA#k`O_YcD4gorN~_ly6?hngrnJfS}@h6 z=%|Pgi}ib-ujrsUx?nkpza&}tF*>jtcZrBV`n3=U?m2>UiJcQ0mFwK$1eqk-a5HcTt`BC) zIrG;ud*?Pgjj@2OuB|-`QY=Qp+0`c5`X1J{}_ zy&)Awo#ZDQI8U5?7{R#$_aNT9cgLTBx3f8KMsQsm;dosLe)#t(9f__&r;^1SREH+S zx=xuiau@Ligp}Bcq4*0jh(^Fow-52We_&TC6b#;ccs1x?<0a8h+0Hlt#&Y-5=y+Gl z*g20!pS#u;`4>MtoM%!yXSdRy>% zOiv&Wfyh}&^M=zA*CPfGB*0Dt?N8*s^QM_Z-jxYt8l#lidqM2153nFZM#P;F$vX%P zLvyz=_*y8sy1W^9+i7^Y{M169=8Z|iy0;n#RGPWjwLTo44A?FKjOs^JxnxqA)DKo3 z8_aVb+t>W-vf0e?QrR}TG@anVA0A=qMll|DbrjhoJ549xK=F#;LCwFaT9xg}e$TqC zmG?Ps5M#QNxdXm39g-0$!hhs3Al1BO+<>0XWqyK21TrtD;{k64t@{*G(qn^v+o`W- zdMiETRuOhnuPfj?8sderSx&C{HW`TInFefN|g8%WyPyW!#5#G_;%cKkgH2L+r|KaTlfNUn@W&vW$-+Qlr?Eq;MWLxm8eqFHc(ysR+T|o zNZX-10KJPwp5XCZoYbZKGnEB1B!se?X5Vimpot|s;OpTyo-$^+yZe7s4K_8`Q2AT z9`QOit)w%V3Im7L&%jpiU=pdKyfT3>!fc`Bwg zTsNzNu=$$YyP&4>7W@gZPg``@Ei7&WXwU zx%P<%DIHbB!EtoM#XFf+06yKWJJAb)$n~>%X)G{|>H%L3Mx$ixr62`YVESW>dSK>_ zR1-3==Qz~%$0R=}g|%06SxC{|VbIggdzlegW21&wRNf11SH5VbU(5MQnP1th6fZzK zJQlt0P++!2)fI5=MKBe@UBfR_TxQa|7oHINbHWp*^~`_ObYUt6FX`1+8~rRk=+_g- z=Lva0cf^n3BIF4LFiT-r@fc8%GG4rcxN!YL_PChL6KCWHA@8T3LaECh&Y-DuDSs;P z!?g-foI-`#o4s#d29*uUiLp)^KWP^o)~&z)*yNZkkNooR0#gi`GYOZi52&2OqIGPW zRS0EC1Z=_WI>`$JT4;cf{2*;3v+9;Fn)4@3~kE zy<>gJ^mRiGsyrTRBxrekfb=n)Hb?50Lp?Iwv0=UVPCM?FsGbR*FmQm?fdzucq-&Qk z*X8svL3d05J`%#chYpmIIms06PvWP;%Y&AvO7=XmBjm*2z&)Iw?Lc`A!w^1AOlZ~b zB?+V){2uQ}qNKXW!V9w+I1Znaryoanq#WIj`tT+Z-K%Sr!%&M~--V_5e=&M4LT5e| zNWmw1o#S}BN!5~(ngsqPttq@0=>5%%7Hi}4xZX$0HJwsKoBN$`?Eqjwk$?79!9ZC1 zChkwS600*&2*mtlev|87UH)WNR@`$!Ju?T;3LXFzJ@rYddSjqIew((u?K?VOOxrzI z*Z+w6muLBzOzl9XO&s82hp5dUiy+Si57r+n&>3aMqM??c7P0@`S{C!&)HSax@(A{= zt$9esXsv?q@-NN+GWy>cZ3I6zSCg4GcsdoR$2(eTyb@0E(vGtGoHVq8y`Au;Ce*}4 zoB}nMz~L6kcUTT@rqk~&rH-b5q0@-%(oK@9_6+VGIW-@(vA?jmKF<02&vj3li`U;) z{w-V%i{2>v-_K>=B)P{mYP^J7kZOme2Z;YT?=IEJ?Xg@fLOxY}dTI?)KwSnO2F~W6 zLv{hyc+U>%>AKPseoeRORdWkn0pAcMwQrY=CPvUd)xviRc~D%SLc4*0EM6N&OK}^J zuB?Z81ZtIA*6%+Ji4`}IoNji3YZ4ZDk#1(HRE=K3vVkxz4cEk3vdr3!Y20Dmu(^Q| zZ_nQHhou|S=jbwtTK0Iyj$u`M+{(cA?=x!apcCME*~Q!JaZe2~;{u@4agi^97KYwo z5!lxK6jQO}45A2h-8~OyBOPFdySIoi;)GhiQ|=PIRz1GWGdcn64(%%SS}X6W7Cq|A zM^LoUG3<1Y+R&2z1c}(zX~~?aY5H~Ol^-VC3S&#Of~gXJD=+OPWXK(PL^pMn+2CKJ zz*BQWZ=Um< zKk$A(pL*5WUA1>rcdy>N>Z+;(#`^)ddCcK-C?)Og-%9W)ajLWKx(|+v${i2)7FA;5 zKWQB0irqoh=S7sOX6fHbp2Y*NZWw=Es)gMUPR^=i{>$49P+4Np0woBC%_TdB>pqU)z!0@4Pi$tm3}IgVK38E?Z*jc9 z^LI%`&JltoXX&DWijsQXr0|6Ost!@~B_1?#8VLN*_FWYuoD6@*lHrfk0V`&Z-i9J6 zRon0qb$W-`>YAV$+8B|-=`u(DcvbBo1Z+CZI0t&QC4c4wPvfjTyc;}7?Kg+QUpOX9 zQMjx$*gsc(TbR=v47`u&DK=!t;D* z#he!frvLh92mQ0UwedNGuH}^ZRs5fX<1= z%Ub#{OK-+PM!$b%;K~-;W;kg<}(@A2AmAfq!4q7~qUk z)UUwz1S^Jfc+E3>XsK=->6w=xV4i6(XNHdoYic-tzC&~P!`|Az%nPvI81owrGvgEx zXzST)W$XLP-@K{LFyr*AbJryVZtE|ROU!NoE47KF`;rtO6OGd!TdD&8L95#}Hl|wB zI*v^@F01$N8f^X3#=NBA-liBj^nQ_ZZfo$;F>GuS4&aZ;W}vP1j=q0U{|xps!~nEs zsGiwy4%iUD`~cmKDfCp*b<}vSeG4wa-mTZ~PXCT1ml$%Os-=p!`)Jd(+1MA=a8=<1 zExF3pCPJA#RBPQ38LfV;N5btW{}N5aJ20Q*_ZDHLzZu(GSKq(W3tO8*bBGGa2#G1c zeU>Jmf+XGnxsethmn$=?_d3G><+%Ue1dpY=*Asr6&ISj{tAijI&oNu!DBju)c7{Sj zZsD*a;QiqC;xyVE3LS=sB*B0}42^(A62bqziCyk!cP+>n8X2ye`@c-z!%F`Z4`Dx8^gV5Ms3rI~AwTuW_YtGz0y@2I}l!`3R~oc}K)dMXaq%uNA* zMsLuEj;cGfdOx-rMWO#x!3Rm{h14)xZx4wCi%9in3^Nv zR+fr^HI8P({Eu~Xh@H8@`%oMPM|vWfJtr^f6GKV468!VpM#(9mvxF2o>Z)~c7Bb}> zhjVJ4>QkxLT!&g=h^0c&&?t6U#_{w=H{ICiK{ViqtE658GgJTF{wZJ(o~0cbm&RC9 z&pqUd{;GGiPx6;2jpXFQdi2{Lcm?I&@_g!Ys3w}E{4lb;4#-PDKKW&I60WBFssuR3 zz-%q0YhR|K!x9TzvC2(kJMO|SAscF3$%7u`I}B0xywPm)HAL;Qu!>G<47gk5B|gKC zH0c?+eBq2P;zOYN9?i6q|GKS5K0noEIR$!;m^SkV1e%R!5p)A#1|%NCFA(~$Mk=Q3 zHB%|`_7Qd~AekDCQfF%X0HdA4gB$gy_IowUv0o;=$B72-yP`ujk!OWx9(xeiH^`{j z$>yg**<+6UQVDyE!xZ17VCwl)j83Dr7cUy*aNr;PF2BBlmrfVg8fk5=@g+VzJr7gpq9OBr?#&mMtC`I0`5;&~g&tm6nBUnadtDc`kKUquoX z_Zo@BPvU!-IJ!XFnoyJjr18e*RE@Oy%=DC&)=7FOY#f`0OWCV)fT^)ouUNCvOScWN ztlRLFgktAFLFh14#IX>s(Sf5vFSSC;&@1!k#6op%{6K|ISiLxh2F!K(%dMC;l_L6@ zgzmNFt~wr?O?rpTUQ1DoU>M+c{n}-vGwbS-`_fD%1hIOg_;+yiFt4flue<&d3Ir81 z#HwL0xvyRw^?`Vid3STMRXi9AoLWJ&0eM$FJ+Kojys>2xJBqKCA1qI^q@GKzHTDW@ zZ!=o@;12yA*}lZoD8Fgma9w=E3f2+46qz)FbN(_%5vtpHc|I1aObny1tQsahsWZlF z&C5$3w_5`+zTy*{?putHj4g9;UQS1S2RL=tK5xqnYMumVsK@3YIwIZW?{>jfQ+Uaz zn?w>r*`kU=g+Rk_JIP?k87~n`_P-@2=C3 zMbNK{PQ;gCTZ%t}j?!kuFHgbK=+7%4z1@pW`qi(HHH#@jj19`%@|2B2xiuP^PPL^H zjxQ&Nw;2Su7Ykbdz0u$)Jso?5N#F3zZ$h@}^P{cD-aAbI>a`&iqj_jG*~`7QEyvcL z4cfID@I8WPpsXu|=9UiZ8j?SrFc(hbmER0ZXj1ab5YG)~2DL*gO@9jZO@I5h=<93! zVE@siOSfMTT??IZoXyerW-p&(CJDjCF8F-cJ;k*{a8n0u#q5}N^*v08d>UPO*oSH} z=xYU#_F)LA7+do_Ln`@pO5vv~i$e^| zgiME`t^0FL1ROaKhDT4%AjWP%KWQHi-Sc3k_q+|?`WSNF5F-cmQZEW=PP6q0bY51F ze{-#@`$6suS0ZvL4uf>{0k1kqW_5EZa(bwHn8z-(rd#}Z8P|G z>Q%DTtg#Qjq4N&dc*iGRIvC2g$y!Cof*R7jgjwJ$AP9l@#iI6agG^dh1y73kb<)w; zBE&MNd6Cb95Q3s5T`ltoi%A9{;ECnLg>7~Yjo=}!HIaWvlw3Vc|E9Ohi$BzD{0Fs3 zV9b+?HPW~cT$T8ri-})#tqph-BaZ5wTs-NeIr2qMw+)nbey3!3_#*!8$`s(8#B9)u zaGKkMu=Bw4P*rIQaQp1(V8RI?H$=^&*?fz|p>)>;A4(EKir|aPFx z4cWo`p?>1#bEL|MdJI(3B`&J3VOGxJ3lkr@N;lhBRR8N{V@tDMk|#uKa^6mK-aejU zkN`m4l5N5|Z=V@n{cZ!gZ;-I+B9mbGid>U~M6QXRLE_Bd?qauJcK3DCEz@6JwKDPR!3b;EI&&JBD z_G-M+)e|{c1Vj#;Q>7S9Rek{v6#A0*MY=_gzRpwD1$4t&rRi+P|v`vyfDBTQ; zh3*KE{X1i1ORnHQ)B|Z*Qy8om{7?M#nu;d@Fi8)5S%wW>LgBsQKF$^a=w)69jwz4QV_vc zug}+3|9W_N#30NI`4S(kb8)#Zu4@Kq8?zz^T`|tFDjv`fz@w&1k&7F*#JPD>S<3wk zhZy?>@#MqVE%CgTmznGPyNPW4R^Jn_+n^(pHGU;2xn@1i>Aji z2R@>=e$+(vw}F?;;Q{w~@=N|)9jnN2^yJ3%anHrGl*pxR+jCV6nPIYGYZ?@Ryp2$! z{E1*+!Tz^3c`TK1*)yEVD~=0c z1{rhXM~c}|r${jmJsP-yz4En9`oUlTkbQsjt&O$Pb=z!|>|dDuT%3?LY_!>1=T#%Z zTstCy(4Oar*{yb|mwED^Me5rV;!dww7IHKHX40p_4r944C98<~Rvcd=tV(60cF^|; z5gds>wN7VA^q$VZJVkn~#_J+9uBve2vaMh&0+S^5nJ*iL)nkwJV4TR|t^?cM~mZ%nL9#RXuD}@u33Rk*FRUUK6R=XpUsI zwHm*~@Npe8W2L^8RY)3#Aq)GXGuKY7D|1?k{kKRavd0S+?BN;VSd7pIXiGH_>Lbj* zItpwHPpjaeHY)2~ZyDS({)lJjlSj^&4O_vETS><*eB9$9AoOiJ3^jgWl}U{nN-0Iv zvcBwKEp)*+mvDNn!C!v3rPYJoFIvRL-004dKZ~|H@qNtC3KVIf>DP{?$L*%p2^fpR z%K=tFzX+B=|CUm-e8b}Qfg>Y4J-@DwyC>5gh&m2OipU2o?D6$UB`o*P>dPsXZo}^e zl8VMajE#{|S;?Iz2u)ZBwqYptol;=*1?jWAR$>mloqB!L zMI3+=6oIW<;&;o;!yA&bFmhsLf1fMT7Bko`lU_|yi7`FnFvGc%vJR9&___9C$9?${ z_c*@_4NEL0%1!5Cf)d$PZ#l<_IBM5v+_|Y{R#!(%MY}!<)w?EzaK1<7C`<2BKHoQ8 z_6}4Cy0uN8AoV7?h*2~*SrJdgw|4u;8q8A)^L>?r~ACTC`gSlxC(aLwxtZc;V~Ckh{aL2HQVKsR9Pi*oT3n$!Q@4 z;kP?6aa8w7k1o3B!OD^k(}nlYvPF%b>S@AE6%`rvYPZl%L4X4*VI}M^amO+?o)n}E z?+T>MOeu?u8^x+P>N6`AgVR3$=8W zX_~Olu9i0TQ&ALQ#vd!z@gY#%seO1DHycT7>D=YJ3J3TeeKIaPaNLV52!OdDYmk+3 z_AqvR>vPJVC~!|otv+w(<331OPssc#B!Rt#4_Kdc=m;O7!(IgkuFzfPI(&3qyK2Lw z&}*)sXO_QX`^Sel^&jHhj5?!Fr7v%O_^(SbST2~=BeZ{J`p6?n1+7Xp(|5q)1*w6| z27%UK-jvE}l^7+@^~px7%u8~)3TUe|)|NuQaeaCdxcSo57m@^eYV$vbMe)hD+}^XV ztd_CbOf8Dsi*BKSV zbPMru>8SgO#&NcsimtPfd{MvlIN0K@>m-1IBC|9FJJh05#+q#zJ#$KJFo8aZ_|N~iP0WITO-R)dbLAaI@TG80WakxA9n zmahN8z?7H(U_^aP7vnsH$JpL#tNlXxhV?*3q#J-aS*k^KqV&|6%r?IK_aU zr*l^|W#TkXvMZvRaI0%;@ozUZWDT$_z}e>)w-a2L#QSn3`#Et+u9mv_>rxj;*(7| z4GIe_nG}|5VV0yG;4Ax7YG8ptWhzV%q9CG+Q+2ZZI9834@I$+mfP10drIC9#}%g;B7o_1p7Er$AfVI=G``~rR1*?2tre@Otoh2lJnsHHlmYL zYT=1BC{tI5RnC-_RR}~gY;2aC%J48|n>e7@D-Y&URI!+DV;Yt~0z>jx!3shm_$wK^ z23#Go`#Wv68s6&x5K)G8q}7%)?^E_YY4?DMy?;Igu8Ytj3IS~WpigJg$=bALR7$Yi zRV`86?`hXk7;Gm-lOa;ghr$PztHO(VbldQ3Rd&XHkbi8+mJiAWK`x`=OytI3O61x^ z!{kun51RtD^wbb5coz}$>MO_@XWEE~^gNbvc0pWx=m>w+13FxMF+eSkcmV?t1qZ~a zGf}@@i98_vNxhEcE)FB$dgNf)Mdxa%FmNhQ8X&=v|CRUCw|j!Lmhb)7<@;QTz!^)6 z$Ro9A`c^NIPZO#8q^&9&7Wj3nRI`}iM(9EGy4M(?+obdzY%tAq_Aw1*y;rC3w=*cB z)QBY>TPJc3ohUPVkI|}#4O)f$!cv?tSmR9q)eY~yI$nULeZ=@70pMHkRdb-<7u1{d z*CQk3GDs^X@#pA#u?y{Ak?SY`A>L$ObyX$DNVn1}n_G+ma`(wY5!8n*=z0maBEI79 zi^d70E;_Cd671U+;8Qqw{P%*mNQD3KwbqlfVV>-67&EknXYH^`N*%Jo(p#FBo0h!nrI#HC9&U3*bg=mmZZdln#9S7*QzQ9O9@vewW6FM zS2zCx(`&WyQ%Lf!ySdyAFK7d=fL3Ww3Yx;tN%$Im)0|1uXuK)W9AgcY<=03-@7QjC zjtGp!u=JEFg1on~c~IZ}tMqsr-lb}hTG_-Delfo&hv7Ej{_f~q_oKKf66;FUgk=P8 z(nkym@!{w(jcfKFzazzZsm$kRO2y#)Sot98x5>L@K{lLel z2k78My%@&XO7@HE34`$9_L7~D{yB$SAASET>h`SQf2l+p{(LVb!Wz%_N9mvKEN=e% zymdj4p3u*Rj>cs;ox7c(PS3P~^$ZT0f$oAm{z5hNQ=~x-aiIn>!s%|7hF6CA8{Z;? zOKiKkipwa_ijP(NHfvbFZqdB^Q?iSR4(Y>l36x+>p^wQwLAWJbI#~#waP7N4nS=_0 z08L!6KLH)k#X1y^qv?*>7*a*i?r?&Ji!+YN*==`{8{Ny7z61i?98{qo2&1FR73ul^ z5X=q+Oa?PN4KUxns+hhoZo?|a1NatPWRIo4PUeU|!^dzf4Qg3fmj;`@PLdEDc<|I- zpAwV%JmMcEBS!~M{Amf4hVYWJy@#*mI5I*Nuk*Xe`(Xto+?hrF4l4!$(3$7Fp$mESMu@PPp0Zk59~2RM38~sX#Nv5v=FS$vYHl2+rFGqNX(; zecfeJ;kMX*M4S0~c$1?l*X^HKX^~q-e-{#N1=P6xV>R~TIff_EIOKmaL(oc8XAOFg zbFyUS5}2FDpwd}*s;2=;f@m|5ze@S}gaf3o+y$Tos)T>oFpLJlP`w=*vv$eh4~jkr z^pQdIL)`{|$(U36=)Tq3J{q`}vz7+v>5{33`amEix-_iGse zw#Vtu%yh?8V6ta|>u@7Qkkb(lQ8;Z?3R%J%Trkr_Ufg=xcxOKB8$S?(E$c+886uZ* zxzD{1scLr|YBxf*SSd1^w@ELD%3~0QRfxM_xU#Gl#$V6~eLL<=d}gr#h7wLAdg0m_AGTfXRnMecnnOq|5ydnb-W-3AYU-jRofi^Tf6?BnG6I7( z-Tt6t$LUzMM8ijf7w2IGF_gHuh3tx(2w+`9YEf_<=>6Xxc7({g}f_Gf$F@ z?MKP;=uQ+Hb5iIq*f5b7wm<$M$_R%qdzE(SIBbnn?^lS|2`tS_HZiV-1Q&SM3{d%o z1QgvMywj)7g~BvLL?#&Voyh38PFOhpX?Mm<-R>@u@#X~&Xgc?ZULGuSDTeXMhsb1Ux1JAp}3EjHgQ!pv~p6MkaCeqK`4Kxx|-1eh* zEAs>^D?*(RD(JCSwI|i7eHwh9HD$013E>fK2tnXwfrVk+Ua}b4P%egN{Xs<64Jo5v zv9-UxH?1U_X}m`%+QpLhKsuFP;Z(}&>d;~|7W3wTY-p1^5T^I8h`|q$jMainsFq)( zH!sx)W(bvp(K>=%9qC|$*Vqx+x$7#8(F~uA%c5U^t>5%O&q%i@C|03XJQ`IfFO$Vj z0c)&3js_Uor^nm6ENvoiD0pk^sRacKCBh@n6U^tt^zSU335P?Xo>-SfD)}J?Rh*oaF zI9Y^bH=xuznNzGQRZN^{k-!0hCj8H6Qwn9OPCb?xaIA*e!J7y_t4PMJHu&?^4REwLFtIYy%67LTu}2AGwlidr zk$_dxiAXIFH6aoY98|K;K!a1Xxz9MikfILtuzB{ zsBC>agV3G{qU2E!NmyH>p_Cpc=X$@V@vT}x{Q;>X?|4vHE44!nSO@cx~Up%SZ9u#fO& zC><}IO}9}MpZVdM%k4tzj}ilmJ;(WX6OuYjOGDo_O$Fg4Z)(YV8A0Pa(h{_W@sq^y z8=XAsNE|lyC8>vfY-VM@T1e?#vG@-oB@Qt4Qz8ML#7WWXSC5Ihwh_U~=T#(f6e}k< zxm^nQiV(VuOc{(=aq+`l|LrMK~mvDx#E>uuZ=E>u>{M4gG24X@uDSeWcqlqQ(s<${Xq-oXe$Hlb(%m~WF+UG);Y6`<>fSz zj>vC}&3`$@o;ywm-p$1)phYHpw0FvMr0=)2D~uqy>*}}KGm9`n+=l~PZu!F%`XCzc zl9=C#6Yc!m$KF-I2Y{$(WMxKw@2AHr#{%H6JS1%+%N_k9$8cXV9c&Ah>B!vzrA2R9 z;gcjioii~d67r!Z0vGrxn&9sF++#MTPTsGm-71r8JN3PBl^@VCxF4fG=M@SFeaLL6 za%z8?H;&_HqMytc3+9{#8H^+NE*4kdWa@8d#abK{Wwzmz#p7o{2M2YT;NtLXxW3Rd zD3k2+iJwFNMxPjYVD*(@BkTAAQ+gX7G9{9*hNCB#&hB*;|0T^NQOY34E;P3=M_#heQ)d{S&O^aG zpgh7K`B?jqUx_WmoiJ}um^djx0yNN5#{{0{#e=n<`@~9no};0GzOeKUMzqOzL}~zW zek^%AqQyrzNu@0RiLvCdz&UK&9x#M^@$nRnuY8VyYC78-wh>OHlTJYT9k3VpKuR znRtW$GE2K!DiC)^+BZ;)aa1++lMmH4qk01S*$S#=LkK9{E(+WQYI!(RQfUXISFAr< zFdf{`An6m6XLn{=!!pMCYsqkzX257z7oG6u4hGZdAeM*~za%KKZP6Icsh&IHkRJiH zem-Te6#ATVPDJ@soHFR18az=h+A}Ql>!Bydo;zz`{F%X~;OA3jg=3!~HnRg0S`WG= zB_hjSTa7>sPe-;oono8U68~FQnjx@)UtG;P^mtY@H!h*ucLmrHg^O)Xf^5O}v*oI9 z@QK2oM218d2wYD)>0EJ3nS(qVLFc+?I%M2zit#`VcemXz381MJROlZ#pSLgqVjc8A?Cx<`f zPgeOa@9JUhC||+w8aDB|?Le0Sw$-4G)K5ALpf9ls-q1fUleuN`7IXtr1yk1 zS24es0W$EXv?%fj8m|yN$iGwAy~jHHW)JA~+KRS-GxO~p(h6a*O;Mrw8EAP!mTi+p zd--`N7v9sc{^@&(0$kg3`^Jqo@ZXE#q1_C+o|CLqy;z83gCz_mS4dIp5Znro?Dff& z<69hBydXay2=6^1`k_OUM1Lmhv#1Pn%9My8UOU8-YDGr?4eTx!xF|2QF`pS>gK+J3Kg)g!b-D-jj%sC(6z1gw`jjl+ufb4w3NyAzb$WqY|KZu%xR*7HHK`b9P`K3v807^L$CX;`g z`h%aBoVsIvOvfmyBOx^$P|-WxB)VQ808ed#uq$CuUKakqG7A0t!ko0OnGzoxR-{m_ zhcJ363c!D}PAEwEVS9CTNscyCJU^sp)XUz+);GIjgL)iOaom_Ho@8WKf_^ft-!vYK z@=<(-Dwi4{72Mw}U(;y;P~3ffP+U9|7(ZSS?EN|&P%38lHz|yDd|N5d<8+bN?Ls#< zHy=^vH17p>?hpAj!QmA5PjxHZ*{HAemaI3u{Dt>~Wp`vqawSVb;8tku;Tz z$irtjjBBxT;4A2#pX{9vt}+s#{ataW^IL?cG4R$fsRXej9X`TVH9a)cj9Wq_>xEei z?<<@Gd%1Qic*eeGMaAkJ!v2)z_?lNO0aQe97>bJJWpIhdo>O`B0a=6grY#Tc&2JG0 zL0_*CH=^}XVf>(s`GeFjGZQA$*uIa;sL#`gM2m^Z-xvp4z~TVAI|k{klTH?w?fg^ zjuK@X6(+W)7{1ja5UKpS<7bzV(g)ygIQTf>3(sYX3}pVo-)w58MN32RLEh0BRFox= zXflAF@X6;{WGlcy6(9Qq?3^L$at)%~WB9e<_E>Bh%Fm@&hYXwQB^*t?WJ4?URWj6c zt*G;2t~G>$=gY)3Bbxb482vdjW{2WGq#P9{iqW@@8X7sl+!fuybLHPFW{pk8Qb>V7 zGdafESq-0@N6;llPRD)av#Q{I7#~I`$<6fl54K1W@TdaQ$GL(?*2Zx*hqE8N%cwJ3WLS)g)ejg2fIWa%}*T>F%sFetLYf>(XK0Y z2ZxD6+T~HFZ_`!8xn_|Pld8Zhh3Vt|X&r#LVnrkQ!31B|@vRW|sKrWqVI5FV^u~!A z7TmxxL(F9oeVn49fmL)1*FgptOvrKziK2H>D_8U>*EBy!7;7+?=-f;K)R7o|yMTq> z{9chlLE*e=zztw54NB;iwL2%GtAmxW_|5A3z4)-(mU=B=phClA2h3GCehbZzq)a%pyWaoxp@FLX!bly4cHQ zk}T4UPShzVkfSPu;bi1dlD2(SYv5qWV6;#^LfOmVWFnJ5z(O$4A;{MLy;?j?PhV|5 zcXoL{FF6Jd^nLdz&vu;QajjtVU^IEqee+4K`N&P$lXMuUe>68WZV`o?ssM^SSjgWn zGCwtv2CkX~EiEyfIlWoH#m;N7Ql2%0f?hub5v!iz91=7lfMhv$>irOVPQ4`a_V#G= zLo`7Iz-c`OAC_sIS)NZZ)?^TwRW*vljL!%^@h9W+WrP$T^Q9rkP9rOWJv29VS~xvA z0k(beVFX7PXzB`X%?J`;mWd5aIfaU8Tgn?$0xs%N)RA`R{(i4b5&vR-z*dZ@@+SNT&4OcxP|ZsY7Zx=?Y+|64X9U;e4n?8wvA z(bzSk5WI-85Gwn`Ww`1k0jylaOfoWShdTvogTLP8g*WCy`!C18wVe(+3QC|V5?7SW zqy&iRXc4_T*v<48>&lX}_fc9?o*BBS?WEtT!oEhNsbORsCTk z2~G1eXB=@sCB08tBgW-0f7vMUIUS@vjy47!C$&Ee!V17Zx3Hz~vTE`q*p?70#f~+* zlyloHtxiw33yw_qfwiu35QU&!O1BzAb_NF_>*f4hv}MVTwT~3O_wZ+}%(T~<3j=~j zb4HiOAqvAvAIO69%LVRv1o8 zB1Ot+P0ax8cV{F42%iJgL7~f-y``;42hGpA@8mWqdLo`wlrLVQ#~c}RA?i86=tcob z$g&^ln3Ltu#OeXAQ}{6F6towGKEg>IC)NM(P5oik)GUX+POsMnEK&MCtCMI&5T z_sX9iIEU+MQFo7&PsKe*l6Aht^KHmIc}I_)(Mrh}a3Y}K1B4KatztR{g{z`8qZZn`~KNA=Ds!`P1NrLf2t=f&_g)>-<=t7V!Wr}dJ zH5AE8VBD*vPtR|GF5c0{igH6c`_9&xAz{F2f4OZ?=N&J>X*hyB?%M?Z00r2_6KhuZ zjdLPK?K*oeBo=ZU>O}wnQ6OaKy=`F{sFv|8qf2%|ojr*E0ZB zPP4wC-T+!801Naki)I`ovyz#jmg>jWDrfjV6+%oCYk!6kZ%8I9d;-`r7C(^DXes$N zRVnVE)zl``C_eN@_um~~=CivghZ9Iuuu(S*7z*c8#37%S#_f~N6SzO~OsbbvWX4aE z>QfKf`QYYvQg59+e`$lWK1cTgyCF7S1#pACl0e(L^nR@pn@tqQ8AI(M08F8pUxk=^ zuedsLvy)esCmxtu!sXOpD%dhL;haIjx(E@WdztZ0g1a$Bf9>_K&io(<$F0D_I77|n zq6`0b>sLiUnOn2jR#kTRSC;0%71SH%HT1ipq(ed6Za8N-Vo_QXGCC0*OZyWFB+&{< z1Vj@(YD$T_2@-Vg@RZ9m(5rYaNz0+D2r-l^^l{#UxD#UykJ*xJ?DuZDH>@Ogi{%e` z=!^JTqdnq>2$<^FN5d?MkE_;e}mjmhz&KsiK2Dv(k0(Bd?h7E>5=IG(UG90m)r5i%O5DP`-_H~ zlN?7s%ACnm#&Y27eu+$G#F-~+6aZdYaETLJzmN|#khSlxC%D0^?LpCHT6!`YbLXL+MS=o=j>TB@fsV8=jn}v zS^jp!4t6u;ez*M*JB+8ojMoj`0}&6`88_R5)S+`3@63yZgbGF(VwJ=mUoU9{E$tYk z8$sRb6r$sq;)OEJfR@;iSbS*?lg_lERe*(m40p~g1Q|h3`aJ+)ILo+REPp)Qqa77Lo z!~-3oc&?gL8?oH#9w4{E`*he3$j7ZrtOG~Ee-xe7Q16;V!$#nn4at^Xn$7G$SUrXm z-P*#T%*fp&>>%VcOgA{sW)#e(?}Sgqp>QS@%!;h1%#w%I3ezb;9h-?gVzgCW7JW(U z{JZenVgUk6zR`*I&GY7qJL>cwEXIzObWai?BY%I|1|?6f3K9y1|IJK6f;eF!Z{%~t zH%dfFn`!A06xOK?>RIXc{G>hFV6GvCcoIv)C$1a}2A7pZ)5xC6$*VpBO9MO>mmSr( zbSfG0K$x9%ku_5f!`9U|wxFm(J~`3?pGM0xlFBqD!)1!;aWc}c2BG@IZho?f7BBTJ z5ND`YOu*9#0#iUXCKw#^qU{>S6#cZ#kR`&lZcA4N)Qpx$oIvyy27u0kdBT%wYBl+&f5>g+|+(8Zr|~GjBku!im4-_{^{dEE{PNf10Lo z@QMJUvo78f=o%~=>)+=Mku32yAA<@LCSAc*I6+__kQp18f!32}#+Jsy8i&j4#_Dn@ ze(hOR1Nx%uMNC(AOS`+I^Yj~Wfi2_&!`G`c@3)G7DTyI*sh-wjw7Lju8jAWMSk)v% zRiyG?=v(mD;b2GVO!cYrP{>QCu-_d2ZpSzc!En#QA6MIxRU!xP$9`nCp^5`n^z72uG*?i3J_%jnV6zN zABS;8R#DpIyRT%?>zY6?-;Zz9VYJuL5uG<6+^b}?MzW!2MZkMO{<7f3L){{)pw)t2 zus>%M@7@VO&_rWutyOc3$w6;W<1HjdG3&u06m69wVj$)&4h(^;_vu%V0GEmTIODdA zV8yFHhb4&e%qaE$J!n<#+K$I zctbK$uI2g>axC<{Bl?02wQdd|uaT*9dPdug?ih_S=A;~n#B_4hCE0$XyY0cCH8-UO z)MIFWok31!y%%FBem?SrI-B{$JA>HigF^qSkx)12@WzytW`;+vL4!}A%@Wc2n*CX< zyGLsdR8v1xSd5{CRyIV6|4F(R3Ie-KystD_DBD#hkhm6jkH6Sl!-U)5yh0x2=sUU) zPii>j?*#Ux)`A!SoW8ew702xClL~`Y3COD`P$%9Y0})=^u=y( z2A~9XiGEposAEXf9IkBWV{|HU=AK<n{L=@a;pt1As4zHbd@DLK2XTpk7U9;OJC*R^{{{a0TJqRVcre5P`HN z<}dRiUN;exUzDRYyOPKcol?Ruw2sS;ARIVsl5;WYrYbbjZxHh`!z9KZ3j|mbEuw@li z{p_%rX9{Alqt+6`N$;1$6*iqJo@$oF1c$GbB?S-HEXptrAa88r7%FyZJ2wI_XkHtd zU7pp{a5?!YStMqJcn75_Iu(ScPnwdtJBGlg0W!y}LC4^GgYO^ATFQIcAtdENLW`hp zY76_gy(IM$dIT5$C<46I9hlDzImHXHQS|En&!ZaW61_u&hn%O2+M+}_M z7Tu`#m&P6`QG^WTKEPt2$hu^qbsCkf)RCJiGz}VKw)E&~+t(ltb+FZ=mvn`Iw5Q?>b@J-C@6P(g<&n#siGTA-iiWfn+1F$VcSi2hh#M{;(o0AxV=dM7uT_)QKlHB0o2?LsOR z`$)T|YsS&_s+dSd#M=ZKMGjviQ~Djs%nJtuXcLC~_6U{J6zRv1fdvitSs#2HCy^Xk zI^pxEiRc6xrDeBQ|9}*_)tQl?*{bWY!>(i{OQBu!YnH639wCWPm;h=jPc`OmTixI1u2NRm(PySx z6-@=04YhU1Xza`Tc;}c=+Z93LOxhyr%ZKi1h|JS$QN3>}y|jp8hZwru{MqgRo+6dE z`XI9U@LsgnbtD|dXyU#fveK9Lh+f|@a@hd^LwtJKHYoiHQHndtwz#@kf<3Gsgk=1V z_80y`a*AY3Jp^0^S#B-Ns^-cQtP;~eX4rePP{kxkuVf5jBLVs?^{)pu`Lfpl)Ddi+kwZWbUp|>CrI|Y-vc0fVU3Jn z%nxVI3&zYu5+3W9KJ}gqR3$--dhL7*#r-RAwfcLcXwxz z;1Jw`y9al-;3T*#Zo!?Pi@UqKZ-OtjxI4%DzTbEC`|GZ*?!T+6si~cLw!5c$9_gN* zo*77gs<^q;WIO=d`tPSUd?;fLEs@{>WJM){C5X3g$DF5!V+AM?t@u7Ira z8Yi-1Yh#HS4rF^usyh&$%bT*exa2_YuZ134r+O4$w&9`=9yVwp?cYwzUucXfGU=PlHUQI~y-s1UKgzWC|5CE z`JI%eyVspQTt{{18)-$13!S7ePLU8B>jjw^O3s7@=KhPYKWXc8Q?2xMmFRX-!JAR& z8>y6ioOg}W?&M7@b^Sv>qF^JWU||m}@A_>g*q{|!-0-GO#B=#Cs{Rp_wnsl0lQU$B zrM{LhKhCVht~kbwG3t392X<-R8QqU_J(iYsG%yy$Cn$(+&=a@B0@q|#6e(Jo@3Mqm zejmzH1$=9p?O6~u4&vaC3qv}O8~weEc-{rGuQf0nKv|ijAhkGWhSO$kb=H73tn)_+ zxm7m4ODkdg2mpuwWpFyosPvIE{1yEWDd(WOXP(=PV4YzwHHm7p9+|hBAV)ss!P871 zmgQc^x)vn{)a}=zIr?LG*Y`>)(Io0&Y=X>O+Q>%wJ0{OkVvY~oQ9>iTL z7pKk8!)Vq9mfIlz>P_nVvUytHndG+8QhizKk%_{coe0XN$3orzp;#Tw@eY!}=dQE# zG|1plyo`6>MJ~QOZ>}(BsZ=U_b5^rpTR7YpKf7bIyd3bw8Wa=tBvLtQuUzPNR-mCH zxG+)dJ-HwyT*YO3CRPS>lUUE#mwPqM|IL=usTSFnFFY}5(|j*0vp)3EzcR^#{s}(( z(eLY~uP#FuoHKZ9ZytOS4-yyV+P@vO``knH4!c!;zeHaL{W5E&9;MSeA&V~ENX*uL zH)&(T*D4UtT|MAE{dXAEC`4OqpA>4Vg{6bsjZ3Tb>54qHFj#rK&xQr|Ycy=N@idES zOaZJ(@&&$#{q~{iB~>>p0^fd)B+nLULKbZ_Ql20sGl33mhR4*}1^R?zd)FV#>Qs@Fnrf89K6AcH%C8XvTisDMk@tw3YzZmP z#cdD(&hz**d!OF4kLNjB$V;?m16p=;KIfW*XQPHub}zX5XNh>{)$Cx8FBUUB*)-yC z*Kfp86X#!uF;Rj>YohF@RJ~)W(w&~1oq?N;-=rqS=zgPac4fIV1Z~KWVMRJxBm-DcJJms0aE2V>$wE(=k<|Oh6momed}5ET^yE&iDD|miS3+X=6n+a= z9H~4NWbXd)Rk&xPP>JN^jhr@n<$cNvxYXRpsEGdJ5DY;JoGAd9#%k>>{W2;<&7cx8 z70Dkaqmni2Gb!3busl<4f#b+aMX*s27!WK;>GS+H%F4z8VF~-&fU^8iQuu>}F_RILM+85! z^sm7C{`GfjrHW*le%sj~jVUD`;xvQa!6g`uXN(8bl;HTGua)@)+}d1v`vTga;Jcu} z!N9aT`T5_?qyXH(SY7XD?7k+Le!aUNMPXCTcP&K0o=b%tnhkAMWQ&yLVHL#*Y_G#x ztA}{O-@&hha2zoq4xtP`IiJE1&lfG)uyL4;LVg<;Pa?FkiK};HYwn1^I8$M`Z{MHB z6?C7Fn0Y>1@!q0+fX^6xj)j8YDPTc;^DS@Q5*e-ZxmrG zh&3_sJyWq^_3Dr1OSBitEf_UU7KFIx56&uaaYB*WBhC9BxjwnDXO(NujNU0J+ym=T zK|GQbTAOs0W~Y^~=^cWEhfP0h0(0)|)Nfx3zl2IvzoM#mB|$ROCN$|&4GpFJU|880 z^1q*(A%A%S^~3t0oFQ*K!jaF|q7^BV^s?{HH!@&=zZt>mqS#L{bt`#zw36w?_>c9UE5O{HqZ08WcP>dCoN)G=(%IpWZbn zdA{3I=`@gyNu~lf@Pz0lf{Vqr_qDZ)8!3+`CD5w>cNxB>_lkb%2@+?rl42cO<@J&GQqtej}JoIoms*lA$M2v=NUT@+so%eOGl|xdBo}3#Mr4JD2C+=Q!R)ZV5i#Y)lk=K zILZCx2Qs-O{E*-up3QMaE-d1S_}mSE;3imu2raywRWd#X_Sf>ZfL}&k8JoPu>h3Q6 z{1RmX{AUObcogHex$d((ldPY*gDSaLDOr406qQL$#wH3dj>H_>(1kiw z?K*y$QS+g1MIXK~4w2*DK)2iUePnnA(!JZM_Y($5VSV4b$b;V7#oYCHV2=N|7w>3~ z?TH}aO-63ePp|5wOK>uShd*H^5VxPB5xLP8iIyvnb_|R4XT;Yq6N>Q_ZI2#w$vtDE zZ!)Y^U5RgJ3VeX~uYT9yM80qxex~>I&8VAc45jKJR^5ifWMKF!pmWp}RP_VVFnxpK z_n)m0iaqnNN)>|%q`KQ7Mr7vrYcQ}QHfy;1{AFqQ{&SJD9dVe`!#Ga)5ENZBJY+$d zciVy@Z@RY)liin|wKj8LqiXZ6jX*z$O(nlWP&2M6adp5-~ayQ)X zWeFbXWX`Cm_vvweX_C0(&pt5vt4psKXn*v4Zz2>KW5XyMR0$1=RTwfGCaRJ zgc0+#=8hVC$isa5z~8q%Eu$3~58UC5c4$#%2#9W;@~m`328HR;Xv)?dpEvrln6=dP zHSZtDjLrTOuSPRC&CKPYC1-&)T$|Hr1_S`38esKL;0XeH(J+0@7IhYeV8zkbPx-zz zQ@^L#V#mZDogq`(umzRlh`YzZURd+$EF9!b`aw+77H$m%sJ3N>QD^3Iy@2b_! zm>ar3{`~?o%6J)dYYs}6L59TYL!Ietz(Xsge0J= zNa(G5Eh*YZ*wo3EnD3k)riIu_D=Dr~v`3G^l#`s;u{-UcZLF&6@Z-lh4=FF$;k-Hl z)5N&_Dd=(%IZ6pPWcB%ze{~>&Z@8nZHbp`#9f2=5^NxG-j=808XY8zDG+Aa-G#jcR zYfXi$FE=};0<#f&;?%$fcy@hG8=n>QWta0S{N`myCym&gg`GTHGO}SN3#+DxFCNBC z9GMQhmjq7Guu@sKuAgIAE~W(-x!(^?#5>}nKny<&%Ki7aQmhac{QNdl@ire^lM%(A zWp+1JRTTZH4@T1=`vDUPh?3 zBK~sOYpDpPH+`JAV3T3S`&h|Wj2A!-DeGCTw%<)ns@%6m9o$G1@CmrJ6G$|7pXbhf zRDbG*?vQ2K|C$(FCF)n`MO(#M{>+AGOasX^^HoH+Mitfd*+O8->RuiyYvlW2{Y)$} z{dr>}z6{3y=f~}{#CHuMl$k)Xjz-noioOiY^8ANsa7SAKK{DSab6Y@tgI^Tw?%ac?|yHq4kGB3ybiA)85G^Z3M0eq1bK4ozp(nV8v`%h zq-=|bKeg6i$XMJc)vm(#64p;FZsq(~p$%|LU!X`ScnPmx973}*oKH>St*a?K5)<_A z?ywYg^S;LFnNHXKac4$bPBz<$E8;zZov~fOCu8gRRPX=D<`FvSU#;BN@;ZU~w=ojy zeo00W4otCr4@qBeh5bD{%V0;>Q{oS3HAyy;s#)n8;{ZYHsCp)_m@F2ixw#JWPH_`ZaHf3t$UV|A|uZ zlRgOB_!;a|^250YR`?mO-=tcnJ`e_eLz?@Yx97m0i2_?kbFI2)<(N+|cRw*xY;%eY z>*AIg@eRcw+scexvHr$pRO9%l#CNsmu_#B+gOrO-Pt^T6LE1c<(xCN^syANLlz)QB zXbfqqGgA#ZZG1Xi7)y`;+Eq^HMAW}TIIH-gZ?H*&j7YX%>6DB-Vw5VGo0msDOgrh; zyiJ%Yg{+`=VL2rFDqH54+v-$ICNd?6fbRX$szTd8*>Znd0kPWd3*r;B$; zd1Dl8_#G|zX8|62^u0!MhXKYW*)WncWiHb`6U1BMj`Cub3L|A&&WiwJEjdS>SW`5Z zW@iY48In^4dGCEPD;E!%ZT?0^#RBz&5`b4gM31f!cxTo>3cj&CZdbepKVZ%|mkF7D zXkClNNBtq3)F+OtI9L~UdFL`Rq)@zCHts|VKRA&(=d#jpEU;7oGFM?Gm`l$$XXjYj zA4?M`D;(|-h+q1`tm||4ccT0bw-+n29e4pF%n)=*JYA5=Fm(~)2gAGC^!j8@)&?Mp z`tH{v_HlkmnDdo_%zN}+d9r9^Q{m3Z84WauS58j3)@svIC9{Bc(K|xI%QbBX&J{jE z7<^kNk00lnEcyEGx*ovZAJ4+uHiZ>yYBnAeUNjU~QQ1Ex_g$@}z@o?wbt^WU2_k9U z=o7!__C#Md!UwL>&!Frbz57)Ut!C7`f7N6dA0rR2LZ^_BFEoq-osWLY)TqhB))ym!D0UL_ca~ z!d@~I2=0G=XMMjE+hfPu04)!A{?uxr#;T{#Zqd6isKxQ}8`6rCOli3jen77$SPea( zpMdK99yJflt1u&oMq_@~xF8H^-xW6mixP>Zn1k5r_vhu~@m5dlu*{0RfV1t4GPa+v zlXtIw)ekSH#XfsyBU3|InO%O6|79~fLgVNSp)5!YG4+|s!TJL^XfTQ$cETh6i_~GF z91cH|Tlk$cm-jpU&JQbe6h?%p57-E3aLMAxa5LnD>ZkxTbd-G2v7XC!13lstXc9qI z%6$L9|0Xt}{|DVA+$-=6Qx`QGfgY9glNULmM9}De@S+fOaZ#>t_+;_%4{w;$E@y&Y zoX?bpKm1GjKX}G4{I^X|xZbFd{)3qkvHpw=j#7mF{D=RrG=zUJAsb$STN`+7)5B^OU?0@<17yJj4!eS@{cIAMPBmcX`NUS*(?C z{`IXodu@D0fg&K%j;rx{CxT)-W)ZOE^u3CXnZ8d089JsZ-AdT_vsI?bvT!Xs!q(-7 zswSps=lnrIg-l#<8OC`c*Ziw$3E{|+6Qgv}(;nLljbie=wIYKwVsdh3@WV=kT9FY0 zi>zPIJVRc&D%HJQx@pnZGHN5%INsz^ypmWo)iF0&0<6|(h7t`TkV_4X`m1Wqz(LoF z+h*oLwks|!@?N-N_^Hl?u-eJ%@U#DjqXD830fk5#@%*Yw_Z`4=@b%kO^9MaCB|Cx{ zj)UqT=~!YwvAH@l4I?aKZ^yYncQDrW7c~WqHZ(XTNcwBqJjcsBD2L1VUHrP^FRr`> z_qi13%E|&=Y1wGRMQGqmB5i|WoZu6jv)9{>Q3m%2A*Va1i33WXG~3Z(B*nf-L{p8^ z`A5HN569G@8ly*xgVRq6JE1^pAS`PB(aSk6QqN!iD5mNeA!SL~UpRNSqsBc{+nWz| zoU1)f0XvGgg&phC0!fkTtdG9eB+FfcOHf=1^mBBk?M~@s<@}MRUFMdV1#jY9Ztj+E}qxYf%2Sl?;hGN&QJ~SwDk* z#;_hl{({r)sz1KcbTAtKZQkNSf+c_1muj#vQix|})wAuW9g3=lN)!qE!lJ%Gwp8q* z3I6=Qu|wpaTkij9E09=ZMCvm2O0}S!ro# zFdrH*v6pMl8lbngC*bzq*{P}ArUj-2U-Zlp3;6%!ZBirzc#rps=58K`XR~}kBX%AL zc3?hT6F1F$3rBCTB>s|p9D?sCdyz5L6?@#C`9YAU91`&bx^Quq+W%ecglEU!z`@PF zhp)y8JlCWU7qe0YB`WJHYJ53hv{nwkZru=^N_>5`qjs1vTeil>FtJDvk5pO5c zxc5ZM;6{-^L{-U{1V!i}*P<-qLqK9qFLh{tN=@R>S3@m zsnS^R3<4Q*cQi4;xYxM%IuW(j4!^*`Swcuwu}X`3#6+hJy@8xErtde9Ze+6kl*G4!N)49qS6r?l<4N&(6nYrWmUR3E}m1SjKw;iVn2Xq7LN}P9(h;Ash8Rb`_KWrLF65`Z~mSy>_z%1+dosdQMiXd?= z0lI2A>`GvW_eUbVR(sa>5??w35uq|O^ouhNt~%1`pP2G)Tr@vL{>@l^Od^1jloWAT zSkA9s->O}31bz+=4B83}s_b+S&m&fek>EZ00$XWmZ+#T-=Z{+xsiGs-Csf>d@Uf%` zg;yI)HGmya`E#@_>o6NaS$W2ab+WQ8dV>X^yGieTFb>4B>b73=g=V&A+Z+j!N;iVb zD;O+f`*vrT?rGvWydjYoN#h>JS_udQvh>EAH;cwdhA^L^qz{JD@tK;{SMk-V!FODQ zceszZwu?}ct%y9#`c-`8>BY8=0BZQx9?YiN`X?D@=Ap)hlJABbqAFZi0uQsAw7TP; z;l}gPRF6{THlZkvD}+P+;Xz%anF#0a_&eO?nLatJ$YLm~Y4%i%a2~GE&Wcm>!PchI zMx;`>EL|<cO3;*nTyKn<;NZwyD(07IThQ%m9P4>I}CBP1osTXOGnf?8aa6+?iUOl-$Kk}0%}rt?qW>m}kMl?JZ?QEW|4recZW0;W zR(f(S_$@VBb_w%BHnUZ|vq?y%b=pWrAYP@T${VetA1%@W1EdBjRi7{G<0@(04qITa zvl;>?UOSyGl!aRgcDvarwRbM591aCrs2V#(uF=PpggSSWDSA24=zDQ2RW9!mc)MXE zrX4=@sPTn zluATT{?3^kHa$5k0aWa=#tz!no~Hqm%Q+8A==Lypm?jvd`OxMIJ4|9*Q@xs6VB2Vs zcqSc%m@L})3M9MXu0xnmtIO`{iE<%x@8`e5$a>sFXeO9*PKB0@s10M)Zn0g|g!Kw> zO(Fs^8f8q5YpoSnGjFj8OFGZfx+`TF(Pf*)hDf*5VttnN4Km;g5e~bmGg9KvF}rw* z!vNxScIsmo_ey9%g|sceCJ7-X+Oi7TcO*wM1iniIUT*N36O#p&&Q{y=9_&a>IxIT^ zWGzxBdu%d|s+wS5HIN_~K<1%db8u(&V#eUjkEH+0#FdyzY zYR?7Ywp0j$Rnhe|{~jj(9LuyT{;2p>bij8TOS);WEKOu@p_nxqhf0boJT%c-PHr4g zqM#}NhXuU3_@g>Ne$-KamTx7v9c7OFdHg^YX;#Hp7zW_-Hjb0uKlsg_+BeL+(~y%{ zW610y9ddu}GT_mYbALeuX+N}X1Fd$Z#l#uSDOSTJlFeJEC=jXEV=-Dt^ZjCCEd_v5 zMjghDs&p&RrDBx8P$3c6tqOt(X=u5LuzrVXxcRuQEuQ=;?u4ZGIDuq3G=iJ%@o;fK z`*}R0$i;kR>TSbbJG#Dg=M$Y9DF^O6->O28JahY|OM;6mVjpu`L4W!4*Ixy-N1du^ z%s!1~b@3Kq9L}_kUl=vEqVtgX6%On9ui?G=oZo|!v{{!uhOFXvOt^-L0E9b0e3T2R zXm~Fwsi1a@0@1bb8FI(||M@p?avK&(Q12c@n#1q9Gzvoyz_ z0RZ%P%-{N-CInbI^{v44&V*BGyf!ZFx2>)-}`Fh`V(M;kNUb*(i`{FPoH zXL_genX1RB3AS%7eJS=V3#a8Lv*Ts!9M>TST_3VKV0@F~JGQ(-il6lW<&yb|k|9&* z!HX-_;5U6PR_g)ZhI;to7rqJnoXC|9u5C5IlHm&0?l3VaKhfPDiwMKG83Cundu;iK zrv(zVs*o6+`ncL*?+>owIyVb=dr9A~Bh5mq(x-58>l-ZhqpevE_L%ipm#7KL7n%Da zioAJt_hk7C>6SnDBm4;-JEyoGYB>KAbb({5dzgcda%fq?t?rnlm4;5XwY_*?PH>E} zN@S;GJtY9#Kl<8;SCcjJ@@+cKjxEw-cdAX}wZr6u9%wmQb}*Z6W~zyy!j|&BmaJ`j zL{r8@fh~DH4J^zUbHIm!S)vB;1ZStq|2@FP8-4B_kkut-3J$dGsA05#23w>E$*@9& zAT`TH+u!|-eu;MDG<(hu#f)vP;!Zhsyz|}G_6+ysYicxc7s<9<*(N*^xPH;^y?lLA zKjGeu3*MW$o7esuyQg!Lw$~QWv0mR^pmkjb7~1pZX%^(Gv;%)z<#b?U+-+mxJ+63b zaWPtHZh7!YaaYPYL>s(-Ve4eQ`@8)3jO+yzu0-FIQeNXuK4_<}dmX=mLkqZ=7?XDq zDHoW~njERh*31NP?c(vB(oYUv$=kg|$fglQAk9VCn{Hth#9vG)j^Q@s0C;GLxxah` zYbydV1~i>Y(>f#_W%^|vHx)pujm|00Uyp)KMy(yMQf4Rjb#nQ02b}ajcnjHfMzM-Pw+Pwtr@$%_L zba5~(zafod98ac2!CE-qk5-=Cp3gK6#z2aY%G2nQfVIrXjo~>;8lw)X&4NyuN zejG&MhUzr&iv1`tDA*W>^noR!Rtwp5z^^_#QmSTwC?y)53v}vKg8XiJH=B(ljcw}7 z3r{q?ai=}wy~i6cPE`MGTQd~bZUd;>;jdc_5U&3Q77xN@X3?J1a={U`^CReU#}l=@ ziAiSE@yO*0c4i5KsICU^6t~l|SD*){xKWK9F!meN@J|QfEI}4hZTHPL0>PAAY=?+kyQPLE|0WlE=krGafn;4rMAzGyvY~VeV$g@;A|J zcX-mVLez|+Td01q#RWe@27xt%qHKFE4jc%6vZY5C&&R4ObNf#b3Xl_)M|D!+QF*Z52mx1aUN~Nf?;BjwU}kBUE|z21QVn7h9=y>$`rw(O zD0zFdfvPxiZw^z~k|2}|DkAy?#7O0^3zaMaHYE2@wrACaT@_b0hB}&SLFi&`rs-^l%?qKrhGD*!i%VIL+RlDi0J@Yx z*65asdR4_q0h|~5#e<<KAbj_uqEw1iGw^<5xNX}euMLD6+`$Fe-)*qPtVdm4aLJdE= z1KUo)`Pu=~>BFQ|!{F<0+w46?eY`H)?1S}3$|;F7i^!Y$qp?}~^B6jzj!}mYxz6=9 zV}W#6zRTaQ$*UJa4h&5vm117;r1yd09Z9>9I?`*~?5?#UsTSwJdoPBs1HOj4N6sN` zH*CQ7!+2V*$QY9|%sJ*asjl}~0ZZ&k)HT|X&636jyeSmYDy;N)aqn$(?Iki5bM=+q zYV`#_v8RiH+|=MGd=%4VLs(g3BhWC>QO#g`&rDDt{Lq)kgx>hf?_ALZHUL6mBDdPD zhm!j7Alze{G2b9Fni?DKr?!Vf2Ud}+70#jiZ5?>inB`91({EodEu_{IETm?!{@^BS zlz6vzcRf9DOdiI*b`uS_aC;7xq~Yz;x4Kb>4kUFs)g|gS=O5wJnOb)kq&ypq6q#r; zR`QP2S_x>!7>c;v*X0Z1$)Bgr8mgJ5B-W$TB-zH#KBXq{ z{F>;HF~L#mBgcw!Sz=aYUp+SZ z!avzgp2yy>1{xoWv5MJEezR=nPhfDy{JjtX7g88x7gAQ|KD3U4`U(1`DI?dha-IXq zj=VHGS7_H#(@#4nLj*S5|7g&9p5@|x)h~Kn%QAy;Z}rI-6SB`KNH@l&L;?_$LzF|H z*9_5K%FT+!iYJ~^xQ)mXevID$Vd-hKUp$Y=-!Wmk3hNP~9h9Y$!b^r7VCM)?y`(A1 z$T@J?hVo5Q?-u3swlsFG6gxe`UrXt|J&K=08m-pniLTG!!Ppm}b1eE`EMAJSW?J@> zmcnhKd7>YB&4$?8`}&AWeteVrKG04p$9~oB8c;KwFSx`;low6$ zi_27Z^>#rWCreDU=}g3fvCcbs9%qwpu_WkxPr7H0+!+%Z{$?xNEny%EZ7ENix?rk{ zP0=6q-TX9&QsN&UGob$*mG&gz0peOS*_9Na?Rh};x%cT$l1^R^*qOWr<@ZE@*d>jpL;1q$eBz6 zd7mAOpObB2jrpv0F3olAe|^dGkAaqZ?(a?RSeL=#KKSp~{ku}vwl^J!t5S(q~tFO3ce}5Ba z7?X`cs7RM>K3scCy|O%zwukBcqN;msGj2X&H((qwR#{X_$P0vKJYX0U-rGl{zkX+wq|h{39A! ze(;o$B3%~Qk>2I0E(tzWf=~=u3KaWAa>r-u9+A$j1ftIH+cO6==xS<3(h%!@YBtnk zJE90KNo>W>IJ>+}T)o%h5c4+`M*Er2tlFMa=;~*U_7gBgd%%-fUOie}0M7+@h!OZ2bSgXa0(+gdC z9phR*6H8X6&t@}K8ClD}OcRo{h(5Y^xsxZc4Ryu%)KrzyDAw7GM#3#DHHYq-E5u=OxiN~d#MDq5F!)H3%NssG2Naos9# zfUOMiVwz*SZ*yUd!pL<{!wui|i9wfv(*(*>Mht!PC}J45)yFEUb!GVJ4D+QC!l&ke zD1H<#e62O!@jor(^VYfbk^iEF8*j2BYQDh8bKHt?Cwky7;IJ1-N-b@a$t>#(;EM= zrA3VP+8G>Wz-XZ<{D7jRWmFqjicU*j=0$K>R*}-1@#ZvQp~lsB;`4r>DcHZZim-%m z<)(?5YRYO_9INL~r)jx(b9tKHjJTp<3ul2&wD3&V7_$VE%#9QV?|CqNBd>^4cZ3;6 zo{@@@fP7Rr>nfk>j(TV1Y9a|JvIp8@SRon!@r%FkdDyARy}{no?a?iiCCa86+qyxiYj;S2~DE?uq za?rf{y!)zVZ3rA2J>h{3@T3@OwX{&iR@uW`V1Tzhr_zDgSPdvEqZxMJuPgG?{`&*l z8w)|k5y`4l-p^0lg`{QjnwQrFa|~5l@u&Xq2z`f1drT|qd}%cQZh@=Q_IHET-j|*q z5b#{27ZU-EaD|%#igbkQG_TyBjX$t0lg@EPc0D1RA`sDX4k z$h|~7Dlp12GU!P8wh9PO$LJcl)~-JfO^Cy1jd0#>5!xMHaq7Z}TDA(cmoe!3JWQ%S zRI%7O3Y&6f|4jk8JU7xi5BjQ1er66WwWKlTM{?Dyz4Uwz^>}f}I&IO+`ZnHlF^8w& zh+ik_BD_OVbQ(b5`fFKtz@;)R$*qPO1i4TRZkK|DX3nYsI1>^kweso4QWUJ#nE4Pd z3#o5g_(&8F0L9!43{b8LM?k1MVG{#z#Co;ZjzLv93A9F0!bDxdYnYmpN1u2Y4$eDF#(%gILJb#7v79Xd z#kP#C;AL_M*RJ8=TAGhF)xh>UVxnj-@h%IThv>id$T=R4;~m7Oz?RhQ4uGRmJC9TW zTkLHWG?L}Yhb#Wd(|m*V3juj;C;9?hm< z%%%W_r_gyPRmtCg@w|ea`qL{Vosyc6MS%wnJ0%~ZELFuer7Vy6_OG|8bMu6Dc2$*+ zV3~@!X1XO~@*ZP9Pq|d5U9*)AWS*P)2aYq$7 z`7k@{Kof(+W(icUG$<7v9u#7B`Wjj*F7f8Vp}GI#GXuH352w^ONRv!(>-^PBEYy}c zDIhzlXCoyH$-+z(O8BFfz#c}+x{ZaGSm>&0z3>arztXd7(?Zh|$2)Wvmt)HH`_0k& zrqKTT{ipmtvUmB~-LY;}(w%$CUZ(pfYld&p(Z$sP5R=4Iwh~N@2BVW9RF)&X zc@;%pB4%=Z2dtF;8P0K`xEu0oIjZe1Hcxd|qzAhb z742Cb4iWi|&NZ@>%sHy^Wf{@=4aSNUW{MprclXUaS(%VY{n|7BEL+hdkCiGi5)q%C zC4WEKUv{+G(sjI~`A~mk03KTBECsQA_`!FP#fRtRo8V&^%SR6r6QHT!E!$!0kmS36 zxU%5FPq(Ib@3bjFR@+7F`W`&@o>10jmCf39Lxwf{<8Z@bI1Ch`@77*EZ*`p1iS=pg)N2B<)<8;pm~+Va4*J z%bcqE_%Oqio&Pc^8n#@#Ik_=J&y%^RZEkw3xXSs~FQmGd7F9=+h{PQzuMfDTvmKi! zdqqt?*`2Kw&mC52{zv6|=CJDB%=sI5AUf9Xkj6|mR#?Z(riSnO&$EHoJq&8 zd9BlpJ`fE}R>C~_{q%WsBJYK9w3N`SgdaYYlplUUj|ZPr(6LK&s85W+gJRp)NA@bu z)vypDRsH%x9cPetchr* z>S|T;2E$)#3CLg7p>VWDs|29(}!*c}y6R=OthFWlc8G&K3e z9l^LG7PA1ps=1RzqZ1OS{Tqo`=jS)M_;Y64J%0J(Q>_c!ReN1}BT;XM+0wX%XD&tY zMcx=k!@mvxQDVUTR?T}i282XS*4e^#Yy1dr9MN6Hc(l-+C{d6lptbc0Gh9&I$N3IF zd)Ht$?=2Sr9^>Bnh~gGF_|_SIFquYg282&dm;PCQuD$oWS8xr$)j8w-$BDZ=uX!J|@2SqX^}Sr~XEtM0WAS z1|bINzuB1?&dRj`XU}FK$J5lBqbfYqMa#6Zmb$7pF$u-ih=kji{T8%Ezb%XAIA!^l zXuSUBB>6=Z9+AUe}!t9P8>j-Nw;IJg6&rd)rkC zquJRX=if?%<+p19vL)?~H@v@K!8hQ`Qxc8S38E4OEx6aFjO+Cb9>q52*#IK}0<_a{ zcf2Ie(YCsPbh^`tj8y9iKcyk-H;&%Q2lPVgSbOUNWowTvkCWc9+yS>no}yl{(5UBI zd|>^nVjJsVn76Q0(Do&OFsudd5z4&jy{O|%`gt(!^7J1;UnlRIn^W4rzWSFBr^KKr zXou|(l;1T+-81oaGBefqk@Qj!{6=eSwUfv3CF{}H^hNfzuTyaPetYR!w70F*w|Y_7 z@{bVofMtFt1Z=%;uC~jY++$>~plKy@n{5Rjs9(%OVQ& zAq`2#+N?DSJcwOFQmh<+X&8>9p6d!wq89bc~r1dwUtbzwbR;68bgqOaujwdFR zTqig?Lo+|?g)<6}^d@yEKGyyIZv9=FVYE#xrWbwA4HN zxWRcp{Ng!t_0Z_)C1Qda^H~2YgDd)CQ!D}1g3m18S-3N{+Hr>upk#t1rDn){LE!b9 zZTQn@IMG~Ehj|Pt)4TUtw~3ZX)idrek<)Bv8`7~i3F_`uD-*-LiYLEZCu~<+mt69b z@-lrs=Vg95RA|BJiUYTAhPfF{9UT8&aa{P>=i>dVs+?!*3o*H45)zM|0&#dycu*7C zFxn2n#fJ(y&zTrXR7C+ygspe>%KGMCpTD&HDQ$!-rwB8 z)dPIPEwV60X|%WPd1^#PvMFhZ@C`Gi$8zJlh9(gNfT)HGF5{1fbq{(NjWAU}^EO#M zs>U;`96tX*|KCfq$EayPLZ5d+HU6mW&WJExeF)?|d4`}e*+)W$xW4+(Ia$40h8D)0 zIY{Q;5TA@GaX9&*DS%qMs_50ZObz5X7u(*&+d>8dq;DSM3_C9Rt6f1s;W|_Oc57hN z`KPjrpx1258W5z_OFoZpUhjD3hqu9xovu%-2JZfQG0Zire;9#YXT~N!mA=|9n};+`@E&JK_mmS(q(7P>jXxaOhvezd+~xQH#k1ca46{0>(LnU8S`m zu%hEdwxXL>+@J76m_IpckEPDRAA5{&I%ae1p{*Y>LxCS#@$ z>PsaLstY_~Vk@&ru_(L|b? zAD6Q*nrK_9yVF!nI2jl z^TJsqry@|^JClM@l`)30lp~k95xFXDH*etdmm(lEm{%(`NFK%#hR^0pU%*aDom}{n z(>9WLRx+KOqWVZVBjQGvcVT?{r;!7zPKq*#3$a;HL@tyo@xorDY4!J4e@o=x*<3-V6(Zoue-Y1-ViBUj%4EzGB4AIgQPkw5Ci zq@tGDw6%)UsQL4qg=zETr(;Up(CMhd4o+rzy3t3s&J#y0Y%sL%@e;?LNKdN)uUeX~ z!VTPjZfdAS9z^Q2>6`FD6Mi!3RT)kD8coX+HDWf#JtG%bPc*kb>bQl9O4&6v@$&ux z*=x{x?ZGqc3MYG}v6fRSpQ*89NmoT(BpAlTlb{<8g8EsW=GMBNW?s3>*=NK!&1eNr1a4(UnzO!v)10y1#;- z{5=UxhNDT!6BT15=hG0pqP;H~Zaj5DOzbMSRGO6{HBNroq3+lNzk0y=f}9zn(j-5- z@ZaPn_>TBDT`Ap+l+{@G|54O+05#QgZHjaOkuJRn2#AUybW{XEq)U?y(xfER5IQJ` zbg_U4p{mr-dzTV==rs@sB}nf9LiytRzu))IGqZPg@9sIz&b{-@nKSpEy-((-JSGJy zP8nX!9{7>NwLoRgrtBq#=n<-{bMhKqrFIi{gEu>Q*>t^0CCHGv`+MSf?rzlPk`dXY z@+#bd-PcIFeRQ8^%|d7G+FSy&`DC|6!yWO5{hm)4Ud`*6VIxYvRVd zz1cfWIB3p(AunXYrl(+hw&^o8;)1CYFDA(2QJlhJC@qgm?J1HS2cr0YQ$~W=2n|*cWvQ zi91I7(ujE2!WPz(qJ@ka6f+B~6sbib4KB(qj3?VWDaJC~4|I(OprSHUQ+|F}#`mMn z(&Dy@N;-`s!P4Og9!}g#15aQwXrlY=d6c#i=?%@snb+(enbgQVKL}LeegexH+eP@r z#JsIp+2j~O%p$(ht%&+`+F0`atf&4n!7Kr3wQg>l(92%vy-BP*!Jyl!1vg+HJ(x<{ zrn#viOOl~G*#p_V_CB*Dzp1@OfQ!X7A(Y6>q`I^WW=+$_GQsy~;4fO0#B)QN_J`Ur z-BgHhUdFNOW7jzy7i36tTjPY*qMo3~uD257gxMBq1kU}ulFxi5INp!|yIK<=JKuz5 zMKXljTn$P!Qh~GY>Pmftbj~U6A~aHb3<6uIyLVXTFPy#Eu7A?T zT^08}+P8%kRP@FvWb`>s%h@^T2rb{~k&2y}cUM)vAg9!7L&oidYolUT1Bdm} z;vaqlg+N=C=jSBnb#W(Gl^H2Ji+7@xhkdAn-AB%{Pe3eWXxdUEx4Qx`uvt`p-mfC7Ql9vVlV}Nq&{ZQ8mBr3nq)LJ0;2t+{izj(=40m?HL3I$PM8qCTAjJ<`I3Hx z5<#OCI_uacvEd5dEfZ;ki3K?UT+5>SOwj0!o3#@WE*3LZZr3*0fLI3^;HaJ=XrBo& zEI)I`tMlwi-*_3v~tdLAinnZ(s$PME#36HI z_3O2h-yh7lpAIUj@EF6F}yw5QEWg^oyx$0IBzWMAW#%c zE`BydKJN89dH-cG<5lJR%93lpUnmd0^>Vv$0}BQ3iGtjM{!pna@-q()pYYA$RwleH zije_Lyb<~ONcEbAjN_l@r?>u8$s5U^JygWZqDHAdNbdRg&!$^y5dL6E&%*vimW%emugf3*Los$k(p7TGvb4!MpzSz(i)@w#6769eyb`r_ zf7Y%V;hHebU7_d@(0^=l`*@4m(5Yj-m)=ANPYCy}3Q+J4zkqvae3?hv(Ix6=gKSdX zYjPrdL#WQhN(Tp$rIYLnX@wZJQOjI4E!02WKGSXUX-6G>3m0pC63cYvUtdCbr!}kO zz_emH?tEjp$aG)r(&r>8;yL-A~cr5S4klO z<^NoITG;q7C*MB3IO7#+AJ>||8GY_$*4S+VMu2u{kV`F)_7O317u9w;w6 zL|K#85=vz4PH+qsj{3Nu6Zao31*SWs&5~}0BuzfH%4l&lYUuV(k7Xo8w+36JYa08K z1RgXRBJpN_Ki&9D(;IQ$oafI&Vh>)rGBrWvN(4P8(0A>jiy{LyovXRhAmBFL82uQ% zhs$%>?Qfp_{&r1z@$E9it7-VYCSN77Gu6HDow{R-pfX7YIJPhD>R@?pi%JdU=!KT@ zMAJ|=BeBiD#t(x;af)?6cMefFMBPrrKKFhQ0H?@@BO7QlZsC=JFVL(%KA05R$=MN|00|MJR1Buq-&oDZ+?%d@rUka56h3-6SeQ*(qwMbGfWmBgUVI8Mtq!H^QQ#4 z@bXXt2pj!Y@aKGc-|yDsO_-V7?D)=Y*pLx3`d;+hSF18Zv$4phE|Co*rDUN~>Zfj5 ztd-XjXMa!`pZsR-q=JLnE?UAjNb1CV-$axOcupSBz|nmcJEFDRum*B%Qd*toY(r() zC_an85Ds`Bt2w&YBKdCZr7(mxh0j95s?7CQH2p}rpvM!fB7wV#IZ9u!GZnFVkSy0u zpeOGxFNHK*uRE+umxBCa>$=}lu~@}u&vRVDZUH*P%Wi<$7IDRwmm%OK4;vAm289^1*DdfX! zfd49CW?3Fjn&r;MnXyDs!b*{vFgrLaPKSdhP?s~pWqy;PsHn_S0C~@y&KS0~zS(;? zYnB(c_aHM?4s)7ECj?O{IPSAzj#KlF6&;LXOvi{{ANI%r4hb*NW{sVm<+I8gKgD4Ka!uelCSXIH2?#tgEgOZcA$oz9<(LuceGAx=9(^I1(Q z0q>9Lwzy{G*&6Q5Tm!ew;dQ*T0VYMzZT`i(HyHv$s$VZ*ujvs;Z90}^Fnd!?);Cl~ zxM*|H&Fz@6{@3X^vm49cb*PE^IS+454l#%oD?IaXy8v6NC?*==J^8uv<0YB~zOf9~ zVKN8yTYS`4U!?g*+0o(D8v`k@F8U(iFJcoA9mRUj>OSd8aovfuX1pn=2zdYKyr*^S z)4&QvubJ2057_3nlk#~JklbacUIAGyI?jh$0XSAQKxh_sopYwx3ZeS$=LdqPt7(E) zHGwaRN)l+L3kPiLU1_Q$-f;^Mo@6(D;~9gy3n|`J>=R zG{YQ|XJEQc4InGc()a6XQLcMR79vAlbz0E4bZ!67!QQPzfp zg%|_T-JQJOjO%%-n8C8Lz3O_@U8Z@sc%y`gpGpCrphI6f2PU#6+p5?P+)ms3XflDI z*|y58<~q#AtaM7z9T-2ts$JNluu@G^V}(T}E+SJ%qfJP-7h+c8q%3RKI_I=ze5J6Z zEnzS8hC3!(J<*DZs`n%RW5q0WT^*5|2S0^xaNs;fxcNHc-|ztDLa@cRM`+!gm$uw1 zMhqXuY@Hf_kKLsA-Ir;r?V?y+Sp?@TQ#GgjD8ra683Y+1nJ8usdU)yl!kzKtC7Maq z<;acxRJa6Y$y04A`GD!tfU+U)gg3%)na;+>@wbmJ-)1m|#MseZtVtPZffIjcIN3^{ zNo)kbNC-f>x8_h)QSJD;4cmy)=pTM+zRUzMo-l>vX{}U{Q6F1DGGA=O1+Ff~1+sXv z_z5&Vwr%GSRBmKeVL?ZGzEBd#s6t~>t1GLhZ{mA6dyv!ws!A+Iu;^v`hR8op=X$gy-cX34Y@C@ir_QOYyK{_1U@ z8e!*UE$j|Vwe&wEphU^nl;K{ReH)xM6N?pL^ZVkHzCqI23Bq z1NO71nROx-|B@S*hQb9x=}!xGpmmbZnF6!u9}oN?gm-`-B%B?0s<55^S5UqImYP2! z6!RU-j|>Z3WtV@@VY&-`7$0u|(bJ6nDGm7v3nO_+coQ4ZT~a2PFVZdS65Y9p^sZfb|(2Spk`IQSi}uNys+N|*!i z*WQ$ibQA3}w6Ruo#FF4Wy`w{v6Mc^xKH?-QA)IcgN_c*HH4E2d?p-4X54)HR1AMKX+kbx73YoW$3j_%dYZNmHDk zJY5(%I&=PTMJvgn%)KYmP3|)+C#Chc@Moy&9&&4p5*kU&zF8LFS)(3^fNU{UXmIre zQ414v_JO529c4l)6PrPxpZAx+Yw}1_%pK88dE(vEF2!Zi4BLIGPkQ=d!yo-XKfS;9 xeGH`v`oL_NVPgNQ`FWOsTvqXFQ2W91CD(K& Bank[0].CLK -PHI2 => Bank[1].CLK -PHI2 => Bank[2].CLK -PHI2 => Bank[3].CLK -PHI2 => Bank[4].CLK -PHI2 => Bank[5].CLK -PHI2 => Bank[6].CLK -PHI2 => Bank[7].CLK -PHI2 => RA11.CLK -PHI2 => PHI2r.DATAIN -PHI2 => CmdDRDIn.CLK -PHI2 => CmdDRCLK.CLK -PHI2 => CmdUFMPrgm.CLK -PHI2 => CmdUFMErase.CLK -PHI2 => CmdSubmitted.CLK -PHI2 => Cmdn8MEGEN.CLK -PHI2 => XOR8MEG.CLK -PHI2 => ADSubmitted.CLK -PHI2 => C1Submitted.CLK -PHI2 => UFMOscEN.CLK -PHI2 => CmdEnable.CLK -MAin[0] => RA.DATAA -MAin[0] => RowA.DATAB -MAin[0] => Equal0.IN7 -MAin[0] => Equal1.IN7 -MAin[0] => Equal3.IN6 -MAin[1] => RA.DATAA -MAin[1] => RowA.DATAB -MAin[1] => Equal0.IN6 -MAin[1] => Equal1.IN6 -MAin[1] => Equal3.IN7 -MAin[2] => RA.DATAA -MAin[2] => RowA.DATAB -MAin[2] => Equal0.IN5 -MAin[2] => Equal1.IN5 -MAin[2] => Equal3.IN5 -MAin[3] => RA.DATAA -MAin[3] => RowA.DATAB -MAin[3] => Equal0.IN4 -MAin[3] => Equal1.IN4 -MAin[3] => Equal3.IN4 -MAin[4] => RA.DATAA -MAin[4] => RowA.DATAB -MAin[4] => Equal0.IN3 -MAin[4] => Equal1.IN3 -MAin[4] => Equal3.IN3 -MAin[5] => RA.DATAA -MAin[5] => RowA.DATAB -MAin[5] => Equal0.IN2 -MAin[5] => Equal1.IN2 -MAin[5] => Equal3.IN2 -MAin[6] => RA.DATAA -MAin[6] => RowA.DATAB -MAin[6] => Equal0.IN1 -MAin[6] => Equal1.IN1 -MAin[6] => Equal3.IN1 -MAin[7] => RA.DATAA -MAin[7] => RowA.DATAB -MAin[7] => Equal0.IN0 -MAin[7] => Equal1.IN0 -MAin[7] => Equal3.IN0 -MAin[8] => RA.DATAA -MAin[8] => RowA.DATAB -MAin[9] => RA.DATAA -MAin[9] => comb.DATAA -MAin[9] => RowA.DATAB -MAin[9] => comb.DATAA -CROW[0] => RBA.DATAB -CROW[1] => RBA.DATAB -Din[0] => CmdDRDIn.DATAB -Din[0] => XOR8MEG.DATAB -Din[0] => WRD[0].DATAIN -Din[0] => Bank[0].DATAIN -Din[0] => Equal14.IN2 -Din[0] => Equal15.IN4 -Din[0] => Cmdn8MEGEN.DATAB -Din[1] => CmdDRCLK.DATAB -Din[1] => WRD[1].DATAIN -Din[1] => Bank[1].DATAIN -Din[1] => Equal14.IN7 -Din[1] => Equal15.IN7 -Din[2] => CmdUFMPrgm.DATAB -Din[2] => WRD[2].DATAIN -Din[2] => Bank[2].DATAIN -Din[2] => Equal14.IN6 -Din[2] => Equal15.IN3 -Din[3] => CmdUFMErase.DATAB -Din[3] => WRD[3].DATAIN -Din[3] => Bank[3].DATAIN -Din[3] => Equal14.IN5 -Din[3] => Equal15.IN2 -Din[4] => WRD[4].DATAIN -Din[4] => Bank[4].DATAIN -Din[4] => Equal14.IN4 -Din[4] => Equal15.IN6 -Din[4] => Equal16.IN3 -Din[4] => Equal17.IN0 -Din[4] => Equal18.IN3 -Din[5] => WRD[5].DATAIN -Din[5] => Bank[5].DATAIN -Din[5] => Equal14.IN3 -Din[5] => Equal15.IN1 -Din[5] => Equal16.IN2 -Din[5] => Equal17.IN3 -Din[5] => Equal18.IN0 -Din[6] => RA11.IN1 -Din[6] => WRD[6].DATAIN -Din[6] => Bank[6].DATAIN -Din[6] => Equal14.IN1 -Din[6] => Equal15.IN5 -Din[6] => Equal16.IN1 -Din[6] => Equal17.IN2 -Din[6] => Equal18.IN2 -Din[7] => WRD[7].DATAIN -Din[7] => Bank[7].DATAIN -Din[7] => Equal14.IN0 -Din[7] => Equal15.IN0 -Din[7] => Equal16.IN0 -Din[7] => Equal17.IN1 -Din[7] => Equal18.IN1 -Dout[0] <= Dout[0].DB_MAX_OUTPUT_PORT_TYPE -Dout[1] <= Dout[1].DB_MAX_OUTPUT_PORT_TYPE -Dout[2] <= Dout[2].DB_MAX_OUTPUT_PORT_TYPE -Dout[3] <= Dout[3].DB_MAX_OUTPUT_PORT_TYPE -Dout[4] <= Dout[4].DB_MAX_OUTPUT_PORT_TYPE -Dout[5] <= Dout[5].DB_MAX_OUTPUT_PORT_TYPE -Dout[6] <= Dout[6].DB_MAX_OUTPUT_PORT_TYPE -Dout[7] <= Dout[7].DB_MAX_OUTPUT_PORT_TYPE -nCCAS => WRD[0].CLK -nCCAS => WRD[1].CLK -nCCAS => WRD[2].CLK -nCCAS => WRD[3].CLK -nCCAS => WRD[4].CLK -nCCAS => WRD[5].CLK -nCCAS => WRD[6].CLK -nCCAS => WRD[7].CLK -nCCAS => comb.IN0 -nCCAS => CBR.DATAIN -nCCAS => CASr.DATAIN -nCRAS => CBR.CLK -nCRAS => FWEr.CLK -nCRAS => RowA[0].CLK -nCRAS => RowA[1].CLK -nCRAS => RowA[2].CLK -nCRAS => RowA[3].CLK -nCRAS => RowA[4].CLK -nCRAS => RowA[5].CLK -nCRAS => RowA[6].CLK -nCRAS => RowA[7].CLK -nCRAS => RowA[8].CLK -nCRAS => RowA[9].CLK -nCRAS => RBA[0]~reg0.CLK -nCRAS => RBA[1]~reg0.CLK -nCRAS => comb.IN1 -nCRAS => RASr.DATAIN -nFWE => comb.IN1 -nFWE => CMDWR.IN1 -nFWE => ADWR.IN1 -nFWE => C1WR.IN1 -nFWE => FWEr.DATAIN -LED <= comb.DB_MAX_OUTPUT_PORT_TYPE -RBA[0] <= RBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -RBA[1] <= RBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -RA[0] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[1] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[2] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[3] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[4] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[5] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[6] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[7] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[8] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[9] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[10] <= RA10.DB_MAX_OUTPUT_PORT_TYPE -RA[11] <= RA11.DB_MAX_OUTPUT_PORT_TYPE -RD[0] <> RD[0] -RD[1] <> RD[1] -RD[2] <> RD[2] -RD[3] <> RD[3] -RD[4] <> RD[4] -RD[5] <> RD[5] -RD[6] <> RD[6] -RD[7] <> RD[7] -nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE -RCLK => UFMProgram.CLK -RCLK => UFMErase.CLK -RCLK => UFMReqErase.CLK -RCLK => LEDEN.CLK -RCLK => UFMInitDone.CLK -RCLK => n8MEGEN.CLK -RCLK => UFMD[15].CLK -RCLK => DRShift.CLK -RCLK => DRDIn.CLK -RCLK => DRCLK.CLK -RCLK => ARShift.CLK -RCLK => ARCLK.CLK -RCLK => Ready.CLK -RCLK => IS[0].CLK -RCLK => IS[1].CLK -RCLK => IS[2].CLK -RCLK => IS[3].CLK -RCLK => nRowColSel.CLK -RCLK => RCKEEN.CLK -RCLK => RA10.CLK -RCLK => nRWE~reg0.CLK -RCLK => nRCAS~reg0.CLK -RCLK => nRRAS~reg0.CLK -RCLK => nRCS~reg0.CLK -RCLK => RCKE~reg0.CLK -RCLK => InitReady.CLK -RCLK => FS[0].CLK -RCLK => FS[1].CLK -RCLK => FS[2].CLK -RCLK => FS[3].CLK -RCLK => FS[4].CLK -RCLK => FS[5].CLK -RCLK => FS[6].CLK -RCLK => FS[7].CLK -RCLK => FS[8].CLK -RCLK => FS[9].CLK -RCLK => FS[10].CLK -RCLK => FS[11].CLK -RCLK => FS[12].CLK -RCLK => FS[13].CLK -RCLK => FS[14].CLK -RCLK => FS[15].CLK -RCLK => FS[16].CLK -RCLK => FS[17].CLK -RCLK => S[0].CLK -RCLK => S[1].CLK -RCLK => CASr3.CLK -RCLK => CASr2.CLK -RCLK => CASr.CLK -RCLK => RASr3.CLK -RCLK => RASr2.CLK -RCLK => RASr.CLK -RCLK => PHI2r3.CLK -RCLK => PHI2r2.CLK -RCLK => PHI2r.CLK -RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRWE <= nRWE~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRRAS <= nRRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRCAS <= nRCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -RDQMH <= comb.DB_MAX_OUTPUT_PORT_TYPE -RDQML <= comb.DB_MAX_OUTPUT_PORT_TYPE - - -|RAM2GS|UFM:UFM_inst -arclk => arclk.IN1 -ardin => ardin.IN1 -arshft => arshft.IN1 -drclk => drclk.IN1 -drdin => drdin.IN1 -drshft => drshft.IN1 -erase => erase.IN1 -oscena => oscena.IN1 -program => program.IN1 -busy <= UFM_altufm_none_imr:UFM_altufm_none_imr_component.busy -drdout <= UFM_altufm_none_imr:UFM_altufm_none_imr_component.drdout -osc <= UFM_altufm_none_imr:UFM_altufm_none_imr_component.osc -rtpbusy <= UFM_altufm_none_imr:UFM_altufm_none_imr_component.rtpbusy - - -|RAM2GS|UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component -arclk => maxii_ufm_block1.ARCLK -ardin => maxii_ufm_block1.ARDIN -arshft => maxii_ufm_block1.ARSHFT -busy <= maxii_ufm_block1.BUSY -drclk => maxii_ufm_block1.DRCLK -drdin => maxii_ufm_block1.DRDIN -drdout <= maxii_ufm_block1.DRDOUT -drshft => maxii_ufm_block1.DRSHFT -erase => maxii_ufm_block1.ERASE -osc <= maxii_ufm_block1.OSC -oscena => maxii_ufm_block1.OSCENA -program => maxii_ufm_block1.PROGRAM -rtpbusy <= maxii_ufm_block1.BGPBUSY - - diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.hif b/CPLD-old/MAX/MAXII/db/RAM2GS.hif deleted file mode 100644 index 98245db780ec279b1dfaedb4e129aaa63599a371..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 586 zcmV-Q0=50D4*>uG0001Zob8lNZ<{a}$M2T*9W3>-9g-S5VM%XkMpC8(I!LmkWsH+p z*W?$l7udz5;+^L^VZ0{JCrM&ki>N=9?$z8Ec*vEwkh($ diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.ipinfo b/CPLD-old/MAX/MAXII/db/RAM2GS.ipinfo deleted file mode 100644 index b9c26971f85c34a6e2ceded3667f29df5e2c05c5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 259 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRmIDm|frAi=Arwk8gKPnU3dUdm|NpOd zW8+9*4O*DDuPRl?p>5&Dd5q>0%D!+ib{+lw^Y>08=ACuF`U1F?SZ&{jC&s~J8Zv;P0DU`$F%NcwT$#DNW4f4(+jQ+U9fbe%6o3DSMTlMM_yLFs03^0j>Hq)$ diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.lpc.html b/CPLD-old/MAX/MAXII/db/RAM2GS.lpc.html deleted file mode 100644 index 76d3fb3..0000000 --- a/CPLD-old/MAX/MAXII/db/RAM2GS.lpc.html +++ /dev/null @@ -1,50 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
    UFM_inst|UFM_altufm_none_imr_component9000400000000
    UFM_inst9101411100000
    diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.lpc.rdb b/CPLD-old/MAX/MAXII/db/RAM2GS.lpc.rdb deleted file mode 100644 index b40333d589b73b70f184bd98d0794bd40f9b0811..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 507 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRu3-k60tBrfQ-NS1gkty&>!XUBEo9lKkwVK(1YFK+^&unz0W6RUbC8TX4=^&0Ux$Y-#6JRyEfl7|lz z)%ToS%@ptTIp(R1<&%)5H6p7y4bICKaDTmS!O<#i{DpZ>RQQH#54W>czxcB8d;f@g&YPT*dCu% zT^^Z2G|itUYD<%%tU$#+${-H!3IXn2LKbz^To;mIe46KlqLJ~xTq0b8iM%r@EHvdU z-X7iWRn%h;(?*N#hsZ`iK*`ab(W3Ufap_ElPI(E#Inw^&mClhcG|k)*krBvKFQoq` zCZFe%b8~}}HMeUQ3IFpip&S&!pL(%(Qf*&_t>+jS_i$g4@4tkaP23{>{wVEv1 zdq^}kw#77f$i<}+1LO$gKBuUe5-bm3@U**Ve$)u7@d zN%OnqR&p-7yw*C+KCbvmjH^WP2vkHho_q0B7mdC9PQk8|s3&mO^s?HflxkJYbZ$W z@UJ=@@ovO7F!#@g@dqmQDoN3aLxMjmKUOVTSblELJm=FCqYardEP@EfytB7&oZO<^ zYb!X#p5`vsG_Vzy!{0ZE7bJfxsWZ7Q_L-JIxjIV1M|^la#l zE%6jaF_W2>HGjU6(pGxVZSn7GHO}l2padxiU^?SSkI&b+y$ye!vMTVjwUl4g^Gl@f zL;ysxX3kP6)6b`h;Vy--&(p(6Ib#^e)@(pA<*kD=QgoT7R=FZkvY`m`s+)VEWZb`1p2o~~3uy16R-@gh6fB~IOG z5^}VaFu1NrYc!IFIml7@`Tr^Pl?bJzuN41amE|H=pHW(xLo8QWIhGaQe_5t#=#Csd z>%ScH%U^A_mvZ*;(=4qn^mV}uRX0v`-B8e7#6QIe3D_gS5lJcrNbNJ@|GUQ=q2hWN z+-I#NZi^T8Dq`k4YCSYvhWR04o^EDHe^D>hQ+c_3Y1~_~_gdvtLNFxE^qlLCjHj655uriS=xJDz$Y@<~7t!liR2-6}LV6DiPd?OFcI6L?)MkMoEC*>ej5r_t$H4UXc$b zSx*zS@Qcdd&VQ$3T9w5OIck#LG4J&bmu7to>H6WU0U)4qOs^MC4o?(G$=Gx+Tco?i zVe{3qKBR6DJhTkfe8D-KXUg|$94u$`OTL1WCHe8V3K+*-HCJJ4J^+QqatMs9KqHUI zPCmh8*yujOOa(7(PVfYNxpk}(Ckze9%YP?k2}tG^{#MY*`p$3H;r-ui6%!F(!xl3q z{xe7$B_#nxCM3{E$p6|^KvU!0EnuOWL;6}~&jV*=anZCF75joDP~ zbx4HB2afDl$O+Lq(>~hMG1w|`w8$sgePUIf%|bOEl?|0_E)cuw$p_QnO0B(6xk@bL zm&l@xa$3D{N!29%WRibw&Bez^S}&@eVlUZj5$DqJHI33wOOb{?>aLw_gQ`lqa>ZP! z5D&>penDw!<|O#I!?|V;OPw6kx!>+<0O^D6t*Yyy(rYjqS4IRZpnio&O)bIesPFvG zG(-QVEI&$aI=ymOhsEOW%`$ay1^PYgEtz{Hfc&_kz#1-k`!6j_|5|pkfr3nEq*J%{ydB(gMc_r=^?*3U5fyr+q`_4M9rUAB}2%rqIWNL zEGkLhwMWNLRQS=!Ok(_Anzv_0&dM7A5%I5)^YGi)G_sS8$%zTo$1fS_Zv9x9Z-*wf zp;NKiaA$11a8Wt*GPH$L&kkLZ$@}rl&1N7;sI^ygclR45W9Zk;6p2WHheYDmk1van zby&~*spwc=nOZOs2*j+VJ-#veK37GsmzWkmZ!N)A#&I5cG3N}HL9rEr{eyi*{z9+` zsZ1wxxK4X71Z|7n)zmQMo@bPUm#~5yu!+5`)Z}$(1C$JJ7?$*!+%c#UZh$w;fEg|=aW^7M5N~k zT+Ylq4~RPRe!TUXU}VZ@l8=fByXXXu>`Kk)0LA?~8DFy7Sw=Bh%CWG3%d7VfOh0!j zaTMe#fqiNwAFMNNhadjP?%0y7`o#>;y()Nzm^GIn;|+0;-0!ar{(O<)9iCcSJ&oUN z9kafGZB}2a;Er(pIz$q4tfETOHz%U@oJ#1;7Uj&XG%&^y;i)V)z5ydnZ~RuMTmo3l zW`BH7`GZFW_YC^Rr1HencbqsnNGP79i-iQ_2G8UGuF6Q{0#{^rWgHke?lJnHkgGuz2lF^m;#gGzN&q zZ$`7Q>}KQIj2FSNJ`3g2vA#HaBHw}1_7&a>U;N7A{oghfa+>M1xHGK4MGR<4LEEpD z#kz?}E_XM_RQ}f+AQt9d)p_*0~ap1B&+^Myizj9}7~40~e% zx4CV6<+V`EM*2(@vNDc&~;Q>}K{}Yw;g6v6g7_RtxX-5M*vOx)yGAKP! z$eb$4w^@EUmO~O1{yBA;3%|vIrD8&xmf#IUUc*W9tC*!27Z9|6V93J45(9|U3hJO$ zj0XpgBX=*VW)18~9z^qe=J|K(?X@7XFU_V`-=to(xXeM_wx5!c5s*8su;v*Mb|@_q zJ$qp!#k5xEO1tuS__q_1pKMB1NXkHuS$^(LBMTKe52kXz+IJ3rVpf8|tGApUPb%`3 z{4$Va?hy66f`i%L?imfq=YFu$ljFA|>}GScHbaE;&>kZ(OeS%XJ=a8*UJUI`Qd2gd58lJn4$fSeF+?^u2wLLwIHe=@j44RiHF&4o> z)o~HQR~W(Tb989mceUO}WQ;R$qE6p?%m#j?&2=7O;tcI~7rpc}niyD6uoV-Ob>wU* zR%^4Vsd-jtag&GZIi#C5FQ@&ZoLG~X(~}OdkHFIGG^OAl(ueN(=jiY!g=s-CnqtW7-o9$D=hjV12o09)?B=P$?$UNcfa}(XLCXlTi!o_sqf%p~Zyp_hL z3IYZNm#W);U>B{R({`Qs<{J2$nqe#BV1&jNon6dq>hb!;;`ARW>29^RXsZs``XVmnUfPR-jbx&XsMOHbafbEMSDb*F_ix@^x z%2l|Mt9UT1@UCPswn}f2XX&YCtzm`cI8;tID9J8gI#p^mD!OOu0BOTDO|9BDd87$RmG2N&&HUx8Yjvu{DW^TcK8Btg~rv`3ty?_l%Y0cdRuVv zlDqsIynG!lBv@oicN6gb%X$pIRiT-lD-vWgguS7vqmu7kvE7zuN!s}=n+B?~m3j~V zKlZX||0mHlscYb2@x*HCvF{#__2Aqn>Z=W>^CH*fiAhgn6#65RL@g^qlyuLkF7nGh zeJd~a97q)`_xUI$jZGS~Mtq{6rTc2Tn>p;8L90w)Q~7guP#>E{GA(82COA;Pk9v>I z^beO1Z0n;`_1pHa$Fz8_|7d=Y_jPE3J<@;InkgXN-Ol2$B~2qqK;Xd*9)A8w`=b+A zxE>~vnikCe8s7K^eS7@xUu?$qI@qf9%qAG*wtNadbs9)DfIj2ZF}795!GT- zf%?{l?XK56dEwy~^(zCVXHuS6e?vpqJ}E1M<)a7@9La`%_sM8=gij-F47UtQS)Tom ziDMG$MMyGCt7uGsh0FoG3bJ&{LE$ve3IolfncBPp>Lyro+eRWFKT%|K{I`Nh8a)Z_ z5by2`pOdsKBiSZ#C~H{VKPCdCvK{~{BUwpyn?{X_upin*Ji?J~s_DhEoF%CdcI@ppsZY@UqHuKh*7|d- zspmO8_EQt#1XXJiEaxIkr~qk#Q-n(Idk~p)Wt;q9hpYMHiD;IYi@CLOHO4enyM)mzPTjAApx!8K=9%J^|Ax zcV1aSuow4-he)G=D~ze3g%RV7aE-j{VUzB}i|3#Jmr{*fi8F_pHi!|F(e|2JKGn)1 zUF!@QyA4o1-o*MZ#60v=rxz-RyS!%DxAI5~)rtEu>h*u-n20B)Bk@qhCwN-p9zh&w z9k!96VJ3SVh$|suB=Y{l_AX=?HrqR(GvLgWU-^o8SxpVmNj~JXdh?kn`bY0uEZn4* zky@WPj8JNf?fQ&W-Qw~>x zFY+{Rh2XK$687*a`7pN$gS>;g;c?Bk^RPAS=jQ_B^mwq9otm3uK0fK-@rp75E*3L7 zuPPB2=z_Cp|B`SD18T#&#|PcE!kB*(O1nfPSYKu~$#YSbQ_Y|IYMj z>PcWfQ^pCXA&L$ycneCw`AJ2l+EsT%NPM>kZ|oy z$zKzfG~O{oQE|Jz@SVt9)0xM1v<#+{>ID-p`IM4MBI*xq%h^|-3?!&B{)PYYwqHuw_*Z$;`tqY0)EsJq8A_qhl<(!^M#@fq zMz@|rf8pJa@>Y(qvgMcsWr$LnhBAwMI0PI7V%jokusLS8dScr6ku`ykj3_>+qyQaa;r+>_~+* z)AJI6U=E1VQj?KQxbjPH9~o5m&fgZTfaBCRUCo?f>eR;@`J~s_)i2t2ld*h0Fx30u zxYIxsD}6_%bUdFIeHKp4k?SSj^!w)?*+o<(ZxK>^je&a_co9&RJ;%NVsJd z%-2w$WywfTJ@8AXnB(9dBpnB4+E^(kCqnjX^dhk@p_Ev85=ry^X0t|{JGzx zV&P+e7j_9T34tBv^zyNLVqY;Kekkf+<5ugFLHZY9mzAWh4%_)h1eoV09;uG~E@}DX z1P@@8W3Z|0+yeF1qod;tpNRtDwBv6mh93x6ks`bzKtu>JfXCzdtdL>VWh%&sP)d3z zZjQX*DU|Q}B#xiO%y3sU_OS>{sIv5rE&&!}vVw=WI*4_B%UL`7cwnJj=EKW3?6q)v zNdocajv!dx))7-quS6$`TJ_dv)=Rw#mx@)UCtt1lolzF4`BlsVi!@r3_)7YfajjFM z9W3Mnw#Rke#9gP`%5zl1jy}dS)5|CueduFYHG{kyxo)y4Fju`u{ubF5H{sqdA<@mAYy-~qp#%2H)N+ylQ@9$t)^h9duO4Ya~TTu;4 zv_oeO;WX_9mb(Vatrn_{KAa}vOqRHhL;o0kJ`~3?~k5!y{*b(_V-l*v+2!#)4?O)fWJChZ6dx=;9S2S(m0&jDKQf-N?{=`6EATtybv7z`W=k1l0fh z`fp)~nA#(=br1qJo^zW{SozM9n8X$b>VM_WWKKEOB5tA$hy9G;5Lx~`^ecqp4ZE*S ztr6Rd(vx#3-xAqO-D=xpN7;0_O(=C8S9x05scL{iSQ;E=Ew++CxW&5YK?J>txP0IXXM$CwWqTqeA*U^g(X7$IbEk`M*K?i3j&4qq z0wEeQZJj~dcU4PUjNA($6>#SRi_d4W*xeCVmS(MS4$nDVnL$fHaB|~qf-Uqv#g5Fv z;d9mn-ZwUZ6Vfa#DdxiJI$9~5UwHKv6N)nm}~WImf00BbmL*LDSOw8JF)e1FqoFQH76su5L ztaqHD&DPNRw}1HzsU;|a^@Mt>i`puyi?)KS{TVdEU56rbi1}iZ&P4w*NFy^~9hCA+ z|8<16rfoV0r`Fi%D*{bbQv^ZaV0Xpzd&M)Bc|Lav%R&>Q*^COcd*~VR6lWc3m-JCa z!BiuBQ{ClbtzC(_XRVvDYdnMn?KdwdmBfpdc@QbQXw!MYduD@8 z)>_M50*Ef7`|)K*jpdzD!zicah_6<|2i_6xORNQd;H`VZsI}F|6s{si_C--7KH6N>*1&)PsO@2FCd z9=bdm_J{n6EmSBX{K;6-US-`?31j8>_=gfA>IqxfcgPwn*wd4genwDT+Om}e;X!r)e8y_9l$UB07Fov~&D z&#lyz8=Vn?%B6UH9*}}tTBYn%Sm`G=2s71E^UyQ6?vnMZFE`40Yqj%;xjw2SkY>at z;6MbN@A;DFphneD+$kriSzg?PcR`9F?vSfrqUwCTRi%|d{?n5u(-u;R3m;#rPD z>3v+UTkOEa5OXMQhF_{D|i)60I^r^QTrr^HS zG;;hXr81zr>C&|qNLTZF>snc1`UC~{4EDf#iu+lde#VM`&C}~nm??9VvcVG6r~f)Z z;L?lsyJ5mkoDA%zzjuMfUB$OyL-G4*epM3Gt!&m*!>tN>G|0EX0jlcbfUMy~>oIG8 z{nK=Qzv4yHu$Fe)q05CR(a4tOuih`m!!B$)_K244@OAA;&>z*debrK ztKRgUGxm=kz_zhy8!^9v_I!ada7;zxO^+V2u0t)Eoq+2h`h%stu+JuA z1{2dtP@bs;#I4_lg}pn~SBp$cnFmmzN}Qx|_{?~1j2xn^_)GIyM#`Es?^?Odm|fK|Y6@&Rl{WyzG_O?*;F-Fbt8yW(-wyl3SMuGwJL8`1|C#o z(!)=w)2|$3o@&Ryx9JJ$_GUW@?!=b(JwFL`nE!4@Z6LBmY$B#gaur)VkoH;Zb$h}( zvv#V|aLz$FT6?nt-MSwpKR?v-D1r*3<-g*8gZ^xO%pG9p!hdkiB>t-9`{d!(;60~) zTybPTvBF;&7BuwVGO5@rQVO6+jBqMAsKp|9n@4i+Ep0m8 zikbT8XjB%&VXz6LL(eF0&>!@2Pgh+|1sIZX!_VK0DVaDZrIV!M+mf45$K|B$t2t&v zf7K3x7scBDs4@yTl_ZW8k{mE5otM@kn%mM%jl^$(zg3d$xEpBkAC`V+4aU|KdE2Tu z;ImNrCS~@W1IS&^?LZD>$nz@`(O_RptMs{InAcl8jV0-m*7p@I^w$`lRf)u`b(-@l znbuaMFWQ%p84YN)1QqjYb^I`q@#wa6waVZMv=p;Bj;_umDCd?wO(GaYg8c%FIk{;;T| zPk!-8yl&yLzhU$^kNxYG7Q&Zhwhn_4M;AzT5qulGamv`yD85o_R8Y6KU&{5HWvN)L z^E>dP6FcB+bku!Enf;9}+bxkssYRxKGezRlb5KClo960cmxA!r4R_$8N`z3aZ%ZuQqufCizZSBC>`6cE2kbyYTJ?4FP<92@M z1}Q4HTasqIQkO|{6tRs=*vYyv=;^djc!=(Xw1s^zK*5as$?tZls`orSw7=eg|9(^r zvE^h3=!>DchkdyP*<-{iswyMYap@f3Lj}AtQgo58QUbqRx@@?@rMQ&{UC8djtH%puP;&hA zyYbW@J=JlgaL~a%4Y+e21SV2i6#c0Nd2^>n+;Q7ZG%U&5F|@(g>7k7kolV7v1Yvn{a3 z_bUINx^APZT6o*&B<6`=*IpCyrWsM$oo?@IUYD!pBI-A)U{JJ1J$g!WCkQFjRwvp# z4Fvye(6#e9OzfyvyE8sS6rgJkZhyYEGcG2vc}II!0Te`gDWa{PQO-y zBSA5mXYWmY^-Go{LT^7^`@IC0efJ>t);cgCI9q8~tARkd{=wPchgQ6Z_T+ana_o~g zZ`qF&av)ZocD{2L^-ddk>%}nH7wrms*L%^BWbQ_jBah2A?%j6W<3XmQ7pNeEDeFMBCu_ZdxtV_h`A2VC&b$!L&iB;{+gUHr5vjg?zbNkP|)qkk9u{8{* zOHAeWryBu@E(y(1ES$h;&=SeH*4@oOeBbjPC;*nXsshXUu+wMaRbU6FZn^37THy*> z(S*&p50e6cl)#8=EpYMq1`5R)j|)6^L@Iz+-X6uVqs&fR!jqGEQKJ;LNDm}BtE7(`kO<0H z!vL>W;nI>&4dYdpV08oVbuL%3Q`?p%>7QpHQ7; z&D)0b-Bh6tN&nhCT#Fx?s5Hd9H6`?7N+>U(QA2%phV$r6j!`9{(|y6d!sZA27D%X1 z)>VairC&gK#n$H%z?OSWb`50=RDFRS&&%rOuF=`&vEeY%AmoY>FgSVn)5*MKi7!d* zmfqNr=Yo8E#7xTa=p??e(ajZ#Yxtf4!&=lKy3XaZ6SiPi+w?O&Oo}xYb3dddN?hJ@ z*X^&q61So?Y`i*LUQZm|*gifIcjcLI+V?mOwNU zdS*hx3tE5A)4j+(_vg)h$=FY~84Q65y|a9K#RbN6=!(^Grk~H}M`P{*zazd4&Vq=^ zH+YOkpvu{RaSj7fu}WnG&#v!o3n2Gucy)&|kk{@gc#Ly@S^VSN2`!>XdaULoK2VJ92{F=|yQ0r9`|j<;r~QDMZ4?hhqjL*>bXnfJA;f82pc+I?NEJ6a4g#Opa;*ZzfhfMjvVgvQPO_iGjy+r9NtS=f#Z<* z6zK4=BzKJs{#VP~mS>B}o+zt>PaKqgQ1wfsPskDVPNLHNWb|!VGY3p8+i(lUyWRSF zohvJva+3JJk>LrtMN<3T+#zxdxAq4rD=e4qprKL~1dhJTBSv?0BjV~e?u)viQb2V- zM6;T&(z2?>r$M!o!n?A)t~kx}Z7A#IjmhwR z48hqF-EqefSV9@eu+bPpvUHGu?XXE8i~UwsP!dRqG)(zaRro zM}*=kL(cP8DQ;bK9nT{bi_dSh7g%e2@N!U2T{i6wbn5}pf!n(oaoyqc&x z&k1WdK=V8eGydNj;AYx>^} zZWV5gQ(vJHJ79(${F{190V}-3EYCrg|j8bZx<+VE>D;x4Ei7t5@{x7YrAD6Q|sI(3qoO_PGou@r{~lA>h~OJWSo>t}wn=(d_sqy$6D`}Y9@HeR_U-bjj}dZ)og2VD z-R$}@lC&|B0j(e3epYgC2VfkA7Sz+{)4kj4t>e&3%<7U$@oCLd8-;bzUM1NeLx^cy z@@n5<2fgI2s?zT5o0)uQ`PgCP18kqm(#FPrMa<7SAxWZ> z2@Dc1a2OtY~`3 zd$=28z6?aNMQ&wSDZK}JI75nkXees5Je+S!uc;?7oLZ=f=t_idYImQ9+ey?b1CjaL z#Y9G5#kWUAW9tQ{3@oVO-QtA2N#*h>N1{H_ z1tw3jqjO}Oh5_5pD+mC9rcc+$TSE1VK99m+%yd*Y%O>^nK3ZH-UhgJEA`=!*Qnv>G zU0;p`Jbb^QrvwvU){$p3NG#bFr53sSP}4N~_O+i0&8Z_L>eKx*gQ`BHwdxuE)%IGB z7w&ACXY^Jg%+TllQ%#j;@)xD|$PwALF-9(*@46imR(rXL68rwiIWDKczh?K}4b^&& zXsuzQA6SOdl4v)H4}d+NQoNn>U%9OKwX+oI_@R*7O5B-*X`JBR4uKlkHY9=1cj*2eYkQJ|;W{Cb{SIRQuCynO7!=T=d>qv7i692$i#i zi2dc(J$%(m`$qLGo!$*2I-qX(n|o!-JHxBX`uBJ~HX5-nOM@KpvXO>TzQ^|kP+tB7l0nJ4dI z!6xoz2Xw;fnb!Gm*e*uo{E0`NvqYifM-)=Hlkj#0nku+~e~RBA;Uf)1ziwK?bM6f~ zBDBVgwe0_LG;|VhT6{J55KJUFh3+(O@t~m(w6=8hDJ`;atZKQ}HiP@-E=!F=RC2&1 z(qG%{U$Yu{LCE9{;xcQX*^UoNLjHSr|=ZX6*& z^t)g7bq6p%7;-NfZ<<%#{p{%3^AX@Qp3XtJ$T@Eg#0-`ynSnJnoa3k z>>e}J02@))*3G0`cdo>8D1nHVW}P)%3f*fjyovdcy+KsZm(f>$gm=r;Ca%0?xoTO^ z=mRTqJcViWXnq`YxXD33G=30=SS6~$*?Zk-p0SJKv`5Sz^T?_WH2gIDa zWJk_bD|O~0ly1zkkZnp?FN=;i1%dn2&UOP2jO4UkF$Fr?0PW20ugp67lwrSDpfGS0 z%XXCT*GD5R-EN6E__9Pe5nC&Z-f#^0bcf>2ytjDY z(kwgA)*ZS!o^#wTb6a)(L~}?BT5$(~Urg_4i9I-Ug`EDtAv>6u`&J^voyL5~`2nTu z`eix9oar16pe`X4A1M?{xvv~;GUN6ywhFD~eZ&ne6mK&UJ@qqALH|sLRV)QP)Y^Ew zdfbO_I2U&9|9C!jc&c>c8O8CvgQMab-TTb_ca6@zMiDrb58jJzeuVx%1i&g0}=kw~qU z%8vsL3TKEbgzskBCUi7tMi?~(12S;Os+@Qxt}p7O`^h)_IOdC`A zr-5rm<@k7p?}4HfD-7`g;^xu}2ds*y_L}n`_r!p3loql3)HXk{v;RN2g@%VLm$dUEHUOZ01UabP)ulB`!9_TsS zommqf*iJX3-3RL!zu)N|%LTJKx;XLsMxcCq|sr-6;% zUpd`bfEa-I?Lzs|hgwfrjy$#DR~y7w(B?xGTh-crF+Od^Pm;6B*0}$2_gduG!;U`8 z9f|yJjekIg?02E3?X26=?$g1%?|+V$Z!LS`8YZ{BE$lw}?s!DknR6}Botni5{=&dR z1c8~vCGP?d16N-v{rBRPt~(vc4$pB!ZP;H44z2rRc@pG;T$z451y(rQA(k_YWP;K)$Tfc#xVq+|7Pyf9I$lIbPXLzTauJ z`#^%WPTEq&&t#(Z?6UdYqfY0hAa<*G9#lFm73k{^b40VDDko9d7xvlYhxYOHZ|1vC2HNORc;)Fde{6zC>3HxBOuYu&a5C)oc%j1W)M@HyB7N%!-ex1Scsm&a`uoSh zu{n-`rpG=ft)3Riyo-lThY?QU)tFbU@1MKD`nBbB;*M{= z-*x*(Jpg4E@1S&0U5RtoHEp8o_Ay)5MBgQ8NYx>wL)9a%xa`N3jknMi&T|eJZOz;3 z#V`06x486faOOVHE-cz9AsvNtvjIti6DQL+oi0MUGXTOH_+o3s`i5E=N2di@>49e$ zFD>t`>Qs6o(cNZHOFByYvBA};pWH#KEBvg|P22FOV`2VJJ|*3(60EdJJyrrS(2vO) zjhVU{_C1nV>Do2^&{pk4pbu+o)?XOHN^XI7x)0!IAg9ho3F7+#PH>orj;XRd=~^BqXDx zsvJW1;tN}7go1x(xl_Q6J{U(Nvu3DOLA+IU7!T!lZff>o`M`BY+TEGC2R~(?5tM!K ztIW6{oC=jJgw{Zv)DM_0(Q?Xt7W+Sw^JN=G44~=AwZmT}+VwE( z`fXfSHFd)t!7RWc#IR8`1hK?^woq#W|@ zX!wl{s^@F2-;JdhA2+hd3_^0+`qZr-P~6=o8@Me8ww$}4;d_Gt zyr3f1nkrGSLXfXQwj2i zN<>zKJ=hZf*SU5+zx~Re_h2R`_4?SoMsYhv!xD&Lsh`|JCia7!)GEUZeUra*VA0vj zucspa(~E3iBve{ZE&ofN&PyI|#bvh+ir++~XObur%HcK(x`@jD=7hiiHn;pFfGJ4&< zjB}S|WOujh;|4+&dUfgS3y8Nk*SB@=?_IHqJ)CPo8uo~L-&Bl2RTlJR8ojcsE`yCv zw87AKmsqIK{kZ)IOh1r(=G1MTFy`71K;M~jMBRPdD#}q=3R#}p*AFpWqa%WN{FtOQ z7rncG`0wuhy%$#SCsmv0A4#(uCqLWY8(dqH$y95ye#+NSDf$|pJXV^%Xn|q3RRiR- z?d0GKB)@zT_=&XZw-?WoGp6(Pt}O4wT(4(4U8O9tk8{FbSQpIS#Q75@fSoqeo&1nK zsexoExc=h1x39THqvNahLqdZ02uu{bGJl?oBzMb}qSX%LX+~QoV^*7I7aV8^A zyC- zk!S7n#fLEENgI>c1i2Vq9_frHgcQt8(TY*|L^+Kujm6TwZvFLC-A3u9!Bs@SsZg)B z+x2y7X;Zp1v!+%v7ekqj-%OWJ&c;gA){hFnBdGOlpX4e-Ie=h7pEFrj{LzZf3SmXN zdsd4qm!d`q7dFf-+V3UH`QE{8YbBY0VJx>^R)cwWL-d;OTYFj&k_iAMHGRzmnzvF)meBU4t-C?NuL!*O^CQdn~sE$ z1Z(d=+wGQCR1wW^1w+2TQ>O_qwBVEcwDM|`iz$)dyF26uTN?eq$yIMdU!D4oB>cxi z-Y43$?fH1W%CO<9>5M^PDa9DaOKM9Z{}cJoYfFkV6`A*1agj&W180*x(@{Ojf`)qmydvd{$@G~mT3-5zl?mG@B;OU^LWk|cqeu>rv!BXg&pp3@8exdQ?s;`yHfl0*Fe^zDD%e>OMZ z3vb8_9Wm!?^3|0Ui06Rl@iqf;!6mdxlK7qq7qz)P+Ph}z(Iy516)PACYIX2+KT^YZ zp7dixjeinultCRBAi1G4QKe*HLgGS@38B5+h2j zoZpT|6KM{|Q?%`-(^{m& z_0#ewLcsv z3U8!dw%*v2FU2|P%~Z94z#1hMThedrw}hQRY*9(ZUc$!&;q-Mz_;T-frAs{{Wr>cLY)&F+=C< zi^#7AYdqafpUZzux3ro=)!e@QZQ+g9xZ3FQ=`q~J5$mfuqn9OnE-K0Etlg3>vdu?z znpWzbhy4t`L-e=8#kU-hutBJx#|(7Ie~WN82i?2+TlmiG=v=%~OtnO* z_s8oBG7?kFO9P)qgzx^zNO@|UE`ZKWD<#P`zAMp4IcTpIaArl3Zj+Or8k?8)7mgc{ zOJzx?9O70=%Q3SglRLL{%#&W)^XSq%jx_X3{gdMUWJ=~KIwW-F%s4onhrc!;Cmy#f z)WkD$*V}d%0Icp?@b`y(KV0#0n6>4jRIPweurdNV_ zHg`u|Pfr1vw3Qs^{utfEQ-5UDnu6|dYU7EGapi{MuM-j;>KYby=ZtF;Nac#g?*OVr z#h6&*30&G+r}0l5S@g*sMr*sIlZBZ+m{NH2cd>0avUm69p{}(Fafgj_vjX5&;i zBthc%|44-5MuRaI0ypj+))U>6i*MJA^Mv*CfLikAyRdU8f>$87_F*odV>Zsev) ze#Qy+OStnS#X?hbZ{Dkk#P#JiXW)wG!u4z-UI76Q1P~B?QaAhf3FOxl^ ze4p>$eBPxp#auJw)~>77erxed=rRjVqt_&V=z~h6eZEWFfUKBS`zi+qE_0s-gd@K7Y33S-OqHgQJFHrzbc6Gh=KDTz?t6zic$JQh zOxG_9b~1zg)n#FZX}QOL3;t8n?_`(gM;!^r<8Svel6S$j;&eCjU*(0+UR~s7 z4@vjnF{1$=Mz1*2Lc@vY=xu3Mr*b&)=a?ZrJ+GmbOi0jA_Z4J9{`79rcy?Y@ntyQN zIo^XW=Z}0D#Vo!z(lXAOkMXp>HvTlO4K9@!b9Hez`WX1O-DjWPYfUpTMce@l4N~TT z3LUd04qt5=kyt;Rl#5C~GqazsmxFx~0&m^BO64@VO|iB+e%YK2-@ZzhZ*N)g`yd!I zeHl_qi)K0V&K%5J25CfZvvAB@6i;tH0xP|@e>TiQc>A;-&0x_ftlrOY!edUhcdB}( z#}r!}I`Ek8c)V2_FJII2lAFE(j~k91#R@SrojA(1Wtc*>o&c8nxAkED>hlU4dQ>KeJnr}*Fpm71cy6*l}Ct5xO8JfPe~ryRzWoWGL3 z6%Q!u1luO6UDd&D&zPdPaw=PYu8?zeqFrh9{=?5Qa@TZo`_LxJoF?fwVwU}OPD0x+b5k-U^o?QWXowPV3Am zV1tR4;KXT3zC|~!*REvL3Fo#G?O5G~Mphh9p_F6AU-M@u)?CF|uXjgPq}Au-PDx5~ zgxD&+d;##cy!i89rgTsdl&+!-jwzVnXt}R6amzBcGXn;%8RxCGO)}^IJFV!3A;G^L zHB6N45F_-APvoNEq_}{8!K=G;h<@pKUU6(rm@xESUv?s$OVQ{r_;*cR%EZ~UhHT7;7_uXji?@}5N3osq3L|62t4HSu2& zPWC-*H@C$Ydp*54Yy>}`kE?*wVeb)TV=!AJeTw31jtK7vMe@(Pxc)ZNGhc+)n#`7l zb#^Iu-H&mi-g;+TTKp3!|Kh(Q0aXr`m@m!%On(tkPH0bKm3O-jZ<%$qD*nPE%F_SD zXtD294kONuEE4fZ{XRc_Kvv1n6#J_zxzg|9m z*>n)M%$6`mbkoE^zNbpWl?Coq@4sK9(`0+RI!!^Nsm}Q<_+kNE-7oTavV~h=YvsP2 z?7qf`@$0hRe1EO7D|HU@IeZQ0XW#Kiiz|&#&$(R{z_FXTAI0aS8bsP}zA>3duF)6x z)_JWB-I<==rAY-CM7){rvp0MWzQqZ>+6>I0j8G_M4B=b2rw|X{kX!N}3g2s5IRA@3 z>}UDp-C%mp3^M0T$$vHfO-VsN;9VW=VLNRmtVjc`7!8${cP4|{*iox7+tnqr-pr~@8F0RK zC{7^aCKvg@stnyJf8Fk8X0N0e2q!TM*ZCNC2nSVr{#coACLRI~_sBv~@GfkduRSf! zx)_ND;ej;$uSERvdo@)J!;Q@4ur0iD-J)^4?ihy0sf%x&Z?^2%4q~{DmvN1@|VF z8ZNV{nQMd@8xTW_dRk6-q`|njK0PmgjsYyaqq{#es{g4n=iow%lBq(A5N0Aa6!P%uWxT3>!oQe-r{`?P_5HY?%NpILgbPK};edkXg4xBfm z8OHH8^590(4K;+)uAN|O5OZq%T;FWenjz~E{jFvp8vt^fvU2K!#9aMDpvkSRM)lnh z#~ewVQqfwTZg-*OG#;5Or*uMg%$F#e>aI{2d`9LmE6PL1VfPO>j{YM&3e`}RBGX|{ z@UQG%#)Nf(q)n0Z)f6Q5&TDE!^45>AQ}0KaWutT3jdz7=MRkm`LLjG~i?HNhVqAxj zuKfP}aBOd{t8UrECyTP`fldB_nN1k$w^2g`KNU&E;!E<6*SY~@JOw_3(4}_1Id5}Yw8O~Jt*RfQEU{kgpvMOx z+3&Mb3FN7nhrY2869&5$bH^q#G z3X+8nZt`uK{~{LI(4V`%Hj}%LHJiJy@x#9#)_%a6%l)Js{l?!HjY<>z_%a;lreSro zxy!$|7Ix}r4hKB5&E9U2ac!-O411=VbvL`0ueisOgVJeH62?0;M^v7-NWzE*GLFA2{xF)vW>cP z4UuSf)B>_bUri$rQPbxUw?{9yme8}${cj0xdzOW#E8pDBOKkq;1yHp61t8l7W={7- zkgSUBqLb0n@s?#%Wnw=d^3AcHFU$JMOXfF+n)+F)AoVam%Rwq4|gI zABKOJ{$UxVW&65rob2W-e4)`Cq&iESG-F*GuAwaCT@$t5$w6XV{rCG;+!*d!wdUJk z?mkzP@bDvgDBzq99(P$2hpVcdqoKR{_fcP2eV$WJ)|Ll|l+>~u4ad|f8qTpL48XAw zeAs<0U?0c2*M~o)h0aDqWAf`(eA0%r_o*shVUK<&>?sE0nVxSI&~ek_ouJb7h`=}n zFH4MaAM%F&xbGV>R)&&jQ_RURdDZRl{sM8m7hwQDd5G+C4nekLecmsV@$khX$VGuo zP9y=`VvoWWN_UNWrl~XCDI!!E!4Ery)mFGQk5}Dd>Lg_vg}R}3p$&w+2a9)conKFx z1T}V;(Hl;GG*Gg%*iUE{2z#)$1;1gxS83euFW zIpnYzO#9-K+WGuVL55Q#pbF#B3gq%zM$HCO3?&Fo5+>}-HG{}Q+Hvsosx(HY@hYOk z6sv^0je6#)OVnA+1$#;eL)l&}O}I?-BCgtwkMuhDSe^_P13ZT~^!q8lsu ziR7Nz!87gBlpb!n?Op4BWVho(?hdJUWVhu*ZiaQKkgA~RC(e}X8@km(b_aTN z6~ZM?f=PhERELP!2@YjX{<)!E68)UfaH{Zb0>(ZrW1-o#d-?B6UTf6ZzdHF@UR4bLjU~z+CRs4LFF$ijZP*!@Jfw!v zp+w|0Zt2_-%Qt`Qbr4>=0)1`wqI=5)+mpB459VmsNinHSd4Tl07eA# zKn1?Wm)9OoZ416hJxM)qX|c1X5PfND~_Hydy43KdzB6^G?a{2z=-jnGcQ>GHtUa-#~$rWtE!r zd3%`&5V!s!Uydz;$e6p*8T>=VDQ%D8Y$-Yx$CYBtaEkOf)l_UXFjHXnbOPCk!4*x- z4r$mJd{3#tjg1IPK!v#SWlW&@Rn;UQ$xG1oaSaaJp;`@8N$Df5SMr}ydn|18ER=l0 zmP>3Qmb$BR8T*|_2p3v(o5Sl@_G5-da04$?scMCs5iNfz%3F0M{);&P`-b1%rl)%g z2|Z#g8Xq0S5iqW2<*0>wVrT|=HsMjY$V54TezuWV;uexx)h5)~hUe0PIe{wG{^)w9C;=|U%icuwz;Qt{;*@G^&=!t?6z@*N8R-<*ugFfT5z zQmT9weTjA+Up`$dK{?kCY{LpKrE4#&cK#A*R;=`y`OClKcG$l2%IL{EM6(>qMp1Qu z^>}&heiUe#n_exvp2M+x==^@+0cSM06}I;%uG6&of$w(VjXL(*)$uny{y$p7ItRXP zElBJ^*5Y;N?1kHtImkj{T+K6WriI2SOb`1+N# z8$(%&xpG9>t7-&oznY`o2X6mLeIdH3wx~7{+ATN+gAg?iH zJWE;+i>ErrkWn(4E+u-2icnJCS1YD>S-&bnnIv7lHvfsA&^mhwdCn~TS_NFE7tNr z+|gxdiMAn2B?`WHg-Y?3ngW0}0;?b-xL;cN+yCyBcR806rq|K{%8z<=Dw7$!tgaLIHtP|A& zTUk@GftXX5itCtlGdlV)Z@;|`Q!Q!_I&>YFLZB00eb2)|jxn{tJHX@LCIwlBvs(kO z5LqTjBba<-MV(2cw~AHv`e>HR2S{CHHcg`!nr;JQ=uUk1GK`R6+;Dj;mx7(W0}q3R zc~d4lQ0bNI4NaEH5zFg$7V7OYf+j-kVTJ1Ohr`A9RWn>jKC}2&tfz;11Ni&{y`BO? z!JnedMpM>5q^!Lt{06oVw(hOpQpE8?9{R&Zg&RUW{jUcZLmsTdQizJQspH6oTsv?v zWWmBZ^l@L>E8(*Q>|rXORDQR?Fhcet$yW^n&*_3$12JCuAgAUdP78cl=G^CQ;S=g0 zw7om6Q{8+c+?6ABgWmoL;}3X|9&jb(L%rP$lN0_h93Us`Lbx>4|%b!F$KQJ`}VvV102Dl}dJII`dtsz5?_$(C z4L=X!DEpD`4vKGrteM(t`91jUeAC|X@ftro3pPb%6?-E*x+%c71GzU5zSs26XK&`* z0vlI_i~F+9eTSr4FG+jho*Rv)`hBUZR#VTT-*3Cw@%umPbeYa$17!t2Oe6Y21|CuG zXoq~bB4tCaNzC}-@A2+@vYp`OI93lmGSTo0KhTL~It0f&=0Y!+Lcv9<^(v7w03*3~3GT>ha*Ph?dnkxz z+$1%ZNa>2R)GifErk+P8c9w*F&xBFz9vD8c=faRuH|IY#M)xw7P!G+l`nz^SopMZx zZp454Dl(UeV_2$dK*BqOSL#?cHy2Vmmp0#jZ#uaoSA07v3*miQ3k;=$F#`|rGimpl z4Yt2S#Ze-OQA+MXa7<5``8?6#gG-Ji_buGXnRz%4Z%~fgKEUjgFOmcr`o6A#+3?8e z8mg{HGNiR)QOvDmN+Qv1hW9yOA?fv|dV3Rk6*y%3d!v=Jz*@wOQ+E5_jH~43CUAA> zDqhu<$2Kesmx;T>JI=!{E++BRgp$$MWo`Ms{AtPMrjNg=Lp}e{(ck)_*F0xa8x>~p zH*#FDhw}k>Pxmmn-#R2T3SOnge?iQA)9+Oi_)r^U zjl_t2n1-e73otxT{Cif6m_j5E+c)T0B^h>S z27aI;Dj~#(Ra6$Zq5cAn(^0#_F%&MTaB%K7~!_xga|6 zSpb9Uh}mX_p{r3m^AF3A86-XOqBtO-x(F!|JaY>uVh>AjGk3`RwHuV%GyZx)enTd;uX~T3X zPdcwsA@|qBrwFI2JAlctjhRU+rih7Wez*Nv6vpS-B}+{d_Ja2)3x6qryLEQxYjRRX zNB4GvBMDNOtXI9~-##5N5ox5H9oz0s2P_cJ_w=(sSVfi*FCA*B;`AFz zT7Ur3@6i`_kobv^gPN3YjETSbrQQybei@WV*`#q?IGbK^Qoqe^y~dUH{A)at(NESO zKUgGmdKhl(0ZbuZ+i845dRe`9?z_db;7XlJD-|{_8NHrznB;-?V8@*saMz9&%p&UXn_V8V&o5^x<+nnz!!I9;nVF7j?d?a=uDY|ctDB?el(>9Odz;q+`X2hj z#~pRxR$8uuoF_W%PZ^MCF=ahecNu-$H)_@Eu!2GLm$}TX_-dTcbB%9HyaVuj6iS8H zeI0By%M+&=-DU0dFi9sF+l=krI>#5QnU2HNsmrY!bY@I+hWDdO?LO>jTSTj87Gx$}(MiZuf_n${8K$M;DgU+Hr-I@2>((^A>&%m?K)G)ov` zlh;89!l}pt_v1}acDk|mygw+FTcG;_?h^O|t89sZi@G{D;m7M9|F8kl} zMdsPNTbc^Z<|&onp7m|tB?Re*wooJA`T{~KN(ZQoP(&^tmy?AFIw!AT2O$%tm z&mjRgpRrG~me6(TKJM?bV!Y)}s*mqft!TDqYxLYcMY8m)X-~#btb_R2%F<~n-uV%x zZy{TnN>u^_txS<@g-^-vJrmybC5pGhA!F`w|GXT@+!M}+JH)gbNGlS!f&R3X-RJFQ zYEYSuwYM{D2Yp~R)vv%?v5vhO34e^PC@%t3c(N-S3e`EcM(H(8<%)b%TtbF5Yb(V| z=U%hh;0@br?+bvO1!Ktj4K178mKbTfPrl~PP;7GStn8v1ha}788s37QdiXFAOsti+ zAkz=i?oIZ&COz`(TTL#lMsZiVs?JPRI?7zC<1Leq+X2$c?T42$R_==MX$;FQM52vTjU$;&J?zxoz{^V38%`~4=?3)g_1U0Zu9z5NmFRmw_lvNmwdQfGV6E! zl!KfDiNj)xUL6kI(xI99natD|G~JLWOX@n^Ww!*&Z!9AayrIgs{~8M=tI9e)!_`zm zPj@cmoPEhwm~gFGaJkC8v{{SFe|#SwIGu^*C#Aa~Hdozs>MS11@V8q^o~t#IZ&`IGzw^Gnv5qtQaCSCag{XC9G4Om63FpdTWceTs^;7H0 zVRYlDc~mY{Om`{F9{=RcD5lP9{iX>{rjkbJ4aUBw=OClWM{7x*?zR@8+LdMgea}(a z6E@{zHJA&sl3=7 zrR70no94&pd#K@fRJAXC1NCS6-T3Ep>&C=!JDLnR;at_zV3i5K`Fx%ex6-M_PG_z$v2&$4Y^y{&yqK1T1Jv%Vjm$A5nZQ+924CK%dvVuzi0 zM3`@aBbB=aY_V4Uo_()HRvuVupwkbU*xntfd{VNH>Ok)EFpWJj*5N#DS!kWU`v=9YpaP zn`}nUxN=EBFi5#j{i)b56;|Iwr_^TveE=e{3UP@tj_!=09!r6OjfSZ+tnc>)-_+k4 z7Qz;K6Ed)6x&ENi5~-F%Fh(@X6rOi12{Z(7Ua^f zcLu8fir?#Cy|4K>%9@rkGYX(#eXA@NwKb=~gQ(q|Q}PTngl^O+1ki(7=1!^8gKG14}DHuynrVArH;k1-LoHJh2)~56$HKUBm%TBxa#UW^#T{7dV&p zGw|cHc%YXzIF|}Dd=ZNs4emg?doMpmiZgr}`PyJ>p$-j#GkmG}aQ;vJIMx^q&&OuO zIiE^^Ca{KKhlYLuPaZK(HZ!>cv(SJiBS6TtW?8XAgQnDc z&SgptZc_dyNJGA3L#O~ZnV2VHgP~&sqyRUa*gL1$@Uhv8Y2w*1r*0;6_Xmj>H+Vz6 zLmj{0MIqGdn&o+XlT1z`0D%$xO-j zhGd!09^G)O%p5@u$a*Eo6X2LqM2_n-m(Bk5t?DMId+h+dr!Tco9!LY6!mHlrc^6dZ zCfKe4NtL+B$S&8@{d@GxO&3q0=68axYJndGV%Iw5J#GU;xelO6u#QdF8j5Nb=0Uc=@?Bye2tz8Z?B zeTMY!6GBZ%K_lPW6@)>vR7+QJR?9fF#e?3j zFW^>oziicskhhKywj&pp1?Fm+cXTPxWU4dXpzDo$gJrBJ(4K@~BwEa4KC(tayY z3JXzecvGu34u zyPP9E7<0zqz~i=~fomT3S4uIBFRXN#ofl;Cr0_WHqA`#+)3ynyzisRLewIkikfV6 z&O7Y3{TM9G3Z2N#2q1Wnxm$@x`I(3bui&RZNZH3kBCS;5?y6IDz@RtnBS@a-dHb2~ z^1r;m3Q^m<<}M;kaP0gw!==S>Jy6PthP+^L?K zma5tuU5{hon5?a|yH9L3TZ34e2IY^=S_F-N3WN$v4TUMt$k<~O6nyc^$`~45#&-u3 z=7Ohj!C4ZQfi7nhphL<((qh2#>N!rR6pqk|S0KhVLb;3H=}opM5TpeQc|nQ)5@Qw9 zkrgeJg(NE_#Y@$pC@q)e<%SeQ%oUgDWX9GCCDhwlC@oXSj4$U3DzyJ88!UH3!LVBR z2<^ev8{GD|yygn8s$Vs2N4j32HWN1Z|k>iVQ`&2S5P> z7{VF|a$+mODEh-HMqcx6|4iX~Q#*W}XvW=(ZAWDAzo{C1GS05AGgHxKo>V?ZaY?B+ zhX;?pYiGI0ECdHZEO?>-gN|616k}2Jv<|3b>I_uuLKCD1E;-X5t%7P_?|t@r#Oy0# zMDN%ATZP2ZrK+@EZ&~f^7Kn#oZvoqD-q%Z@$HdU#BzL!qKeO?I^7$!}IO3pEU=mkO zc}I@4vB58^U$-xFau!Wm32&Z|DOq6Uhd$K7E^p|P`aTvpE2pZpbWsk^WGvxSaLzzE zT&$wd)=uNwwKAg7Y$qPm%~WV>%j20vT?9DhX_(0>!%Rlcv9e35xh6h3<`2ocCSD(l zx;xwaw1?M~^Nv+LjdhK+CNe82t6hdUc$@w%Bd!{d+}~_iLt0$HRoE=&l~nUge7sDW zFLU%J9Z{+6tlQ>LvB%A;bL3P>A~0S2C;>SZW8M2Gv0@fD9yusM=H1Fx^S+&1D<)dI!w!lF_o}C_!lk|STek1E z$L^MN!7Ss26Bv<>BS963CzA(X>kLD^g<;y^wbkzise4L2lU{3yt;Nbpn!XBGbLbrC zuqXHUOMRehS>L#*|)* zE0tFvT*Qe*p)|r3g{tJZ%5Hm@P$tGAe1*cw1@ zm@E{8a@)JW#9lnOaFeED?cs7u1WVXlaUZT|;zp2{I!|L{XVjWw5?>68{4Zk~C}bIb zCZ?=^I?$xrgonp)-_BNu@-u5*TQ}h%47L!x z#Q@?KP?#$|U&ICwR<@K4P&5K1yWbFbvM?{GuGZ4BE@(ffAvI*ku&Ai#AGr~dTf(DQ zHm?*;u+mV%eEks&0`mGuKvOggu4bK6MP)T#O%$lDg16CWjjJ>*T)7T}_@i}-!lIg5pXz$ut(K87(MgX#`5^nz*o|eg zOsc{;xA%Q2vCtFy)v&@#po*K`>|jXP{e#kANmg*wt7sus2+8+U1VZC!mNZ4p#ar2F z^CCwYdN1aTI`#1SqbHe=)u6GL3w-14CGM;Qi_te&1Vm_MeO=3I2GnfxRknXm0X1`( z`XkZ$BiKMcFZ#!uS-rhde=eCuzc+uqp!waZjnh>GcV!1)W2ilc5I%DCj!^;DrHD!T=cIEQDW>_MP3Pc6tp4 zh}l?6&KejF9vBXy7;|VL8JHm%s395u|5QK^=^%jR+W3gd2Ae}ua)wi{k}3pM0}mtX@8^XwY?FV%Ly6w70EUj!cbj|1)9+M4)b z;9XG=y7jm7{;%^_a=-k=%lh>Yw07UX#M;y}a{s>8VP8CNXrK?3l@FE`|Egx{5+im* z9%ot+0cETwp#Fa-{qoR6NJ|4dCL((#Afb7dj-8}Fd{F-niVwjHZ!NK(Bqylkt0z1OcGkBY+jceH_vL6=P zlLdnJRpC7qxmmUTcCk84u9zY4)RaY=R=O+w$-FQ~WjVCkHOQip{1H3p1b_@HX)dpL3L0@9~?j z*heqs3`Viq0ifZ%+rMzClH1t0&|o<$wWU|K|oohg}PY7%H`Klk?@&3j*UQHC7+6-^(p65yJ-m-VN9R6!~VYjO;>B6CNW zfTnm;$8gZaxWich94T+x`xX}KP_e#ApN~9Uyh%94)sG>SMy$aJWQ2XhXKh8U)hI=J z?sVV+a2vlonm&VPs0ySs>;$3Jqm9y-gRL!j5R)ugp(V09mUPCy!7Pt#(}$i88!G?!LpNf(zS!z zIrXmvWX<}B6z;Og$MiFa#tKwF&v2Lk97LYByOC54hFx+mWXa3fPF5-@%3H?X@8UiD{sJh z(7?JA!MZcSu7Zml(r?Q-Mlu8yl2Y@4shmkC}CfiKE_t z+)3t1E$wgK-`eBQrrFeDYiIoa@tO(9HOzf*1*WMiKy-s*AeAXn45Jb&@Wa}EfqjSq zUrOYx|Lo6QE<3%^eSZSCKBX7?RZT-97WPI1LUhpV??BsUiw>-CgsMNLCqEi17GC4B z0*Z7P<@U2A$1@!4F%-ayk2vP{BtCHHkN<7SG1ecs)8kA5LrQ@_N(qKj*Cz~=>ZIOG z0q)z42}O8Me%hqR{9L{>XBchfeN{q z3%QvLxtR^wmDFy-yeBKK8(HlSl_q2c9$1TSra5PvyD^xFHy8wd8YQ+g6|IFMr_cnv znx@Dbh!&N#q9#oq^ZQ7XpEVrO3mh=Kr!VC?Es?jiI(p8WfsP6Q(YY1k7ad+*2sG-l z2I^^hmqw?&eWuE6QgXUX=UUmwC0eAAjuHUTLPryTXriSHKrFnV5DQbZfg<{12y-(; zycH7&BKu^2Wyl+{rK6Wvc&QWslP$G{p?WopLEdfVzZD3oZ3Z8Acl|s1&V#6M=zAv+ z)QJB-@gxwG91}!{-xwP)_-+bZ%Gc^Usst#xPvJ#W$n|{`5DEVJnZe-RpomqIknbsv z2qwj_xhLrYwX*eezXvAHK0UMYz`ox7f*?i_Xp3sZRZH{Qy;6TGzQ?f^=Vkg>5t62e z%g)LHm)eYZ@<@tsZ^DR|tnojWAT|0B2u%j|B(6|Ly@DfJU7bPlV9O zd_2kPm2rWQmmvoR$D4vG6p08V2N9x&5?=r0{EmOgLTU_v65g!2L)T8I}8 z;ufAd-wb<`o3Tr%ve}!+-+6LiDCcRpKYDz){;j-LQ>H`<8^F@x34c2Iu|>S-!d`d~ z{j;8f84e=#M^gG?x%*@3LkYj3#T&P?AYEAZ4hZ%V2@I$afv5kw$Gz3Wr}xD+hYq(4lSCmdKed5f(XlZ8Lh*=hU|Fq5jM6g?&y!j3Y!-qt21qF^Q&yszSz_^>&<9r4~ zdWJxH21j~^MB;}b^1&0~K@{P^5aIbP@<9~#VvKmpfat&EO;U28COD8UIAEwRjE6ww z&J^ZG73RhjwgN8FV@f}irSmw`@k=-&u%pv)>7r?tbk&oteI63K1$$ggg?mkRVe2z* zs;a3eH_PLCuW9w(r@Ye8<*kujfXTnw)bkPMz@?xS*y>8Ry2D^Iq)`*RT~*2aid@{` zXpGKluywy!uWs$WL+(?{zZ~Vdt1_SjPWM)8@aHi+C4{gYnA(z3|BfG0&6Hl0bJ2Q~ zeKspF-_-7>qBb|FpSb^Xq)>3t;_3-MJ6r5|b}|b@dQ5*S+^VUEPd*X&beo9iyRwid zpjZ(z;@1K*N)d=X^@b-)1N@^_9{$0pwnp{-D5V;FIpwt|#Lk5m6N%k>s=Tacmk_Yq zRwz`*h6_Lv6XfP2r3B;#!rJsXfpC_OG|PM@m8au?NSD2Xp#?17_5xX4MDSI#F!^F< ztl3b4^RfS?%=)!2g^ekicd&R7!g+*nL6rQ+`^UE zYY)QKPh5P%?OwTJsT2$z?>tx0$NQq_;uh>s$E%9+WotAEm(1a(i&b|JgQ8i@MM|Pc z?kd34eV&vf;Q$N#;OrR7k^%SYS*z5%Xv$IAWZ`RUrqumC9-ViPc(&pEPN`#D7u2v? z_EvquYbnoPU004yI$bnwX<~cvnEg;E$qcFX6>{vo_X97dvxE+L9RtI;gxcr(6Job1 zN^`kl%eMW%KluNy>Yms?moL`(uT{;mzpK-fuHOrVzWD{d3)#M(;Sw8vF}2|5YWFUOsHp=Z`^}%Z?M#C4>?iuljYkNNI6I5rJ zdnf!M7VLe4OTU52*OO_^J%c90( zVEIt>H$p7dcrWkkEENu(JvGT#E;m$KQ0lHfDHF%fvU3la<5fH!1W`-WDy#j`#;v=G z-p;`2e$%{jtgOg~<}WmT>wU<@nE&I=s6yM{B(3k^9m&l;)OwYi(RzON&-@|sxSuhL zmHlvZF>xA~HWJ6XdKIm6)k%jRBQHiP*Q3q&sq*nRo36gqrn@QiuVK+pWV(4~i6m}` z?H#h^pg_+HIx&7E@n@!imFb7&bc zp%`T{b|BLtn(dhY6gy8oPuMqa8PDfkD_E8R13Q78)jnxxrN!XL^oK>{Yo(z88d)&` z;f|{^bNw5PWB&_+i!P7d>0AZ|N^niy{^!~pNy&i}3!Nr);y{6T zvUoi!r_LzgV+=@G(2?qe#mC_%BrA42+z{Q{YwF%;;qn+nlIKl|qFJd={n>qr2 z_X|O@8kR z^xYrNJ((UsW^+S4CYdLiGwywxJ8vQKj{BWz@ljFgDG4>$d*HjxQwxm9M6_uFyLB^_ z8=15lRIkduTn(HNg+A{PWz!Q!0|!LzeE33zF)S>6kJ%^ws~Fn}?DnI$euv<#JVjFu z*{-D@kzx3`p)WQPZCn|5cQ<+(-4%lxZ04rEG8B=9-k7GtJ}`dTJLq#ST4EidH^K?O z5JH+bsNaTR^}S!pnU=x^lVyR%Nmm(ZX5Xhzl8qS3JO3|d)V^g*mJpXYl1;)$ud!or z;9$%SVxgYy!y>!pgiH&`pNMVN2af=mz^~2Elqw?GGEDYhN%ZEDTT%mhUi5x>PBHk0 z=v-y@%Y@2owO%g}mIWop3M&F8(metss{GM)=4@Wx^52OGZMlpN@KCuDcWghu%N<~# z)wYGG{kA7{e#9Y8){!iwK>zEr;gQ@$yNgMHL)^ zR>VXg>_kH2n7zLPFF1~(e4q=?|aeZim#{HBKFk$X2`^?w3M0=E5>LEd;z zhng55l^GENbBpAM;ZE@tqNYWtt~@^U_6rdYM2Ka8kg*39NjEil*7OL2(nw6w$|j)h zhI}d`3_Qo@3J79vuFxO}Xdu7SKRHg_O%dBRaNx+ZN`zeZi6 zbQ%(mBTsjko6!%s^Wj0@=_x&Yq@Y*>SUL2{u^&E$A(A6}5=Ds~krUW!(ATh^W}+-Z zpi!m>9#2Y|QuE2}e;2?8D~a#A5hL83NUxB>L(gBqnas|)i1Iy%7vbS1l?0twAKj&% z#}#SsK+zDZG?Bh7B~Nr9M*5$E&lTKJ)Ba+SOJ2pqQD0ek4m~JUq4#7mfeh#y!j8bW zx~ywEDlC1-9fvp5+mk?8N{6x+pl{eQ_mRRpV8+sPm4M1(O0p+f*WW-IS>EwHB}2y# zE8egXw+2H)t2*4&g2aid2Ki-YWewH}mV~y%gD_EjHv{>#0STfkT5ZG360?+y?hqvLKo?yk~$Mn!c{ygtv#=3ni z?3fm&Jfpi7cYIDcrNi2)-GvxBvb-Bm_^ec}I*>b59S}hXPa>*aPq!9fdg`6ZRhE#w z&iuZACDP0KbF(uPhpunOo>@~78cVvWWqMpU!@G{#Zr^_BR=IB94_^QLaL4CNCdFU* zbjRC!K{K?G;cC7omV16wMS|*!nzM7zvZVgm*$1K=fh66~$~}}x#c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G_f00000007Se00000 z006K7000000086!00000004La>{d&U6gLo_0Th%5p$G^EltWztyVC6L^z3SRoHDFf zM8u$h*^uOfJU#ASCvLmt$3k;~U%-FKPv9?cLnK)~w|gGEa^yl z`1oIpPWyGcodjgbs>g;`+PL|~>fAkn)j!bsJ8D0N)(>C}6_d3UmafpGR_>4odg9BH znR-=!PJaucvGo>q!uV1P9Q#e<1ROsH;(%KxR6%!`FSwn%w>o!UVD$zru8viK|LLy+ zfuB$@XA$W=UNA}bwG$ctRN&p$=#f2L)kYMDj@I_pDZOzc-5B)KZ5m3)4Wm76&|%C8 zt&-ohH{>lRoquBcs-+p4sZiv!mbOPy7b2tFt1M_+N|>6FM#mYlI(JW);S^Y{cp!zd zG#rh`R59Ze1fz{)VWih+FjbTGuJVp@A-Sd8=n^~N=7a+%*M=85bW5@(R3`l_&@+An zB&agFFsv*COT!mYdf5aRjjb=wNSL)c6U^}$&2;8Vu3VIao=`ZYjLQ%Te_9!Ct*puV z=^s3ElsMgZ10YM=IG$-W6A_Kp(-!7&^oj1lK?Q`9iJ#ich$;xvurQp5!+UewM@TJF z!)d>Tcd5A|3@;@D+?>FcHWvu>D0w2>A)tj=DPpWW#K0WY^W@{YMBq(e zR>NTBQ6aG?l2vG9>LTWrN~V0yGUpAjoYwO=LegQSVI?gV0bA4o^YFxoX90U*oe@*- zw6U8+W62o~G(1Ozv=}EVAud8|9L>FHYHr3I6Vh%b-OfdH!+~~aj_4$p4Z6!q^{>z_ z;f;w>l~BvQm$+a%=NEfN!Z;60@5#IhGC}{B{Si&2&i;)0U9iGvzt6u%fZn%9UV-q$ zXBlcM_mVbf6e_|OlpW;&oje~?C_moYu?XdiFs?p@m5*|;SfJ>tfqlA=f- zQ@Xv`-%RP27XW{-J)r*XRyx>fT7NR8lqNsZuY5|kW4%Vj@C-df69rRrpOx*cayqbw zqrsg?6uX4!Kvx@*A8|>yl@qT15AmSB&q^WN_cyFZ!{6Y2H1~aD?sM5Xh28YpwJ3UK zA-YV*N$_^JNzx{|f;be8J2Ind^K9UP@a)W{uK*pMIqEcK`qY|NnRa;$~oE z5MW?nh%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@ zk?|ppPCl;j{!U)5&JZoEKm}|J0zkFBxe32PT7;ll+#N&RU4x-&8QB>efZ}EwckKsK z3=%*LG8U@F#WBP&-qpv|*VPYUDl3BmP*v=Xhp#{;!W;r|KyXNqr=L5D7Lbt~V1sK; zmXrfIaECy&K#gbQWH11_GK|gA7ovC~fvI3(&;aT-_Hl~_83GFwH-8@&*C3c0ZUzpZ*d~Cuj zoIwHt0;+)p{y97S96~>;YU1c*VP{Lo^oyR6o{3P%#lqT{kogxgAtM_D6Eg!dBhxQJ zB@;(i3nLT4-v&ljgiM4=QiN(IhJ>QV7S2BTubg&wl{_Q7m{#O8_ZfygbU?Ob4rFzBhShp8~$}j#L|~BMJA8%hZXeRCUAGq z+g8f!b@O>X>uJ|f5CLZ)%+G)ByvETMWdm0R(@5<8?(3}T>g?>Qe4CbGPICS%l~?h5 z{O)}_tE#K4s;csGz25He!iYs4OtSw=(?cf>nH&38?)N=zvOQ@ms67wx_7s5sO8O~M zUA?_tcn|TyB7}hBZNRAic6a=Na=#f=!*Du6tga7qLc#nfQI{O?F-dp`r_Gkz%-h^> zaroc->PxYRs5d5L8>ILByabl_G*|h<-#-Dg98i=d?@0+y;_}E9tVr_#Wnj3+d1s!C zT7?;={q0o~S#gS6M@V!>AD}3{HxgxE)l?-+5H-z}GM8#kbl2y4TjCdsQgepEHvr{1 z90G*U(wU)i_UKp^czHPlJ?B584G{~asjW#=bTn;S$s3w%$F1p(8_`FtE}8Bd+#>W_ zhK>)LeSa|h1`uOLjR4EWFTczY_w_#n?8_}A7Y|McB|4H%h?VwDs_!JQENLAy8X|L| zPTvjK@x2tEX|-lexNIaoO?cGK8!0{szvDyQQ|9n>Q@v%f>x85Fqi0}|AGj$I&tSS#4#I(S5JFR z`21sL59b}t%htOqH}n23NtO#{z^fr;V@^zLXi3u0ym9YECf7#_#mlBe%!F|_#w(GL zSI_wqn19^!xchoY|A`;+((bXDMfLcY-gZ8a!(m39OK}5~G%G+;*{#r_K%F4p5aDYVOuM_RGohRfXYD{Gb`r0B_?M zOUW_|H4iW&2w%!H*FfL>bk*m}81s}H!KQ2+S^Rylpwt@0Db_g$rH8(9)S=X8O|aQ_ zp5Mlu`3c9lvv_|@ps}8i(squxIOlenK*mtTqsP9(@4_3^9eUvyF|b_9lipQur}*lc zquY7teb|raW+$ReZG+x75I43;;0Gf0F@3;0`+?)GH>nJFDr&Y^cKt=~6sFF7b6FAB zz|K#6&3hlype;UrR}msVF^ghdIFodg;jA#Vc&?vFr3^iJ@361-vQ`o6dFE~DQOct; zr}f=R(>cbxqd zc_lL&{`K~FuzaJLhs%#aPnR+aldMU$-()SVA_IO{Ui&bLk)oZ(RP4l!xu#)r{C;M_a_EoVlW;a*v6ZKJ#NczU#*TI7JK0m3n zXQnm7`t=XLKzZLy={WPNxxYP zhKd8J(;b3OpMK)cVq)0E!<~#bH=Ji6B9W4*X|7qVFgXD;^L_rw6Ri$U3C|V-gCA)Y zL+6R~_O z30&bqe;!$udj$Dft}H*L%yzw0fD5FD?_{#WJqjt7AvldtN8#rOaM$M1xv_2Z6ofi$ zc!H*@xx_S2(oWUba^{j(%IV27ytw9mt#%rlyY0x{ZA>fkC-KI3!W6u^WJKJ_Fw45+ zUGY4<9(Wg&fQr)24M&XWX+{IjM86ApkW1kEk&$W6p?Q4VzL4BIz^3kE?98J)=gLTu zc09aa`H;x>EvGLpg`fq?mR@5|mvQyxBr$)w;H-0IoV<&9<^r((o&LO~IR1_qDs^H* zst9)+Ig`k#4$adROf-Ow5 zIAz(e&Kq0H%E;O#rlEy>ba?@DLx?-8b8@tyuG3;;88vKoMW`uTu!UM53qfkYgEw%N z^2M=JWISz=LZ~-e4(aabAu^t!Oe@%%^ON|{s-MY|W?f=H?NPdf#6BHY_!zqW<2L>G zR%m2{zZkB_4eKspU>z_dk~cg@_^wsIAebH~0`UvBJz(Hum$2fpZJckv_|9eh6=&~A(FNU)(fc2R>c{Z?k3p9Gx{NW# zyPX%8%9@A9_wQEC#%@nLiiW;6d-Ql$=gd0Ur*pfdyVUi!Wif<2ufe+6nMQ7uqUCpiyQiZAl6GAs?EtD560>NdO}etpg&L9F6qq&we3GIn$yRS?Yl5ww&W1!= zU9C;=hT00-pUWyMyv`o3meM1N&Q5C3N{V`grQHe&nRo5SZ0!pa6lv9ybBV%oPhD_P zg~wV5OBK6vRTt?RTeV}T1g11GN&X{Ni{xR6Q^X|dNhSGoTl4O9_UUX>n9os#F?TM( z=;(&1q*w{F2>4P}bnNcluu)IXU~Ql~G^H?wy!}^&2kDhXbb~?}{-aYc^kEB6&>+ce ze7+#z_-&1j0w?2*mlsjttS}03iq=%AuzzS6Q68jU>|9(Jr|l6Cb!3P!vev-ceni>^ zQq>D&Q|T1Ce5xL+#Bhz#7=$y-&EE{antc~vOh4+dsJZHj;V~luLqvA}Vj-I6%0I&z zWj^8OYWFz^e|R$J=e~>}vKp;J#zo7v`*UXD$sEsP>C@tM{2RcI48nw1mfaix(Ga;96O$nJ&{9* z>l&nJvvsw}2qN|NAo?;ZcEMq<+3~ONGgQ6%K&{4}yU?3qHvSMsy%In-_HXERm{EWQ+?J{*f{Ot^ zcNG8+O_3Ui^B0k@3JbC+5%NoN+0}S_cOsZN-Kjvr{)O>^y?dBdRZ#-#8=}O_z(>I1$%tn?HnxWDHJ|~l{5Pk^2P4(mTkDao@2<$Q z&sc-LgU7I)H|`DfuiU*@Xqkn{8A^`M=$ za~aP{ufb7YC>Y0n`oxcswKL*(6SmQF&o#F`1?Q*zZSPwt?7rvgaSyptxhCe>5in&C z1-=iWC z?ghFGu-Wr2Dx)}LqS3&C28_D`zI_==`q!M;#1&m7KJw*q=nvsPME(%{L+lUn$T?ey z9~jk*V=p8Ut)HuR$kG$IS&a*x8-Pn&o5HOc+2*d62-h~Yg{x(9u8nnXP)*Hv3{W|3 zIN?^yLBN&i?GJZ9-2d?K!{ZN6fLxnrW#P^k^@4{Brl@y4ld48N{ZwmnWuQUbVUNzZ zUd(SAtmjZ=7IdE6inA$@#w~wbMLZ3G*QHSqWpq__8O28F-;dCHSFz;9UEG=e|1W0T znQsxGa+!?S>2gk|L6}IJU{w_25+$0h7MkyP`d|ViVgPQ3}^)mVykUd=m;23mkN_qSX(o$=qLOV z{U8~aR;wjOgsT%~gzNo(^oM)&A4QLteM%WtH}9mbgg`YSa=pT`LpC0Q)Mq#PLF)&l zAEbW}hTOI0E=!-S5r;@@dM6>9$^hHg*pah1W8&iKg8xLsc&Qo3LF9SYNh8akq{Tp|D%})n{Bx=yTjJaUo#_m-q(YoTSvvPUlM zWP~lF0%&+}kzMy+-M4{zQLUJJj_xoaM(mYbjIbI%Gi00yKl~{)Er_$tItOFtLdZ}X zN9$XMzlDRd7{v+#+O>0nOjWqSlB_$J+Zh+qrUi!)D-8*W+^R(LV!5yr_cN|5E0;~J zmd#G&XabC(X#Q0%4HqZI(kV7Ug)Cg%2FKZ-@&ToQFS_%sP91yj>bP7zr>#enj2(-7 zBWRtY0GspCu~u6=JckM}4eBLr+5|i)=3593^+tT3&BMT$_oN0Ih5; z#yVg$wZ1Y7>c@cl(M`4x#a5@h!V62?wb;)%j40@CxLxl{Lz;*RJvjs!oor6;lFm&m z4wQZ`>?HajVA;5g^J1m2Lj6D;@S;@PHde#H1aVj?*M-1)&ffXcQn7H?Mu-&jMjh0G zQz+K~#d`OOF}!Re>S;B1ZLoV)6gOM?->S1N<^b6l3<_;9Y5O>^DVrM zyRPf6zJG?0r6Tf}L=Mp$48jHxrMB_@A)4)dFZdvQKgH^B(*T^blTRD;W*+&R$sI8g-wCU)w|?0E;uq*~ZuKeDr@_OGNQ>cojLZ zdH6Vk^GPd|S>}hrZ!=@p994d8yl^CUKFl!y@aT4wYNpQm$%gt^Qf9G13)MbpLu{K# z$u(18$UQjT=lYQe&(SB3j7$-_dkZtyU3A3u;kOPfzl-?kfo#}+A%k5zk{)~Nz*$3p$|$Y-+1Ro2S} zbF&ATCSvb4c^n0s`OdIOfrUp5Y3q|(%5#T>rPo~!(WplTopiAE~l z0h7Y>_)9Uj?9N*&rL-2oU0QiH;S4&>-{i(Ng=%;^qmqTJ=+GqZqXjtE+M~v#K0k{2VDJLN|7?o4**0f!gLhH~+Id|~r5kk#HzFU(B+|VY)8=)fC@k#B`fO2#^ z-e;7;++C?j$;Kd}`v{y(xcFRoppx#bDo>u~7D~d!rL}K*i2L3=SW;ti~&q$1{W!%+`wm z2^NnDkyyq@e5d|Eh0E>Xee(9?8m#Wz63PXN#*OFjcHFHKE!?}&xK1>S%?DjE7D4C% zPcfo+^b99)ob#tX!{Og^nc;9(y|5rmt^+NHZt`6NzEfOC&JTyeey1lpdda4SgiW0x zrr>7XSkEsceGU-hJT#6LBeeqUJIDTmAlgpWImwd_>NJ)+z?Ay&LQM(o1kF9E4=3ux zSGx$Q^j*gOb5Zw5ym(i6J3NP8=z-;754d<=YMtQ{3pe<#0S8_Mjles}zAf4H_n!7q zQ^^kE#}o@5`a$;7fy73D5fWqeuEH`7?H#AyCfsx&kR8o8n|??1a9C^~%%5)Wt-uFp z;7|Nw`JshCC;E|$_3)fPBd*V+FHz3F0c}!>X;y+pJ+`9LQ^U`IyvX!UeIvC~$Z#YN z4$N+&Tc?0;ftetl;|w$@HQ*)o2RYUw9Q$~8TDv> z0G`|P@A2kn$rKAL*mdb!oK1;%`q+J*i=josxc=Ibp@eosKH2X>CjRgvk0Pe4TAuAuR0jK>wv2y!J2pLs$B}S z_c^vL7@z-bwlRXk+RrNPyFd*b@FLeSWiQ6h20brp7no+k!e@&!&vZm|@0{?m2aY|4 z5aA}W(~vWm2%@%~L3*<^3AOS6y#)S`yj@t~dL{K3Vh#UJ@0u?9Vi!LP{t@uM^5h zwXZb>sr~*2`5kld;QTF%NgC*X!m}ZH0vSyj!=x!S{|b$pf?yO**ZblgBQ-itw0nEa z7$rDR#1xcfNsPYAGY(*w7r~N<(7F4XVs_w~3C1v51x?vazQ6C)kp}JZ+Xke*si?cR$H@J&^Klh! zn|ZUNx22_LbD`C|{UAGaIaalHH{xbw==uW_1|Yara-+CCiRQd~7Qnx#fP4{{>_a=7wAx_l-0BH?Ly)&;M|rd-6zOxnpq z!OF?$d~nYRy(QK;79ni~m^e_kB*A4M$shcDMW=mt@HS>F#TBpl*8vDw$O{we0l1z= zBB9J3syFnaDS}kHRx61-^I$;!Ju@I(R?vg?3qxqQMaJ{gLw>359cCxUcw(1(wSR2C z@uieKZtgeZVn&|M_5pX(eW@D8&ho3tm&{+HoVmIkJGdtvwiZ0^-W}|hSjn4(@M>XI z-qOrDY|{z0BiR;hjIX@HZJv(~n~9>mz}uWmstEDTVZh^<|E5p`GNw?^z!rQxb3bGZ`Ecw04>v-?&K#;_jQhMqj z#p3$p)h4-CIw8##!|wx?A(U)gwMT7n!-wtYwf7x}fRf^D_oQUS$8S>U1P)ilH{44B zzvRyV**YCJe}^mbi60oV%!lE1vf!66`d3i7W^`W7Y1>xHW<*lLNgHQAGo_J60!2(< zdwtYD$QB(>{_qc(i;VlyDbv!HlAfez7gah1e!aAjh%d>m3q0P%_e<+PqCKVa<$?g8 z>Ef~oJMueIA&jJHVurr!S&^=}V3|IfTR&pOQ9vJ$ZHsg)!>@v=zs9rd|1z>6MOVTe zT3JsG&k)agV9;O!F@UfXrdm!t2xLo2o9nu(5S`-^;MYSM&I*=5)h{y(i}H zBXy7OPs_V{2wHA^c0arZ=Z&?b70bVP?2G0G_q}+WKHgtCnpaMX*&O@njVSAS=0?oT zN$+G`Jr^A)p6g#5@tZW6u3A?N)G@H%l&sACMQ|dUdye>0?s{qcQmoYR?qvei>zv!z zu&XHOKHO$&jb=z0{jY^sQ^EZ{2Vw7ptMJ%U7vJkL&wk zjX~#YyEd=JTkA8*o0DJCPS5S#o3h1E^y8%DsgBaG@`!!Z?w&xa_wuc&?_}emt?opA zsqfk4UF7k=y8Q5#0!r80H+j2{MDnoh zHLvDNX(sN$YMPJjljQaEl7I0-DaCHFZ#?asWWPxu^vl7-^1HrePJ1rT;=6v$taK`` z@}sAwujZrTSbm9*?Ms6H_LCK{D!UO8;#N%CMC4|_0W(h0u{i9TUT|n<&UfbLlYw!T zrmz3~DNC38W=BvrHmH$(96|^Qf3cBrE{9NcVIXscc=<#{B#<%Bgd~_58LHu{ju<)f zZinVqF!RfTXlQ)AzDF%$I3S{P6-}CO7A`olFvVFp@~;s!)U6yJSa8ZgpNT#c^q=5B z%ErJv027|)_?di3G6vX!BT< z5fX2+nB*-G449iEWeOKfrXz6>J@$hzgwimsLlsFt`v?vXmh~$qL5|7MN2(hNphKPf zHXjBc4@=8Z3of&XbW1Q&(o&oUi!{Ikig^bOOW@Ft!O0>iA&DJWTeM`*vna_(Ny*jf z;F@8Pps_Mirc6B&RLUuj+@!ib-Ws;L`dI4@UH19Ki56i=lY61pr&!kM@^eZTY#}a> zurJBybqf}Hf!9y5FU96(=gryuL)EN}Ixm1~3Kx12)<4rHs<;RO8KyoYi|}C930T(+ z^0&$sazWR7urKZBuNKVbz%OlMT*1uUESgCKwen9tK>vAHioNrh&tPAA<)^T&y9rt? zn16$7R*AjK&#$wry9rpWnD0Q;2p4TZtP91s(wM*KMKE#Kf!j2Qye@w!y;GY@v#zTNXq7JX!fCdNbwM=$VqH%Y(5hXig}C&< zzLcAfT{iCny==k0{0?+25z_)+FJoDkn&+!ofDq&sEcyg#Mqyo_6XcdG`h;svVqKRP z^!lk5+MHp*oFC${1p87vKc{fP7iyh?Wt~Rwy;w{ObiL1_xk*r~Zh;SGoh#;vX`aoz z8PT7uaN&#H9J6b%;dW4;5Ek0-PzecoumG6MSW^3VIOGOHN*?363pdamj4U!Fm;<n+=BYeZ-)Lf; z+;sUPFBy(#AM=}s*k2_WK!kB1Bm{D+kV6(=Pr6yq{5A5TEJC$FA2Dj%FMvUf?voC=}r*F$`uLd#xYQAiIRwNpO%lqM zgW#nfpXFPySdgwGR^%J`cFbsC2yY-3*`s9_ign5mF)CMG0e9xPnpGem(*ZgYE`2F| znP{)=b%ZRf8P#Yy~E@hiG+cES8sQ91Y{t=mB)P?T)^ zn+mgWl|W-Pk0$D!Q>EdVFSoR_QhX3UVu3lpT;GAEp*9PU*$zT>C5zHK`@K5?$h@J3 zQKAtEcw)-9GL&Xr6k4{tb&4B{dCd|y^`3#o6AeBOu0yCS2mVF z%#4KdI+rfXlA>BHsOa976Gim0IYBTC+rPk%AqIIsC2kGPkr#>rhJbkz6d)8MX(+61*#Jpu0r0)qHwtJ%qN8JTV~df8(bPBtefl**mAx z$NLsGB6dr_3^gEm_`#$UQDx(YNj_}qa8RS*u# zjq1Dm4EhwZPig)8MPLs!1QC_*zXmx$Jc_kpX(C$+QwmRo5)#ILGb{vJ`it(rEqqS} zYF+-5T;V>|fpRZ())=h4!C_93Q47V<7Zy;CWID)AdV6xC8^tJ$Wi@V7)H3yfrf6cN=Q zDpFCYkYb6dc+?8C#C^|4Rl`tXsrY?QXhm%X!N_wm35Omqs@gQdkw@fW)E@K^8Oq-| zL=hy@ktSPoe~?}nOLYTcm})P`6KsKmIIkdzMN(kXk%P-?fr%?E1j5g-0ELW{0dMU4rUNS8#sbKwP&G}cU22osEy)m4) z#Ofi}Lw*Jm2K2W}2!bGAx?CK2yuU$2HAi*0oCsE*jl0H~A$Jk9#O?OgpoiFLB6>8T zjJOFVv!0oqAP!NFgN?2EGW)jRzhSW7$7*Kd%`V>ec;Pa8B;&>0)&H(=ED_mN8YwlU zLoq9L6eARaA}y2jvXP`r3pBZnV(3iWG zakX-5w9H7VYE4rV$3`zL+d4F=RV7ukQAjs)witCO!M1R>%nMOy{Ko-@Mc;e{q6^2G zZ$Xj@23O1Pt|VO(ik?X^y(5mPgoz0it!$Z{vtUaHnuYRiTve@X?5>fCo=LgJNxAj3 zn4wCR9wgE#b+)${)T3j7*hykxG+~8GnNmQGT+Hg}AzM^-X4xYAk*YXF+o`kVh#W2=QjPWR}b?J~&Ld zUQ{Maw#7N%;KizX73Y4*@sj8-GU*3ek6r-4_S;Hl-gC0DG*=}k$Z(&oX~`Y#w;Z-S zw2$bF$s4S|4H_?1byb_6fZ=R>1R;Z~_C!5GPv?3htWUn|pLMC5gO?Zww@`Pmu#dWmWVJtSeg7Rf2-h z^u2GI{*XlgAwZD^(o!}hpw!dmbTU_}r-J()`N@%yhuu(J;aX3#?%~Pxna*YqPP?vx z#}B-n;~f*T`ay-}v9kF5_B6Krmwyw795}#MUsnMO^PjGY6?XYj7tQUhG?fq2y!U7I zA69cnw4!w^eF`t!#FuPeSX(3|J5u zwG!Q^7>mh?kp7z{qi(aykz^((+-@j=RwWO?-d1{c!BHqJ&*xK?Fu#T7HFv4 zhj&+X(PccwD$!5(%e;ukSR)!+B^p~N8v8Leh$vQxDAtK6)`%!T1Nnzw_K>^cOI*jP z1==TLUrYJkEi|`y+p8^HY8tyOz|lkGG_d#-7reH}p$75<*J@OU*=Y7*2x*IkxNC&A zO+>plbf>*8;-A-v2`qsdC;h?_YYHz}I z#&>}Cgg|UJEFXI>-e1K7ik6R#OSet#c6divjwg%+_STbp@O*HAKCqm9LDtY$HZ0H@ zVWD(8Qwa&B;JX40W+DtEz!RWswC(S49qt2!7fM1h$nbY5PX%^w($r7N{s_K=<=0=d zOi`?b@Ng=HYcu=609s$~1rgHAej4q{-y;G?m!~q#Faa16s zw>a4wfYwrbMYh#qxhU|gIMlypto9@tK)+VXyFhB8Gn8mU$;i2*Cy<`O2iIic!kHl9^yTO&@q9~v4+qA4rprKX@>1U z18kFrSh;r+jNfluH1=ABeZT>1rPmuBjQ@4poEO{kesSvKT7T#2rs31`-590@=U%~wO_E^o7os&vn5 z9^q9zLmTmtynrD0hFszK5`h(5!L`$XZ;hEs{yh4pg)d%xm7=8#n1ITRm; zcx6GTDf?Iki=SC3U?uIct$bFDA7AmkZldZqGLp-3u7x*`+{Xq|jp!hShL;|oZL8ne zmYX0wh;tw6avz?S<1I1qJNV`F=rX{-Y0PHx;~9x+gADIcT8frfd7!9T-ojc1_ilOW>_@R0EOYCqN_nz zhAR%GZ&)#M{gt9vtFmN`FG)K2+d7Wa2jdndQ&# zg$x%`?aE7F6V6fZ{qq4sp%1VA`iD~6oKjejt3Z$tA;mn#NzA!$Q18{J4HJTEhW%87 zKRM#M@p0kb^ATymY0oy9m@4$!gj7YF4Fb1~?J!=Ro^NRg16KQ9(>%ryd+z$2n>CuM zmsr$puC0@BNHjuv!1*fP{P$mLA`~o5j{i2(`mdpapqixnS z^>nIWeGL7!c{-D=KuA5U)u@Dtb#27-K!HhNGNKG{A|lssXCd0C;pU6K4qtIVkk+b3 z?3#(UjYYdT@%AL?q)MU|k&yD8L(py!X!dhXp+@|+!Gmq){n|i}c~8lxSbYfq7HP_& z8P8>~3ek4~a6~YNL9XK|%Z_{|7PKgA;lxMMuH&r0!;Bu^ z5QN83?`_PJ3TNJ05F!=xZNCVmLVC@@J^+B(886E!OWs=|VTF@2fd)BPIhEou)9b!Q zj7KX0>zuj=xOpCn!tCgP=UFq+5 z!#qGTk-d@3eh`5(Hrp?^@xmH$np68>8Gk~lMRO?jgiKUls07r5tLuel8-@Ao!n94o zyrBV3A+-&#uaS6;bcEhKjh$Uw?beh|ArD+##pl2c9VaWejCyYU7xh(6K|$#_0Zcfr zII6?4s>3q^>O9xz+=p4N<82r5T9@$~7x8wWhOHY&<7_0c&BU>d#H~OE4HqS%zwRmnsNlUuP9p@*wn>p>(8@^+{7*3^ljj)~SnrBnXYip;JW1FeoD3_-k z)Vx77X%7gGkIzf?=-_qAiE-cUe)v};nYNgIbYw`~$(2MA z0=z~BTmXVlk39H_o|L8$vE15}M=n>n2}gBVR`p$HKGxtH{j7L$ow|7N+6~6wvh!Kp z3J6&3Z{!s4TqU>8JZz!UMZ=8j$$vM=S>8Q+N`#(9WdgV`e{fP1_j9D}#*!T$TBQRx z$_v{Rj1+(F6CoDOHk-O9SYQHTKRge{iG}>P`=R^wS{RzhfI3pTF;x!9XJ%tlMQdQE zj#@+TYG5bv=yHypmSdThNvH7kic++GzuAGnzZg2Sv{rUiu{9U}+1P42Mn$DdJx|Oc z1U_P%!BhF9f#~w;(t|GjdW(1W73aeycX%X$)goYsUs-{3p{sI!7BzXOk+E$i16>+i zY3rh1%A|>MPvmGXEfYKKo~EsU3pS#Y6ODgcdHYG5Bt6(q1ol~bf2 z^tAzA;xyLelH^FdSV5$Ba2dlAhV zvSHf$u1(7qGqiO%U{BTw>SdiBW#71^f5UqbX>M5uw`+pFSK*ZBU@UisbeH+E9v0U+ zx+_~%t2gr;_zB*okg^Bn^x3#Op10B&87c7zQqc1@dObg#5Sn6Z4R;qMvk)vxak*tr zu)MP^a`0Sj8)0+bw>cT*$~28K&j5>*b_o71eVxlq_r>krM58zz1GmWb8YA(+ip9xW z?aBFxo!9r?#XBB(Xwouy7-r#S*dIp`9n@M)tA~iF=-i zUQ(INI7izQ6d=wxYc$Dzh30g<>P3ru?y&p4UC!5x_hH|81v!1lTbO0#LEv{kTui8+ zRgE#IO(uGz<3>5&#CAJ*B(AXXF;QYHCaGg#K8$c~pCX)^fkdr12IH9{_C%YEe^dXf z0?l}-jT~d@4!%We7-P~LjU$?=CYI>~pQkT5*WXbxa4Pi!6mx?6Kv`%%cV82l;*X7h zbNuc87Q%1KIsk`@^A+eW#zV>W!$?m`fbcsdk@z*r(pG?}hk@=G$drk5XmpZzh5b!E zKZIsCw8c4dhX8vv#uwHo-Fj#9ib(S|;Oc!eqZKS{!~KT<>}n+6sFq|s*;nw5fcf9E z>HOl&Lx~;8x8isy1Ft{J=gIKy2gDGkN1y)(x&En}8{s99Y+q4cLTDR?VRMk65mtbW z`PdEA1x{|2hiB%&qQh>=L1Hu~pM!3sWNi|zPVMQ3_c-ejhR34aeMUW%4~29su2#le zNC<7V6ylWw_7nSde8ruNg$jQ(ifS(g80L+K*IPTT$@M97)ZLRexBSxaHvtgP_qRK6 zv6_`NnkZ^6cqARTln1|+peT$e46%=5dY9YPHk}*6#TB?zbd+Mgz9OLjEp%isp`i>| zNIny`Of!ohiiiR-S$e(zDTMdO{7sMuJm2r|2!5G_f1GA+u3lQ^(yPXfq7N%zIXY4AIhOtE|muFwPQWi(osiRnrt;Xi;a~P&NfrW zo}@))atLJ}^~*UZwWK4+I%uq{NGAGB3I;%KJOU%|& zsHH1i?Qw_pwH=G zExCi0sH4j|&aFZA*2$5K!2x9sT+?m5 zdhA5AGB>5Rt5~b>xzw$2zAstiizoK(Bql*?4J&t69 z!m+4=fkM;b^c*uFQP(!YGWO4YVsUrb2Kwt+gTR3qin+CMSu?w09}(_RKC)D;7pH4a;qzYdCMe)o! zgbJBrQW<;0NW~q+oqPmZ{?Gf~0Bow;VNrjGFf0jrGylz=-=NRkXg@Zfp14P5Eh&0!mDi#f|TCe+q(jqUCWWvEWf#{!e}%18-VTL2MN6Kdc$m ze(!^%%e=Zk4<5L`N>0_*gv?8mjQwH>ZDzbqt^3%!#kS_rt3*V~WOhwGIFhjmL>m&Z z02P5*%8a+qq?{NR8WPZ;U99m?;MVq<7x0Iu>5y8|N!rM~z%9HK3G%r(ZW1V5E}SZb z#KZP(6TDFo<6wGoej6vmAzt@8i6PcB{x={KhQNT(C<~~NX#zr6eqHx!;50E20ST!! zl_1jq(aLOk34YrO{J0f-D)zsGaxUzYef2}D%JY6)1sIg_b7EM=qh4IiH9!?Ss6_S7 z626#MsCq<}n!%RW!(p{%MEe6!4X6O-r+kZlrQ~y&NCv;LBP2D~z?w(nj~$ChGm4bc@?&qFxAJM8#+K!rch#uDP=Xedc|}KD{+wH$mH9y zv=$I=U+0^6c}v2cp`1nhRAKCnh7k#dP$!VKH4AG}NHD8N_lpuB- z+=zAJ6Nh5L8_W&Wb?P_~%!Fxx{7NmY0#kOTZY;}O*%3kZfbG9ehT!rw-wTatXN7{$ zT-qxYLj%WHBfguuYMiA0kQ!9K5KJ%c%?fza3DS84 z+pR5Ui21fL9>+7`)>I>TZa&O42bz1M4m;o2%GEy{k2@;J`pD^yLpz^sVRUL{Ji^jx zX+L)&SK_SB?PVtr7H@R}#m-BK>;ml&UIIqa_9aJN$}P);t><}^Iif~iJKwy9R3+}Y z;BVs+ZlLnfdRCDUG?!aYp<6uY#5(4(Ww_cx)Ztpmc^^2GR!y;*CGAaTh6JotE2e+aj{Z}9YCrxFGZ!2xFEYSUYI@|{>O=i0=?t==b!QK G@BafLUP_+; diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.pti_db_list.ddb b/CPLD-old/MAX/MAXII/db/RAM2GS.pti_db_list.ddb deleted file mode 100644 index 89aa9b430d177793e191e5a385085595d88cff2d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.root_partition.map.reg_db.cdb b/CPLD-old/MAX/MAXII/db/RAM2GS.root_partition.map.reg_db.cdb deleted file mode 100644 index dce5122b7e84c0bd75142979050d0dd87f1c5552..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 210 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRx&aLVfoTwm!5T_mg3=X?zyAOKU(cqI z&}_Kjg2GM(_ju*+2`MM}64}@U*no=u|F2+7N=ZnWaqz%_f`}bQWIYT|FmK%49bB_v mcXCH|&4ktK>u0Ryo>2Ckhp&*AtFOF}X=PFZQxeGh|NjB#q(3|W diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.routing.rdb b/CPLD-old/MAX/MAXII/db/RAM2GS.routing.rdb deleted file mode 100644 index 312e8ac17d67bbfd181c5b198e6066028d254bb5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1420 zcmV;71#|il000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G7&00000007Gb00000 z000#M00000004{y00000004La?3qn#6G0fqn~UJVgQ6Y;G5P^yUN)QTO=vHIUW&zY zDOCeCTWU(diyzvn8fUv%I{%+^wkfvSbP8$q$;>`4|L1jQ7mLN>SNLo!{|1AN`{8oC zKAul!ABXR!NBhIKyN6%8_4s>mFHk?j&8vRCFBac|pvU3%clCVtpcS(-pB_xV?#`$6 zESAz;-w79kyW!uDAhGYWRvUxe!Q{q8uL5dM!*`3?W_@t{d6vOTMngbr`PYE=BwV-P zZPzCmxfPIm7LeOH9Bzezu-Bw$&f1Dyf`JA+SRYXNwqT2BAhB;@OPF-PVX8aE4rv;OsOtKvKyo%M@E_!U8KN z=EDTsYbI5UGt39cgjKl!)xm8FE2lGb{p0^R+;cm4*uBl2qtmKIFPmlTPnN zh(asM)f|Le2H|yON?OTlTLpB^N_hK1s!HJ`CVeG|?dkZH97Nz7b??rdU^rcM% z;H2$^`AEt2o4#NmpMZ4Efse2m4n^d^3n0@@0cZG#!n+RvzyP8u}E3m>jLs4qU^*R%(Ry}GY z!7-H1JhUZEf}kMi^tB@VD+;^gTo!s;fr zCkDU1wnN`%qt_>bo<^@Z2&|w+p1$Ie3oqQZ=?jNWINkxt)1Lh1F)C0lzj=(%zzbg- z{c#9P&N=A=NyH@L8E1V^1DsJdZz7pC5UU{b`;@pZ@T4~xqBxF5*~D3WexDNKjQqum z46Lr?6qEvT&hJyAa*Y56&LB}#NhUJ|MfK`9L(o4Ye**vj|NnRaVrO7v5MW?nh%&TH z22u>%Kpf=a6d&Xo;2#tM5@BEhVrB*lMh1qY`=t!LK%OKJgA{qVI)?Z<2E>OrI{CQ9 z`#X8LIzzPZ0~N3^C;-XW9S>ja1DXKS0#Og(zU(Q+85h?PMCsHNs) zNjZ>W5CUS5Ef6hGwTx^G20)jDv03_p)Ci)efw+K?i9rLX#Ms9z8c4y7ar5_aaSejH al98Q(11LG^=20GyF)&|44FCWD0RR8x!+pyD diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.rtlv.hdb b/CPLD-old/MAX/MAXII/db/RAM2GS.rtlv.hdb deleted file mode 100644 index 7950f8a0da31e2df43b6f28078cf801be2308a18..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15834 zcmZ9zW0dH;_x?S`8QZpP+qSJU<{8_zZQHhO+qUta`}ckGtY@{KTsx~PX__`kcbXyq z002}$0se-LznkE%s+u@BTG-m)GceK8(=y--I$Ky7<1;cb;?uLzF)-3G(lapOE15XB zSQwe$%NrP3;xphYN#d)S7~+cq$XYU*wE^susSg{yF3C<{@ku0>TQ4+=aZQXMHGX15sS&+o_1Rky`Obn!yHw2Qlh|+T=(r) zae8KJjO|)`r$P%?2Zz^qCDtgJNYXxd7!HsPKhcncK z0<_Px;a4PYb7tItTG9bL0S|ca18{#K8L4#K8D!D%B5t%aL^`7+v2l5$u!(S$OHb(^ zK$n9$Hs_i8BhTW6;6=URhbCH&1-SdfrWUWoVyq_xIIL}wpWFEx{HGn4o3*|;73kVl z)h)Pnuc5fV0crIxN0UPZb1pd%&J<4R8N4p|O;96CgvhAm)bi@NwfqKt{XjvWf1r?2 zQzuZ-)#+<4{r}Vd zFT-B#+TNw2iaOZdC@Xb>RCHWCo!l#^!|0X>RyiBx=Qjz&W%&B%k1}{0;D~3a@yy{P zdnk+n9`*KIt87P(18!_+#vQ(g7Y<42XXP9GXxCf!_-G|KF-B_qdz-|;)g84skT4!U z!x+j3Z>YyzS|6g|!TMz=$$t_YfNAUC9ylcQp*$D?3S0f+H2B8gEx_;h8%k32o&>#_Wg`%l>#VaG zs+uM4Am^|b^}!ynFZwNS{4T(m%x~7rg+z+Q-}!KPOhQtP3O^!mP3(2D`JN`8`+z%O z-8%}_X5t@scx?CPin27^I()buJX0{nADkb)lvWx>GkRRsyiCg)QD7kWHX9_E4^fNU zq;Tav@5JJb%y4h)QMX4gXqE0dPb14tp~-ZeR1f=b8P%`ql`3&wSrR{DsQN)Z!J4hCy#*UqBd&prRT6?5y%Y<$;qb|i9d*jA^Gl|BVU;?}yOiO)ubMo%#Gkba{ z(8VY^cJH>?xuJaKfnQ1ZijjG}8u>2U7_prx^@{kcg(H6F>uF=g`IsGF*fZ0bV*5VD z%~Rh0r*xe0-Pq8LVzxmcEn8o@#-o5y#=ZRL%-c=GbxW#Q!D%L?b0_AQXpFlF*4^!Ib`EM!|IFh3 zBextpW^G#8mvGX8N%`~QMeefBilgfJtoat!q0&Y5a*Y#ew;Bq3yD;_4U|1@d`yMyL znH-6b)+I9G{Dz>hNhDD*_Y6K$MReTqS$nE+x)Z|@OL>IE@%HqCu-_RgQ&WfeGT8dE zGG78ulzaNQ{%A(jy)>hYbIuv}^Ba<9Uf;i$!!&&N&m=FfEv%QId+Id2s*`Z;Z`aQ_ z>{euw*CDpY!PNdl;drT@n{B@PN6RP+9UXOnRpaC8KF{)l4GxM0y_USJ1h=_Qo-{IH zF!y(hJE~^lcisZ|xX32rbvNQ{n(5J#8c}oeL-$XJ>T#i!v+|c3lcP@{v_#a`&9D;n z!-v;`@uCyO-u7cPkwbD3_J9$*B<%a7D^JOZh-_7yj9aV$wD#T`p3ST=#Cll&fnA28 z^8pFo!{e%8W5-evO$(am@sheG*5T!4bS)1fE1al0n&yVG3M;4G^W&=xMQndPs3`}q zrE*^rc5={@CkW1h-H9_q0zKIrh&KmLk(7`b0Sc^CAy^O zegg;SG_v0N5hFooEXrXZOndmg72g1eZZK@&Tb5mX{~ADW1Wy?D&|S-30g#-4fWqb- z#E;N~@fC{`5B0W9heFM!{0YNtu6Gq`%Sn#9?<5%kKNZhVPENa$Wg64GNQp@eBOuxwA5y(Z4K4o}lD|gD ze}=@bkz&`Zt%v#I>cgwK?5X;iJEGUr734@$)!OQSnGx`>tU=-V%zb4%eO;g|4wL&S z&?2YI%!HQIVCtNsiwbl9d~8U>uB*HrMCp=bGx#^NU^C-FQ}6S$&Q0rT2dp* z>EpazwB5^DhiI$2y*kcDPiIBErKZ~P^!a=-Jvry}yd1hTyH`{tpd_3A%5lljp-xVk zS}UW5C@lZn4Fy?bsROfAr7KT!iKeMtD2+&XSR0q*D{-Yz0gn)q@9ysp zy<!5Ihx<1no(Ct(%|YpSM(`R%(d=EWI;1K_BdG>)iO_=(sYosOJVU>M_9 zVhNHue90LMG^v}%D>bZuyV+6jblla!K?<}rMmBcVswyQ;v6?pZaop=gZh<2bjII~Fjr%%e$dIa&D+Dppc5#spx#q5f^^zu?~g?i+W4{u zaoj91QpZzh`s8TsM|~KvOiS@9F|v>nQ61q0GFCZjJ3mDzelfCOomuU-RmQeA|FJ!L zwb#RDnra$H^1>K6J#JRk!Jo)R?}cL+EQTk!NJ(6MLh%$nR5P?FnP}LvNuleiyG?0~ z>UF#XioQCERmX*jx^JKgm_8~XwN@u4ZO+=p^&aue9uY2WfkoV7>jUhLWEV4=mmG(~I*Cq)BD zSOo-ohl+Lq@7~5N2l}e_y?kTqe$W`)Y4V_sw8)UKc)?$Y>Y3zdeDH`s{%#79IZ0GW z*S&Gd;`8(jwUoncf5UfMut13x1_%Pkkm`psBWVWMtW5Y(zTPIp_{Wx4Sm2PL<-$d= ziRPfslrN!+7U$ueZm!svLXCcHM;D6@q)S}F2SSSR@?DZ(5Bnz%$Y$hM-6s&0~p^O z3v>crX1E6RuKFQ66$|^}BIkhjV5DhVdrv(dzPQ5L^SKLVGX|iQmNHHEwC0(<*ti59 z-5cWGGJQP>tt;O3@|yui1u0%LsykNv^JASix)(EoXLo5Z3E$5J@Z$W!G*~mTqwMOz z>#D`;YRT&=#p}v}*U5n-dolW4NZ@AOOKFr$pu$dPVeT!)%wyf_djxD&CT^FYrlU4( zL-c*X5O$K7yFr|(o9EX+lL|C#lZ9;OGT~SfQ9fMH%?W&2&D49%37lEgpex|p;34>j zy#rLKca4V~baKw`Q2o|58AvxCeDe&X_@_ak-Xo$sM7X0VPq>36|5txuDfrc2SPF&B zT8Mu@D=rznA>yt1nmY#-8Apn(8n;=6JG3&)|5+kk*;waqRmn7VG=)t!v+npNqaF_V zBB2e$R;AMic4%-1cBK8X_6r8jZ~T`uoA;nlqk>`v-0-s}1glo|FjmbiV60kNz!}z6epAWIy*4hz;Ndi? zHp#GiHqE~*|ML6`D%_q8>o2NsduuLgBPH-QxZ)-m!FCV)<^2Iw8^s0;fHO8Kk@I^r zG-3)qLQN5NVbjS~>mvDE*rNGcpTD~KOXn|{BKZwoBn+tO)#2rUL+YVf9>W(u$|FZbY{4cYB>>JY*#f}$oeutOe;$se^eb3J=O6l&A(bG0UKLeoNRQJQcGu|s^ z5~Pxn$MlSKg)PmU%O^l3>rGV~A#5hH)yjLyg^D^_|KcXgP*k7W$%dO%?q=76NR)@q z;s}z?C_5;SByGlu#44cDZRVFQ%uy(HY7(<3$wAb>NV6JuHO*HPOOhd@=8hG4=8lPo zuD=-=_q98+HCakBnmfuTqq1n#X@eHTE(!*SWIf=_V<0d29TBEcL7MkLouH^4z(Z(9X(N(ts#q3I|k@~WNnN%AX8TSLDgt?+PnOhuZ z4Acr1j@y@>tIV;>@Kr(1uRHP+92K&v@8Z@6Z{KAKXrnN>QBHH#cbBjHsr0>^ET^1T z`2-jlzi{nR)%& zLLHevf_zPu4nbu%3Qw`*D>QG;HO}Ut3A)#>8dIV-APD&Wb!2VmofyxG6qOQ03SlE7 z_}TTeVC*@N!xgo#!?Yl9?}V=IGmnGvtgYb@T4#z5LD*oo#24G2KRU_orL{fZhS@ig z=z-hVpZ9ixDQkaa7nd!9(_%YrAWN=Idxh6mxS4Q0^XXEP-ZJ_=G(}Vq7khJX&^y~7 z-zJ?qnC(gf+*nArLxVH(m{upq;)MG{x}ZdAwr?$mLW<(jkgthAO`p69mSkWOtdC(T zYmT}o1Qrvmf=c!67vcW1i!P(r+p|ZQ)RNh3Yvb48SjiJ$X3@*EL!j>CL8NYJi-?r8 zop9I$eD&L~Kzo;flOiYa9)^!o8~pXg`N-XVqP}~5#$F^oH@IA`D)}cN6^ci^S#~~V zRZ1JaZy+>8BGI605&IjE= z`ZmG`yXWi3TUu%RzB>EiJo$Fj2d5s~b9lhLp6%9*3~EG&M`ny8!Fgh*z77O#*_Y3* z;)>MxTysb`^3c$*oVG=0YNlsjtP;oG!TSzOk3}a^Y4wtI-1CTT3jBBPuASRW&OTR+ zSIt1ji)H*{D|poWUu;Rp))OY6-P_z|Y9?kKF~;{5_29X?XoBKqcgne#; zt=WAb{r`a?K6F(FeGfI^+FYV|*V=yVLZLg}vafd_IYu=(1n!Mx96@SXAcKI-L%oBmdu*sSgVfUW?NZjs(Qg!dNf&iDq1x5y{iDQ_z*&t9msJB1x@V!8P}Hp zISiAfjT9>LXBR5IkkQo@2nUQ#nqY6pQ#sAd@_e*CcI6-jI$N6lxs{$I-~~`6ASga^ zwL_aHEG*i&7fKlrPtomLcdD--mfn@El!E{RlFlW6d&)gWxqk2~pF3vdNH#Hp=qFlb{I>jWp6eo>+tP=bz((C@_iqDzb|AzY6!s<~wLI;6hu#AtympouiIXA zcBC>Yfe)O08evx`1K#t>Dy@ zup4|{90un;*3$VGc%pkdde@PIll-lHA#7Y@*TSm`bZ>5|BR*fQMA36lTrjy$(Yo9@ z8}p9f!|6t^liaPsslck&fZgA5qxMIq(Jg);2;$!>FNNI8Md}I`25)oDvIha>Yeue3 zr}y}KwbJQN)RO3iz;jQhxJ7Xwf}e4_ z<_s-K7P~Es4M`Sru*JQ2cm4?8y4G+63f-Fy9TriCt`F2ym9ih-x%cvSB_A-H*Tjm> z43V*!2m2oL};i?pcp1bisnO zQ?VDf6eQ+wl}%;neht>;qy1h)Ne@e)s$2+Y@Qq~O*;=Ks@WYmr92Cs8yRdxU58|*= zW;O`swMWDWj1QP@sL&+T2R<%ouE4DJ({>CtSo$UhIjf7xSazms1bPBG+GG9C$z4%E zcB8yD>7qarWbbtJeeiSi9?S)szLDL8-AVBB^0R~3M*Lp%gO($ekZpYxC1qnbY)j`Vp^nQ|+DkH7l&|W=05?Ld@dI#e|iy=*#UT{3* z3PiPz^jMzx?e(_qf+mx^Le^y8Nex7<9w|IorJU0x8$>LmY)E`HJ z{RwIJGdH=VnvXv_0mfsyT#LOU`}MD;tT$%)-naXc_qaPrS!@mMug%kR2{Ry{94hms z-e2ApwI_FFSGx~i22Q=Q}Yv#C>KHhjo$V?=3KDm=KCm$|e_5=AupQKJ6F6^|* zC#iWX%1UWOkxYgk5{}vrm1?Lz;&&2f5F1?6%<7F$bE>4Zx@Af#9kyOyk;@P7$RNg_ zPW**GTE~bfDVQqo>b~RTh}{m}Zp4$WS|*eQci3;ZkUH-^C7^ybXg)U(KPzQa^-^WC zcaCjkPKDC=P!CSAl$`J0wv%@Ya8gfB^b&WYPRKUQIh`#QdAq9}g;GQ>=y%@szsyWG z`fc@+r_n@$(A>XSMWYi6$(dk@I&iZEwu}!m9`%} z#X1-FB}Cw<4!X;5;0Pmr4?^%RIM2;^;O^=663tT&)ePu_-3^=0h@MZY66vAV(D-n2 zrmfE*TO{6y?Z5Lwjy==A)k>f#ZCi~V^42O^*u9Y5rUOtRg6u_j<7zdGQeE!H zSO&=}KpVv%aNK%q(7$ zc8flIzL~XG$+$%&m)skqkFOm6)6Z16uDRCny@&yidJQ9>naN4Hx!~@Jns=tSpTF9V z$=N5#6W%C)`Rl$hUBtcf4{sOvNEqj{^RXRI-0eKmuV5T*cFZkNG9=l&d&6lT7+fc2#ftD1Fo7D1r8rE?592@W_ymP1?OSngOFD%^1=7 z+0BJ@#f!!G)jWjutTYVY!)?=75W=gEzmiTo_TtSNcM!Ob%fP z&2W@9Zpl2OysbTM+2|d0KULIz1J};H4(pLIV{ECE!+Lae#ko3xq}9R0af?rTjWEa~ z_|9%?y8$k_o4N4(`1PJ<2qR+qFJb1R+i4_nd8V=0_GRmlRdJ=_@tr08WaH?q%=zVE z{shSzcSSdzckd(&%?M>2 z5`$IC=JtXL+ViZLwQ0a}>|vvZZ;7_Msz$0QVusChSL(&hlq<}o$gAMf>BEuwW#r*_kX`Vb zd}lZ(o6l$chfnbe?QAFcZw@(M*Y`qGPSlR7_afg9LzB0{wFQT*YY|1YW;0A(W2u6`{&q;|r~Tgg9qrA*OV|^2be6AL@(Qo{Iql}H;R=uSW76eqA*rY4sU?YT>+@`VWEk&^ zth?vB~) zISX&i2m%r^`Q+6XBCa$-rw(NQlE0K(Q$nmroToSd{zhMjU!B7{K8t`@oCqp^^pCgT zp!6w01z(n8c8&Q7#aSt>p(*lVA(62)QW6xT;R?NRAjlnMi)8FG1VV#gtTUvP1_f2{ zzVH!a1c^L;sy>8?IH~}$M&AstAaQsTlBuAx2gMr1*%*PKH!9QbIn0n=dF+0ty!12m z5rm2I0Z}_<&+rk2YCl_z1s7uRdtNaRFG&+a&&(-J4#{0eHGBLFPcWsLmtss%1)F8$ z?f?-4x#{8t@lh3;BL`8^eoz7ljN`f#;YCz$kq8l4zq+HvnO*!vzGDjI$$v5+g~Z|M z>PkU0HsJ3B`*LeD%aIU=SowboACbhUo{E-8o{t?mxU^`)pkbAt7#o|a-9YQ=U})^_7dXEn;87;pfzrstyiSwbC0(!uy*|OR zzQWI?RImlIu7P=>x}^Q$5!WrP(0*0c&m&^=v(>$-M64zg#}| z4cy2Y^-MFn&a%!Xa4~D%640z(umy(sEvGp-eZj9)KGzMT86(;O)~Flx%rX1hQ^4e^ zu&h7jE-_Nd7*I{0* zZ!ufGULb=((xWhyF(_|SnB;PyxSf-@U|XuS#}vRQ&O z+ap$U38I}d*(@eP6l8eS3YV$%)G+HqEog<_v>Hb7P!_AL`+atqEWi0U zgW>HneQ*(aDFs7ge_H5?iQ)0)G!P-g`m-c2^ulMlQxLD>%`d^Ao$3thLdq~mNeqsJ zRrn*35Z$$lN8zbm{=Ifi&-eLgACWT4ERq=1Wju|O7!yNSOV-)V@jQ$g{)nBy zz+t;=@g+_N#q`w$D|?Lyvkg`m<}pUf6S>(g;scf zM{UH1Rv^pR>fH@=l-ieamg5Tw+-5i!1U$=U{oqFnK;+4!Fq11MDKIC}8=5bq)q;by zrJ->mg|C?~pO=){!Xl9_!$R68l+G#R57HBcF4RiD*63w=Dmy07#Zk1$eLpKrgy#( z9vA4l?p&WhYDk`ELV=}6cQ48}>~v|7P8BiYO@g_Xo#A$(z$Tt`z8HuwGK;Wa#2pT` zr&g9z7(qLHIbj2e`9McJ0v>WLC5sp6{;>p5~l{-4=|4*v;AChj<_&p-%|jz$X4GsfeXq2rk1 zXO}cS=d~PWnspIq@V(bMz9wsh6xWUQnidh;P_4_}d;ISjjsH3+ZaA@!w*4_C`+tT# z4f3SXMP6$T4p)NgFh0f*Jm#d=jh9{XHgFxq&x+5{F=HlZ>GKKC$Yitf@R#Z92rdz8 zCaeF2H44w$zg4^ib(LN%zb?oezzyfMWfQX^jysqgKh3m%ot50MGGxQh5eY<)i5~)f zC5L*U;?7^t)n^m)P+2ruWedVu9^#c)U(>(#^!l;b4uPew{)*cIM1X8>5Yi9#>D}8c zj~xW_2ant{_tvi;{6C}j5ZJ+AX3}ZCn6Ws4%$aike@hoiQC*$(_^7FICYz??o=V{4 zbm;4H3u&cBo1&;(;?Bl>A3D%a!-*^cdbvdk)%7{%wXODp7pvWW)}5zn_AMfny-~>h zg~ckPWdI75;!~4Bidh6Kq~zC;5~D#(?8Wnzm!>vaDEUmsD=^r4R@`$HdQ12Ma9C1|%pq;SW7WhtJ3Sje7q^ zv*f)gDgrbEb#=f==95rM|5a7q^+8iz=DbvHqM-9bRAn!8_{&K{#0KIPq5%B~Yalmy zrM_VHP+qZkF0Ed;RM06|D`=N(5Odbq#6{B4Ndi<^2&;BC;r~0{E<>%Qm2k3XnO|)O z!T;-r-2%1;x)b|BV@nw?S%^ep)&rO}EJ(iWbMVN!qvhZ&Q!%DYnq#|BGFIe5QaL`{ zz1|KP83}F7;NfZZSUdzrnRq(~OK%LfY=(^@^F~Uy50qbb=RMmgkwoM-8HeVrlO&O| zl$Nr-4PoRNqn0-b9j6*MaVD*{uS%$vAW3#Bnl5%%T@qGQt${ABpZov)S8mjipt6x^ z+%)7Vcs{CbeT7Qq(Zo6S*RftWLy4=It4Y2?35v!gzUeoEkc8)mtatg4gex>I4d*%~ zs3xv66_@!6t4EdS2JEy zXYcx>B?6x5uRVUM*DO5~e-Z(>_uR8AQdLrAajwC{PENYbs1-E=a8!8LIIFg(UCaj# zqBob&-|qeaPJB$A24RS#nlp3-bve`YOn+uKPrY=Njm>#jx2C}H*!3^jskbH1u+Mmh z+d1QAl@7G4vPUjm3=iXd7LumR9SR>?pRxk{qX?a6`!Cu9A3&cT#k>gGy=q77L2iY} z*1=UQ(q$~tRcrzPgPJ+fUkG`_QQ?YF`e z{>h~8N*xH^uC%{sMS`#EW||zjAbo6t3-AcJfpihY1jrNnSVe}z2-6fKFLeGDAiP$Z zoY;fi-|n_WC1n*o|5X0TnbdN5fVjb9TUgVQ5Pdh`+(Y1-GX0=Hxa?1kK{?WdQ`ZVb z!{GCLStel)#?OEcva(^ zeA{rC;{#)6O3EIJ8^-dHi7N?u^exTymRJDXa);aiJgfQy^K->R1N4!~1&bR-@B>v7 zuPp{vZ|qOI9YHH~*He?rs3`yBb;npZdJ7tp0ScoDTKyF4nhni~GyiHa6B=l0wPY76 z)K378 zfPNL1Nw%joxrO!XJ`@>C%cLZkidu4~_4C`f@a+p$A&772ahnFhW-SCYAOj4XZ3C2h zS*m@VXTxplkj@cUw;r5(1+GK9t5}~pAzoOB6cKmJrR$}0togd)?+BgQ0pH!3-9Is_ zL7yn_w8Xp3RjM}=-8nwU?0;B2!KRwA>;GT%floGI(}w^Z>*kd!*$4-Z8XCj~7Ha;W zPK`xoTTfVBOLcF;bqL0FNaZrBb`_iDG8*NZ>MW?7T{`LEK(}*I1nZI5Yl4vy~Myer^rvGACes(~wU|PC<%eCvG#;7V;#=jP?$q zHSsKrEVtHP&1`dnjhMj}aK<~k29r16!tm9I>1M%WD7*EooyKy;R>NG#7`BXC`o@lb z&bAA?<>hiwx8hzTltze~$L)M5YnxkSIT`sGvVj8m!MAJNAAo#Nv`;`jS3?sv&k2^+zp>Nd#88b-OrC}@6C zNa9M%L0G}JxC_Zwny9!v=DOY33*`xhfKMyVl%E{ za{vshZ{@B8uWN;_8-=bx1IvwHx<4vIKLQLj^KmwF2m1%u8&aPUxDJ1tBLkN)8ouep zgP`GDvTbP*RNMtJly5HlK=5Obul}LrHiskz_!cu*m?aROsDG{i^cS=|`Hnqep%!D^FIAe( zWkp2D71UB< zcu5=7AwO<50hLY30X~>Eb09x|H~oA0$Xh7`!y|NEPz6oA#`6nj5TD*%03)nb z4B6HaZkvmAQsC@~tZS!7hXNE)RfV=_A>4?Ik$*qP;#&oLcVN@s$kIua-Ff(lcN9{N zchc#E8YaG&K`7wk)e2SDCqF=T#LE3PJW3x@;Cyor88G3x@g@v-k#T32(G%cMgZpn5 z{z$wsDDncVNUNl_;a^#3a0Q(7zlW-iOaqcy^4*zl; za`I22ym#u1qFu$(0r!s(S$0$ePz5upiPyA9wFAOucz6#1KB$0C%64$FafY@*qBq3# zn2Ky#iEIJ|4n5d333c0qc>WHtQ?PAYuy3UJrX4szR!sZmRQu>uhJPR;Oz0Z^xJBrU z9=VKqT*bz$5&Xc44+Etzu6m6_K3R}0nq|u8I1B1r1-@q@ou^wRqNVMxTO~SJ^MLXi z+9ycG!D$4;2yp%O7Y&58;QnwJ9vyd@#5;XB=Qk7Ek3ZG?y3fETa2isdwJ3LM0C8Qs zKF+?Q+r$qd`1HiaI~3cIP{1p!?|)sr8k4_R*L{G^Y=ZT)5PIqeuYrItGhE0%mtosB zG^cq~2fI`Uy;KLkR0nS=LwB?gd};}CfA>bhZ*!5)vG7+W_~d1;QOZL))xiPPK_VBC z@4qPbsVH}?D0hJnI%)Vs^q6}>Im z4vMO*Wn{XN+*iVjk4fk({#rzLKZA)=Kkia1b^C8dGo$%_ova9*#8_iyDb`Do&~bjy z#ACPZBk3Kj+p{rhT#=-8cu$XPg-x_ywOsyVFxw#L$crc{>ekQ7$_jIsv>s!d%Z@IX zXKHoVxRKZM(StElxRoS%dyV-x+2hS$Bl69OPxa}y=3*PC;Xr!@1<6j3AMhD0!?b5>~ElYz2!KG@s4o&tM*YmjVUJcH5(iG=-RL2Xbft zr8^c2=};a%&OQCPv}G__S}@?=XboF5H6h3;OeVmm#s{MoP^{LNl!A!O{N<&&#(aCL zwvE>2Tzl!}+`NX3?G?F^O#LPTuVx!c(GTOSFCD6yrDc1^U!e)gt}ULEtl<112OS3_ z;#}CH;T4FI2sYdU%L4H=|+$#MR!$1{VjQ_wQ~(I#iTfUJ4jq6$x0@WBg6G zPgm8FO~>Pe*~GBfD@p}Ux~9o0VEuKdC4}N%mR?CkJn&l$4iG!+L=}Y9ZTQqFDA@z5H}|~sM4?@%JbgWNO~Z!L_t6kWz)MAq_0Ai<#CVJ|JtICM z#GXZ!&k`ywN(dwx1GLV|zu)te;Dj(bBc>S=S9FD-$>j`@mLjvD$t<)(%t&ZNkyT_5 zo03?EBBRJ0JSN5oNEi*lYlexPm+a=&sHPotyFX1 zhGGAY4xK>M;O6)?k??>81Vm5#4Ene<6>yO3N)keA5FsYc!wT6SW(6nJxh8uK}sgS1lX&f`g zsqQIe*qDx&)Zyt*oXu3Sg~~cx0anFYhJF{F*dQO^u%6tV@z>HVf7vpbVH3c0CtT%! z{!`(O-Xn>e3gwBOWAui$Fhwyqrtx*5(1H8NhRtC5>cXF*I295xjkbj;m1dI8%|z7rm_dTQ;74@5$BG!JovgmI>Tq^w}2%hD-?6}74gNXA;Sal4W&VX zav3nC8<_=={PT$==>`16pkq4wCOxtky!TIHcymrPxi8gvtsLl17Y&t9Rb?z!Tj(rhs&Bk2 z1IN#?aSdDGRyv;qQc=_?tBF|C)L)0~WTN-9Yu!6mWfuJ5t1|oOeau5&5b0u2p&4kF zHgNBX;9?tYdrr=5yEsp`cnmukV8~u#I~rSRoWY?9!S<>dL*-d>(#1p1kI~L^Z#Q|a zej;YoGqBnMHN%1!5e-J9U1*?4;-c8uC0z*&@TkOV+^d8MzSx<1!zf(^F?Yo*8SvbA za7Nmn1hG92&I;PvtuUR~3+b^ylnD`tQ6^;rFe|3nne|7q^WgP>e)o#1xgJ;}HxC`! z_3dp4N<%CzheBgJt034ei2~)wgbfOI-BMxd-({EvUKLp7vAdh;qo=0;{l~&Y3wo|G zfhzDb(i@Seq8QG-{Di$Dbs=dGE0L^x0j9;7ZZq~phF9X6@v@(KE`BZZ)KySe40Q3 zl9_P)v{_L=HT8X>#mv5fdGn8hW|8aK8M0FR4*Hm}gZqd7W%L1+^s zhbn=+yXJUL)ad04CGdd_)e#!UE;&wZJvm(2pyNoaChqKH8Cha!p_bu((A11Uia?6n za+sXuFhu9*?{ZpX^C?W2TV>GM4LXhJsZ0VJRN0nrKr;Z=B zW@kNv>Ert;d|^qleOl0Pgngc3?TPyYNAVz1kvz2YN5vvc%A;@TH`>Fw0#SfVFSREK zKqdn1nDjI8Ig})Ry`7$vTD?vVgAbir!Ywh2FbZk*OR)GZzE16p$bD6Qp(()^ktKAV zYqBb3r1)7je-eFcEyqjdd5H+S3}M(p`=9_cxV{eozI4y0DOE=#T8X&)azXaVToEhE z$D6C3%`hp&sa6X1rKR}bl+dn6ZD=7i3Wr=<?JLS8iEEIMKZ+`?CiwIz)x0!o#cR=EU9(Gu##iTRos0Q+wVGMFYrM>LWn2$@BC28bPUlC~FBc7q<9eo!fWZA|(eQi8iaDTEKaEDmXTOl@;vbSmO0uR8(h1H2$n3 z!u1P3ZBZ|-xD$t#n4G%!pr) ziQZP$IJl;4ok6apeyZcPkL?V7sMp!(K@k-PQOuAp?nlo^$a7StHJ6|ZiRs>eX?v=2 z`f$Z^DdWHkK*-^jZ^^YJ>bsq%jjKr4udMC7)Cjw~{cK)B59L2vZ*W;M3L1&1HA%-@ zn5JH|TEAR}_-9-^f7KS+dbb`plE&}Xc(y-eE#zXXkJ_>9AWiV_wTt*~ps|#svFHryh diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.rtlv_sg.cdb b/CPLD-old/MAX/MAXII/db/RAM2GS.rtlv_sg.cdb deleted file mode 100644 index f54913006f20a717713d1ac77925b4b5ee820e31..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18021 zcmXtf2UL?y6RwJYNE1=%T|_`YKza#^Qba*PKx$Na?;R2WY0{BSXwsxh6RDv}Cln!4 zLk~T)B!slf_uqTZd(O`6p4~n3&h9*0W|ry7l`GY>S1(hq%kSAGHL~&aa&U9ym6R5L zEH26W%*Vmmnpa9%iubXsgrt;&)MH6$UIQCXUk582-ZvkuoOmU94PNpZ+kE1EVeR03 z+0y&Ywaadc^Kk6K4?2;`8{1tE!S0Lh#%fXhE}Z=~f1lpzru4Jnq*Aj3dLHv z-ebMVrbVPl53pO$k|R^?*@1@ai%Os7kqKoQnt(wxkqO!D#GR-{SW5W@dD?sx%XlA9NO-m{^Wu{ zJ@{{g4>UMyEdovp>e1t%c|Go#Sj`Z^==s_N(8zsTV)Dhw$5_DtJ)e7|a%qPsTui&cj>Xrzr*AdV6~6Yb*dGUa|8+S6{~UTZpp7;1dA4g`i=-}6jt)J^ z{-9zt7Y+MkmtH>62%{160bnS`zO~AIv#(muRQhAQvXmNI4B5`{`~98UQdgt5!AZ5=-KzFvklrEJ8ULM zH*v=gB}wE~DMd64oWZswiIys*VB5qU?4c^L{a8J5yPxcQAx>nB|KJ3|9!d-ajdtiD zGZx#as}FOgDsIb*z0a~AROjiaEZaEB z{;R|SK0O`0_{g`#uh6Zh9zIqzfYkB%h?;okUVJ!Z5wdI%SgE&E(s1$D;-cb`sk~$Y zEA*;4XV5!_g~(z2%!k5;IGEDLhmjBo61mHdocvrR9=7faJSX$Sr*@^RcrI~}BRDm#I4(`~3&cL#qI6UR3VLg@F; zL0ABCDU$r$ru|tZk8AC zcJ2LDk9)ba`S5RsUxwA2y$Ua&RNIIquXas)wfFrK`}chbRDY0$6v<4L0ryl^$9SpB!I(tK~-7-sr>mX0+z4!b4S2$2f`igTHA@QmBp9ch&EEI4Nb{ zhW*VvXwsW~bXC!Dzv>myb)uX+>0~6P;Bt?@`rcgAmAC=zA-}6Bp7qn1A3o zIf`(L{i*3%mzgm=`br;bd^mfvf%A;Ey)QSF;;mAhi-Gh0B4tCf?!`@axiz(dyU*W= z-CG~cGs;&YM|(i}_GKN(K2E-2WEBSap{JF`Rryd`bp6f7ugNZ^uK`MKfiGVKynGGw z3slL>>s8GLEj!y>7}35sj15_Fl`reNm*g(M@FjGlAt*-qVPTkKw}%g-je4yeZq)1{ zn*UIxwBnsNzpbjOAI3ROmlXo!)8|#f8G3H@ZB$j8lSb(<_DnK`hpo6&2nf#U zl>D;I3i>y{bd|;Q)hFr4MbL+iLJElMb2QN{cUixd`)sFXw=b2IT2#l+LjJjI6>SJi z2k;b2NO_wz{WJE=tVgWP4D2~*e7d-0oaox1$n6g~$!yNwJD~*HShZOr-X$A0%XkD$ z%eKxH6|>b!+3>KgpJt;CBFi_@gZJHScBYoJyh87=0d}NOQ}$Q`F`Y8m2(jBTs#PNx z`v=7bng)7c>r>z7Xb`=z;za$to^PK|1*e=0{Rn?JPYl2(sFZZpYbf8&GyY&KLKkGu zV<)S)>?$fzJ$}-k6UV?PJuUNf(Q9{i+;NeyAEwb?9LkvU-KRZPJu!@Fmi^~kXV7vt zZLmrrhw)djypbTO*4wISoPJIQ#-2;OEd^4->fwu7snlE*P08d|)R*Yqxp%5{@e*e3 zXVzi;p<+L{)&tc29N*HsbgKT-4q}NH`L=+>dW%zobiRGrOxD|dRdasUpIcN-h-O|L!^b7Q`BGyA&3+6rW& zQbAtOUgQPG6~PZMfkFd`KF3*go?(Io&sV>{7H5T!*9%`l9ygR?GW8a!X?GM}(~o`% zeuSS^#*cuA7mi!X&{&9YnvN2=V21v*#8`h9XQ4e7l4Zs(W63D~zRiEBJ~GSetnUHW zP(IXmOwx&^*6~Ky%xhJ$I-JXeXJ5Xu=X=3ZR#v2anq9!}j}phvCI;L54<Y&-n7N$OV;&$(~6r4znA+8MBG`5ZW(vpupcmO3B# z;QO?z(=1nqGx}5h@2oBFSY}AAPg z&%gCt=!T!Bw7dDBlTp7b_kLzgPWk))K*}r$(0t(`IJ}m&C-(^0WG{1%{gG*%W3@%@ z?a6x|bJu8oLj%=xjJTh*ylc^&*#=NSo>aTMV3)N#V>J_8Ca(Vl&QS0xU&WrDg-m62tulF3A958k}<&MZvbnffd!0Vg-aAsXoo3CW) zUswF1%RO31pMP(`@ObQ3eOGZmxd1C+))}Ss zWgC)yJNmlu7iwQE*~L7TZ9U|KpMuI@X)BM#w{`AZjpr?#R{H{^D3oN}wdJCe&f<&F z!G6zUNY*3 z{sI&keHb*m>TxemNntc#W$p_@Z)frxLJp}$b$Vs2Q!7eWoY$scflhV2QC2Z^>NwVQ z^54{PwitUEwXRv)K5J9+$kkH-TbWg*|BNk*i*@)urIPM*b?k4#tHx%!Vp)fVAy~Z0 zsgan)&%oo9v~nBi+~FkF$zhh13&XfOjJ4|n867<}d)ghHw2YqjVz>7z{7#Ny*1w4*B1vBg6BT$IcEddL0?^hmm|WN9Jhd6p8C z<&JJVx`I(q`>*~R_3d|){r5YBDJ&iY$vtu!qkByAdbUj}Kti}Wh>#W?xMWp+I|CJ2 zB4?v{A9kfhdU`PDy9E<6x_!5c-L+%)f%?riHt!qdXoQ2eReBke>>lRk&L4>dl_{!& zI&Iac_n<7rX36ot!{1!{)ZsicC7j-zUf}l zk8k{QHLiUsUvyJ`TI7CrUo?x-<>frl6^uS-EOW+jzKZ2Uzt0z?cOrZv`kM?}hAKhjMw@?f+ozLrr0*}E z(JMA&T%0yFRK|{n5UpS@^G{M5xvl`*1vR;@FrpNdg8l6# zyeRc;F-~*S(`s{7rDdV@Ca?7wiPm3NkeLj+FmE4c68ua>#$(M?u zRmkV3epP1Fgf_6=Vy{vzvyZaN8brVNX=+{LmmJ}rJKM=g**_Qgv92rl&YhB`wu@jT z{WZ-DzSkumqr@_Fo2BT5wdNDgKLMZYV*~+)rz7{RTl@s?9W!Z7K$)l7U>FYx!w`-( z-z#mmr$ts?J}~b1qAODpVK>rMQz|maU`Deo)p|9*B724<@$2BL$v!*i2Jw66=-W0I zxlL={7bV_1^+ESPWxjMc1hYXn46HkQn`y-kkk5tnI6rA}|3$4DQaySPU9esk2Lukk zh<#&#u`6i#D(YM|dsuq&1!%60_6|FIB<0!MAU-$aqi1CJRy{PbsN|t})`frZYqP%D z>x(uI9lCIZTNNvcRpGu5YUu!JNVs3nOCLK^vn64kXJyZd{0!O`u`x;|&{BzrJTdW# z&lB2TgFRZ#4#7gH1EJL{W)m3a*b{`);r**|4rM28V)4s@yw_YN4l0f+3$D7nL8+?U zDEvn65B^HkweJ0lE#O_HpiXd*u-@?x0=!)*t*`LthRlrz1q`_XV-yjlV`b zN6)LpaSpR={)k|2db51j)-z*(Z(HTtIkNYiy8dDQC$j_DhCROW;;jIY>&toq6B@S_ z(QG?*@3qIgguW2Fr*)dOxhyUm?aa&X;@C=B>HpdOA=*ld-h9R^st-DBtKbHq!|%90 z_LjPj?ZpY%D>410yq;fAvfU`?u>ZHpwOVG*aWi6KNMPmGY)2)NAd}Db7xxm)A9<47 z{EFVs{24N-H`5>pCzlQAA=oVq(X)P5zLXbxuP^Kcd5x-Yp?rQuRYF%EYK{4T=mp;7 zGHFgx+;EbI1>kcDzz(eue`}MSDI}1`2g+SafFLlqlQIPZYS1rlI^O{2gbyk*R%yVZEx~$jQ6*8kyOWE}8 z@7+-w&)e?kJ?z`dxO1d5E#5ECe&@H<%p%?Ih$+`1B@gsJ+?(vurxNqdx!xE6 zE%{*SBkb?P`eSF&KO<$Y?O>B&@BY)5hl}`#(;#fo5hnS^oZmSoIb$=lf$7s=vaZdf ze}+?aV|7Qn7d6k(}XiC@V_>a2W!RqAFU86ek}MV6|;Qvdr8Y=np!dCd9Mbu z^yT*3PthMrZYDenJD{52$@UdhW%Aks*$5A8i$*m&2@wM|R3G_v;mFpkOMp7gapv$h+3 zZF|`-0=_MqBB=e!vf9+JoF-QmZI0!2CeuF;7$83?qk%yeTCn@ozq^Y9J=mR zb{frmyyzzU!^7fctBbksK}(9LG3C72xKJI&{0E0mNuKgl%ZC&pcl#QQ`J0SaLE@?U z+^#+sf+@Mx;~g74$^gJt@tujAxqdHnS{B5W&ZX-OY!&W@L@ZZv^6K!jI0SC*G=5af z@T6@*Ub_hoS#Zek^^rU5BSMP*>z876>8-;}&Av4qiv?zx zDoisKi~h}CA%${l?$9XRB%UQ1g=59h5ZA~?3_WbE>3r3FnTb*^+--r8yA2_Q6x*=z z|M&c{vkvH2UOZLeXIpR&MEfTM6c*LGe)@5yj#^Od6QQP&pzKLMzGUjR?}=|Xaq%CS z6}N1TQem})`-)i8Oq`klZS8$JyTTxayL%N{drc)C>O%<8iKu++e| zdWSu$Y0I+lK`)~EF7#(L6Qq4 z_fZkZbR=vb=uDpL04#rwW7SYfD2b!V{)Ob=s^xQ{Q4Lc0X$mUUri=%R1jmn29T)giZo@@PqS^YR_^pN@E4 zEPZ1Z!d*N(laHV9kjvi^IRZ@Vrm0HzlzEW zaC+qFjlO_9@_3wbS%Bgu?#jm1Qatjypc$mg5?Ca5@T1|q%0&-^geIuFW5Fy19 zNCrpBe*X$H-YglcmarS9wKhxWgA-cLqXBkon$Ts0c#{zLI9dB8Zh(Ca^)HFX^WPg0 zSTus;kr%r!-W@XZW|wgam2sj2H5!H$%Bl;K^Vw%>DY$|qRX2VXzRU9y4af^4vBc0{ zRZi$iw(h0;$}`y|fn9I7@+OZ7?40|-&a6`qgX^d#7nz%6`Tq5NSxyw(j0QeRR%IMk z^&O`+xt)JH9(}US+M0~4WOQa5SG#RKUngHAmImDk>f~y$qI_-a;l-DS^>EP&56%r^ z^J*_;E`kEq66yMJ9FMLoyY;qyo8j`>R56r%7#J-zcTStnBUGfh%8+PXFz|uvqL5?% zd4ZkeEA)z(xyZO&e`qw>ijl0va>IeFpX_L?LEUdB=T!Bw_}lUr9#c!HqmErS4sO~id5=vj1`b})R%lW;<^`a{J@5+i)!>1NWdqSZC<@W|*S&whMKG5)%+^W^V3F7{zX4oCPI}t#!iF<6j zbxWolQ#gi5z{(Ah-;Um~+`^SCC4KSSf^V=2k*y>vxA*8+k{dtju&+|2lY()AKV3~%70?5MBuCZCjMb@HlJ__4n zV)3mvVf)2F_)FE3)DRFh0JVF@r&m z|1^KtNTy$D2qgfcI+cPzfEuTU}T7sng&NYhgMJ;%VguKY$1Ye2c&*oA6;GUx? zyI4qAy|XRq7T7^<+!0kvC8w=m8?HWcRRa8_eNMuMlKm{X$+oZh=yx_0VhQGL;T+2K z>t14H>}Rr99L*rgj$wWSenRLt(IXS49x&FiK4fHk9^g&4vH9RGkgB@w)y=|hJZ6(Z zUABYSLENh9M%wZP%yjniXHPg$A(1K(DWvy1zpPNAQdsVm&2 z>2poxl48Epd)zs-9goWy1nPiyBAEwI5Nh9&N(Y2e+;qh!VhOKHc#cJ_?tkYx2sivw z?Zc7&NYSHz-sWPUcg$_#hm`~5U{Ig3jCnU7RwodwotZQU%GdRT6tOx#Yxn-8AQBk8 z%URb8xZWF&f4`aYT1&Soq3LSH-#qP*T*v7*H#U%=eaiJ8`w&3d!KP3KBoXlGY0#qY-nDWuxtOTC{9p4bPEF1)$NJy&pCPQe;r9sXzF z^sQzHS~{8vjn?_*%n5rc>u*9ZBt6jr?F%~B5zQJMkF`aO9?ky@p7|~)3g-+V?7wie z`}!*v1bxBLu^r@-g%d_Uxra@PHte?kRQm?|=swgCqS2#zuiu$BkB^su#`b_HvLLwlN&Rqxwp~UH}KiURw0yLyRXxF0Q0C3m8J}g zjq8(GMcJqpT6gNggg(4luB+L>eTiLM%xq$GNxd1UTKF81Wvgcr^~Th4ZK8xgj-^WQ z6(Ex`0m`E|^U2`#u@$tJ@29}7zrAE(Le85`M(jDL^Y500-fGQ5m_#=UIne+cNl7Q1 z@u1XANMapdHlo~Ei;;W;lDM$=6EwInxjXM%l7-?9_Z%;qe%@`evk1&BjmUg%f>&SR z4@mt)u?kL-yLE7yIG(PtDZE8{pDzhe=(h4r%Yamhfs0;F_P3sQhXD4Z=@6<$q#o_O zodqI`YA8U<{#1@g;{V7a%&qMP=oq2>WR?2i)@CxjKNTa|gRWgQ5tHy&Kr6Bje)0nZ zO*c+}{wWp@JkF=SbLN+FcN4xYQ-~Z7UjxAGvD| zJJS3Gh(!q!{#Ss(d5034Iy2UZN*W81FQQv}Cyc=0ii?ci8N7YcfgG3a*84$zB{wz(7Ei8gMatQ54*q7irUtSA%OoZ^ktle{N-CWa1F~G8A7{jGrih22 zk~%i{#hUSZ*_KXy@kU0w3dIiC3??+8n-s>pCkpR_uZtEA*r||#J++(1Rw~R^yH3R5 zwZ81ik>PNQCovQ-)|(}aFc zM?2*2|4`~bq~d%+_Nf5J5MR}x&yOtp$w6gca@#j6dncYV|IsUm+HS|fYWsD;FL9y; z%`NXcB*xKxQ{zcshS}Syux7@icx(SOSOy_Sof~(enE+e>s!~F(WBQ(+j>z60hEifV;Zq4T`4Aa^wQRxZU?iTM%(i^H z5veYj@BXtnQCY4(a=u``SINT6X;5H2JrlUluo2+c2RzIV|0%Q^O@9`}b1x421Tf7j zc{%c%LAL6k4Vx>Y0vu032KcU~UV^qbMLdA{+@_AnC9%ncYZJI~SAgtl@1*Aubldc& zi@X>&NX2L+sXs@~FIRte!p(qr#J z&lBIBD_H*Pr8)_kix(2qGdCD`GhB<%J0>!uu$N*B$MWXE4;jJO&+UVHxr>x!E5-u) zRLw;2hhMVJQkF&gCQ<-pd%U|1AH^oLV@dU}^a6G8#u;2kajbLZ@)eOv7O^3xV4XU1 zAI0VYy1s zszd;|Vx-a<+*||n&$xq4bP3$MKua}|>*6Pwy@Sqyl$gIne1IeI6$1~d+2sTN$X*7J zwa%i5lcf6QH&rd!!jy|XcMm#RmrdL!5s8;O<8wh2wIJ{kU!urm^LnZh=TAo6hIvnJ zfD=p-0g{b$BwnIFM0blXMlWbhHPQKKeR+-aZaw4N%OLntNyAXTP{Jp>I-%PfQAs33 zDiE(8L)L0Z9rh|Y$robz3nUOA^nK7j9kGw|Qk5O>fTpgz_XZyahWZ9{>SGdrD+zTU1B}(`*t165H37+uKk@NyU zmteT5@(Abl830^^1EL|4(B(uhKY(l9hCzE7jCyG>F6?)iY2bHohw)gPQ4&&QG) za!&+Kyf`tZH)78uIYA8dk-ds{5}k&~9bulK`3q_!3Xh{TJ`5MjL-9=Gt7Mk09#e^i z&9SuncEl+v+~-cuD^BkA*-Ll&1bM%&8H0c=ge(p{63J{9B_pyR;+d$=V5d~P@nhY# zNj*3HTCa{=3DLe)x!8oRZlp=Pm##Wz@#{6n(k)~g8>E4K?L&>SFf5DSqcfvBz)T&2 zvU6XazlGeQ8#iNLBk+cMX{bZYlo^n2ZtqCJ-0sU)*q3}7b`8@y9>hPzpB^8b8ic-R z#i*~nnZ;_5Ua8VZGR*G$lifC}P-(d?Z&xH15EgWpR2+H0Dp7+CkQR5LS{L{4@4~-@ zN%#@w8q4bZu7#j3nyap*j)L)+t3Nc1m6=rH z^O1~x{G1sQ=$__|2g^}**;x32-9S9ScOELV9b6U|5Ju9U*fgdI8NY|LDS!%flRYQM zVo!nBF8XOM^d}H^C&MGr(dEF%O12ke#B!&kny>$`r36{-g&Ta|psKRvgjK7R1sd#J z!tQaeo)oB!nSi-@+Sc!}CQf%!2fp-zzkR2Q@>eRBRDVDnh#_)SI9y2A~v4oj_I-~+)Yei4=G$znGy{W zdG$P3g~{Oy?8NkDh=i{b@kw!$G|Gv8jiRi2UvcF7A^6*(l9)^5%W`o!912s&YM8{uj5SqUWz$`F#i zwe;Wt1Mr-6yU%R9^+&BeJjQ5yUP$Y7S-;kaT;Snr_%f)WW#cSqodPc4hNL>-D+nZ_=Rp=FUr`c>o? zDtVSbhzdVsv&)3TU2y?_t{rDsUZgJD@O_$oBbHgy?*4Hu}s%0fzjjh+g=kI$h4Xg56q)=X~pZf#lQLV z>4Z;PH+JsP%&FwSlSc=UAcoCm=wa7;e2Kqa1v;g*neps&+V!xFU(zoJVGZ zGATefE!&9u>mPZ3>;?`m-Q_Jm<&4G2B;;kx#ZCQZ4-M&dEU6>>8${I)OFy=($kb?^ zsniwse6h`d?CP@dyv7;+mC>FK=}8o#@Zwmky)(ZjaQ)+%U0u9V*+G?m+}FMB=))?> zF<*C5Ocnit;MXpc)bYN$|5gDbEfX?J2OAWuv#B?Rmvn)iS!C34%<9VhYB=Oc{^!qS z)(T!^Iv9moDIdAnUz#00YS(8tlO6sf-MzC?*3<8W6qq+P)G?Ej(UpDL>W3y;yi zlTTKZv`IL)2b1QhQwM2ngqX21DNi766(ynI?z1SBov8dS&KX8ATeXVS%61KJySQYo z$Q}kmXcuzKWt9w1BrK+x6Ul@5Q9b$Ug?H19H_3b@U(Z2(i(doloZm^L+C2OF<-Lm3 zccq|FccphE7*4N--p6TNV;$0}1RwaeyG$p6;N1x^A0LK=zi_s81lZxp%ztBAlb-3O8^%ZHMN?qY=kG3 ze2n3FFv8a|Folv_okxBV^fJOQp9Q}@e z$244JyeU~Z=rb5_#4M5?Ro^EMJe4=rln<&1w&I*u`qR?A9^C$>b}1D6=9xs@DT_cr z9wo>vFWd63L+$l(W8p--q7kQjItEOu-eUL@M1E}K z;>lbuUw9s{!o}dxhoAZwm3}TcIOb!m_XBcK)J@efFu%g=t@W*JIx>ij1hYLy+MU;q zADv=l3{K3mRsoNDVd!s?4Cu|)vCIS~uV;}!Opu1dsK74aTe}`18fM8L%7t>_Yn|SE z_jk`t(%v;#JD$t-nJkWq>1^{5zoGHeVtix2l-8DL$wph%%&U#s?8+S@#cMKV<6`^^64u4 zTK{BFu1m+`x0_-_gU{<)-sF~h1jhcS!NlNW>m$VBw7n9bCbIXPY$i_-U8+J}&a@XA<&!&>^%3Py7GC}X5~kZvw0&p%*+_Q`J^Ln#J^TnYo>->1~(9<{DK2 zTp=hU8O~qJ3AGa4@DYBYr0nYj+T*WO|o0<8^wN%Msj68Z%fqsL^{1hQI( zeC;8Huj@`g0k~&M6a8X=-sArx%b4#E0)*7gzX}V^ua}z8Bt2r-gH4-T@?qb0j^9b< z5&<)$*C&^Pa{f#r=Gy3d*7oFY@Jtk8UPJwouqjn`7fPO5PSGB6KVM?}n4?(DIm!@b zS9=mxqth~?{9JCdALAc%fQqh1q4f1pHV^Y*m0H}L%mNH>nQb5Yu+{OW-#=SGftzz& z9U^`pr7Tu65fyi~{nCSXFX4NhF=Bl7l)<0@oTj|L9`y1ku-e-HW0A7d){batcDWk3 zSF~Td!Eee38+sS7$6!ZmqZ!|e{VW`CFEk&qvJnIjV}wQt2H10UQnz2% ze8f1CjQWeSe77FV8{Uh}5rz~c<)M0TM9%orLOKRSbE*4zwQFbZ@~ze1=sjKOY8C|f zc9frYhdg6rSrk_3DSv~))=$8#?Qi#P`OzaOYR~p6EyOXeQS?4}lQ`?0mb7}bAxaWQ#E&iH!Mj)iHfeSi?0MweHX?v%K8kd0Df38po}H=;G$PE8 zhjlmnS@k=O_PXCGT@G~`3jwESfKjdj+s&&g_{z46&dp*q_L%3$XznM`+kA$6OPe}M z4XUF(^Xa`4v($Gcgq}k0z-VIyTC15Su;OR5+4*=+oC|7`3E(k)EhHq2q&T6cJE2EG z^r5*3oPfn`zog=tsSjP2Xp4BnPv8vMJt-k>V!6&bRSL2=JZ@ARX84_YtAeSk??_DB zgGotHKaO*sp!2uN0VVlSi^@9wu($0;-t7@rg8 zxmooZa8&ehFKKb2cmzf8vK78!lYDhbCp!gw^h%HPJLDgee7#-4btJxP?wZ4z$GD#w zz7$q;-HzqxaMx!dFg}3YhJN zW0^UTzm5g2|LiEO?U!#)e#joeZ4B+)e1)#ougFR={tEM3_n>Fkd%ZpHLO< z;7(80eC$6f-x6}n$?-D#Hhxewq;wMPVLWIuW_I(t*{P^Y=$pdkmOJP@J1wuIVIJ(k z?``P<39ShLG_?b4H#1 zG~tl&9eARokX3u50A>n$t+^Pt8a)#0J&c;(xj#wTEImPPyjpjz0RaMa;tYicrTNTO zG|Vymh-myg8P%h50=TknW>H&v>P?7zMUPAbagHK9rsN5#^BgN}9!g#=)DRX;d2+PV zVOF9?&CX{ptuJ1WYj9>plH+C4@?dn}q+XL{pG`=dM-5hfvAOKvmD$S)dHl8TQ=0a& zgSbYGb04Ks$w5+W9uN!t8%r1v$o;cp3{@k>zzWdci7go^>$&eGrmXNA%e^4k@Kf^- z#qNuK8S|Shis9imv-%2y8A@v415)zT{+9~(C0#qu_!O+$`U{3y0l58<9)o-6Eku!C zEl2z=^N?5N&3(EaOm)VQj4eB-TX#K$&QUkY_><&u0&zMMKw%nG@m z;cer4FM2#^q1CmU0Sxw{%<`Oxr5m{7fdIWPy@4Lju9cCNxSu*_B?dua`8h9O+BA^G z-)j_X5TXi$*I!r;fZC#X;*w~n5b3Q07*1SC?Fzz48vK(A-=k7uF72Dif^2!jy$pyc z04K(vJO@@9prHW95tO7u2gArV`ssGR9|nSD)#&&m9T+mW*YC-x(SfPjc_HTf7#e8_ zfp4BVoL&e%c2z~b`aA9OqihDrT=8qz0|Nzx2v55br~;4Y{@^|;A_yq#40^30DraD% zNyvfl`PxFo?}XXyR#1p!5!@}xyjH^cYz>uo*3b?~E~{dX zCjUs*eGWS=+nHy~PjZlR4YxE@%Eyp{iSuWHDyl_ry~{2JY|bv`lJ=T>G-~r1TP)2k zv;9eXf{0K%+M*F4b8!ZMgqYIL(7JuTcpvKd61=1=a$@xh%eG-{jaS}dKU13P>M z(NlX(-fhRf_~3hAUIpYTYy^7bzp7ACcDk&eJGr-iS>I5j)+^GyHjS-goXfN0JWs+9 z`kT=ybKvcz*n#*?eJ5_ zfFmvSV$aqtqCU>*%xZh)Mqt!y7};z)ti`OWSl}?a221mGj7}pXIiiEDwf)bfoZ8#{ zvb^FF?QHd%J{3z6Y7884fQ9K%Cpn0BYVM{dFN6NssT5j;{Y)vUF&FS>Z{w_D8DVjy zqryW1HUEJymuG7k(1N}8sCJXBL!8sIV|V>WMZ0_g`__qRws5Tt4#Lt*Zr%7tu0#6uc6w|s7aiBH zgtIkvnAc;u$5nf0zj@*URh~@620@o)`T{)=?C4f-F`OLci@UY=0#Ib>X9bL{0YZ!k zgSYn3TD2_LJ^PN|a>vr@RV?$4W|n|Ub;{j<%J&8O1iFzfqr2k{2-H%0BJd(E9L>cB zkj{|2`G*mLSXm}UWaX9giX8l9bfx!~r(y)xu zPo%v~&^$zs0ru8aD}rUnEFUO3z1Hw*W8{50GE$lV8#)4u_d?ww$rp5FFKGhY=KT2W zmGxIsjt(KUV@I&DBj7qN1|4<4#edKucb=%$Hiq?1a3otjdZJLrvQ2i_*}3?RGgN*a zLsr=^J6Oe1(p;>*)YVoak)L-i@F8jiH|Ds`Y9DL|g@M$=IyDRYga8{-{AS(>+qx3N zWQaFAp2$@rmRWT8jm*A1f~wp9u{}uJ&#(}h&~c(DfmgXTmCre8Vp)v(u%i$niie|z zj;Y1PD5BFkVbvpiH2Z$ve`{>uleED?yKi978^|QlHCTB5q6d7VuX@`6jlG%>nm?%I zNmSuHtR-+VbR=+RnYDSieJ+aPyo^KUiMpA;Tq7O^O6)H ze_ab(#z@ToE%zF~b+E@0QVzepjrZR7TejHIRQg%HX*rQO?n4W_8j^S&u;7g(kB|2; z<+L=MR{91SfTA>T*wcVu>VV4j(f4M{zQ*Om@uTTU*N2uGE9#KD z{*za^Hy%8-5?|#aDOb9sp}K!#Z4%3%r>DW^$hSvhEZ^hMepc^0-MWsS6;C4K6^wAu znndGJY=bN;+j`cu@kRVsr}=yrqgQqowcBX~pH4{rb@9uK4JjFh16fARUA(Dt?{ytG zmU!0!j*aTh+WAwN=|H*`kA;`f+5&XYBw0G3hi_P=4QTvn`B03jNO6D6BWI&kcNv&$#dl z`v)CqrZ~eU(Jx9>b$D?bfDAtXup34@Jx8Vh2Y2Kq;V`FsOGi=}I8jBNng|CN1>&p%ENMY&09HE-%YC>gg!gU1ah`Z>{^}_U z9xo_jAi?9`Z0W`vmBZjjfrn+jFgC+xIAnotoFh~{N0kEGWT|QEZfoOSGrV#nC$1l; zA{_4Z#9DjkROE;`%6Ed)U_meRelSTd4AYyVqOnNY4v0#@M8Qsl0gL4i617%9m05xP zpmX!1vUwg1b4u&;yd0^Mf>(DFcz=!76inxNgxCRcL~6^d-F3%Om5|i>@_J({ko4|V z>?;HLHfwj)l}~~A8Q{-)z4)I0OM7dBl#YlRRTJAuYz8eV}Bg^wVX1Sa1PRFKfeJuF9QboHO@ld zJRW;2NY^-g_I*7t;&S{Na9*0gSpb|TCU9so;t*fk?eWmzm6-oI*WcrP1Z%ey5uJ8> zDsZ@V$FYU~=6`Tc#(dgtpMac4LCz22`A+K_QX-=D<#%rR?w|Ly-2~^ug*fsZNRBTw z4s{?lgO=wjkdx1ee~*Yf0WIF6L} zDf;=E*b?;kpU*%--?`G*oDBLESckyh3DWWiFV7n1P0DT>=Oo}%?dPOTP8*-2__bbq zpDuZ5oD(xR=hkonk`WA^b$M|-pOQ!O2NYnRuJE-yoKHyMoUQmZ4#&fihsIf-!MU)8 z6OfEx@T~P(p24}OArAK;Q!lnbxA=86$lcC+NZxuM$GPy&C7647J?cTV45z9?OoL}w z2}UA3-@d)`2Sg3~5z?Z$U`r$2g|r>yF3v{9b+ks;-*tSqN~7m#cZObf{Sj*&(4I7( zrwv&fw%eDX9{q>Sr_wiY*hv5Nx+J65BcT`j96s+h*DEJ~(8h$Ajr3oy-*`VEye_Xt zvAp27!>ZS>GkQIG$o2YFMz6;Vxn94_==E5y*LFknK3BJg^1BbNepU7NJm~civ>ON7 zcEeU~{xczlNNGENHR>sGR9YPexyo1Z=xD?rZq?{oFUS_|>Hh2O(2LIouH8uEl&5pOlAqS={2|v%+fnQFjv?1e&sS@`_&#o!{ZO9m=!;sf3;V2>__{xv z`gQ;7mwwl$^?E<_;xqR!{cgqo2LJ&7|9Am(Q@u|VQ4pUeD4={C-*-R)F@_iY7kB}NNvltc>~I|Vxb0HVKn zcr3T*Ci7-z<~K8M=FRleQA*Vjtqhrh@C}2m1_fo595XL7%5gJt(##mj&!q#Y#gWnE z*w|$TQyZw8QaCS+cQmjj>R+(@;Q#*YA!rEax!o%_SsDO^={#w=jvsg>Gf>hAycvej z5lwNN&71SDSkn*sx0mH)T}GfxqB^SJ+_;~gLdDbt3Z3$n^wOT0-%G*hdRjnBX4lt# zK%kBqv?Bwqj14++k1pcH`GGao41&VQrfV0|fn^k=@?;KP((@UmzZO~mzrHxPk^rww z5_lHgVGsKjMYmETE;RZvH4jF;pfE{!#<$8dIaPA5*`k-;z___OslXyZ{{ls`#&oZ} z|G>BH1ckxeB6<S@{T8^_L=!H zTnDoxI_Na4JKuL!!6LOWDq0&)c7x7*>fY9w5lB4!VbkDah(=n0^6vI$yN9(-&WFG)0ltKC%fN zO6WG!Kb`%}zDW?r%t=QXRt~FGvQ@T_&go69j*?*ozBY{}$5VUz&dz;$-;>{--D0Ua zIQ#3PjI=LBm(F)KesY*cwFkucs*!D!sVuu#;0XHbC+^oqVsV1S>Z>2bYZxDY00030 E|JNC1y8r+H diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.rtlv_sg_swap.cdb b/CPLD-old/MAX/MAXII/db/RAM2GS.rtlv_sg_swap.cdb deleted file mode 100644 index 9f7460b46c94c799cadd97b7a2caa252682cb0a3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 804 zcmV+<1Ka!&000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Efw00000002S)00000 z003zL00000001Nd00000004La)Kj}o!!Q(0d6w5Fupt&UMh4W0jgg5V3QYiXR4b02o3lyc7VyiMQ(|F2qVUBKShJ_2swdj~c^ zBBU^EOEVlD)U)?IN33d|+QfrC%~(7B6+E#Ho?1&9ci>oKJ^mRS!P@456`&2=f@5Bq zt^b7mBd~@T)?xR>xO@2C^cnkHJ+JA@%*6D?ytI1!Z~Nlh*cW>uI5+05>$4}jFW#GN zoD2K<4(!9!YF6s(0LK9PJvunt1N?rHA=;Ts={^i%|Kqfg{RyWLV!4Ns4?WGSIMu68FJYpJM)=gNcOIj--Y zj+Fy6hVPR0^&0Tq%=UuvgiXU?y zf2vN*dZKzh0RRC1|9Ao7U|?jhU|?XVHglW~q!{FZ*xAJ?KG@wc#5F$1)!E-KI3y_4 zIV3*7KPV*L*D(O3ih&7?6@cXKf|OrCih&=95gLQTp$b`n!t4wJK(dEh+a5?UhyXD{ zA=p&7YLGlLg8(A~L&Wr}M?sdLs&@AG4e>IjMiDPT|oVh)B5Ai43H2iV7`4)^nSab;v>$N(zXG5f*`4j>J3Ji<`_5Z54I ipa=s4ClIqRH~`6h2h06JKpIu0CtRfjP!0e90RR8?g<(qo diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.sgdiff.cdb b/CPLD-old/MAX/MAXII/db/RAM2GS.sgdiff.cdb deleted file mode 100644 index 5b2fdc3abed733662680bc1d723c4db817db9fee..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15071 zcmeHu^;;BQ_%;oKfQW$72uR8j(kY0bNJt1uiGYBVNXOFMO6L+%5=%ErH?nlYlG0t< z`||m|@Bi@r@||;C^PD;NJ?G4vGjpHkdS(s{9v)sL1^(UM^)4yj*$>t~T^c^f_Ut0;dT-ect-r9VTG_eX zow*)Qa90f;UaI8(7`!he|JTA~{;&1IKLXy%;}%c3IgVK8mB9{GzcBWs7%2!oTE+|d z>Pm=TH2Kx&HIW60j*`wx))-;l;Q0FB;E`u!cNF%vz}VnGhuRk^A-*B(>V$7qIuQ^q z9(Vq-)}|9+le25Uuanj{Ieya8yIj&KZ;KA%?lw)^hEJD%Xwl6%B3(1(Z$verEvy?* zbFS)HQN6QXm2rmGnWRwfv2KwJv~t%yTL~gYX*A()Vqm1w%;%TGKeiSYcpa<`sD8TW zai!XYlncP9eR!VW;v4<)d(Y)AoCB+%9A7`h+rP8~d(TZ*4Yd3Q>+Xa8T&3^>!`|Xv z6#)$QNA;0|{C|B^saW)0vj!Y~R|l(gr1%Z#dF@LT>zNPRe4@(VPsiZ*dR)mz4oAr7 zvy3IFTnwvCJxqFbQN0$@odpcPQb|m04NF_UmL9!VrB^Z9-~KAzR0lBqa8Qnz$K#9O zivw=ItslWWrX|EYF9x7)Qoj`jeh3n^ZMY>na>;F_FUULzgL1)0+%41Oh>aOEI>yrK zlc#rOo&yVn=8P`rvM1~$i~HjNPyuAZ=hmo?5oZtAY7~CkFHM_~3@F>g3i~kJm%+zz z311sp3Hy_aJPna>SdHfl{i#c%RwZCmebaBLEcEzjq?k8iU;t5PU#`+3(2>g*dKufh7h`VA}{l(+=(q$$_w%!EQLQ0VrjQN#e7R@zw=5d3nm?=@9+E$!l9q5a?e^-DJlO`v zsXBhL^eeM9*=p>Vd#o|%1CZ(%7c!Pf1*`S_v;84RAm~h2PGyxbdnP90@#DPjfHyFn z|9R7ZJP}^x!Z%F_tLeIB69Bg4yg#S7I;}-wQ75aJNA!k2f2uSp zHFlLx5F~sNfrr(!Sa_K1?AxUp^h-D=6Ipuw(fPIOUPLIAX4ds#nfV$=n>9je#+_-> zyii*^Fl^Uv0g-zu7=>Jy=^~O#dI{pk>(gM#q@Fghp&Z(JIhj^#7S>ky`IB%{!`_au zp(EJ6&$T>{=%LB)x!sPr?tZ(!CF%(c|JHpV>gDNy9rrT6Y-_o6m#Cj}d|j;wy$CSM z<1yLOjalaDv`m*>a+P@2H~V#?Zzz01@makY(dY36jhD}>((GN_aYp3c5z+VPBfe+L zzuB8Dw!aq=4KM45^R^D{ahdGdU>-Uh7o2d-R(@}~UH);%^^`8uDGX)M8D`v+$o~L>?(f`8+hNCmf)#Nbba>OLiOF;!`7EIS-9J@#i^FT=3j?ob zdMWoRk6-C0>^H=dh9$Jly=h2O|B~%q!R61JC0uSO|3#sdvD9d)YF_3p7axHw zn*Am-*?@z0#)#GZ&kIBOrT{+Sd^LbSKSc(?5 zxUQB^toUrBmK6pEe;)|!zv`Hy$utQyaLGYssz>L z)f5_Y^6Ae}{`dRda98alEq`BfEL`Cs%&I6J)~w%M9)Dp3cBND|uz7{_u+G%{-ZV<^ zaEb0;rI&4|fy+hhf$DMJ4#dnDpF3_|Y>BQu4Bi`jM7CW7-^XlW)6Xp$oA^W@e>3K5Gco1(rij zu44f3TpSX>+W?>R3dx)JGh5Kz5B7+5mww~<2`a#EP6{N*uUXIyiKCE+m+S1OIyqH# zE83uuP2X4X7d!uMlm)(C@aWj~n{QWnc7sxoVy$7@pMDNBviRPU?2j`RJSywZs_v!B z?_14rSSgYDs_N%vkS4Uac1WID^=>M*zrl`iI%mbOIY+@+M(XLh3sLmnq>M-4Ty?Qu z5B>p^l`7c6eloWKf^Ia9)=52XvsVKTJ{%QopmX2HXdZv%skq>s3^{+_tOP0ho5b0D zqp=$@DU-VUu`z%9?R4chMMYU3YlpZ2{qhoc+KYADRQ-QPK zmx|R$W4UMk00+N1#*9)%XohFk!)kJGPzMg&L(BEw3Skt9oKz_ zIyLviPEMw|0sh*C2cO%WtPp0DFsJ3Q;mK;-i{#=f-3$E5u$EP#1Z1eEgm-Z6s+Ys$ zQ;BN!5)*Lq1Uc9}*OdAm%$rI(8v(Ku2v2Xjj&rD{H9|Z`_<>dwRywOhcp`Xh) z4N3mGqF3{IQLmd$_-*jd?tH^6r(*krDaH6=&0|KikK>@HMftln?oh9p!%KA%-=+PN zM1({;mYo6MUMjRn22oM3c;Ih3T-XmscMPOzNFCUEwve^zHg4ua4irnJ_I=p! z+fB0IqDnVrX}ES{R;osDBx0iMJ6`RX_M#B~i<9?Rz022Dze{@>J4$DA{e@^k9ZPJ= z)?cOSujOm0dE8{Z5Osoj5Nj+<-E00AoIjf>D0e;gXLR8+ok zHZcuvUq(#j#j>8%+viVx2rqY$pU{2LL2`jI%V)Nq&gY{w9XN5B49!h9x%v9HU~OO(|ZKF5zaoVMM=KA>!alb1z`&$Zv|rv_YuYWG#cK_;e0!*iE13f0ZIe zUL19{td_bzD||YY8aefzPM%5eUtxLFrOzzqzobK61*@0K>NY!jW)qD_T{aSvGFUF- zaZ6!9Ia7;)nG#XT<3_6FVk6_QiR<`&e<)h z{AuN}t56>ho-Pt{qkCp0Q=gRQb{xP6l5qN@v-N4&a%$0OL#K-y`0~*;m-(iaUKhZw ztwv|9k1(ch?kKH6BS*lPiT;6xJ;mXRIIhRV?t3#$bqx&-B#o|m{6GWmUs*1=veO{n z3_vz-s-<5JBzLQQwTMUV_;Z5cG{=Qqn{IY&V!!a5TmQS!Gkb=lpC+n&`<`2)YLFb!=sETPF&U5>{pXrM;CmZ55Phc@+W#B_Z#%vtb&?sLBCvGpDs zt6+HFGq3tT(B(JEDK?QFbEv*s6}$`tl>&ZJD=KnoP;uXCRfD)_ZUPlZeB zcI@S}f+AYXXym`zE*Iys)5^oRS>xlE6Ex;Bxm`whrfW!6{(6E>l2c!;c=4v8Vfvp; z!#v`2_?u}OBki2~NPb$Z@Wn?+%YP&*=WJs0$Yqu)j?(Ti(M+>{Tbz>*`X20@q-D-u z>%aiU*bCGvOdrynFbnGLwR{5WJ#T1&%*@)ZGI5yb`G!*q)-VV%SLW5b{;l8*^)?d8 zKj(J)7C9A7+nr`6qzXj8j@0#|0>>Z(uhYd?U3j}1{YDA9DW<>4$DbF4oir@YV3)d# zA5s_e6#s3^dQ{MQHw8ZKcx^98_v-vus>Hf#fQ_TovkFuAF8slW$cIlkTn*`B?65r9 zCy8D&Bo`J#UN#YMLoA%?xNa^qReE~4?&?gJ?pJ4v3Oc+CN4pfa=mjyqatfQj!I7Q~ zY|;Hro`Hk#JG~j;Oo6Zh3iNfC_Ax;K%bBr9P$xaSvbD>c5ItwQ-b8%EpPY}cVZSp{ zu#_uH5KuN~d88j6BA;?Bn_&ciE~V^!IJAPD^no^!@f=rkBp7_r2cv}&LloZIIPsyn zh0&@`)mxr?^#0pi!uS6k;Ud<0C_gE+h8~r3;aJS4MFXz9s`nQ_uxL?PZ&V+xb{UDE zBRkGn=>`y6KX15|aDd-Ap&XBkBzw@GiF>-P*c2ky6LA3`M$@vKq2S0!P>khIs+&h` zG`Raz4lGUBSLVzOO@FtFWmhJ@SD( zN=*b|+W8uZ!DRVgh4jVciF%xEfPr;q`ZG@vO~mN2m0tVNYv*X#9L2&T>TF8Q^HKQW zv-4&K<_;LjwMy;?ooG}1bc6cWdn;Mcdv6Yn$+unv`et1*ium0`1={+imVG$GjkX=| z0hIxGPjB72G3LZ`+|T|`@Q{jJ0)GpEE0?H(qm3h|7k$sMg+AT}bY3`j|Of)!7IZIQ}4Pj{HNc9#xmzDkaZm2>%d&92p&|#k^bKt7M z%%6+WN{j-9VD%IMAlYels;ynVMgK;TY`8j}z=ED{-1SBH%EMT$rT$ah8%4v0wB5o5 zX`wwri3tT?nT%K-k+(}4O{W>-O?G}g<|kQ0Y`3C)-|n(zuxD6%N;sG>{C;1dGZUA$ zx;oso@P$|u?h7II&_}C}Z8{L=7>%@@b~A>(ZAcAgvbKIP^-eM z4M5%igY^QT{l1IlZq)8ieqmbyZd5ux7(l&B05hE;{V(tBKo1AN`ft2*Bjk8eccH&nHs2_yqHCNgXA<@2*-rE@ zHgsWt1$c6T)oK2NJ>;AL9z-G$7eaZJaw`8IAtIL~%zGyM;~&Kr-J`Ao z7xPfg1OCL_wLb7VvbL=Emk_A$88%%X*4KHwnL%@!ByoW;PjbjS{pee8Zhas6vnm_< z&Zd~+^~2cVfR|%7+bmck^XFMwigQJfq?n#!bs;I>qR@TKYoPkR>?Shwd`Do})B0=c zXRdCqmkrKM$S)XhIkx>%{%^ity@k#TbzzOxAgN_@w#m7aV}V2JA))=z!_nZ!ovV%D z6=z-Ss!IpfpA@*`f0-`|=?;EFWH7Q`|Zgjv_sh+*g~s1p9FhKA*Q| z&%=eaMGfak=I#EQ?&{skY)( ziv0VTTcWFm=@n)}k2bzb>6cVhHPp)#H+BqTC+j#>Xs0=5!XRHb=K^f-kmVYYYzR7o*?Sd$Hwt$LZyO@h}R>jTjcj(GBwrlBKib@DpCgqI5eLvuJ_Vedv zdoE*f<-cj5*Dtud-R}2EwwPb~e8g&=UG!^RfXJ7#H;+SV??(ZzFsu00)N_S##6BJA za~9eG%2=DcPRxY4`FCfnHTE<@$=)PoxjD1f2{&kY{-0`(9|R=#jLK+d_?9<>@jU3H zal?eAl{jHuDuSK{Jd(8P#wMu$;VFAG6u=Q7UKE>2yNN468dFwWKd}Z$x(zFC9abD5 zm(kS;eg~PyzU2Rsm=M^1^Y@al?HLR|;kZ68?-+Z_3@8`*-fk918Fyv>qO$e8Xm=Tg zkCQgb4XxoB3ilcbsd1j?%@E(bphX1L1;JG}s9V$)llVE~cGq^jP1hL+{5ej+2LoWg z(u@nV=`nQ~Q)j2%R?H>Bx;i2y*iul83wf}cU)LRAngC8xgQUIlc6z_XGW)A3z4yZa z8^n4f9iC$}fXI8Ko=D!e#cK2DHsbZqq~Gm_cRi8$dj96-9nm@W_2r?b5>{*!O^X`x zj2&hJ4zzd1g3AXEP_!lG7PIa*R;+{w&qHU>`x{S_DcE1RD0EtwBU;SACZOQ-`cFr^ zM=N73UdF;Jn6?OQ5ZbW^=jGRf6UbHk{MzaZ%|hc3`R$}Jw{G=dk>eKjITfw53q23V z0O!YSbicl#RQ|o+PJb%Nl5jC4k1)K72<<$3iiMD1x}jo@zLlo~(Wz<++#(29xu=C9 zkmX$(Pw_-^MbM`b4TQi^#vUKhfv+c__m%&sds}kJF#5x2FM?}4ZepoFxziZsX%8}H zT2KSyEUUB@y=O><90_;WhT(l$t4lVSwI!YNFQWI;-!5-&<|?6Z_CAWdvk_mk`2ygj zS*Y~Hk0P){=;|*;>d)e4bnb7;5*K(Tap$=S{S@*-?4T0vT+FW64#G(Hog$kY8}!tV zEp9Q~6~WGnaBY{{9k8NsZ=mc0gd;9P#*2T*S3XxkQzc?_6TpN&*q#xdCk6Ijenm!p z!nSr8k?61nYa@Ft{s5zr@O}(l?@B7eq)#$RSDONn53xPeHAmLMzh^6GXDKGSJ}LPR3loR>(EktyCfsyB^Ew%Q`#B+Q~$}fMtL8I0!@6v$Y*38 zm)-cuh8LUY_((du1+w;v6;aKFxWr3Vb4(-`gDkyT%Jt0$;8vO#{8&QLp*b6#(b`e+ zlFx5(fk~kd^DX}@YTx!#+#3`UWwH!&dVeVZV|#cvR-H{Dw}5u^y$K2~<0(GHd9nG+ zi`dAn_kxE%`d}n$Odg5mr)o%RLPU|w#bBuHRY3k=4QuDjHSB$Sx(qN(3k!QQXgCHb z!e}>LsyJ&1X!k78ZfpEZRZwKk|K)zq{8XP$zIp3dytU?62+32?QD3COE+U9PVc;4^U)^sM^fc4Y>V1j z-XGj*AIG>UMnQh5q5n`06b>Z(Msv$X4TDHU@0^uB32%k++j57@3+=1?8d1u3$%2+q8di`z;f*3p&8%R?guv@U#j*fpB;-$ zd)@0sl?E1i;6fb55-B8VtK^Y7xOP<_g6ho!UMLxr@0Wm;iBnZAIAi~y%R2-Pr&8ji zm9^QF1Mz2jjsN=XGUAPAPAwv{io&+l|6lRGJKV!f&ayGc4}EPgUX(tG>Fdp~ITP$7 zvkhjVPZfGGKpU;$6G~kge^vJ#Q}!qA@{J~gta+GAly|zx=)2N;QKw_B!Md=f# z25k_Y@;-a@<;`eIA4MjlEiLKC7>(mgV`?AMpqAyEiBSxn=+o%N&3pgM?)>)0iVa^r z>lX;TdleyW61k@=G|yD}Q*O$nzVZk`q=E*(%_%#cDf1{unp|1%@SJzPZ~qrd2cHex zmEW0+oIQBtMZb~qm9%mmBkog44s3>o+@AE7&J*4p5dhbM8+Q~AEGF$8zD`oN zl}b6M?e^{26=9$F@a!$fO35&the;`=1=&Qe+4*)ps`0mD9LXj{Q~UwhqfIslVv7NW z+3Bcm!i;}gkC`~5&A5y!7gY)I{`9;RqRbz&TQB^3;Poz;yiTfvHSC zP<}f5+^_S1XYt5A9t)7R+RY+~_sS*REy$T)VM$?>ss)I?6-u#lm@*;gxCsX;&@S58 zFIb$Dqq5B`LDu0b3T?a{$v=-+L-wnoHk>F2V)O;wjkL=7B*tblae0^XEx-{JlQ&QL z8Pv;(IzwPa=xz|H|6S!(;5aJ4y1=kE-L3LE2fy4(>8|0C=lhu9dYh_TIGg5;CU)<|NdF#RW~s1*_S&On>0f0U2aUPMF8;f3>_^jE!dy1^UQNKPqr zJm8qkW42{7H@+{S)Tf+-u6JaT!qeWieA%8kPF3q7pTiS|E9`sB$!{mMBfH0|tfXt2 zk25`HZuc+!d?#Og0@LjEqTKu?KN}%S=Imb~0G0D{u}v)BE2ujn-UHSO>nb1Do4MxG zs{h;IIF5*!+q-7I@)5h*Y(1~8PivNx5*w0}h~7mPW(UxnP{IHMh=!o?x%w6l(=^l% zDxDjp)P4|w@w+;=U4>!LrMz)7+VWY-=(5RXz#;O@6H1=}S(Ic=0t5m*zd>^yRqiUr zbQSvs-Y{3m_trx4F}o%(uuyMi@mvg4v-r!&n22<&PltXX1E}+Uo!QkB<{1}Xmt2W4 z9~Ua!A_g#NZ$;XXY3wqEtA6=>k{5}D;FgBavv$aLzshiogki7qkoyBfxVLeyvy{xZ zNi{5ke^1%zi9#A+um3t8_5{szVRf2*ma&5o1~>JDx#vb-URsPEAF<{YnEk^@O%#Qq zP7LUBE*@Pe=K^2a><=q^W$ZrD#8mzYdo?Fbe8C`EeDR><_$Iy8?C@}WcpW2mu@+|p zCEO=XRg+Ipfo)^}q$}+}m*3AE7<`@gk7D)2`lnZ0H5Z)(J>Sg0#&6&P`b-Z7TOK1^ zSt4tw2TpnH_a!*77;cmIr+j&rOoWp8^6tKs-=M~ogMOH8ZG|*^++(UEO+v_e9kcCp z_wK()Xi(R&PL1>N>xpYK(hId}*l41CAZLqD3CF3|m1{m?VeEAdQ@)dCNp`!LZRR?d zFvm5M7kaZp+O-Rt@nJ;hq{cX8CBIE~eCtl{(frU_O2~r%G+(;AWilu`JjynaCr^b4 zyX60cXF3`{eZODHdoA2j`j(Pk&8_p_iwW@{yUp7$L8Gq7%UCn#v>$z^l;~`7G}Io> zMu6sG?&%$T4VHY%iU^=Ks`^Nwc_z!Bc|*P9zN#}Khu?O-xE9AN$%?Cvou`ATPl{&f zBxx%BV2I@^Xr=)={7ZlSS{6-nFu9OVG37{>?OSEuGhz$G++vJPJg0o3 zsEr8FFLF}UC)_&0t2YQlKHwJo9YCRQYF`g|N?}!ozp4KqZfCQt%e&;k%g8i$UQ@l8!>2%qtu9QSLH47NhsRXia8>0pyvbAn%?GV}|IgRaHwS>DFo*}Pu z#B*;;`a1B zsrSnm#*UgG-U%-FRQZH9LIdX_=3o7XR22Cx1Z#ik#as-@ZRjtQ3Wu`@S;m!?tO0?pr{LVw%@}_NB@*s3GA+p|Rpe`g*<_v({?r(Q0&I zbND{$BxFLU$%yp`M4WqhP*)@2s<>&;BmC_Nu(Ry64ad-|sWV6qarXZB*KGnQ^D23# zO-c&1;o~=?EYb?>+QQwI2x+)e=ndU!h-y7e&w z=^vkAPa@L!9-=)Va+lnOB=wV9(CY;G11nQMCN_B&nm>q@twi+TV4TvLXhrxo+Se#ry3J&pp}$@UB3w z{32nLSEoT$gA`!dMlSz)j3WNg!!vn!mZ-`;DWvMCU_RqE!c4NzR3fM{!aC>UQiLNs z-5Kmz>Y?I)cG*V;F_szKG?p$T<&4MPBp)~V2Kv)q?8vBMk%-J04Fyud+xLCSmo*zc z7e2O39SOg@s-)!!Wp+#c#LmVbR*ds@#U!^(zPG|oVs1?DVNzjWR@Tmq0rBg0iou&5 zdxhD0k7g6{?9?y6}BC7e_x_iy#(P$fTHkkm|(VxeG7#Q9dT+rNjo=1F(AtM}{i z7s1{{ULzSInegG*mJf)5ZuLt@+*5oBgG0xuN&?GwGTNm8GKh{U3Vg;(l!+aS!HZ5X@fpM?@xav#Fh9 zwzT#t=G|K)oL^G$4F5XL5qC^od?;7dcmCk0V&9=RAsFl4x8*&~OCU_5eb3``K(+Le zamf5OyQbk+h#u_NMcsmqX=L~k{B#Z*ipdHPyL>#+pqN2~B%1LSz6h@YwQpa$OOQSP zde&rBQMJ5u13-6)a<>bFnR9wf``PZof~Y}dV>*~fuPW}dPchDGspxy7?RZCe9`ER} zL0A12Hfn$aZVWrKd86O=aHXXZ7X=nMC#5Gq`5u_Qn5AuU9j#QT=G zBk&b_E>vk70cq_0m1nGj7_6fH0j0QYR;Ncyz%H`w1{4-L1^9UQC2R`OGF@8wUa711 z2eT$2pPgRhdi#;oJx9KJx9b-O?4OH&_eGjIBU);z_oh512*$Tr_p~}1toaKH?%Hjr zW)alk{yU;t(dml8P@hsgEJ-0$I3Wmf?}k#r9+V};k9gPZqW$sp z*VH3!nG%I-_{2dE27o(!(Tvb zEN)G{m{0^<{PdS1gvJi12f>~30vD821n zzdZht(`Ys)y=Y$EV4fLwvtCCZTyMS!+!vMdP-!`bb0clW5knE~@XuTuo62BO`Rt5D zexA2iH5p+nTFN53Z+*wDDSyoxi-#(t=DCr z7uZq<#J{()FmMds2%HFTnl{a2{kNXEgKbVjM90@}hI=d-9q64W6UHVL8EHBzGzwFNgK+#?_NfRhA{JA9T~f{B;7u ziR+fwOcSSb`_>LVlt(>j$Y?>$!fk4gHbrRi`ufDa^m2&2<6p2pZ+y)6SVM5Mvv11w zB=+NQMG}pImBG|vZ^6<37& zd|aMK`(Q|1IV%}JgDVLT>5Ak24)n}nygHx?aGrB!F&l%;@L7GhFHX{debMJL3DpB1 zKJCC#bu_;|V;f?kR|HIdYn=V&Z^lqnEi`%KU)Plio+p<7{Zs){q~~8%a!dl+opV=m z>7MhIS^DbyIW%%%3W_fefpGx*RfIDMKcbB%8tZh_#!B)SJo3!>_wqx5o+Wu{J1azJ z#F68j8 zf%~W&lI*{qEsb5jv8GrSl1uZZpYAiK24<|47IYBxE<|)iScgS=1x@e~V#cVXBm&2M zAb;DuBqI+V3^0uFMU~FzxZG(OaB&{m(Z}4LP4uQ!O9x%fF`9&K=;@o*~ z*|C%crUaQpU*(K)~$aIjM+!&8nC z=Z~|>H_Tuif2W>8OS8HdB(u8i==wnux1u+!(!Lgu_lH-WltJd)Jk0SXq_X5LN?-C5 zdVB9hE_4MFxW%1JBlBfBoT7Jb`e*$93iaHd!~o?k29H8TG$ECO+7#vnCTH#tMTX{6 zq&5I?{Z-)A_Fl_7;Qo-S=M*c4&)Il*??=@w(+b{7XP_aPby0t)CKJr$XBwhf8*8(= zZ(H7(q(0Zii|Y)=+B2W~2KZqya|m8);GYGxQCG?%SMxBr(+IgFhCn$(rQ8Vq?M0dk z!8W{Y1kHAa0SENO5GOQrR}EKY4~}7no(gO)(p?BP;vHSe5A}8&y9BJ!UL+1ENUGm? zSKjc-pK#zo2M2V2Ep5!%`$|R(G&)U!)*`TE>v5%BJ0+*7DVjDk(+PqRU&RRQ$p&G! z$dMj-u5q0Ia8488$~@M0GOcd_y>bAMJ z8SzH;!EUxChotx~uxO14FZT+W~Q@R!_H z?7dx|_hW|7KRxxzG9OZ5>$0h@dKZKvx78@9tg3}nbOET)WkbspH8UX#ezb#41fZ_J zXNzP|q4zf&x$_UOnyYX~f?s6GSnQK@$nxdSg&iryXOFclAE9&qO=~0d0Z?7*9D&24 z`}P}fqUw27uSr;gVxrK(E$0ViV_?_P-$jt0M1PHLv*JUkD(SpYcb(F{fcw`N8o4Vp zJz}HHu^li2?+6O0UHLF3VZ)m}5b($gxv@N97^)?AIG~Vg1!GxGJN4+>iuYG!bZuN6 z4)6$*gOQlc+9XvZ&HvQv0{Ol$@b_ZFIc(p{@j{Z$jb6Xc=cH25ove`aB^b0B%G5$< zNIlK$4_Lj-u^OcLcIVCAJ8y0DYk7X${A}B}FPH1sG^iE0NY1iv)f z(=B%n+RdaLL_G>qqjBYf4iz( zelu~q%9FRI#<3d|Ip_-ei{TN|UwxX803;eV75){u8GhB{^!H{q^wZd@J%sq(rv~Z# zsaz?Ms}|);kzKArzfC_u;JIyMjq6Z%jvJu4rK-Sd%b{L%!)<%o^-;A3uu>om)>VbW~-fj7tfuDdwXjy^ULc}4PNzqi-gT@JG2ctBjF7R;4ok1b{| z+cKA;6-D)p1A5Oaw`F9l2XxU+wc{4B_C)GL<+E8emGEfr4NKqeLoAvO3c6B5%cc69 zV@6Q&X3$FzF^Kl1Im=-6*ku_L;k6IB+6I(`rSk^Wq1H>!JgCf_d&*`S5`yaQ!_h+J zHX#vm1NVQQBZs{E>1{PM+!Q_5ZhX$-Pf4S24c`dO2P()}W%)j;^WZYNPNTQ<)XV7` zFNATgw(X?T2#-DUa_r8xH{m9w<1Dk-rA|;AzEuF<0jOzqy`M_364UE%c0-|~g(A&R zWFg9lm{e5K6r+K-D!2UYxyF!I=QI)y$~yM$S}svBpSA@JQlzWgk723y5WXRzYNrqn z_w5#GM=c}k63Q$eRrkLmP~vdY;PiijeT;o%LCAHQrJJ3m+irbw&0a_YrCe>Z%=>!J z_U=!B3>~>Qc9kt_pO~szPL>WUTT=A{8$fdbTkF#Am7yZ{gA+S)2sy#L7t+byAxuLVo01Xj}B|WqYxn$&qanJiQ)f{BLNacp;`cA z``^0%5LBz%inV$264!r7E%-6Ic?e>ACIS5qIXawjNdvzBmh>Mo1o?8d^%Pamy)5@1 zQVZ4?H2vQO|F=v3_o4a!@LKd-x27T#@7BoSa9;SK2Aa0CFCXPPPAeV<*yAcOg~Uu; zlhHMr4Ty}@*b}FzRn4UAaOIdU9is=Bn_lBOYowXaV9 zLB29TdG_|%qQmqn6ECs4Q{XA?uM@zIhgEr~;@SdFVv6ELRcUYUkD0vU9fn;g3O4B@ zk9eL3e7RpYgMkIkp9T#jb$FS#^T}dd7RsES@M$H{i(dtf>;w+XJOX`w(VaoB_de_q zegm1nNLbeN5T8TXKCW&`U9LYA?%Z-P`BqHFUr^deB{4O$H9QgjDG=EIn_f$@*nj0^ylDbi;p;9kRo%w799EAMzz}>yjrN(q`(vStIy-oDbS! z-(~!ZSM;Z1%c)DBP@2a6{I|Zw2_c|G{EYl^G^N}{gP-=IElPuv=cO6(_Fi47z6yPy zxJjFQwD;`sLzPdp^=e9A>EWuxeJ!j#wSvcV7Z7`@n==m>a(I zQ$psUnM4`YRPA}rTfCN05~_54?eXCCovFdNT^;>)E-l9Qd&%KGMCIl@CrigQTh7lk zJUBnnOY24-4^IA&&qRl`nz7fH>Ew`R5U}+$4_J%mMSg5R(OaeRy>dEDgd&PPqTuDJ zl4%pkrXkhLA@4=E{Ggbb&np&cNLmnq6Ft3&zcpI^;Ovd(*gxVMLBGRR`(T9g{Z3wyT+6^Hvj3R8qL diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.sgdiff.hdb b/CPLD-old/MAX/MAXII/db/RAM2GS.sgdiff.hdb deleted file mode 100644 index 77a25c94ae756e1840d6dcfc095980ed0210b3d6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16455 zcmZv^V{|3Y7qFX5Jh5$C6Wf^B&cw;YoLCdvHYT=h+qQ9Ho#?#zz5n~+uDkB)e)jIF z)m^o#t9y6V?p}=w1_o9I5B?>czZTIitzqWmY-Mjp!phFX!o*4<>S|?UO2Wp@M#93$ z%*w{h#=^=@qH5;kW@TbVqGV)ZO~Oi|DodhiW=tYsYUT2E!H<1^29f@+F8 z+S@BCUZe{>8S}GJjP8NAe6x1~}R{-gmnVEcHiil^9FF(%30M=5mQdeN5W`ao$ zY=R@Z_cZP`1GlKOJmoR{1IfhY?!Oc(_DNWfh@fMLW8{$c(w;@)ahwz8F1Uo9FxFem z!`2c_-Mo$8wtZ4BadOvq*f|8dOf(A71f%}GVeYW~NcbBop;$?%$(Mpz5v21ZMC8Ha znIK&i?vKPzxqEiExcqkrZ;Bs%SpVm?(;^;ItR>?~OIkcFS@!FKitGVuDiXgLw&4SM2l)X7}k2-)%&Dwhy#MuiL#_qDR*I40B@a?}bMy z24Qm1D^iJ46D+ZKC%hS}L*v#$7vvX4-8SP3*M20Cx%Ks7Gl|%u&Oj&Hg)_19n%JL9 zDREDH1URpc4vQD%iLYtI*wqMINt#FKpd7U~p3W}N?xt@H?|%PZ>z5hfH>=07$39VD z$mc%>pGA+HRGC9(pYhSTRr8IlVljz7Es95(<6KhK2&cFIcr}Ph`IS8K?u3s5#vzhn z=#U9~kvpx|E>f3b1dt-+>;(KgXPPJexygk!fipd@0ZMqVL6PHVMJt&`e7*HLp zX@nS>+#jknl2g06@TrgduNbxeZ@FqjqtCrC*4Kl)U|cUo!N1InM;6(vdno>VGpKWZ zaxwuGQW+ukH6hOjIe6*S$Eu|MV2;(jNW1F412enNn!v?A7$}-JO5X&Pv94No=W_4& z;al-4kbBo#;l}?dpdvczj$n|!Og>bR7_85ASljpC4C`~I(&?&vGGUaOEi(U&Tv~7{ zR>ZcsY-I;1!a}Lf-JmGu_Y^J}oM`-cJ+o4Lj&u7mdZ!~Uu<)kRrs z(3d86+uQ|eh>z(VF2)>#`3G5FHigJrwp!a9{+i~oaJV^w#b4kP-)#4qo=>CyLOnbi zH|CS7njWR5#a`xSzC~TMGh4vJ6DWo-UAb%Xe(KS2rU9mzx}t=B9eJyJr(w%XvYT=0 z4oh=~Y1hVJhbMX~_U-AACi3|1Q|u#HtQ_8s;gD+#<2ut>31+3x^SO%vGPZX6A3W?j zP?~>+V}yq7$aJMQoI=LgwTHj5Jts}Jx=s8IM;>n!y3W*VuJjJHvL>(<7f zN_6bqFP*ZZ+D*=&n$wsVmq2@BuM&BPZ#HTEa-uu^X)~(j01UA)rw7)FRgT6zP@WJ^ zD@P~I8Oph$3;*M%MQfwfoaXp35JFkflh4->S>ZiUr(VZ?yFB0`zi-U=ICb9KeKcDQ$suFVVvSmQR>F$N5tMvq2q^Kw~KHy z@mmRicr8cfP20^2(fg~CLv+Pu$TtDnxgkw8 zEX+mCK}|Jif#(hv){BONyH}Vk?=b;GH$sN=SknnP0l@1dTRQd*+4SV=Wqql3E@o3M z?BjbH%ZJs*uXVeNHX6<_*}KQ2M`oLJs}3teK(V`hJlR7;p&&Z7aSqPbsQZ4DGPh>_ z5V%%oS|gP#J+z&H?#8t$Z_6w#=~+A8N8*!V zQ5BZ4X2N(;lcHhtDVWJ5S4ix86(N1ht6Y}&`@fO2*-7WIbP|d8<)bmrYMKMhL1z6J z-CBem_tcEmXHy08F|(aNLY(85*79@h?KgopWBvMnkEW?#+2~cPHx5(BR!5oHACo+8 z2?4sgUPlWUBEunqiAV1WO}}3mbVi-JpGM1VMUGTg$bi`H;}W-I>$#0pm2f+#&Y|O= z`W!Xe&_>^Gp_Tfl(sEGKeWPDDfO`8rEv8xJGuQqjG!vxq&@_PScb#VOHt{EibH@+4 z1yu2Rg6-!mapArHr~4B$F)-`*iQ?LCvzo0?X5fEuYTB-q6|cyzDHH2az>t7l7!;XZ z(ZX7vp2)7fSHI3SCZC{gIGvo?K>vMp{xt$J>rjbKWzas6;Jyh6j7qO)RXxqjiBFWF zbxF_KbL0}RcJP6XQ60=GL(a_-wbN$*q3e(7(bV)7J!5iV8B^83(_DSL+Ma2mduG9& zi^k^v=61D_iH_oZ(L|3USM%){*5*4CJ}QD_pC%iHad!eM>U}aoL|Toh+MqY(0NF7; zE;g$ndH}0hi{$rionj3yEJ2BY{0T@cKZ(gSBe5YZ5q5C0mkd~xz7=tQ*lwzC;x`>K@>(wva$JB5V;`Ugsi0oUV6Nxoz+uTBt< zbWFZvj;JuTSJtC^i`b`IQ^n96^DStVeL|~#;?O7|H<*^%s7%9T#kt+Eu}9UbxFF5X z8o@%*KekEoX`k!p-nqsPG|rDih>P2qvV#U;6x>y7#UAAvRtm@4BC5L(#KCFURxi2nU=l;6m}i9^<@ zPI0nwO|P0fyd2LA6GNTDGqbjQE=e6RR{al-bI@SAbzh7r zqd1rkKxuDYUy!kv9&P2j4VRukd%L~qfmS?HM2DLl(c9?x+~@GW*YmzlPea2(KbOY2 zo@LS+3AlMXGiDtDR%efSnVIUcu{A!W#R%lcdg980YpDQ*`02Dj9wdsugWz4zH!Nz& zJpVYR|hXXj`AIspB$^L%OXa@qK4OZtb}jC!%{B0oZ{9>VVLr~f1cC=IHGH!&#; zDt0R*r_5CzdxNF9_8YQ5!ObjkK^Z=@Zn!>%kbGmn+_+Qg%T6-e9+&HpEYYd)Uz(74 zU&fw36-J-Y#A$$-XLBh({}dhfvd()%*tuG1CqUei5MGH`qy|%R6Xg5uyvCi_pz2(v3BA+lyxxmvluA1 zYa?wdBW)WXZEGNHp9d}QwF{g{8{j*H0-TEAPGsRSgixlW@fmyXj3PC}`gQ{##Xv5N<8}f7sH0SJD=;_wMmR5LC1G+GX29fV({h5!7}- zIrm->WkDRD3OmtB@K1o(&_P_mePjPzqq*8PKF^;svMrr6ivFVNi>WUFUm%vvU8NlM z%q#*o;7>W(;45_mY<=G-<=2(N4l2D;>3T3f=Ao;m0CwyD+uw%uLAUUAqE3VPo| zY!-r9`6my)IQrt`i?c5-z5uXp0-p7xLZY?mT%Oa0x-Qz)*O+fyit?1f!@N*W&d_`~ zK6f~-5X&sT3}s(h!E7DyC(t3(7ulfyPS2ukS({eWYR&Q(zPA@ZRTK)7;s5`p17gZs zAY6}vAq=vi4zqRj#D6sw6S% zEBw^{wJ^%1YdLv^9pY@E2AZcmAVGNs%W|FDia>wwdz@P%VQj@(@8B&pGrs2)n?$!2 zhU;hYcdAlR0on+CPNWcNi*VCe7h8 z4-p7L>r-3&trpjjhwU!aL{iDP;%&_cN@Y6Bcj;Xba?x+dzd4ZLESu0&3HFKz6VoCg zx&D?zGT@stZ=vpM3_~xTTR$M}W43l#yA5^^+n+ zgo(Fa+6Fra+>Fd`{0-TFG_Vu5LB=~$Q$&gh70=95W(~lO(bZmoboGQT37gDLomPN^ zvM5k&L)s)-4DdiK4ILIxFXNJA&g?d|mzGm;bI2{Y?5?kecq3-UVqb;D_XZf#hnE@3 zAj#J~=kLfA*D^&Ja;eV~u>U;kz|5m{PGMyoS?zQNL^sTD?~qt@XBj9L?t4_iftgeXHvMYv?(AOI;fQD z-jUH6B%*a|4bDt7cU(5sDb#A0#Yr@$RsEU%Pq~REg{>*c3P(M%Mt|~aG{$=rXq|pF z5Mf+hJ3S!Gt`*oGGj!mBPi@CbOYD+_3_i498SE^Wt;Sd}zEYr-)pQu-tO(S>_^HI` z32Vuy96h93PIM{ry*uv06GO4gb3LNcsoM6T4z%e&58GT`{krk`j?bv%cyuw+^O zmir>U=6hn7)MGZAQQUvldCJ!%!3F+^Y`_Ap%UQvnpc)gFOrDO>)|!9E=P%RlNB!aU zPutk!fe&ra$K0pX2T=M${A-fZ#vgto-aQPm3=mTzq!&_iREqq}{0IO=f8qdR56v{k zfY8TLK?Jvd%71nt6At>dVK-B|g%b=%o=yf~IhyJ+=#ujCf(bmpVrjzrH5eq5#n_m+ z_Cm7t3t>mMz&YJq?yxLwukkJ~udhdrV9TgHN)^S03bh~D6WDg8h}4t>RF5oLkQi#z z3BcfvFidgorKx?y~xd-oAmu%S(Co~+Wi56=jV6V+hx__FlG!| zdatsB+;2O(8b20x{1A5>J_}S_1lWFVEz5$=bz)g!%DK5a9Ub_G2^i`ngnIQ%8imW;mJqH=_*x+rG_H>!=j z$y-rpuwW_#V&IyXGqMrKi^q@ML#bu}=RYl@;rQ10S#t@*Thb|+*^6p8jTkxxjWNISkv2*A(2oWwFX81VB;V)1MoL7P zyueztOw8Vvfw*xDqc_{E0`5?`qzGjb4a>4ZTT=T$=4`U;J=T4;2!%O5dBhZJwwO8B zV;NbOatMRp-`!ir?xoD(o&ttwUz4=a663>FsE@leA7UlrTRY}Xth{_zFtX8Zpr`yz z8prr$a4j|&77G@`S(8(rHFYExEi@v0re)N+AgF1QlwJS9p~L6NiYDRhTrD~|M&(%F z6=8fN!~Ja1`yC~;jS)vDBg0NSPz3X?Hir1G(LhXeU7fb)Rzt1i6su9j`_5Pu?ApOa z*7}Av(IR^+v3>l#3+^Y+;IA@?GPJbBQue>+96#pEZ?qho;3!Gp(xy#4HYo?sF`)V< zSB6RmYbbAVa4#^LPD^BEl>1vW&M|N&pqs=YR5UBOdq{JBXLG6bSPoTq)Wj0<(HlgY zJP^2_yLfklw^fL6!xQr=`gKFSwhi-~WsTpwxOg*(eWr8o-|9{ldOXH=C9&tE?u89( zQr{Zkirpc;ym#-L@thG*^YA;7^*KNJG1Ybx5Hlu@^uT1Fd0>6G%nmbVjE%f|`R~EX z`f6rK@^s*dMe`G|v{eLd1)db$Zzjp(d4wG)r`G9O@?>bHTD^MG6zrJ%$=&y1vwW`L zAMwAgc)yPam5R=?%IXhn0w$}M|ptF`rGabL}EXFd$fb}9?9TQ;W+KKWj41)+%BRUOio>&^ns`7 zlZAc#%{;jO?V*iOi|Oj7Z|#qIPO6np`uw}SDDE4JqkDJu9gok4vG=;j$+wIcjwx#* z9`2jq{4^HX%EC%k7?w_E_WZg2ruzpZb-N%_e2KZS8|lWYHV=el%jsw}vR|Agb+k+YoNL#P8HUt|0j!{LSG|F~uy z1Q>~1l<)9TGu*V%q4ZcQe2C-&`8`$6?bF=6JI01fg(o!dh+zxv3IXbIO~HK~b2Ujm?-MG1%`*M^ zFkB==2%g))<&|2wNa*Xx73ljGgHG^Vi8;7nJweo>r-wBu(gf9;bJc9CzlFZhY&XC; z_Y|IEOGv11yOmu*)y`~neR{P^7a&dSdmIbGOAe=m67-SNtTlN}SU@#^8I&E?ju>*y z3-A2rAmjY}z{Em!t9v|Xb^a4yO0r#}GWeVKB`a-W>-c=&{#bQPPSqA+HcLTaNmrAt zx|Fr`$awfq4Oh7*Ir&CgC~=C7qOq#qORhuBRhreUP9tt=j++55A>s{3gk`wc22tv| zduW`YGlWThQthO2xY6E_k7!$PX;@)iVo+}3exn_w5?UBOBX)a@?eFr{`NuA`ysMEs`A`84#_gKrmj8I#@kw`IW8Y+_>=mNZE|`sY zPn_YVUKZ2?m+|{&PRQR6n;?K|lGSeC;|&j2$TqZ(=jrzfp_{@C>B0ps=Tp6>QobUE zQ9OcWqvvE3TF%XK>(7C5{8Udz_cHi)6Uk@ui8-?C#&oI{?fkm-(man&z|?n%qsqCV ziFz`j_7`v0*Kr@-wsXXTgN0a~LFVMBi<#o|C%8lzHI082#B-k%RP~)_cP)qGDS&$F zLi>#88Md#qHZmyJ^X1w6#VWdjd9p;V8HmsRs&vdX#QoZ?r%$ny$>#k4F<}bC#NBrB zXpTNM`PuLp-%fcpcZm=!Wm~=n5w_tC6+aJ~xB+ialuPdz_oi+!4is=#GZM4#aM7q$@=^~_WTBuh<6Apg! zr21!ytJ^?Vh#n_ySn;kh6oe2;N5%cB{*ix<9NHl?+NSKw_d}PeX6VRl94PM5ZT?vK zlG0ogxnEgYS-KVZlEIjGKjUqPoy?(|I~Hn1=O^Apd=TZ>oSO^8MX} zZvBwi!QbJQaO{V|7V$E)UG@$5?Ddi>y`=JPBfsW$ePr-MD&59e*p+R#zFdwEYGjzcPZh~=q$$?zSp9p~nNt)$ z`3f}X1t`y1F<@a0zyJFBt^2EgngͥHTa7uaVPvB$3xckEP?KO4a`^Ug1`P*zNJ3tmhbp-r8(PA38 zU!LR^{VduX$u;bDSqJSneWaf5q+jF~@$Y;BUuQH+{SvJWRX)f6z1sKZ>NiL~ zUuQa9C_eaEsea18V2^+Vf%lAx@2NF@t?#k!?|iK^pNK2=-Cwsaa~A0Ch}iDU`|<6v zX8iG;qQ__8nZ_e*}%Q!z;ZvfO46v(w0oc+cfM#ogQfj0MPh0{tW7K2{MV z?(6%;%n9;7dVBb)^fk<#QAq^kZf^&=X_`@CHoSbf0b0~uFBa!az7S3f&gkoqu%oN?9HvVrWBtDZRKb$B}5r!)p(ER)&wF3?>(&kS=@4i%TwS z(U?W3pZt7EGZqawYM~?%F}1m+PZ8;qgTqwE2Nq#fjd-K%bFHGF;E!l}vkyT6Ohqsx z&gmr?f{51Nfs0P%`TC}Z=(>ZG(#eP9jZL@*#(}FG2)L{w?@1dJmVSd_5~P;8`#Q_k z14`K})7p#y-}(?#8N?c33usgfL*e4fmKC*Nmp+!t8tBeEP>N9JH9DGa< z8`!k8qDB|@EwuH-&0&|BQztF)&>!%HOaga;@#;{TlSNxi<(iRFG(Q8U|LC2JidVf5RCF1NC71@l}IHT3bYssb62-}Fyxc*b5W2`_QY~6x!{W{RC zLBb2pvPG*A`>$Jtgcqu1ZiA&jp6g!BSX4AKLxqH4lLNi~TeSoqMB}w~pQ4xwP5Sp`cH=SsXU*>glD$Vw=@ZSFu(zpEukgIcy2lHsRqjy z(K5Hz@*~e@zF?1VcCLg$huLx~Wx7S|FlLGBEPJ5V zKd=Dqvn*~yCI`vCNh0Bl57|+1`(+}~8cdm-_eEdm3e6pRCaU{l%ayyi`6PO674aM^ zY{VsLp#2n^a8ndl8CH#xQUWrk8jX?HU^!EoIoTV0 zJGPuk)*x#mFeY~Kx6_S9p>H+Ol>A3)57FK5tNb_&-VDCpXaB3qbeK&J86nCeOG_FY zhEo-mLud2UvmQlZ_;h$lo0<35lm_5@^jZDEBKLiY-2gS?+@=se_I#@XS45HXhHTN<+N!t>kK8}xJ(5=HX-FTn?yRUBK8DRwn%@;P4Dl5-h$7&8W1+|iANryqx>=xKa$*M+R8?%%DG_s zWOKL&n=G-3fUtxjcJfU^o3Nh;0{_jo>w<(_D&d={%ubPh5aaR&9+MC3yz-tNt4@eo zme;i0&kfiE=0!GtL3)Xogh75BNMEU^qGO2{sQC=R`gOSAc>~!}Vn$M8{(ZV>^EUIR z{HR)&K!CY@xz+l;F`nYG@mkZOZZGY1c^X0TS)=`5jgD|JNK&#C%!6Cupy~cJ?qwv4 ziL)kn)E{4Af|$JE)`h!g*&d z8306IKfTK;340&%T5W;%!X!V;>6#JZqjfTGf1|yM&F?|~3rLZ?fxn49mU$};31dR2 zBdD?d-wg@YJnGfKNoqs25%2Lvg{A*za`q2~NavG!!g|E1%Gv1xi0;Ldt*ybHO8Kxp z{0KApU_J!IL~vQ6zJNH&IsfJUi}6m+Wxx*x9&JJ9|UJTI4$WEBN46GSfxN+PIfUu#;Pmi zoK^~zQrfBulC~<9r1%l7sL>!WY58Z|yeFJWj6sD86GmxH+90a=Kzo22A*?sfLg~N= zj^?qnDUSba3KE10+5v`YNZe{UN_cTZ+-ezYc=DGjg$)n>QYEnAuA;!DA21q&%3ENR zbIC-O57pNve{t~`W?)u`m5@+G-UTpmeR^{vq13%ZsFKYHNEuvS|n$$*65deC;hhCSozJt!HWTD@cI0uc>0*Q z>p9mnETw*FjGev;MFn~3a7?mDy=S1(E45kh`k&_D(Q_L_5m%R>y!Jqw%kOu;E#fMt z)#NM*J$~qR2#gvMiq!M|<)6b{jL{ciT*O=yrT=SMg^;jBSoATTC%8y>_?9eUppUyK zsxRW%1a-Egfm1{M#$;f-g`BV8nq%R};GQls9aL{Jo}`MB7$my#*7gV*N3g z#MW5XXwy>J2wWLQPe+YZ+Ik6H%}7y+{0TC6syy%b3{EU~4F`i$K%{CF9fMO}gk0v& zmv#ME^U;JDdcitYGQsjw8|X-!wpG*3$8W*hn)n?KQ_uwB?PF=w@i#8NxPfeguvxc{ z2*TXB(Yix4=-QplVolc74} z6gChk$qTvwW91)9Q#BKVa`tnrpe75)P>46e3V{e0ZtHlPj*1{8Gw-KLwAbw}Y2Mu} zr82d{9kymh*UO%A15UQeEt6P;fzu!Y-0z-`Ov2o~i(E0$maI>XEryfC-vWDd3L+T~ zqkTzBL^NaDOWsH=UPvuoNiD!tY-W#YQSzdk=jeC=!c@ow6ONk)p_foaTFLYuq6R0J zPF04Zj|QagXU!w~Qtxr-a~zS3zIZ4ekK!oR^KJet^(!_6a)zaL(kZy>@5UOuBq2#c z4#JI8+y)n+o#1iCg+ja7fh$zUuR}^lJ9ii1XrnzRZxp9X`P{=XE%PLcxg72c}b zWxX-|6NL$gtPqx9bUmd)I1vz*N1!WFDbT$4FAN+Go7(2ko_R>ZFOPk?8o_I~dW*lXwL6a& zEjYKoU;f=aswDy)B-aa)x})0ytdxEqSo;0+X)K=WaXa|cHOIEw8MNox+_a;B!}&vU zne$vs3}V1qmj$AQap}aJ)h@arcCPN#!LVzt;y&c{^tAWi zXUf;Bb727iU)-h|=nNsDisu+$pZnu)xH)$Z>sHIokES5YPN8NR?PuGi$x$>RQQ7y=kr#C%6iKl09_6BDbTmc1Yv|TW2T3KuSGA z4@^kO&sb!Hg*}t#fP0pp=tsWnkGCW3;feCc@p=UGqvUm+<`~;wG=BQ62zoi&uXI9( zPQnobe>$-11`Ma7G)IYg;<|iC1?>fDp!>P9+eD2#FQPve)6BE<;1CS?hP{?a<#4kP zcGbb^v)47asX1!Ei&{|);Kh5zmu9bB%hv-pu2xd9Jev$peT5EngbwBX!+3(9?0g(< zj0f7)u=tAm`|P1{{I0?uvuPe5Dmp&ma)G2hH)2;XMcgdM@1it)CbNlPMkSWE>3cfD zcAoY97>x7$lwkL2J-pN}q|~pZ)Iic1@SyD0IYVLs9LCeZna2sdQgm)L8*rpA^h$0u*mX0J?(8Tzb9;;iq<+T|olAyOxo@O?d!d~J z$UtkML;LqQ&_(FGkZ>yl+u{ya?c95?OL>_e0Q&)q&OU{QqY<>vr%76m&N|0QaCY8B9$_{~ufc~Ag?`yEqao?Usm z)>R74u=WD=jzQ?qROoQcchnhdPx!F2!;=Z@$B0j{4-v`J$)pxYyBW~t}l(##HiU@bIhBu zf1Y?vAiiT1Ze_HZc^bQ&c(PsU2OPA3A4v1n*b&*^M5A7vECmu`M z`6z(tE&UTO)GA^3_jv&3okmZbkY=35bHru`7!Q>1p0gMK$*JuF@*{HW63hq$5o*sy zO`jJ5$^q>iHDMgAPT2#ka0JBN0uZ(^jV)&st!<-K?zXPSN7Gww; zcA1(#xG|jnk$Q6+mA{61r0|x!5Hr^8 zpB)ydv^Lnh=qC7pnB_qkdZG%cE;Ul~wTj3T!#Er}0{;4riusNX_>O`LM|91=hfC>xiZU988I)*2 zLk45mNE;%-N>*QH7p6EyAv;u-Q=E`yrrf#RCJ1}eZrqz=iiF0gagyAdcSZku4`D;2W`967XD>F?~i>nbXSGNDL` zonC)Svo7kpQTgSR!5~YbEJy~v_+qW3Xj-XJ?$XrWAy$L7aMk?`xIdrIjb-Vqry@35 zZNP%f-GRO<#_`of7SGW{P>1dk4IvOkuni?dDa=8I8H}s%LvEWzwgTI~-=tyTLA5w# zJFc-zB(7i6oslpY95NW3_=vFidPqi3r(a!z_g}?Mh5F!o)iIXzu$ppHBp<<(;%Gwc z`|cp)K8qQgh01~TXZpw`o)2_g$&2ekIBtA%Oblo(6W%QDbu0$Aj<6$Vg2&)Q%sPd1 z_s#yzb8x5*o;Cr&;tnovU=fi}?sh~THD}Z!N+IO`v(?N_gOqn(w;6Gjh&k{Y`&Y}K zm3l?egj_~^%&^Oft}uH4-NU}J(_~rkJ6*$$go>mvg%07|9?5Wr8myk>NcU{)+gES# zqNdWN>S-wo|MkqSFri1X?R|-NT$FARP!&@YYN%h1VWQFPhX0M8%FY$$9a1RDfc>?L zFoN06Mb!!MyXfZ_IIG;J1hEEgi~5zorj-u@7(2xNP$2%-w?Kd5I}sQP z*(4wh2@f(0nflDExxgEy)3n{ z3Qx-S9&)eU>{@h%eVsmC{~Ph`D&NtQ_qdri(iR|T%Nwa7SkbEejHn~)zA5wmn!z9u z34c!4GW{W@iJWGKIOw&6WKjqvUl1ke^%u&ym`EvdhqCg1 zL~N__u(St~@gO>tW+6fScTPyampi{DtA+?f#tntOX^{Se{^M=B+I0r1lFfMwxbNuV z=pKoD@fU4fIT0#FxsqoCeS-{ve9qpVYGrY-HEAUlA_n{c;Q@LA=pn~^WU*tbFkg8~MFT?T{9U15eEsL$YgR$Fgf zqP_qL{#^(ix*K3LHEuY6G{KMK#Fp>%+g#n+i zrWHGi^|G+Q&db?vK4hMY87UFuZg!lSeYqKR5SmWFSo-~XOZ+@T?k(Ox4VJ!;)-zz$ z-Y=*`28Xtz9rRIM%n+EW!fu9I%btw&?bfdK8B&_C+>nCv?!nIHN3FB$iWvJ;Njwqv z-x)bpJzMnpq77(|-DYGH_wK99Wb?aT0GG1IE~Tr*OFC^zH%Sg{Srp<-+ZZ;YJxvxw z9X=lnno|5$X;Q+Ko*UosqDhNB5`{2kM|4KwS3aT#80SLft;9boVg9>~=)#IHa5o4G zuxD+1^9e9ndJhh{L#nBqt0#3r`i*s7O}9UlmKS!{Ru|`|b^{rvx_{m+k2DrP4P_xd zYg=pl7KH6VFBz}1y}Lsv`M>M*Qnw)CXhN;7O7YfMI9Yz&II%?k7#_OkyPIQ8uOQZ5 zeSHD+jvmp;iYR)# zCa$g_3Oen3Ja8XT207H!zG?lsY|@Tv6h%)=Y*6)jiZWysXnSU}wU5P!I*)4;^LUgQ zRh&||Ovl^d>oSQ@vLoF4-XNVZ#E-@zz}}@fDLUF`owCW{NyJ1#?u5x@#*RZ?#VfsD?xN<^i-459B7zo6A=MO0cW?kqKtdsMjce2- zm%$``@W&bbj%XKxf@ zwk9jujCQQTjGBfu@@XqeES;pr`5RtzRg6>#%;f5<2PS!iOVsKg|0=A#(}&GDlcpH> z`%>eg5lnK8Ftw6c$NyW!=D$|wndV!81-{{DIlva5fHUntFn++gM%|lbp2y+xntlTx zb9VMB>b3J8^Wb{BL@0Yc?+l=3;}5v+QnkCT+dTn-pK*q{4MD# z;hSZB6EEcTJ=}ymPb@S`+6$Pw>}PzKV)J6&B?vNcCG-?U4PPo{@A+10iQ5#Rl@vq{eMf^qf1JoSP%Hnr5A&(T>nCaz=r5tBbueIcFb2Mpl(#3nZaZKN=F z5Zi38qAU1LDBgqQc&e_@0?V;+<*tceYc7mFuYc(5LKx$gQNt+rxVMj=6#OFj}cYIs$!vHK#Yh1_L{?XG{qem!hA_H1I4h}ag89ekPU<1X1HbWg3wq_$dEtR zz2Z(#7dHRJxOddX6%Je4#VKZ6d!C|t?FSR(yAxlHz%yK*jSD{UEb8AcUDNyZ&LVdo zC~g<1zogkpy>|6}Af2XNgmIeb#eJf~e3T%066MrA@a!7Gu0<7l#aX;(RZZJ7a8qB0 zDs6vbIJkltl^SKg!m%jYTY;xt56}B*6aFjfCk<%$#5_IwtXE=kSD18AITh;QMXeQ6jLIFeJ*pX0Z4e9U};3 zAL(@cUhTecWImZUUOHZuw_a(i{;g1H>r((ecAA+zVntNxdJ;rWTc@TeVar&56~2?j z@9WBSbfUu}h3Ts^^AvCf;US0pIIPx$u)!95_Q-U#$v@r1bu_83xguAn$WJ_ZpzR}; zqbL8iIp;Jw_ncufmneVo7(n_uidkNwYksYxXnc=3ysYog9N=JMO0&l%kg3v^c!y}` zB+uPC@+yKqz5c8936PcRA0h7_QsT%v*&<-bMLI2a^UrQodlj+!cQb+SOD5Hv3(kYI znG=MA`T89qBR6=bJ3iPM$cdQ@#dQRNB+#{HZ01}hluy2U>T$IO9`^AjqUxGtC@xNP zO6T0zu*vx6_83#|_G>o2U@+;OMJisvM3D;Xg}-4<%M1_-0mBMy)E`F!(w5B&`t`yP zVH;7*6mG+bKPyoVv1lUjaR4-gi7P@IV0CpOx1}1Q{6p^jWGWGh#Pmn2JPx-}rwI$a zSx|!p*HYIvZd|B;M>tTuPATC2iQS(2U{NOd`9K6CieObRLRo&09W!s(=Q-(tbYO6t z5DE+RQ*$qhRHRvX%ni#%djww)CPe9_-UKP+M34jfuPhQCRhiAa!Jf?8+#Xh=H^N%7 zEh(#TS~>1Z=!7nzR=tg=eGOr;DbZ(%B^-e(>MAw#gjudY%3lP!&X+&tWg;Ci#gU2} zga2Y9_q~Y-Wq3b6YB-}a$;20wi*irqN!ZXmNIe-|4N{hwchhn&EhU6thjl&Z5rtH% z918Ey(5bEnZa=NjrN#aY@%$Z=3@`qV3rux@2i}FGB$aku$6rJ!8xJqw{fl0lYj>P@Tkd{|sip-7+SvU8 zJu)Oxw$FFHyaa+&&YFR=uqY+}Cw9(fmd(F4VL$Yo&jy~ApV%xdThl_6M&F6*ZwiaA zIrisoU}0rwYbJKFde7Oj4FrX3s&PZFacQ|Quoa*;*%G1h~ax1io|PR{ZG%s1Wwp`XGv1fWlH95SB%6KS)FUqx=KcZscC`7y*Ht@A z=8VzpfC5sDIq99_yODJi@(;!59{Sco^YV$T`)s!9LIT8%r)HP;Cw7;*TA@_?vZZRybcv6IwTP7p2Yg+bQsP$IF zcoh&~L)csSCUg{#qJpBeNqw-lR`etDdykE_eo zudMAn)rfm~fHqGNh6)~RH~6gCMDHW2f2R|zOtGvuZd`34LvgKCy&1{uygO{1$WaU# zzM7tFNC~qy#_#>^rcUwlb%}&*Vg9Ya>>Ro%#P!xxDoG*(uG1DtH&%KqG**x{88&}U PPmR?E`ucYO2=spdf2+f2 diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.sld_design_entry.sci b/CPLD-old/MAX/MAXII/db/RAM2GS.sld_design_entry.sci deleted file mode 100644 index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.sld_design_entry_dsc.sci b/CPLD-old/MAX/MAXII/db/RAM2GS.sld_design_entry_dsc.sci deleted file mode 100644 index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.smart_action.txt b/CPLD-old/MAX/MAXII/db/RAM2GS.smart_action.txt deleted file mode 100644 index c8e8a13..0000000 --- a/CPLD-old/MAX/MAXII/db/RAM2GS.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -DONE diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.sta.qmsg b/CPLD-old/MAX/MAXII/db/RAM2GS.sta.qmsg deleted file mode 100644 index 33c9310..0000000 --- a/CPLD-old/MAX/MAXII/db/RAM2GS.sta.qmsg +++ /dev/null @@ -1,23 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1629153618889 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618889 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Aug 16 18:40:18 2021 " "Processing started: Mon Aug 16 18:40:18 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1629153618889 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1629153618889 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXII -c RAM2GS " "Command: quartus_sta RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1629153618889 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1629153618967 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1629153619091 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1629153619138 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1629153619138 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1629153619201 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1629153619419 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1629153619466 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1629153619466 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1629153619481 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.339 -245.761 RCLK " " -8.339 -245.761 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.271 -88.383 PHI2 " " -8.271 -88.383 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.317 -2.784 nCRAS " " -0.317 -2.784 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.858 " "Worst-case hold slack is -16.858" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.858 -16.858 ARCLK " " -16.858 -16.858 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.363 -16.363 DRCLK " " -16.363 -16.363 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.103 -0.195 nCRAS " " -0.103 -0.195 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.060 -0.060 PHI2 " " -0.060 -0.060 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.192 0.000 RCLK " " 1.192 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1629153619481 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1629153619481 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1629153619559 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1629153619575 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1629153619575 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "374 " "Peak virtual memory: 374 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1629153619622 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Aug 16 18:40:19 2021 " "Processing ended: Mon Aug 16 18:40:19 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1629153619622 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1629153619622 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1629153619622 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1629153619622 ""} diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.sta.rdb b/CPLD-old/MAX/MAXII/db/RAM2GS.sta.rdb deleted file mode 100644 index 1d009218e1e950ef537174349c457013236bc5ef..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18145 zcmXVX1ymeO(=HAP?iSpFySqCC*TCWni@Oto26rd8FYfN{?hxGF{qlbQJ#)@XbSq+%|X)~2Lv>};egT+FO&%xo;I z?4)XDj;@v_W~4uiOhBZpq-t`cT4u(ilBSl&QGoUyo(I^&^%QEdrdPj1K~$kS_G^!^sOvU&96E|c}N3*zExkb-XdXm=0zv(YTRRD?FwB{tu1gwX2}F zEI!lW1Hx`%;yltz5XLX?))%rAr?fCRv++2QCNn)JL27e7AVAcw$jfDF^q*bS6!u?m zj&u#Y?S0XrXubWa@8-}m!q8Nvd1LYQOSUZ_!s>6tudQw}?NHV*c>VdbQg*&9)0g$F zt@`tcTYIFIHqY?gE;)FnrxJKD(~m{~{JQw};ieC=IUheZqK8UiCFvbm+EFGH&z}(A z9xD8Uw26fOg%0KJ!M3~dOxV~qa-ZG9$In1F)3-r;?<(4JEXvl(hrGP3UzNYwzHBD< zZe}{5o^Fj`WxPl_pVMPDUodywvHw#e^n-~C{n*Cn5@1G1dXxWmXzaE|gyacrKl2vQ zQEk+=W!Jjsx~uD2izu{`l}&Ui6z4Bgtyz1rPS}p*4OkKGI{p^z+7%%>tMdT&9m$T# zEE?5m2eOePlu4@?vUpDXlEDQBOTA}i>Z0Brtr+zNA?#_{zKIj>tc`_?>ybOaZ-=
    n*a7qWE>OyHgm( z!ug|{mV?=vYVyL(@H+ENfahxO1ntPN7V1VyFWvNjIm5@0Sk05$b4O3d)@ur7w|c71 zC%UWMYyFCeLk`m_BD?>aof3*C{~O2$!F<0YV53QRr5~8Y4qH5pmWFbhEpBbg(nsuE zv`Dk82xRf%S|g6?9%V6yTB#G}h(sk7^FHh#pOxj9hFYc^f**Rk$$G1UdC zs3In?i`a-vM<`UnXI3-%1=Bj?DhGt7f7#U73LnYWqv+a#R#tNkfGMiB8Q~R#Qaqh- zi9uYU!m@p^|61Bw550w$_A*x2NNT_h%_;80eRh6FJRvgpvMz-7M)nn;m+pW%0%LP> z-gns>x>(oY|Me}2~p&?txXYiJAmav0kbOjaY-*i;H$OE`l*%%1U2Uz zk8rYZTd}Jzc`kGItzIq-Saf%?1KT@7z75K*Hb4;Y45UtmbS8Vw_Cjy6|MUL4E60w2 zO?|CU*qS0}t#oa%Ui?~PoID!R{f5MaSm8bB2*pyRQ-}Z&viqhCxK^2(>m)kYA>VQF zR{wLz#{UIl!vk8T8X4|yNEsCEBy%#x8-<^~>@oXzf#8i4;Nf;?pj?2l%U*q?7DxRN zcfWs`Wc`<|tf=KgP{M$~8Mah465VKOB~%sS8yV%t%W{7&Dci-rkCE zQ;DJxa&ujkeEK z6{@c4Ha`Q>94r7@scCgJh?t}hBbj(p=;4uy0?YY0+hxr4c_*{?9m^5#wAT0qb-eJ5 zSezXWAMv*T3W*DN+M)EPk+~hw;qf5BUBJUgIKOYGC*d)(tFX8a&D1ld7p%P|K+x_s zq{to0VKR*@(y-vt^;wVS;!jd zdKq!vu1!5np|t?_fyOJvSw!-4zzrcnJj&i0P8ls48~=JP>K*dFzCd429;5K}rZmWw zZIUQg>^_0n=6T8X^*SU2)+V@$C}yiig{l^Jgf>P!@NI>YZBP`=^=Xr96=%ys0%N>R z@H8gdh~J%N!*WeJj!;RlwmTE;e*X#^M%u%DH*5+tT9`j*Zp~4KX4A@g+S_~XLrT!l z$S3C;#pxm` zR`BW>6DyvrO;&sU^ZHUC0X;ZAU8oA>O6U=A+qK{6Cd zX&E{hO|*6N-_L7q8A1m?nFN%eKFV0%NqbsLA3(hSSjWK8>twK?G$=t`Bb}xy{h|dIopwAt`;%Swry_za_ z#2prG-zit@L8Ge^yD8MuNK@$4qBrmg7w6-a#MD_YidSzH{SO?6X6l)@_Ar9q1RtNh zWQp`HC9^4D4c)t7HY=68xnT`0u2if7&vhxBG1M~&eo=5hSo%IqWSX+ji~`#7VLJH3 z4Y}X+d2Bj}qBeOnvRHkvn3m1lrJs20;csAGo%8vjwup z>e0&JrGSp7sKW4(S;d1s$hC77djpcs1)XIlyn?AKcJV9J@-m-T|K1bUX6Sk=j}V(3 zdH*$n2v>ul!o1V4!?VI0Is36`?zg zu8eq$IE=opQv|9Bod*fod9S#T9u5M0hvzOuZ__ONi-gX0!;FN4^h)i3lh}xzzwA-! zW;E3!Q_24}NyL`_p`y_G!M+ykGBn#+{pn(h!%!;4FkgR&v>!o95fnN#AiB+sOeZ_Q z>QgpG6LzSFN;39a%5AD#p_x_Ky45&4jW4ZsEmgT+$%>a93}d zPQ!WQ$$7dv-&NOOi8EvCO%~%ycGkq7p??zT!Fm?mTQC?h>(I$P47Xm^E^5c^b*YQX zl{k*lGJAq*p11W+b76fMp9rPsGY;ih?f$YcegtF}9@^cIT_8F)@15rGbG)%~gKEnd zEjVRZi;MJ%@9n-t|HmtMigN8$oAE-qda*H5abMGYXl|FGSm_pGf71;VI6F%^{-M@NF>V0reQA zRs}3xYZ_IGqqN&;+6~eWt@&3!2TvWU=Q&<$An>tg|8}+h&cR-73F62QxjaTKDp370 z?zz(LQ2@VKx$A$%JhRT5_>->@cG|&@MC^n&bpP34DYLb8bJW21DW}{`*rnig>TK08 z%SOqL=(grnVlSr=+GmRJLArHcfm7J2)+-M_)?1i;a-M_@mk@P*;Kl8Q`?eM0%c^}$mM&S!~at*TTVDf;zw*YktGus99zWqr7gn=5WbY{5w> zetOG2M6yIg=ej3lR!d4tcxg3N38BDJ-jk=@#q3}KB_e6}n#)NEelcf@m4@%>&vZQ~ zeq$~-k;IeV+)8i9z-?tzAG&<$rRgOyUWjz}gVec1Yh?-39SRR8pl5>CAH!~g!y%9@a z6a`~b&WLv1c3ahfOGSaTucia!TB+c9{uAN}6?YSc~ zxvDj`{RPX4SExHv>9T*5lXt&7rm@w!9ZqIThCE=Cp8G_}PvkvLPGvC)@2M2vPAuQ} znCi56ja6imdFH2GqF!p?Ut;oZwztCus%y$Ouf0)yp~<~)Iw2w71DSEP2iJw0o?Jwl zI}9!(b{V-Yv6YM`Mxx#qw=>uTEW`ZXtx8w!So#) zsZ4LZ8=?iiU15-BRbhK&woSH&|JvB*oYXKvd8##K<5YCF7v%LuXwQ-AIyl>QFcYvb z+KsTX_oucOGU&(7*X8~CtI!oi;0a5eu3HG)gnoU_0!JvPQ#7B}pcDMMkzEWo<#K=~ zJoRr2yF2Hr%K%B0j>EN^?DVz@3zu4u@ym1R5ww)Q4(M*l6k^3bk5oJ$SOB{^>iR`S zcAo)w#KmQnoP8ve^&maXQq!o4j3V9IKHNKc(WNC6E4_FWX1+e0-^smpA)1`@m@_e9 zvS&Q zvd80HUSL4fev1$`x4s@!Iy$Qy&|1|o0p(Vw1ZMXb(EOGGBjdRB#1$5qr1c$VL6cmD z&~@>KwZDEo5{~cCkx^L)jp^3<%(!2VIkP=f!_Ui?;jbT+q-A_~(f7<;=dc;yvb0bvY81XojeJPpE`QUdoi9NVn?UGY)^05DCYJUr5Jk;9QBJ0@hn1Y~3WXHgu;AFaKY zv~p$N-+oRp&a%g+Kjkxx3#@gP+`90qRmv&oZr56nj$-n;1t4;zi#GHf47td(BIphqcK* zk)K%^8cm&GUnA|#F}BI0^GP0JT02qPgnvDwOr3Of`ro2@xxTl%%a!fg z?MrPkB23MNZqLj0J)zP=~l!r1)&sgF$c%j zWymy)x!1OH3gb+x8EV- zHw%Rqo?F*y4k%XHwEa*DJ-&(Q!`+0zz1er)m$kqxws7{?v)njE-sGGrK%{pHBM{^_ zH`!Q7A(>O1`yP7xpfTqjeJ>V9@D%nN6OUt~Ec^s6@-7U?dn+W1)nk&(dft_1_^&sc z7hFSCaAo{nG8b`x@V$g)N#aO>-2IXfiR)Y0La9#k6R_YJSg&7Vmh8Dh=(A*cOK$t1 zGtAV^?$zMN*;}rYihVxVvfer^y}vwLHC`mz#c0qtX;AFDLnXdrbMTJ~;M&o;NwOBV z%9P3dpub_2q8FRD4si~3n#x{9=VPWo zh#wq7Y5JtNPf6{c44AZj_y)If_MZRnodX$qc1ka5XuG}l92rl10F?#-@zH8d$&SrG z@^Oqe;pwRNt*pH|r!&lQ2)@wVQ0d2vuiJsAAMmLS=e$IH{B6nA;IJbB)j^yLpnUPu zX^Yc6p0_VI9ZTf)M#uh~?bHZ#-leKP=2pdd3w?R;+Vrq(WY=U;ABCC?-iOQo0Td_e z;$5QVTJ5nh9_KZ6VA|Z}YPHhIR%KdZw0)vHekZr31`+qhwefGtEldsVJ1l*0d3|jN z;VeR96n6R2*ui-q1ph9;+XnfBI2;|pwEOZejX$O%!OjnKxY(OD`oz%%bJtw$56j!y zemB=D6d(V6%S4}{O`A4xbnkTq4hM3qRG-cQ_wQp2Z%AX;mzMsRNXI7^pz~8m-DR&E}OL zZw$5M{@y;tN2!HV++I2@ueG?`62N*yH8}*;$?b*p?fh0Vje4K;;HlJL33R9Ih$p5WO z$i^8JxtSL1w_G%Sw({?rb@Tdn669~fGhbzM6h&eoBSMIX@dOx7F{|vFbL?kdY2X7k zh`pI;DntJ8M*pdsFLVlhIq3aG;A8gNb<*&0Olv~mX7}=+{a1e@fqGQq1fOouCRAEWLpqNwD=H&Hsxy9b$J&)ck7%>{P9{kZd*t~s3k7`fk zi2x- z7uwxd&Gjf~L-B^^FaKhutD@m}-*2R5 zT}F-LhQGecEI}W7mt>gYKxy5GWJ2G9Iq=+Atvfe|`Fs?NK@l(C^2i7K!mn7^4{47K z&CXu}E!XKpgx$WG4kJi{W!|V8-L)fjKcM{!0$Lb%1Q1_~YtlJSa6YCpLOw_$L$xZj zlzq((N*&o^3{5p&!&G4lSNCVEB@+s4MwjR1=36v4tvXanxz1{z_B2Zr8U5m?H@c*U z{E+MTOu3WFB|&pGYW{UkZ}3UTh=-I4qd{d!1d5y#lNG((42Kol5#;%B!q$ z9vWhRByTsdEK5%m^0;PfVhi>e1=4-|RTrIZ^j;EYnjl;&1~OQq@lSOkSid&z{Y!uk zbU+T`-H=Hy1CokqhqrQ_;~$#`*ugI>@~I9~vY zpjZ+>RaPjx)LjBSMpr43r$RA|h-JuW+7!g`Gu=8#m*@m7qUwrE zXznh*Bu2MP?P6JO!Ae`wz+%|84?2%j65s;LG8{$wWu-QB2P)TW!~t%lDVE8U)U!f^ z<}K+YVmbF###L*L6!%}W$@wp#V$#|Re|MGIMmTq|7_tkW(x+@bhk?23B)LjTUf>y4 zYXfmaxmNx2b<1?0O_1)@rn^dQh{)3Cz;bzSQm_qDVL#|Ok8SzC&TCfZws+;Os{>Cs zRy3@*%ZUkhmp3N?%mdIj0#In*_MnrhDQ?IV@$C@nIy(lSyAv(N4cn_YV#tqcSDm3qVOd|Dd`T!SE z@cYfWkvMwVMG)D*bpyQ4%Uf-m)uE4ZhLuSv4CEQ_u-gUlvIJAvm5))R)(**r_O>E9 zkLbSli$64kd%O(kXUcCF^3F-!-;+}+a&vCg&3Y#9yiij0kZDVJ799b}WzZ%#>P zemAk^l_jJsoPi4r8bh_6344FEKsJ-L^m7L>3FjtAWoFarF{T_6wkKePqwWvDUON?m z_NYAS2$5dM3(|e7cm5|J)RfWDG!AUW&%;x0=F2?YohFEM_(P3P1hBgh2ljOnV3{l* z)mSZU9~$xEBhZdN{+8`1r7AcW z!wY*To#N4AB33f|dg6TK1z0%6LI4YZcenr_kOT|izHH>~o?m?T1|h0Kc?{I>_t;l_ zxNuH91US{J*9h~!@8|(86mt7frMad!uhRI|G~_8-76~#PJpjKh>6Il;Y~yDQPJj5g z>DPBc9b#|j^;ZrrMOgpoIDSwhveiEXh(fHVAGM3>Xenu(z%BwA{)t;Xuz;zF@Bg%G zh*X9x?p7K9wDC#i|3D1z!)cl|pR04it7nB4TvR}v*cB#&avOqbxmA(v59>Zot^Efa zK5c#nux5RhgY82(gASN%-n^t#s!%i%i!jT=V^<_Su<$ohUw9;Pjgo(ig8paDcqv6v z1f6f9nmY*&oL-7S{>?j$*-`{1aZiQrv<~%PsvRSHoga4y^1%g$^bXb~Ox}6wG|V5W z1CK&NGikHxp~UoNXTZC(@n6*XmRDUPbsPO~g9OMLA8v3jcK?5NnK6Gv81@b;#0kg2hUUIl!FNvppQMAGK?HN?o0M)L zH1yLA9fi@#gI7Y$z8g7o&-S1nK;;QzUGdUJt<{-^eT_9H)}>7omUR!TdtjSbIqdz{ zfTM}Ls%QjiK@Fny+~3nI8`0IKe72Rag~3-V1z)L}G30jU@h3HOp^aEdYTd0e5UH)! zBF&$jy7Pr`CkcG2*r-g5BRwU6M9^2bLyg7LF~NdK1P&>SHk7(mShE!z7@WuTLuK+@ zhzt4i#uyczu}CmU&RT2TQ#cVW%dawURawGZRdDU5*qf8tQNs!(>LEXPMigc1U4sO=PTpg48J=cS8RV3zB z+KNbR$y@%3mfc627A$LvYp>4a*(H0t2*0L9(l~wK;tPO$lqTgeXUD&9*vr8C&j zW;)>()vfzxkin<9fe@~3X_S-*mZgs{?YlIIJw{si?n!Tse;QyNDQs^IK-T5@zIFWM z4zOA#WoV#aVSUr~$4mCKf-ccIqim_0tCn&X!6103g6jdy+(aY>jQySmCI$tTeRlM( z5)GUxhLEmp3oTec-Cw;7zFY#!sO|-w$gVySW6r;%%K|Kx0y|I3`Yczq+Kev#rdW3c z1szz7zd7294fEy|j7cw|;WUdlg%(8U>bhiV%G!Yd0f&?TPQVTgs+Ryoj%F_ z{b4%-i2@Gs$)CO^0M}M5UwGn3j;U>2m{lEM5S`GXYY32k6~QS86*ujOUdj#?ug;{I zTmlhsErYKVhATQ^wTfE&$wk0m=9++wU&3Q%OhKf!6qT5Ln3t(!3O(xNR&DCxb>`<2 zS&)%0G1#Wm*jSqDO-<7kYWf1GOOAmB)t?=9PqJ!*so}bSTg3j;xfVKZ-^y^I3ze8r z9|0JICiNDzfbpcv?Kq>=XleEsu5mh@VR!5{Z4-uB_~AKs3I<2&+2rOF_rl5a8tq{M zjwC8L3{cV+%AA5u9I#a0CL1m$Dp<569hKTDA`yKDO2>_bwf3wJyQEfPq_(uAYK_p$r%f6Z$k~((q;7gpph{u^Mk#=Gy;74geQUTs3-5 z%f1lxgZKGUPHddLblKPe(mH+epSaJ>SJ`~n8l9|FF()yaSdtc;$VZz)` zCzDi$?rK4HQVY<~J!gg^C;rTf4k02f;T!mCNv6v&J45O>;CK?K(O}#Q17cS=t3@waTXiBm5Z2h^3w6U<_fojfSfmuneZa<1E~$$rq&5DYP#eNC6>~;a>#Ij+cC3^JFVQHq(z9 zzR(M#(;ea6QRHchL(G`vqD~RyX%RXVjJiRtlH$`!w?dESZVDZl*nWX_P&_Y62Pmu` z=@9PCM{5(n3CLs66*uZPxCI$YR>W(!P7izL!@t5`Zpy%c(#u&E<5M2t^&*docAaf> zkF}A3mGJlJkBS7xdvAO@!-TabJKZ!03Ys{&i+@FkJ@}6K+z=m~sp|g-50;`v@FYR{ z0pQCvb|sO^@Klx*&z@&W+tGb4 z*aO++*p(J2HNx!u8NJb06vnT74Fk<@5b<9T#|)sR8N9?!@KmV0zKo6imk1bOo{=}l zNpXS87S#&XAIqKYiZ;#k$9KUaaH&HWAJ82xhW0}A2PO&TEO#3pF~Zyj{wEeLB|d*~ z0KixpMHHX4q`9E~-rm+lY)zU*CJPOgPgT0T+JYZ-#XL`32&WjRxjI z#@Qa{nJ^8xF&GpJik|lR?M|sqg6xY2ren-E`+guIpIfr$=uhSf&cSDRcMMV3lF=(} z29*w>S-|GmNF$69KgsD6e+u)ld@kz1yb{i=mJ}gcsngAc#@{X zi_OVnuRuty@pxN}lu<;3d+XYFOi_{)1Og`&JjMhag_2Y5phn4HJf zYUj@=S5t*S1K}62R+0wSC?La=eh`X-XR_s90jTl&3CVN8@p6XM){3A6|U8#0zv zvRG^0G=7uFQh0m#{P-mJ~3~=eD0beAJ23TRto%?mn95n z8kfpcWDCE+%jPicYv>;Y^I8I^+WZu0br$}9lWv)*^wTLLP-6fkXew6~sy1#ouDeR( z$R8kA!)2*4hu6SBjS#WepKThcn(A8k3R9-S_qsyKfeo|Z_)=H}4Bt;Pg*@5QUO>p5 zvM!AU%h78{M9xqx)Bx#3*kEd#zx(T`dfv0e<$PKqv@uylBX~(qLWvCB;MV_z&R53V z6!?Cm8d7IHI7ION8b_S;&m<*EoPJ5cr#X^O3Tx@p1bD`2oQe8GrUMR$fxR@g~|3f@6E z=^>tx7?I~N@~VL;iA}!J8UAjE1^vaVfF1&AY;v4Xlnp9=c;vvO#6c(};z3#-aX&y- z7j67AjjbbkW7Ryc|niNb-+9XF+o@YE$43Qvchwj>*&hq>Adz*dgK z`g;ue)8DglJp=06bi2rd={Hh)N@Key_4_%{j;+Y?PWvSFY-L=rt0&w8P*D};@EGl! zT`{Wxb(C^l-c=?;6`wuRbK~8|H~YD+hImqsV3YN>djTQN( z68V}5Nr4~mM@`z=Sb$|?Re9zDsD!+!pR-OBX^P~Eq;%$=*#=uPz@vhms2XGd$2KU}nbCr9zBacFB96Y83hUdw_**#Cc z3rW>*+33$v11e-O4n&|j!qjZGRqunk-Hq6 zb^}E;gF7xfj)2tCpoDuqOF9v56DDE5Sm%YE+Jjb zRyK}7VXV95#It&P&Sfq^Pg2AX_Wsr&${(c5Kv4gvgL5#6uK`k26XkP;XUy(MI3p0W=G^=;27wyEWR`V(B=?28_&{ z{c|})vh@w3yp0m!q1w;L3v@=qCxF2a$=RP2{{JY55;=mbuHvks!AZw;8u9aFeMrjiP_9~qta{|ItKvd1U*)Kxwaqt(T#do_r%-Iys>zE^-6(sgrAxW?I6u6k z`7^c**Hj^BwwlWrMo5uB`y3ZCZAAi$D($-WJ&cndy1-A4sP^0!HfSZ>ZzE zI?7ZwbK&UJxLMMVPoIs}yfU}N=E!*joy`_H1XYm#f7%HgIM1aMiSx~)p>I;}EjYnpbzwS~f|AKjGd zv2II@uA@x*8W)a3flqX8y5eUR?*5%T>ADgpa_a-f5E?dUAC4lf9VBE z)Mf~A;xm72bwj>;SD@rQ&C)aZh~$L4V&0m9hFf5d{=OO|Kz<-#bFZ1=*6WxI>>GhGB)v zKDv7Qs7eYGS(^o3-f`Nbucm=4UKQNK>7w5DYp?@whX7P5rzc`KG?2oqV#unXT z@)#Hhno31-`|1ZEgIRr>}TnW@9DGflZwpZ<43KkZfk4 zOgXp4iTzunDATsMgCS`*WH8$0Tf>#rh~#)`-%|>o^8lcmYiQlHwkSn=0*}F1C%vrD3ezg10vrKeVj@vquKs5 z4lE32gc<~FE#r50L|0Wnh>^ZXx^}Ep6R_PsiqEDE5Y7$e?XuWba}xHt1} zoG4EIt0k-q@k^gq`eS&)>e55GVdw;B(SdMC^X#=)8y*rMJIVM}=+JsJdadyV6Y=Gu zIV$SwBiz56xx;<*tA9DjZZtYcgP4;sZM0`$GwsM}nCf$%rwjNp$nOWF$YQjR27dk= zst0IcHGWO}v+Xj-4-K+%lU;$Do89J{ut2Um8Jg2kUrY@T86aGD+0T9B%Pu$TMf_>O z#PGEl=hXP~O*qMn2*rjV$|o5%gOlCiSrJgW8TiFMZK$WmgXF-UBc{T5@n9P$Fy7Fr zw)!_a++9Anx%|ndX`g__4+B%Bjk#b;y29F*wNuKXd%_JK8UWY%(Sw}6MU23;XoYKp zFY)>zqb-fDpliJhbu9ysMpLLdF9n!M@tggY&EonJ?Bac`$U2Xw9*_B3Ewb5%I!eur zVl9hJEdlNsUvtMnQnK5)c&CgNvUlUxQzlz?)FzhXpZp#Zg%X(42W72_@4tuFHfh-< zb?%);VEF5WsMQ>catHFGDNnGXUJ;`ps>Z)BjKLsBf>UBYNfB6Zmh(i zKQQJ(<7H!|{VYO+>Le>rn$uEvplbN`M|@J}$+R#r2gNl9+bsI}aG3vC?rgNV$5=VN zSFtTk62R4^*-__7meb@(QmwIf{Gnia5Y6wuN`4U;d!dBcS{n2Dk-kLJjM~@dkp3U9 zkJ~}vK&W^;q>H;+Wf}j>FtRPeT4&KyaB1Fg)z8&$&dSwKVl95AYHbIU)^Sdw4kZPzc(}7wmMM@b@}?}STt%!!&vdO zzXh!Y@0fO!dAKMSj3%}|q(>YzZ>m4MbO{f4O#mXaYgsTP@`Wi1SAl^qreP0hw8Vpi zh&1FkGKKDysoN#xtgQiXbAz#L=Ru?0N`cYC69z>JRX0Dh_TBy)4~p(0%_>9QazS8g z9$u5qHERSXjqqBQF3C&5?6FBxNyZZuiMU{fH_6MwtX0_c(}tRPSdlB^JnHI{!!g03 z8sYh-uP*3x?s>^ibL*YhU?oh{r>WlMK8{sXaPN^JBci3D9zwAJ!o6sQc1&gdGuMunZyoYr9}cm5X(wtSJXJWo1?`L;e`3fnOd?$Hx$#Fdc%W4kB+H@7e%9x~{HR-6 zuMVQVyIbM3w0vdR=42$sucDC`or(+MCkb>t!!wA}3;lyp5#^DusRYxnY3nkT*N4;X ztpU@I77qhUm11rFw= z*Cea+3w9c%$zuaAA7!&?M#t4R7HDVFDzh)s-|7bOCO8s`Wa{jM+v{;&T*TsG##qrC z(2nbZkUh(RG1Xege@UmKidOx|tr-7EO`!9jBz|rw73i==PzET#$%E|5W?Pm&5I`A>MF-&FVQzK!}JUF_>g_S$2&(9 zjdlUtQa%AO=1_dnSW`W0Rs^wJ)FKNBg4~{YiR26QSeU4mm&)Rxs7VBEgr3_0(m}Ig z>?CYan|)*dwmVEdPZ-z+8=6Q#Z!SIRKQ{h%ROVmC>?nV1oCjX>$JKf}u_MdHPdwt) zc2K*+=bstO|15GR??Ol(TMn*YxMC8$@9@_vRZF>6_H(7T+qWa1Ww98<2lm7Uo)$|+ z3v`Q2cwU^*PDaQV?h1W6$7{^_LS0Vv=_je;1yX;JVAqE0d>jq{Tt z4A<6Lb@i{D=bRMizk!dRyWz{B+l+nTfDEd?f|AQ|hQ(n#Gq7C}*kWJ$xZGTmeJ zmZ)k(r2hAULJB*xy=N zmFtjXgfM(q++IfbDkg(tdEfkAtWm~6t4z6i1&`}i%qRU4N+FcAOxDXY=@utdvf^ma zYCps>anlZCom26eHvCDh=j)Vee&crdkNuW!U^P!v=JZL2e=E?bKl*h=NR8_-0P4M& z6hiU)7m~mMH&kd~#gVb%G-Re9gt|f7nn1cJSF&FH6ePX>aIN`RtL#Z%<1T=8-*OuetrGuQZvBSbWi zvHgSfMoQyAS0RM8=!g1P+lICO>t!vK1z!ZOWFGGr?K!4XZf}a32%WhB<0^zAAI;~B z{(R&8`UXwJS)(yp25ff2Su9#MZ1zg-wu-OQ^70+^Z|4@xTELXrN^%`q&NQVpry=r% zSKvm>L0btK^X|`+;$J=W0&p+4|hRh!w= zyTHdDb=90q^~g;&Ysn=xtZq6Uik$N`Jg)Jc)dLma9T&U%4>rEHzM~c@Ig`2({q#OB zFC{XlHnZT1X+>ccdhi@CpO^b{^eNNvxJIurp7}}ItV%N1xP1rp+Y}J-#dukexe<@o zdRlmrxv8o8POi}N#O+SBqUV*vMW%x)#2|e`(#|mep6wX4Nb)kl)*CX zN0-cdQtCYtPB1d)}t(*KoW>&;*ZDbDt@iN!V}6h*2!)NV?BCs{m=A#Oe;vDG*U$GLD!w zzrrJzhzO2<=b^k#-1x&Zt#BY=$dy~!@803pm0_lc4;wE^#dIGnzyNJ=Z1A7n8KYK% z39yuX_(Jcq#t_)7u72VcyR7=r`2E6=d)#Wubl2O`2 zxeekuFlevv=>6*Vl-PP+nWje{ztXg+!9g-^64Y-BWp1{Q9Ojy-=cveM8BC<`GXzmB z5Wp$M4B)a3h&3Ve@Kv+-gi`MBD>3cF3~B4yUreV`ueC-|Hhmqp_AmiajV~xPHOxU#*CkzooEDKmkU$p}&)|WZ|gQ%3mxD=f$(25c$ehPn; z3j*t%zak~1{fCSH#K|`Oi4$1}dM~*s6X`wO z!;gZ@47o}}H6R>1&yZ+%cEuKE&Y8Xe%QZ7BsS$ojz+L~%!(!mB3$o4XB7^ogvG4iH z-KNL6ccTDzy>QjHvp(IVP zR<*`g=-!q-hs@rVinJ?efi#UX_wzTDF&h4m*91atxqw?3PHECmP}`LXvmTx;ZIDQE z@-^5p zi$Vr<@HMF19eg#PRzxfe|Iy3L9FR78p0HxudlXZh)G6LrCHiyeZpr7$ignp#c2W7V4@&ZCz1qYGa@h#*6s5QV5G zH7yWo;>SQ5`zYiJfG?1p6Co&ro`WMj8GnB*^gl^avV+pqpMz|epICwb;|Xm37~rTr z)qhafpbU^rQ5lMv`F|;x{*2L;zaRV4=h{_RNPfgn`GZn@}t)(zMzfoR+ zX**2WHfsltkGa)aFq2TcXwF%Boem{3;{e6~UoZY8bihR+;;kg@B|J1b0lLzVwwM@(m*Ggnz-@At>95jOyribCs)LzW;D}VIFS4 zYSN>?L(+{oIqWM}>xstUPf`i{D!2vI^z3>V)TZ$lh*vvHu^cG1a=bzjTTa=qk#|yk z*RGN{G~6dRrC(BYoVH-~mThWLPm%wm0DrX*RgoQ=+@c#Z}EVeDqH@Z5Hx9VEWj$rHA76y!z6RjVtt3GMf|JRVS!!&M& zA+2`O&zhGL`2;swq5a~X7Hy-YVyvZ;Fj{)CRt0?3%TVptzYYC?FkR}CNnQg z+mYfJwwF$y^;aB09CJCTz(yGZf@!tF#6wsOckh&B|M1Gz#CQ-u`P(B4tH*JO$qWxg zSOr`YYBZchcw{T`?lP!l=JPR5F|5u%%V&a0;_Dv2CDY@L|fPj*-ryiD}X)(-Khl5tIgy>UC(xD4eOxppRNXCRu1wsguYT)r{6K!!3;%GR$z^8fU zrpj@0FM>o%^=N$FgG9|jnQ27$jXZXzK5!LtU%7a(vB1712@&m$4MRv35pLw*PNI%s?^S*B@K@Wfa|k z%3kn&WOAQ=B;`(@3%9(bk<3q^&@s~oFDB(iC}*qd0F_Dh)o>RtIcFNj-lxZ@bkSoY z&@64!yN6`%rm$ML4S_O;t;xXDqf(;FgIMTCz;_ z;vx6GHCIZ!4c%wXJAOO*Q(h0yl=sti%DmmPXXjqu{7anjmY?z*FU>sZ+EBdsux7Pc zTzgKx*f}nc@J5-Fy)%{P8f*_2Z{>O~c6#ES8J3qgtA88KZ=9R;0>(eQF?Q?eR@X3O z4cjxcB7dBiSl<2ABkzpN%a*-!)oNS&Wh%Ev^gTItW}@GW+uB?F=YX67Go`q?J8p`I zUD1=fO_Fos6kbN8-)lMW&HGDUYr#b2|MQ&MQo2iw*MI@{E#N*o`7gK69&%A#8uWVk;*|C!A1+Vz+N*WCNKC(G-PBoIj?bH6J!`wp z?1M8N_+HhS{c-iwsMOs$KRaShc5*prubzJO>|L9{YY)6^CqLS>ZWZIJc}Jdl^@W}( z4V5mKyghO+NNBCd^sg&oCZ3*j^?xyM_S($%JN-6HdF@4jFqod5RXJ^2y0+!rpmq3& zm~|_kHZBtnK00+yLY~gyeT+r1Cpo8KA)XcS=2U*zR%9ld>i@-jKWE>=_T^f?u1wq~ zy0!23*{_*KcC+61PhKo^{R-RKCw1Q0*{a{8PnAN6*{4fo)n~lEoC0*qL6C!h#7f>M zpiQqzRn;Sa>eOePHr?u2+5BoRU-*TR#Hg*4exL2N7tsnU+P^d=+>3E~k#Ft=uXpC* z>sRtJefR#WaOJ??+iZ!~pZ=-XGXLrQt)?F(%=hlG`E))fKV5wH<=4VHpZ_^C^X+Hj z?<c{;bRDfDf$` zPq*ARpLP5RZ_Kj$!Kp?*TZEo{JWz8%5KH4OMKCd)#bPE3LCw>(uYw{%;RF2Ic?%3dTq23CSD@DG58OejHL$Wn*It zRNyNr?BXx{*2!O3@~N=*d-Q6R=&9K3eF`GDhs=soNH@ko0S6Z z95}%krj@ee7|)UrmQ`1-UO##D=+UdJuY%m<*mxJ5IqLt2dve1Tkr2@%#s?MqJ~Ga$ z`?$_--M)2o0`5y*Hs4vs5VHN}!EMsFba;4#G^%X=%GAatT1iwM)Vk{PY-u_}Xy?L} zJdLX#v#jpE`|-n7#|6R(_nLMpow$5ncHI>IoW!#yClxKo>`-8Bx4W{iXAKXVgMItP z$X`sFY>pz?iiSr{oKaw$^0>HUPS2Vn$FJVK$S!8`CPCGGzilzgFCiJr2PcYqIT}|c tBwb*5W%jMGn{8!->-yRI`@6FRe0c4d*#pilneATL;Pv1lS1BtvoB@ofU_$@^ diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb b/CPLD-old/MAX/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb deleted file mode 100644 index d59ad7a65cda3686b87c8e5cb006da78392a2ba0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 36170 zcmd42`Cn4o8#a87=5fx{oN}PDq%<|N6enmhD^n|{aw0P`Q^R>kgkw3C1E);QM6oor z%qeq91;c^V1hbrSK%m5O0K{Y~2oHUq=ePGCc=7XL@9kRq+V>jvb>H{37RrD9^;eDj z-{S8m@!v`D(IYT2Dj0ERx0$)gVH306Cu4#`Z|pu|eq{Gy3sbWrrbiB&neTQDjEoHq z2;62bKyFCN1@3y}Y94)?6l`kQN`RlKwhyOeLH7WIfkLR=h?=k-GrB101 zb$8x6Iv~^cfO^IQ*#pfIvHnID1`lU+Nq2hhNVV&=%QvWbCxo8?#i$ zrP{|zLW@L`ptMQ8*EoLco0%Q6WM2GvaJ%W-X6M~F@0k1jdEN;#voq?8Z~ezjd_9(C zeFI14I3Z22-hGt!*<P`UxTbl45?G0^h;Zu6o>{(bdiNX23@ax#aYWF&9LCu!u9j3^X zT<|&D1xq?u_jS1a)F>Mw*O1K` z!<^T`H}sbg6?b3daJ6Zl{eGB^f>dGO7_IimP(eA0WFTCqQzj_uF|>=F-F>pZsmAzF z#{)*rE&CxZEWY#eTHical3zT2t9X^<7ONZI03UhF9f=vx&Lu=NRSG+>zaY?x-%o#S z`SPC%D%T0WFn7ze>&zyr=^1zLIDqxW_xd#@*(bVp-ogk+P-h4IOnobtqZ1!`<^>2| z&BXM@7LQe8f05oUR{Yj+5kEJoz3$6qfVVT_xzDj222r~xw(@R(HVf9EZnm0$}JSg~8x%DXDS4n`5v~|#M z7zMI(VDC9vzMTt|m-wOwn+z4|0$nqgys#936>Y}=Lgyq*_jo|Hr2)#?IiT96*_H>Z zT|BcH+)>N-1S0etibaa?Sp^9{mJwfJWL^`uQ{YnLI`cZxU6fNTGMs5mYO|{)^&;Ld zw`@#)lbY;A>bTe}lRF3|;RpJ{`)q+FkyOr$TMk%^3r#>*YG z6;8|QAoI@8R*~ygZjM7p8w!=($Gbp)~~xh43GhqpL4D=~ee_^{hWn*|cCX1sX5&Aw-VhzMRyNDH zHbsDbHu~M~?ypWw-=>M3osG92(|C*e&x$_GnhTrfN91FQCs9@Yh~ZSCQ%N-!j2mPn0aU23RQ?Wi|LKEAQ##!?vveG(lqX{kaQyG z>Lkc9`ivzgUsZHz{1WJHVxkP_dVHePe>8C$pAkE$>#Gr$2o0UE8v^t0)@cLsH;bIB z)GBE3eq$v~LRZo4rVaONwHNmAm;CWVCQDETW_3d!<;k%tNsu6Pw(>MZVNAy;MdLWF zm90Zn&9(X73b3x2l;2~K?X=2m#W5*Vujh>=>>^cc#I##0Mn9}<2$~}@gYtvJN6vWN zIV-j;M>`YU$pI-bS|6}O6yal}OA{VmCYH#8;n|^7cp$Lxywh8bKx9uKl2VnAJ(s4Hh(C3|eIan`y@l>Lc zItokEPFdI6EjZnckX^Pr3vkj%>w0?y6Wb~~9priIn;ZX9m}S|NglwKjnGPyk$g1s( z{ICLdLYcDb9gG;&h%B=>F*s-gQokrI6!~j(NonQ-odl8xXWz2Gr9?T=oeff0s~f zj2f}!sBJUPkX8hSEN@}hXO?Ha0u7zx52)bJTE2;O8t4E9Xveq2GPa^3?BF||1uaC| z4;v@jes%C}8b)Th(;cuDcgCzqN8G(Hv;0)c+pK4OnB`7y!KmsV3-mai=ECv;K4i91 zV3?*cu20k(8~MW4>PDh20uR&Bdf#lW#G7^pB&N%-9?iYu1=F&>wPQH}i69Mh;pw30 z<)o8>m)U6i>g;Ug6ZlAYvpET;F+0TWKbe>=$GQVho_A7Y;a}Mkvl=OJPMiv_;Fl&zfML4p*f@3bnbKXe4(KA~f79;Mn8r6QUt2S(mXYsgD<^BQuFo}Pmy8=wMk)H+}or%f?=o%1HOxX^~eI_3nSse zrm7a9CkAm^3KMi=SafEZlTH2n`z7hGW;5IMsa(TWa{R35S9;(v1LSef(YHmH6KtEl z4JM1)yL1qD{M@EtS+GZATD7l3jG~HYpx4)ipX_VK09qBkX9)3dPl590QJ?%XW z&w?e7X_;)Tj|k5G&a0`RbBtiGWMEpN{fl!w%IRsenMGk2|19{Gw%ZutI zaPAPE0o(nZJ&gc)d34)J zLMB_oh;5$=^L+lr7Z{ckmdmYxwF@kJKNAiaKmYWl59bm>D!4q~?^bz^d7YR9_0gV} z7s-^!ucvIx3GSRiXmnCxBCGz|E95gw*=mst zVT`>+gxoO@{E{m+PKEo&M+oxB>cqOEf`3aJ^Vow%(aq}6!yl{=lV^+RjJ@Z_{K(!F zDg}uP_l_uN2PX!aI7fH2+S7EmT+V5lztK!quG}DS!@f-+vk=4SZ97dNsn%C;X?f!)8?19aMf0o}$ zO2Iv&kHAkbAJ)RYGCtd~OxQOVrv98^QPBg2pfbxv6t{zSnqeA-Y^&4VM<9VwpC=D4 zWIXPDKvtmZg&;|vt$b3;6g)>?_&O1bRJOcw`_8wLHi}j^3yPV@=O~+LKsBV8@jgfk zfNc|^dtqMzR|xzv=0SIx#9IOczQK3`n_!=lL`x|4v6_pwH=xQ^Wa*$=0>9@}XB9kw z)(f#1y$voad)ieSrJJq6gz|Txzm!Hji(a=71dU!YbCi5C`6Zmp*C99;LeoSB;2hkm zPb;;m^HVgsPT=x0>jkW_u(nTR0fea#Ufo020yf$p+@W&$CzGGqkQEJC>IXKaCrY?~ zAT$UF-BU7{f(VGW75bSVjk>|I)>99A5)922R%;h1YH{)dC-Rwk36ox&jz=Wnhyn6Rip-Ea)&MzQo;LUZw{^2-B|0}}5ix5HWwwK^jD+;kzo$gjH_foJKmO#F@=t6 zx@JrrV<((>m&Jic;+I^`hacNC49ivGGbFwq7L;SvIs45E#9Kgy+yaK zZk{asnML6mkqDP>K9eg(q(qTV|ENMY%e6lfn$cUyaRcFk`xMTXh@8A!_XWW|ECc?U zP%uyVX@MRN*UfpZgP}QK19&NF)@T_JK>}QFp#~WT&|d9y+roC^zD`OzozD3=|mP}Wx>p>`PO0TieKcSc}?Yc0>0WelB6h0H!s zxiGw=nC;?PY!GHdOhQ90Jkx^nwN`$FgLVw1+W*BAgweWqJa-71e_o)JCF&eH1q^0V zHja|6%k61Q)q)S z(a1ftI!)n?TL=xB>8@I~Jj#+r(XI_m!*3CU!S;OA)^=f~Ci z6daAd1IDl^Xm#0z>uAZ0Q<(kwAn#U_T8AhPekP`^MMfCDAbQX%>IGb$La{%dpuYlx z|7?{IK^C^_6geX3h|lB;s>kJIe&8+j_}miD+vp$5_i}sdmg#pQSmw~Xv$dl=ZIvgP zd8JXvVXL##?OEuI#g8oiWC}KdVEP~`ol^*3iK_x;jMl3|s#n7L(u)=s0L?WQxlMj% zVkSpPVRJDvDwj5(zSaC(&eCH}Tb_WIpt=Fa(nt}LK7hNLK+L|6=03FuiAg@r4IAA^ z21jy(40Z)gzPb5z#!rx$EjlsG0t-%d z=MAkr;D(I;hE&Q$L}th1IRts@#Hv-TG#7-m*J!-MLUcD{V-`wuIo1(22ih+P@BXQZ z7)KFZERZ8zurt7OHGyOb?iOTMZB#v*p}>4f2c8dr0$Sfnp|En&+Ouz(xGp=$wyI4l z)|poQKHD(XmDTkHv~T-&ZU)Iv%kH7YVW=THDuwlUE^FMLcx-7hs-fTs*m*uLt-6iC zX}_&FTTv;LKev`ewY?HhO?2*Kdd*HHK4+uSK-c(Dg6%3RD4ZvBbA}AeH0kA19o^%+ z8Pq`Q6v)Mm8b$b*=q|(#{3BXNJ3Yd057M#Qmy2dRJ3D$L(k}8ACmO z_lgq?uh17R`M1YkjEU-K+i;86)In4t0zX={#~e={yyC{(Lo?E>tv}NmtoWJ@I21b6C+tNsBwCnP09`>KQS}uWh2kxbj;)O;? zp`37$$gJ+7?u5EX37f;o<|FYC@K3j7%#PUx2{as7QUj!kL1wmng!i#$;-)svEm1H% z2!tSmyE5dcCUEJ&HQW;wvb7B98z+HiHDH|B2CW2L$C~%ENb_;(5yu1C4e#FtPsUUZbQD~0dqlDMMDUzbl@&Ef;7$V+wRmqD%wsNBUWAvxT?SgzfIqa; zRjDQnl!A7$DMsvABCMt>NJSVS1?Kq$SRmoq zne*Cq@2K+ZIUu|{Kt$OuSe8TT(GVVUTv>Fd1l2vF#McbL)DRK+FcBsP1f$|$rM#Q} zM$T$c5{Hw|6h)>wi|N8+AzD?4l$!MgE_UY`&l|H$puQjAUVy5aATSl?@d54!=&QxM z(3Cvqs^tjd>{lmkeah@^0C6atf~5U4CAWn)dj)to_iWAi zW%!55b)sEY7J_N-xeGnkMQ#7E-rI>A%*LegXFN7LaK=^hO#86Amko0f@S$(i)g0db zKD67;@yQc_eVQq#FV2Ccp}AsxfOSaVBW_c4tUGNLUexX^FEYoDmmf= ziB;4__V2@t$B`bQ&!&?5PmriavBi?vly)=}4}L&Ezb=DNRA;Gd6Mr2SH9Usap*?S1 zDNn6F$yA^vmbY#$`-ss|j&<^}=BNnY-6CcN!7-J*pSZPo%K+m#^~j=lUN@VyMXjim z*|X6RD1;v z15Myt%CMB94u|tH=beb-E*yj9qSVCphs?^c+L-LRnZOEa1^9emWYV{o>gX{uC?(vk zWGa0gCDbyl-w9)sr0+Y?Za}0OU{2Jq#Kr|rvlzqzGr{ZOT5zhV`*ZHQ@Y$)eTdO(J ztk`mZOKjKD<4OK6Q!O}^2;VW=bdpIRGA(V%Ed?p2*CDKH@$G)f_=~CADZACHy5Op_ zZ4w}pj$B%=@M1Rd6wr}I;*C&MpmC{W8zS>f7gY`FASHaxE>vXtN+8A)i8h*eN6orN z#2|B@nTu=PVnTV<1yh;DONhjrk;ad}nH673b$NHEs}PyKqS6C|mfD^JBy4zFab{LX}Z?9AK#dr-|re*f@y}-&`5dWcaa` zTZsS1B+R6^&BLo3Q^}@^V(!8DrBW0z5zVT?V5!-2%-rr}WQ>Z`&j|89A*oMv>{EJS zD*EZdVUV?)XG|<_03*UZq5-^RT z^A)TU!Pcj;DT(OX#uUKCbK#Ii^tw}Y$zhZ&)Wk>_pk{5ft$0hdcuya*^CYIS0Jv`y z?V)HbZD6gWDf(X8_z>Xjw?3B5_c{^e{QJhdCsVt;JsWm10TblJVZ9li+`SMjXN|5B zhYq4c3vY~s#%b~sKP z4fy|3$p&Bbn+i`PsF6cU%jnfrZr_QzM~m?BQ^S|iP+fYTsW3goq>@1cr3sk`TP1OXb-ly+vOl^zpxs#+Uq`dI zeND2NP4t|TOkoALdh~W!|B zM6D>}pV7>O4ymR=@wRoSlfX#YqFeRTbQf9p+mj+KTBhe5MGpQ(0__)X*&QG-m1A9x z&*BEs^$&B4M-;j)po(u zp{`QGSL|3>@&-j9M-LuitUL@1%uMH+%{mm%0!nEK!k5t%PSI{P>rL!eMr>FL1`$7v zBB()M(jpQ?e!zD%YnU9uJ6UuH`B+ZiNmF&7Bgo@QB}A@3ycz^8IhZZ`?aC79qrAvf z72FHnL8fvlAZRW>L<;&KTv!YF(V`{{kb<_cSw`%Gsc7$H&>q3rZiX=YHVy7M_eD;Y*t!DI^NO_z-fx=sRj3PM0XSqGyV zDJ++l5Q6(}4=HFJ+tQFtCT=7(xCNb{31Lox*Egnr{y3YynKU;}2E+XZ17jFmZj1<0r{l$o#l#06^zeL$e#kqZeT?Ay+ zfDc4Wb(fMF>Vg!im0f1YHlR7lLj7Ep#c!5Bgdfmc29sVa#IU<{J@t@C4#rhDcbcih z!KkC2(!S|rE_1HX()S_Hjpk^eF5bg{w^UO=w;(9n64g}DHHZ#|>Gp$nE;@s`kH!~I zW-EIDFN=$JR>jBNQ>FYQ@{Z`h^eBJP5_fGUF|52dd{uSnR;K_xabQYT!Fv-)7y_E4LJ7-O4s+3-0i%U4Y?KBXZ#vn1;+#m$= zv%U~L%8vm=-og$_AhAUp!kV9rr>0=$#j5fJHs|gy-+yS{nmHg0Q>-s*i*0Cg-he*X z&haruns*1-j>}Q^iGhC;EuF={CxA2m1HJ^L{juTBCDhF6^mw5@Qc_&iQXc-th8p7d zIdN4d;M@PI`o<%=Y4NskMufXBPT9uEzz5ly6kp=_S)eCMEM}-+u}M+?Wou59@IuT-8D4AEgKUBai+zD5c4 zi3OHAIb4uC%BuSnQ$R{F4H1{ZY6VCBRCbYpfBOSW} z`pAfG8U$UnI`ij2I5qs!Kc(2!%w2yfDC?A1JswzWz_? z!&P}LabpXRkVT$=Agwz>7%~uNfxSyc`vS;6m)ZlA5Xf(=sDhoKkj@aa zJi$$c|FRN=(W11rlQvifV3mKG6izGtQyQ0t>lT-yQ`k9w?oAwsJNySqoRj>yJo_Wa zTzm=IkM!uK{hJHDh*B4~{||*(=;Sa!2dT5-dxZ_`LNOEo9-!QU{MHe<#oKh#F0S`% z?NZ^}Js$tOytNcx;Oz)f#xPeNn~GK49FvVqWCvI;hD}3WFTL>WY$oGXT}A+R4VKD} zI{(cfk}!>UsW`2X#jCGR#QKddCct|V>1EG{nftN?%JJD0o*xaXzAL|JnE{fz7W zf%3{4I{jm?6mzxEj!K7zkSLkN9*xT%nBBI?O7mQ{(`bZlpb=9^z;g1QB${%u%lVu= zZQB_iWBJXa5m>5RP!aEYE8>3U(+`|r_x#iAiBpaTSrATqd&!fTh}InaACRXQM9+iV z8NSND=gHFJ#$z{EPB|dYsUe}Kv3LC}d-p#IUX#;l4b3h8@HWwR44+g}h3wfnympNU zc8>PgE_g(o@XH^IM9(!2yI=JVEd0^ijF^wVkc^n#PK#dsCVR%>qUN^lY1!wNx0(0eyb=WQ`dDq zbx|671u2}~xkj@#IsaVJ<5*q%Y=8Xkf8TsZCHW~nvZIH*zIdz-DQZ@}v47kjbmoHP zt;s`kS$U*{rd4v#8$oNE{hT>|EeK)k`466uez*N_p&UV|6DN6m|F}50Z`y!)HE)MB z#M?>+MnQc@_6MW8UT<;_a(+^rb{noJYak2$Y^Cur#$m z>3oOXAwFTpygytcM-am+9p8@$vi}iy8+vN+`QMnWgsjn*dOob6fm(4V!6xHANK-^tQ1HveP&%3CWKnbIgJQjU0vBZW*JV<+xn?)D#0M+Iv<14|mVp+I8eXI%z2 z#KyPfijDsRolO6NldKXCZYOMu$IuPxsNReUoo9BHqU(ASO6qI!;*xSmpD02v6D1@L zC-4w>B(u0t(*8%(IA2VTn*QY$xfS-L;8lWyv8S}{I`gKu3&Tk-VUsOiZ~}F^{;j*{ zP1YA1vH+;wN^l(xqMpKz2VaFJjDyuT8!`Fb61XVMd8V4zn4&;2yYc1Wz)WE4X^dj& zupiJ(o z43ORUg@{Y$E1Ah(_GN8|s`5<+5@+oV&3Lu?^dHy!|Y9UYoKQ^=D#Y@+@DCCccw*N*WpbgU=2X$i&bpw@Qct7K_W*q z{-3Jv)}KI|jZs7b9Q#^WUNW9-eeLl_BuW)BH>>2@zY=pb5r!;acY-R;0<%i6eMlTL zcRzCT#MeV@RK=9fwRI|3)ZDY%yQ&$;b&as>!2u49{s?r_g2Z&wnv!_1JvOuiOWD+A z2wx$4m=>$?^O<|sTe4jCtTK;5C6zgrSuj5$D^vh$>dr#WOIA>uPEYgw@0}JoFkGH2 z@MCw1EdJ?aWrIZdH+B7|GdE5SQugRIlMsPL^G}Z{xxShMWc)udDesV~bnL0XQ1dIgOjhZUX7_jh=@70Yg!1+a!rc3s zRc`EO!Ygc>rB#F$h5;c#;y7<-S~iuByHv`!b*;#+3%mz)v^hVU_0Kyc!6o89{p)4< z7zwmRUPII9i_qty+JOnYlv^aB_zB74WqyWf<&oyfzw)r6r>ot8-bZMr&yP$gQ$2QD z;Ua=;Hl5ARAXDT}*lY+>L02mJ^{~HAI@nq%)22`zpL9Hu5OsiZY^W-Q>Z?h*h7UX* z35~c@(Mv$g0epJ6g8tC%Va`x*=lO|G63|>F(&xTDo|d`{a`UgL4q}>=(1*z8pHT_2 z^dJ8?Hksm!gIGzUjcMrJD<8bhevu+*XSUbZ7p5dB3ubpO8BGHCo}==&bKgvC{@V6;T5DCp2TKT3t z^91xbF@mgEQTAd#Y0~857l(Z$ty6_>p}+KpIr1qDxP%jfF?+~DkCy7C0d-{GfA5Q) z{=0ZM?Z`2%Z|0DcHLCXTnx*OvQ6EafKlkH2wLKiV2!9v<5a^-b=cz3KZ-^+>=H!1* z>_M$Nst&A!{5h7$SEK}mpKrzX*&3KHz{Z)tX*lA>zlswa?C={i=Tb8g4>Q3L&1OQfEFs$+YDweX*K3_Q#q!1c7)lD8wtsSUoNF&B>T zozF5HHHEEq$YlLP2&fxUw8FsUr394HPQgizw>7&LQkigS8!92*D3U z+?j) z%F9%tq;PhCq$ptH`*b-W|CD&^Qv3wKkNEZUMswf%K|1KF04x`cZ8OjO=p?aSW;0i| zd+f!W=0wbpRlw%Mn?f%umvBeAiab%BlL zzJm|hsXwuG9b5;w#fnYj_%-logics!x`8!MuDdx+xtQ?maA@3qL1KzO!`pBlv#!?G z0$&$dd7N}LK)*(d{x`uJ$!=E_KKu84HCSMijYbez#a}|I4Fpr!7%g;pLC;l(#UjOU zEDG;Fc=v606jCkFTJpe5Z3pAc7Rs5`!_uDT(Nb8}cB;aVKJ-3zooe&H$T{>z7xlDe zGygH9PAzRYLc&$t;l>G}gBhaJ!$EfthO>6W{Oy~rGTqHyFEDx*F13_r3c7I8ElJXrVvu&?;XkhSh?9(@rXWN)E7Qk99ed>bsloU5j?3&J)74yKbHvC-)4VYB(b1YvWoG=}!nWfi2bskKOR9f=krwI@>xT|3O?3q+G(?ci z0_V)rCLqlJUJYybbkFxUzR`lSLGIy#P=B9-$l5WBg(%^Nn1jsQFlk{P@o78kR^dMO z#ug+rH+bV18{w*@P5tND0rvQ%4Y|C^&nGV!-h8k)TjLf#B{n6;q#&#|g%U$nah9|0 zA#XfT%5WW~3Cf*bG`7ghZR0eol3`~i?h;p24(I-Ypq?JyyrXDbCV@+mOyZ^I(_1`x z1F2nzBO7rkZl6@b=$m1-Hp-D$NE?dJ#cT>EUABF=ij{%jjwR8=X1C2t;x0gSV2-=^ zRqT>{(D!c6Os|c*V7pg^3^FH1(ELT(3okD|tIV*;%zq^Tvb(-NNfkH_&Xk8b&6%$( zg>0aPJd-KYH{krt!(tj)pZO%|{?tv7@dW|S5V;azKGXL*B8UH$3x6b?>oy-%u>%ZY zR+M8-Vdo5Q3JO()DO%qA$`nx%CrD8MPuYlie<>OE;As7xV~KN7FbQqywYxBO+{NRt zOcph8FI0u6V=(%Rb?ZN!N@^>dMh%I?G9FUvGLWs|-@w-44V?CsM@lv49lj1Q$?UE7 zk$!5ndSfUd_slKRR-EX7H{0eMj*ENr@A=xs+Hf-Z<`0ua!g$o)#gBq z%AQQ=+$q@HQWez}EW!d&F!L_X%kt!~f5N0&Be2ITT$It5k!-b7wu|!KXC}_?g=*5< zu4*<*8?H4ZJltF?6uv$I;@6zPTQ1zM3lMKM6fXA>Y-mxlslh)|2=_QF7l5THUcqFQ zuYWQYYRFv+pM#gKdedFNeCuQ?Ja7dpFyPlDqwxdNE>AD6|CYdo9IIvAm208ejHpU&nq z`eLiZLE$`LH=uJsFKn;Xo!-zQs{=jy8=*Lg**vfVgYf>8cS-^c%8MH#MiBxeac#%x z@0aSkf=uT4YQ_%Ic%03ly?o_lN@vTL-XxbreMuNOS6>qTQo~^I`x?GI6eNBG@Xmq^b3gdUF))n)zB9Vfl};xgDzdyXd1&Z`LbJt4;^ChD2#u*uqK866 zWSvlQ(nHMx!iK3^REHu%>OALKG%U_r;0c!d*f3>_qEO`hI)^#RzR>bep)XXe@vHm9 zlHs%|v_E2#mNY47N9IIPzEs5^mw?~juZ{syXv3a!-ZmdUwru2!J7$b`gOQj-*#_mm z%6Z%L`EC3h<@u53o5qxXgf@l&;+Xz=GOVCJE|ANTd;RpKR7%X{WQ4?Hok~#J;5=0v z#wR|n>(6g=gnkR@?`x4cM|R+EpUn3>RF?ulXpDI`7xefZ=xJ*{9Et@i$RkURU1LmA zB$7q%4u|UHinqzRYFgm!&qiY7M)Lp5W~t(p*WjvsQ5yJ55X3MJt~sS3URE|@Q`Ifp zEbz&YxH*dP(#=W0gdUPi$f*Avn0Vz1vkQGylc$p?ml;7m7%DmGrvjsA$y4;z&jHlT zMG8+J9v*f~*eGr}@2qsZTZSr9QGaL`ecSs%0Q3(uV6c^7#Q&5`nYdnbii6StxU$_A zCnqi>i_#AFbq0)>tb91Kf(&?69-6-(z2ZbEVYm7HUewJX2X#KzP!XK@*|lZZBb&#R zDGxr0J#Oppm&kx!r`G%+3n7OyWLv7GWpkM<3EccOeJ5q$g&HX&^i2P{oo696!(0P0 zwwzM>nA>K;xDtmNfvIM+oN%sTz)bFVyU%+U?i;`AQ3wiT@Cymz_syPY;7TJ94HP&$ z)jpfoTzS4eJ6)#8#{%DGg_`5-Tz?TN)OA*CTuZ61HB`{ReFu&VbnMoqGDFK$l}H4& zF{jku@51jf0k;8LQ|+nmKr$sF?$RXCw*X3}p3>yoiB_r>r}u_Bo2JCGNzejK@b=9( zxd2>yeLnmibV`oP46H7@p5Kb=jXHpzITtC23arh|W+gbQuT2unsP98I_AE4_1MrHY zN9^ZdFOP7uqaFc@KVQ1dx2Z7q3WtIPWgntWk&W@Po43Nry>0ecxs0=>lXslxqPqGI zZ)?hcN_ozq1EErrLVcme2^_aO{l?UBftCa=@tV;->P?-6>x?CcEnJj?3bA$tEhu>tTNVCf`NY;00rUlE6 z{>74@V!WVo&1+6l@yM2x1lrbr>LaABUc3h`4P6*YmG?fto|2pLu*gZR+a`1|gc}xt z3giXyDG|2ICQF~YSf&?54_VJ1gPlyF8!vmoR>IUHzaGwm%Rd{5 z_srtozZi3!m@6e@0!NO)4u$r1G5w@Qkf+u^bg_&soErWV7wEZNkeOSSci$36X+2CC8dp$X@Z zQky?L=%1~iC*KC?rd32t^yMy%OG0yNb>s22V{4J2MowfPtAWOQW+s4_F{8Q?OouhcQ z#A^F`{!fHz-y_shhw|U4zUqCC_)FMR(@%QZS`L%<0B4N*e}7Im)f}RNQnH#gsT|{Y zs)!=?XnWPya!cz2Z?s=3{tD~q=Pbxh8tfEx>;RL>UiONd1ilg?3l46lNPm(di(FxR zGKBJBg_!`(eM2(O!E1N(02y~v8ErAili75X;a?O4t5LL0h_w982m35zd2)ibl%#!A zmMTy?HdT4dsrl98ct6h#sY&wr&C14KacXGGpDc~F^i0tUpfVF3DISApWwa?rNe0;* zTw6ogA?oQ0Dw_XxSBaw}hpa`z)kQiSjFLdAXPod&%((7r%$AYAm_@{WtNU{7j~wI2 zrRh>nY#xmvrBFH^zuJ)4D93XXGeh0xB;bBN5bmCe?*fOsf>#^A_mRVf5U!F0rw7y+ zZNT?~Al6Z^-GV>?qxjFL0os7wK2G}yNx~AdGrOU4i5YAlQ8B0 z>xLP#tq{#SLK3B+tlTz(7+dsx;QL0bML#E7{D?_H2)S_@z5nYHRV!B5yc+V=8oC_m{Pj@}bf zW8=Nwlw*pUL0`(z@qNYzGDWaGsAdN=BIy1O(L7y+n=q!OCmJQ*xV=)>pXfPEYkS3; z+I6C`446gmwxOnHJ>>;wrfeu(4`^@7J8dPwdicSu3)uF=FS1Uq=Y<45L?efRMW4f4d)l8*ZG^)i3>RSlp&2Zjh$x%HgbvPVqQJ9xoykRWlpp&3sBm*9y>?bqf94U znD9v!l}P9}houC&uW=`qzz*AzHq(J~y7!@cJGwL)#)iEEJ_IQVuDY!YL2AH~ONU6D zS8k_Mz+#2eKil^ zLx@rmFR6b{K@x zyKt_W4KeB!?#f_Z<_GEy+;@&kSyuTorkV&-DylzBXL|o)NN@QOGjObG)%r!Y!r#I8 zhFJr4LfUZwGOjaQB3AgFt}1G$b5DKA5tV*Ypi}nXKS|*@n>t;Oxd}P2&d@H^f3`eR zlJ;aF>O|^m3DQB%SL8Dh^yh2=ZJK4h5~mWQu!$Tj`IF(TZSmK0 z;B}B{ltwDAV9xgGf)DsY#k^W>LN7Ee0VOLs*oM;R?YcvA0AL=iR>vO&Jkn@#G*@@N-pZXcPX4cJcwWO6b~Hl*~e(&PH-!}5CilLFS2 z3cwMoD;GyQRE)XpcU54#eK!X12&%UQFVg~buBAFGr!3o8tbCIacnVX`9^k1|y!lUD z<^Jt4#VIa_ZZQJ7cPOE*v~F)T>tP^6wWXosgV!uG5|fD1;*{^(FR!9)x|>6M+PBhE zgalYyEPBtcPp&_w{!?YXDGg;p49CVs(M%~QbGPkOyS+F*LBF2()M)2Y5?F%OhXQv_ zpw0Z-A4a$4H{KIQ8RCqmE_toXAXn}$8%!lX6lHZWp|j?1i%S8{^XvH~>V4FPT7O9z z@Oa=_yJPk&cp(aA+t`n~JxsU~I6FG0+q5N?y=F&u7wZ7WT^`D#juV-_S|bl+MG=eu z^YiO>Xpx3%d1rbGTQCn{XLl(x?Vb;Lff=8sUl@BjF#O+_d|E0rx|=~EGiEuT^L&5*hwo2w9Os<-KG(Uf$Mv|bbKTc5I}WtY&@VdEdtc`) zwMW0j)!dvmxp4kC81jI07G%byXA#&Bh=y9f0>4nPFVN{VO{+6frRkh$0o-&#;@u9Y z`D8;eG@9~b2ER7MPx+@hx8TY=CbFBTP51#OntkpG@KfIV3tE)^Q;&uLXFod%Y2*F2 z3@dP&Upj8(cGw+UlONQ?uH4>-;Qkdt$J-+|$?8n>&)J-#f|HYk+ zc%xSTX^Vntl@(=V^iozAY6UP(vxxhHWja>8Sum&dU`uL^=6e6~hD)P|_;T+4u z>5iai6AYuZ$y!wIZ2nwQ@*g2iKP$3NnoYTB@kQh)N{W;udxwbB7-*i;a{qNFlAf~{ zQ~P=tEUR^HGV$fys`UtP9`_bjMLfep+IV{FU*|y!R;lo*KEuq~Sh$ngtl2jmo0AeK zDYw{>B1blVcV@HzB9@XZU-tNH@|u=x*?UjH=lO_RwXxW@i(hvNzo_dry|zdTobJ|B z-fAk+vaG-Pjd*bjCIlus0u2#QW~h^qbgqpMqP~^XC`dj5xuDHYQEKoneF|=05)eWds5f~&Fq)6dL9b3wBhV2hqC3$i2qSGpWQ}G-gcrC1i;lkI z1=j^QLOXbnuqYhOP+KNS$8p#P+TeWbeXBR>SH!_MpWSlfvPvxU&bZlI#1EcDNlK8Z z+VdHS^6)Ci6$lsI43N^*VhNxQw^h z7)E!@P7?!hkjt5)n$8}aGdsnQRlv-501w#2{Rl)|B#Cc68h5CS6=r`%3&yb9RqZIz zipC1IsRj&BhebHgbMAbmnpyJ@{vMUkR0Tn@1<9Cs0M>Mibou0aDsjlV?B>`Xsh$T4 zpw=__D&asf=4faR!~~JvN^~4gyKZ`Ay|fi-e5#%tk?Z!kPIy6((7##}rIO%~8djto zt459?e|_MQBn=n)JYe|(57v32?(fBi$-)cG*wx^xE7G>6{~+`Zl<^3 zP-vLxakPE6X~Wa%rg7jZ@ZK#$=j_`0Z4|aa%c-8!fq*VkwdO8R#6-Zx82+%$gA~|t z#GM&x;Af5q!sfqs1<@T)*lqS@Zc!`AC+M{hww&RA2rkHM)?ufOOgDgqI?Pa;dSueB z_(hIsbzKrq51~&@0KZ*)&=w+p9iK!@`6L5ph+>_^J`0lGYBH8ci!M(v9D9RJVow`t{VgUg{AAwf{Cjg5CUyV& zzs))9@g3IDq*v6mIps5qW}Fhfj%K~m2+r>^c%Tdi57~lQq?zxIAi(w>Cq`ul_6_4p zmI30z$xx5X%Rx;tmUp-R99TpY=a9)Q>$0q*+o1PXh~#P|EJ$k$VR4!;Ja-qH zbT9BL!z}771uxS5ZVsEWqe5~;$3O#zE5u*memnd$1;SQdB3yrU_#CEyi_l8g6{qG% zEm=1^QFa)KV-)K2K-L^WiPifSEIYNEOWG6+tq}W<(vP+RrtIl1?5(XJ=%%5`h4q0P;3s3UaL>_}+)BOx*7wN>y$+edDuY{a zk$Te^AM`G`qC&{Ifkzv66D0wCYTJQ|u{~qPdlr$t zB*%?k(b@=wSvukp@W0N+@K@UR+1cOJf|hR8u)T%;1=L>6W|m)S@z87fq0E-|m9O{% z7C7-ID3?Yf0%rU-a}aeQ9Y{)O`E^E=RQ7W#n2E<`(VKeSJy;al+#|mOtF=rGbn%WcZqL*Kt-b5SOZy2V#xNF!Xp2Yn-hmeYY{|+ALY#akEm+7P21|B&#hfoTZ zW-q=a8tgTPRADQ@qJZEPs2ta@O@NOb@j*$6`?f7XfbHC7Rq*=V)$$m|%H5Y{*{S#I zTfV7IohH8yt!83~c{MM;>L#s1@@m|SR}ps#>{msR~UGISJWY?tXS?W^tOyZPPr z$`M~M=HEOu7b?}U>(kLgQp?E7ojj5?joW_G=a#H8lpZQK_b}+L$ z8?#*+RXQ4Nqx}CICsFz57MQhUy*qOC==Y=J@>*PzM+*{z;W#QwKC9*Imd~?R(i^)1 ze{iih`|Ri`cXq~P6#AbIl*{k8K!4UM@$W*m^qDQ#^H$imeLy)+XvN{uJ@+RE;E_CR z+?g$R&sLyfAEJbZEpcY65WE!J*SbmOc`HhXq-PzviL{wbI*kZg>7;Oa7|7pKeY6G_Y zybo%t5#FUtCrhG8hi1;lA^&`y`Tk2)5%q7=%sq9o?vE7a1hQ{qY!P85akVzS%i~r3 z-GEElS7@`JT{u-eq&mAXmV%w@Hr?l0LJtYx*Ca5srmO7y8~X~BQhOYP0*ChW%f-g_ z+{(qO>K;B?Ee0e+rybXF?GEhDK?^QEltFtwr9w==!cMim7o4wS>oNEGo=xcWoo?G5 z2@W4?mg!KqZ8s{8*BA>l@x1PKuGYave^KJ3sl)p^r`v0h`^`Ep#T)iTmp3;fT9Dm! zu;y%>!m9GO24}i)K^-{>^3}$5la1#)iIk4ZNC_H1?(ok zI+StOcyhOOauc(3(5m;!TCKQL{JI(Z`VD}Zc{*8^8~B8Z>0Gz74kC+r`Zn#b{n{i_ z#0e6FD+KxHCQ5-N_lZ||9Sg{fzUs3K7nt%CnPf&l8f`Yvv(#= z%~AsLfyq6k`TUTQU~z(fn5RlU*I#@$?HJpZU6)KUd&=Xnk~SV(dfz@8^&^O*Q~H!4 z=;16r=tP#-`|?4VOzy;Op*(-uQj>}ARp#;)f4W8(e3(OyEag8A-?Xr%Po zu@OXEt}K3M`_7k(-a_0JpJ5GV$?MX}T5%b!RlNO3f7aJL=vK+k$Mzne?OI(UWIsx( z|7|k?*qlL**AMxn_1ZrV3o1f-g(!*f5$rthPUbCkXE)-|A-MI40HST~wGzSvx z4;f&p-MvG!(3}HaYxDJTV=?C>ghe;vF3ywIp1C5@WOie<+Lo?mptFS6G(_?7>odhl zU&2_o6_(^QarnpIit9qlPxbf<=vh{cSH1y5N!w{vba&FEiK zP#Q$ScRM?;<-`}i(2$rn{kMiG!SPG-wC^>${hOES#hKV+bS?uC#`#S1IpZ$a-Y|0K z33qp-E#2Q>Y;rryBnaO1dE3E`=UJMgtehqq8kH-a5wLJ#%Ltf0XF|l6^Oae7WA6fTZQ1CZ7fLaqAn{4wQPVXj%eK5&0JUngD z_tQ?`CPy-boEKq3n5`Q+GhJyLrxteK5mS#OrvKW)Lc=2)P|y{c3uC`LM?)NLQ4 z#uM%zt6J}`?L5QQlIHwycm^2AL%$v3T(Pqiv^kxrWo)2yp!S@PuhOtgccbYqF3eZ+ z#h>y8NL{w&>pAzx8Cn%l4sfi+*nH2nLnepWmm>!+J)kDq*-~SUdIY%EFIk`LH8{1| zOo=0F!6cqGQ~tn{%MZB+?`Q@KpK_|%mZ;Z$xY0WOggt_01x_6`iOh11BLs=3ra~~T z<&M)%NRruKU+&O%vIm+Bhj=@Q#QQcSG?=w#cak=!uY)Ex$){rb`YMP|XtMX#4bE&f zAN|8Eq}QahU>&-d>{r6RArsvM=JU{Ln0FvT8`<0|QMOuZ}$|{_#{@{@GZ=MPz|pjrPD^FfEHu@`?>vpabV@UoY4Jr25XuT79EqmI1*3C|%^^dTreow6xy-R(DzPeI^ zX;pEJ`nB<_DO!JU%AQ(Z_Dp8Q^h21B13^b|^1$z#a$(Yfiw_HQFZZ1Ln(Rj&nU7M} zG_N;UfQLLk@P{QVL!apr3WW6v?OqpMy=gfc(-4=$5=CHTmy(}`34WOlc-)Y^<%>RZ zcusZ{={2Ff`o#jPVrqe>8>`FO?LJ!BXWt`LU9F$|18b3eJK0yYgye#CWz3PpQ~6%vud?XLMNQ`b%DHoJWIr*6@|{Ss7I2Tp$% ztRGA1EfN!TN;rixU1dqzPz1z+G;y_zql-6Mx0j)6JvU{>z)}l#oI(@kDxwt9(6n*jU^>MW+64x)U1y zGzEe#sk`QpH*IV@tqs-mtRszv$Q49L*3l@VfjOl57pj9gO<4NCZw8fv5!)r%6A;m*I!R}TcLL@9Oicq>Qjx&3S3Z=${ybI%$Z_6!t+UNhV3hCxRm?7wm?ncH- z(@n{rdmBgmthiHZfDvM>0VzaJT@8_w!0zq-TDW4$QS_-jRJ$H+DO_;Zev(*qGsf+H zI&imT&lgReYCfFy_s&C~K3wiELuLz~@+dtttR)y>O^}dR;>1H#Q2gS3UvaeA_6lT~ zRqoAr&+8MTA6TWUM?IVQZYrq(!_gPE^U+&yH@R!@qLm;A$eMeS-4;R?;A%yE6fH976iJ;c`b@)sug4SrG@Q_ zbrD~rryJzco-L{RSUlHrdsSWz+K*n}Zi=sqg7KRox=qPQ!}+Jr^Z5$`ztX}S3k+Fy z*SpUd2ee!ly;Q29J+p>purz6EAtgQ*ValTfZjaMmL*93Kw^lu3RCBetuiH#86)(?T z)YpDp=6|+7vHU)5e~9oB>uX3)LuU2i(}iP}eefVd&S#%^?>@S+Q?HlfvbOZ}>TLT= zom~=_XtTz4&qx?4XFs@Mz zPWp#fzb{QID}VjanuM;NZaAfo&1#>OG;XDR%!KCMav8y6tYJe@SvkBom$+9eMr5N58Ij(ebyg`y-N1tvE#3 zUb*6#sXQiObLf;XxtWkvI@1F8G*kTgEH62vl{j5ua}yRt{jRZOA8~l~yjAj`L)Bx; z&l4`ryX$u2MS<@;`FMu}|CDx|K(DWnpV(in$!$|xxWDRK_tn6}qp`O?t@-b7>X<{s z^n=`L{gHXYmlMVlO|`n)N&(RF(%^S#&6%EVs4QEC^^~yKaAXnk%y8CQXv?_ZKi3ED zrpL8&hWpdR1E!UqZFeZ*J56N-==-57cCzA%qi;DY}Xun?)_+daG}SoLYFYnl){;)svOE2 zMnxGCCiqez4DZ}wzZ*mSkP*Tb_n8Iq_n@L=$hX>~h2E##SF)yCbn3N4jk02c;|>r) zoRFd^l2g^PZ)ys5%r|B220r1xrFHkHLJ*FS;D)$G_B+ET?3WHJxrkq2@;4&BC{S_r z1T7*!mAjjpDZIF=(~`C3d5+&7G5-3qlJYe@wZA#Q zL@JS(8iYJ>P<4$(+OrLv6hHF#a_Zz=MgQI}9>dy}6HN*t(yQ0x3zh}~>Rov=(zCOE zk?tF<=TblG7XK+NsGU_&zLs7Pze{P55UsP7PAVcPuliOnZvVPdp!GUu+tAr{0QW7p zQKmj4_IH$jV%tZf!nOkzuFCmO1s>8)D-K-nlc7nST=k_*6^Xl#1U*^rQ*ZxcT$JoC zcBkGV*YNBrLA%>FD96eDn!n(kFuM~s#mBCA?rbVWY+RlgQ}8U>^0qlGi*U|NHJ_+{ zoag6u;Hg|5)JExPxk&%Fw6 zhV_S5S5rtRwjx(6BL0K|T6atF1a+pM8qGY52FqKlm#U7FE=I(QQeye`Cr8yxYHS6@ zdomm4#P2aDE<>=5Mg@oWsUBW)my%EVJ$5f5WGLu~HeCI0_gLPSUJ;k2>1h8_9`3Uf zsNFxp_qETs&0jccb2;8+wtVXPm)IDgs>*If*V#8uq$kG7OX>1Y)sE;I%Id_x~>Hg==y zM%XUnh7a(%J#lD^ZA{3lqlN0$-&;UhD6cbV}kot2Hy2@9d6%qx|D#bJ+6Qi zXoJ10!Lc9mty)Jb7=Mws7rFb5>ua{|NuGG=`ZzskkLbB|^sfEtzo8clz$W$TH2(QV zmBvT)PpJ>&Wey#D+9Djv#{f>f4O`!*5uew%QjL9?ZYG~@a!BCbspfa|p@p`4htl@n z9pK!Hc$+?HN=@HR-YDYT-~Lu%?9)X{nbAbGgH`hpN93T^$E2Loob9d~1=Oi87Jk8d zpE#_2I@qVATem>;!F~~!U(3dD^CRAFeQ}xkpH}B)=bURPGnY*JdeuI4nyi@-(=e{9`ofAM5pXs4Tp_02dPZf`!oLHSm)Oj51f5~;! z?&O$2K&G1WsI<}gpGp+DC-uLvj z$Fq)^%K!fK2DAKu{m4~!3vyX%`AuEbqJsZ5IdW zH)Tq%my61aI!7;bb**GJbMM`W*for>ZLHQkS9P!JEKKX72xb25tQ4Hb^^B4@bgefx z+l(jKaSA^JUSzrv7VoEPHEsH<;e!7)!Jg4aDtY;}p5+nOiwD{#_g}p_Z1r8K?AD-e zi6p{P+(r5J(rX>QZExMH)o<>7sLB0ufFED7qjRL&NX{D3Vw9zDIXP_ejqB-Axeqp~ zUyQ3xnU>wCED@qOed{FLPTTs9A8tE9(R*2qwh_8pvexT$@-i<*EzL}FH1Xoj=h36E zf|h+}C2JC`e&mL~4L4LernGy75o~1LEFxy^C|A0YcwIS5C)?`Y-^1ZnJG^BrpQ~vL z(O&n8W<*$pVc{ijCi=sd`Fg);&~3A3)u9sXR_N1nJ8GzOf9&#^_fqeTf%&*K*kaY8G+uFcDVyDns2KIGmNC&1>b>4k7qy>)PG;X_ zIz&|>e_)cNcMBEjmqTqV-q5y-*y%+KD~}U=Ja>OhReD$9-!1T(hH3^F4<>fNGp&vZ zAH>}5V&rbSl;{*ve6I!0uKg6vv%EPo?XJ={V6%2F!XtU2+HnYyw4N!*$+IS*?55B66%D zasp!C(jH$HF*+#N)0FGo<#HiAuq@!y(&u9yqTWN4d53Shi9gh4!&{rFkbN%S-W_R8 z>>53O$*e>&Ao<9 z^2DV{H&)no-mWy*((|B{cq=~f**&9oznQ0t2c%&nDg8VoNnd;PSNd{ss!^)< zDVMuPaL+D^R1_UOA;}jsJTAQ4Uo=zo<9fM_TTHlOZLdP2>}X@$S>7Pa^KbuEw5D4f zFFGNjB|0_}aBav_Xzjeq;b6pi?u&)6MxlDk*@XRFHN*eB$G^l`#-33cSbco4v;4fJ z#rscm!~Ji^=RO>E@oX~Szbk*TMdgf;`Sz99LTjQ^@roZsyHDOyoGx`7B#VP%}XO~=H^;;tsU=h?wzT_|rJyd+Ek*d`XLF1{R156H_NF+A_aQ8;i z_`|^_Yui?XJ48Dr``ZUJD|=f$AFAA+9~y@^v3U3#;i1lR{?GAtzrO;K{P#CsLB@Tb zguO;sq>H3qlq^4EH=ix#@HaJVb)tU1lDi-B+6sSCWLz*d!|6e=3e0e6^kZCq?Qy|M zj(_~S^6*2FF%MO{LarxPolu(RJu$JE8kKUy>y-jB**xm<8M#YWZ{!W+e(bW}XU`kr z)!rLbXYl+9Yb~*OP(Sd&3iR3I^U^@T{CNGl?7!A8y6gAq$q((x^#wOD%F+C5jRC>t zY4XTinIKz2!}NXfYm0yN$xdtL$4{K%+tq3tI{8B}?%1QKr!2AIN0s8H#;ZfY*+)-o z^;Le)D;c@de%JQdJ%!3Hi}x$_?GG=6PfUD_J9Fyo2I0NxN7~<`WxiRJ*Du9rt2qsp z@~3Hej%-EkOqV6fL0&w5rl`2cF2rn9_BcU#|E+wCxWYd^*jK3|74V^}>mKg<>v)AU z{PyeCFKv=z|NKM~%ib#gHF>5tv3fnGP{4P={-%=7;oHd=n z|2Ga>bZdSTl#l0+hZm_Q%-p@7e;#|r8)2dR@%3ywxWCL}O{p<)LrlZBp^e)1QSvr{ zH@K=dN>GpRYVmxnZd4rM{^~|g$eOPp*E2bfJ29bnm#|%T&e(GIemCV}7<6}j@3ejk zgdV&f@p|g-x~tYWGc8Lh>yQA6th2lEW&`02?C+{-I4J(dmi(5cGao&r1bcEV$lHzh zq&}<$m1`ok>s~9|8p|H1AbYeM+g0QU^lM<5IG3*-2t-q>Ghyg@r(^@P*Csi|Z#pDK@u%-^tuq}~4d zx;l4q_m(^Bk6hC`Y?Aubv(2Z!*iSj1BdDfh??HFj|85(4-M@9qPb(Ws+j`D*PBrxG zcU!ANiu9j?GLF})J=hL3(8B+kZN4(Vd~ zDBAReO)Te^L)1qiEXjWzF#8+sgWkv z+f={g#9bq3P;Pxp*qGhFRw;^j=z}TAi7?SmFs=I*AN_OYLhKouV%)Aa zRAetk9+MJA5+Vo?4(XdTN~-e!P(grh&crI+=v;8oPEGcYM>t^>kssSDx${DD`ttDJ6SoRou(Fk?NVzQa z!+l=+j@N7$aj(2*6E9#R8xwGP9qemD7||;3vv7&vAB&lacQJ2cxp*43xWO4jlKQcAnjg@~*|NA?1}d8rLiI8L+~xbj~+C5#{$s6S@5W(y7a!OQ9P z$}id(iysQMWOnP!xgO`<{2wIv)UEnaIo3csrf&r+$_W8GR+er71B1wq)9rKh=&(Tr zQv=*3gbf2L#)Vkz%0-4@KETD6A(>}ysRgJ|Bv?aTe>%{S@3eB~$go%D+1y)$*hqP- z@>}fLXaL^vHnP(TCW1A71WbNphkYXIxe<2 znl`sVmP^WwA?hXiXt4vrp@Ojlj6A$MueG#_%>R2fx7x>goqBYSaGn!Xv>u|llRbSY z?5PK_p^D7QC_Rb*pC?%djuB-!#fCu?y;&uUtSCp=TcCZGsCN_i=M|2flfVxmBYUCp z&cuLa?4>>WReP3c8-^fBME?G7;I&U{p34&NrrSSz!P5fDrXtIk5Cx)67l z$+ve%&h@)t=UCnb0CWhvS9oC?%&`KYxTy6DJck%Rj_>QUz&xaAo@Z)a0@M~jeKBxb z#vjKvoxqBNQ`M~Uxw+nSh80{iW|RL2)^aTD!7@Z~Wta0mmVhigL>MbB&)VtKZm+~i zk9W5>w&}Fzpu)NTa-o8U8nvLo`&r(`0Q4_7FjV5L)8=~&-;Vf~SFC?N2|O;a40kQ7 z-SY4-)yKr`aXcvC#6hG!f|LgZ@4y;9l}H=X+`%e?PkGN~7u^}~{<&7uOGV^vP|^1L za^x9bfu?Y+S?3Bg!Yu@FmJ80p(UMw*-bWf?d*Q-Aps!}mI4!lQ$bm9Wk3n=(ULNKS zfh9!(0PSkR*s5PxSdBJ3;G~&C^Y5_zf+=j4Fut)Fs1*Y0x|eoI+C`u_wdZ*B(1>#5 zzw|^9nzM-MfbQksZ!yr2WH!$LfPN~zRr#$O#?KJH4PT28*RtZ4u0KHjfPZ1}b^9ZJ z>5XEmQIIcSe@4Q42GyK~UiZLmoc+Zfi&fh)r@@9dfb)AH0<4BsuDF1~=8tmzo#~&d zcI2)hyDU2*{jpQV{m{BLGl&(Zl-6yuhc@KezC9;iLhJTh#&tl&Z<~qBbFJ9pr6Je? z;QR_vPekJHELsBxl(}Rr2H#UB9`s^A z>IACqHJt_(vx4Z<#vaS0xPzJ&gAv>@xD8y|5tnC*Lpma0lTAH%&~iwwvmEG7r*Y z>wsVi2NUkCL}gXLSKnNfI0Bi}48Pg|bwDAY6++u3Zk86lux4&xP}L6t2!Itl!(uE!UscZ{Nb`6`&Jr3(4zG4?@Y?te5 z3#OV&G_>?g4kuubGO8jQcTw>RU25Eat$6kRD!~hpk@6TPH?ZeH=-NuRo(PO6Y%^6w zythtrK1Y67xC0GmGp}xEMTCl%FrQ!M)@73BUr<6bBVWL)QQfpQ9?9SB;DfVbJD9Tj zrQiwSW`fp0s;*cACw%(uOQEq?{P3Hi0SVO;x7I3s^w-y%C&wS!Oj53iiucYE!7j6) zEki#dVE4H{L?Ca97HpUeH+NC@f%0V{sLtEy%~vyyVhI&urR=Mx_EbBFQtaP)DH8ID zFuOcJ4m?H#t8}VCn^JWO3hJ7{1byE+K@fa51tRg@a|3ux2)Fh;i0Z<&86BelKXblb zp@TX4zuVAzgCq_3=-%?Fx%oru{x^0h=1dgFQ)81E<+TkvmpFA%tMrhzj?%O61R%Ahyzz&RQRO4z{)T-HUS3cXMeDPXeeNqvmH z77~=k_HtW7p8pD{UIT(okysB4$0SH6pmdeP0WRl2Ir;0qf9{al_>ndbdjt(G8W2Rz z?RiLSlf1T8oP9|?7vYzJd+ffy){J4-zyHB)j%F%7AU@=}I!FiX#>D)P!O|I}y3=ul z5$1ymyum6PN?5~kqDluQiM7OBuwfG0?bjp6jb#nbmbc53e!tseJ1xaz`y-MAzLu5pL$Wegw4J_VsmS~?Qf%)94C@lmcn;ojSoAogaVzq{~SXg8=LdT+6t{@UY*SW5l z0#;m0O2HT?E?YU#Wida%^CTUo6*oV%I>PKu=vUr7_D_N$C@6y14aNU&iFCUkC;qe# z6_)zOeh;_9QUpx{OG-=Kqp}BR%M*I~2t_}iC-542&YL2}17@caxTJqq{RjjkE5xkm zzFRY79nc~CK|@Z{&o1}rkaJ^jo+vXdI|m7Q{jiW7>V?o?MhFV1fdI0#s%Txr=n%;5 zun%?UKJ5IH+}Yf1W!6E0X9b`tGXSxoz8S#? zw|aabf{ceLi&24tE|R7|;Vj@wIPeY=n}ee1^}&Qkc&HQIXs1@Mb~=8Lb#9 zT=vm!klbbcKv33zDs0j{N|;oSvGNuMr}YRNI^*oySGd$bImIyYTX?*k6WNP+I&(|h zaRjvuV(aWg2i|;4HY{2gw8O>$1Tp|jBC7=ksvkX3z97jY%%_`y06j~F=Z`N{IeE3LUfad()1Vx6{p0Tki zTypL(d4=)Eq)f2FC2R)#L%Sa~{Zq;geVOy{sgri1atHKJNR(Fp>#(d1np_>mNr$VR zz{rY0fhgI1X2<6A9xey;qJk{UnDZd+?X+G1!dYM*dY`Kq%O>T{VNdMvoyK0A4=G~! z90fdb8;HMxix`nwL&AFm(EG+?Whstt*^hlUL2e*F-{8jXKJ_1oT{oMoaAP~3Ch8Rc z*vAdIgY<}LJ!zl@oLh(&x&W$Rvn^OSb$9_8ydVf-6jTMyzbRP$Bx@5KQBh!~1+NrL z%;3PUduK>jn5l-NSG4ecQgjPQ+!|rk4+1Ts1JfVFzPO-%j(6+1p+uK`E;4g3f;za3 z^CZH?&!6w&U`(bYFlYAkY!S^LK?=nzm-OA33XI?E1~)Hp19<0w_Y{Z~mRxq%yYU0o zd22TJC&mSK5J;vOi;OA%hY_PpbTBlqg86_#y+kD>F%TYX(Ahyr&h+PlgpkWxR+O5r zl(UZk{c$4b4{;Z{L=yYLU)F{-9Py6%Tw}-J%dSNaESvr4Bb&+(U(WrEQ1`>%Mf44# zB8|AIv%vM`NDu}-Bc%514+8u%M7>UISnrO)dV`7|2qCCrQzm6(w61`lHFxb7jVW4& zM!AM<$4iwd=cr16C9xy%0rQ^9Dl{NvgeGn$h3uo z-jvXZY1jiimZTr|q}7Ju4HIFLAFy$H0dSjP6vB!W={jUQNtU(dR?>sR2KH)%)e>lFzYg&aF|Ef6s&Tv-C5deG%9&6{nh0u*ZK6EMSKgpn@Vm%Pe#s zV2^o9&Pm5EJMn+fWJ4Fr|A#<1C@b$b7K!(EWhhElHLcl%y*Yy_GVyMR^6NH8jtNRe3Q^u3R6 zSBN$MP5RA2Wz5Mv`~U1Ea$?~yIWTRAd~@EVd({fA#>0y1);?c}{J*oH=~dhqf@<}Z z#&q_}1Abr>b<2Mc;X3AC^9Z!N^}-5rF>Q*-aGZFt{(ztLriiV48(x;cE(K#xX#`}z z=gW1tV;9}L2YY5uH4a=J1hLvCgw3mGLdCl@MnR!kjioxOL4TlYkw#pNIBeXSLLJB( zrGcq34}*4uJL5mY{NK-(M|d0w3}J6_?c<19lno6eNE0$BEbV2oDj3ZU0~$N^5<67z zc6wmKTpL~3fne|<-MS4u&9uJ=QVQ;72T_25h8$i6wG=VuqeQ7upv;4$osamq^>1_92N0|-QGh) z&w!7cmO2F_5b94*ksP?Px29Ib=bxQr$QHW5U9x09ZO_aRkZWh#igUbmu;RQFpaAbSAo9sqO~umSe9gL{3T^i}}b9pXU_P8Seg(f~@R zK5jrz2ib29ElT+hLHTwN;oQT^5G%5oISD`CBZ&45n?GLR^9IZfhzBv@6|lfLjoQc; zTnke7gcEe&A)=QX13X<*Qr{#Pn zq8|Lj64wIP%|E|K?s33|#RP;$t}=hr-`8<_1oeEvnQ^XI#5!mJjZJU3PYS;3l=ALP zb#l%ZU~x0hO?n!W&euVNYVu>_2_vjStGvNi%Dpg|j2~&Q#Y?EA4bB6xrI)8i_Iyq- z3ogzpKLMkpJ?;UpH$I1JnT7IvI;nm6SGL}+IR*NT5|SkGF-Zbs`2>Fznk@kTw9h(p zagTF^$>{eAotD`~rxPnaVuUIFQ26@nghN9VL45AtT?dXKBVcmu3kB!Oz7|K$odf>o z%b_7SEGa?`L5(AE+{W;Hs;SG0v2(+ZjRr0!W)6;cV2C6WL*U9QyEar-VHpa2>F^}j zi}q(za8ublp5f=aw>yZ8nx$%!;R9M#aqVLA-6;zW(5PvPp_+I z#RJd}KuFOTDRu}=Z~C@OH+m6_WBv7YP2L?KS^ELtm~6uOZyKl&%zMitTZi z>A^c|&h<anLxI~`24I>8^*%mZf8q8d zf@RNTLB9O zIkhJOm5U)$4Ho_hGMC(RL?j^5Xs<-F`vNGeNC*OuViw=zfC*LDFDULwBJBvc&GtV! zzi9}6gJRF*#vrA~8zkAtdsxUgf+#68&#_E<)N7k0yXa!6idX8Dg0uby&dL5#b$CQA$+@MF?U3i&O<>x=j20Rq&91pYLJ|GBD!$ylcV4r~W2JF&uP4gNqhm{KU~7pVHqxAT(|? znd2`2u>bQr6&>_PgV19wAl&zqNd#AFV-A4Xm<5TuaB?g+G7kX)uspVi@iUHslf`Vx zV6^%JLW^lQ*9CIgIO)jxeD1K1(z4BCR60oK3Wy&W-2-K0Y;QOi|IA4=TtzsxJ*z$&YfM81KYi>h28y3$dJJ)ZI>R@=bz>l(NS#3{+hZ1fB!vDejk}I}koa_qb zU3~j)9bp<>Bw|7OFHPL+Slo}nO+*EJiJa(7Ek|H>hnD^*=K=X(9@z+ExX>b*hALEn zf+)K^+75TDBDRc!r=cLSlLwhkFQWcC$%`F-8-x2wR7gUBW5!?Nf)Rvp754wT-mXJu z!_->f(AvaGw|;M`2-m<_)D#PeygTA9C_Pc-m9Uhgb} zt>()L{`Y2tq~}9^OE;$=ZoTrV!nuNS)JN>&h6r|WXBnx?^sb6@PSgA2OzV4sn^S83R z>W=+7^5}E7uV~@#yklnzKdI3=hB`->iUmU7#0##U2&}Dp5&Dg$0ZL*zJ9>_peJ@vDrJWLa)Eb4w9AlH zdUQ@Bc6C22=BFvG>_`47VU}a}@jLnUNxFr|eU5%f$4D4>lGSNml`7hN=f?-DmBj|d z_d7-l>f_Y6-2#ukqreZ{9_$l+KA$22wz60dc6Ptw{&Qes5Bk9N70(nU#x#4v>l4w+ z{6nm0gdI-_pStn9^yn{3?F~aVY=#s}@CutLF;;EU!v8Rt_GK zmfP>L5bK#-avZN0Gx%*;SL|&;WzARTBz_&9nCFiUUi+)TLPiPU3+=@yr{l7r^1~)& zI*&F*aOOQ%JclZx2$!0k_uNcTV2!E6F1m>%{LnR-n=7h(&6g%A_6=IT72xq|O?z%r9)GddoZ^E%TTrrfx_{ z-|$^uUc++#M@C*kfyvTA4)`=>xtGHaT_o#l)f8?VD0nl4h%ONNb?5dMBaxp+X01NR z6o)Nwiw;&>y~>S^X2QVc)H#<)3RpX1{lMhVzGFN;zM2_-!X+#!9Cj$wx@mj%5YkYF z+vDzfSxfq|mfExHpU86Nr>^AbK0E&ynX~yL$4w1-OyKG_KBNqf-m%4bp;x=g-0@Ap z;NlaXQUzx|{crEEBT?v;==C?|=3?SE1rr921P5t7#yGwlQMiCrxgfYAz;`6UD0l6A z?fThQKd(N18QeP4vX2?EZ);YUFwL7@E6B5Vp@`3IS>&qktE;*hIk?e%Q;)TKTb*og zNnUQ&cqTN)eCh+RE+;cL%=;_=lcSEu*g?(5m7T=yG;7^Bn{(}t#Ic0DP2GQxqxAv= zWPXLKCH6rvpQKXN)pd^xVey5Ik>6h9EX01Ax>$^R|8GP5n%T>Oe|21Z$2ZUX zgWPGVN&0^Q=?510+L_B76Y}(4*seeA9;V${N$p;sE_Ck1`(eAQY4-^2&P-}&{v3v2 zVoBJpAMGa4u3b_)i@_tqaX$##T}8Wzv^yiI-3;o&RFGI2w!4yckJ9e+q;@Y+*DUPs z!?4{Iw6hO>woPhRk>hn7-$$D+Vf%%YHKfcOYa3u6j^E|@6OPAW)641STlRMh+n-E* zGs>UAKVGEWhaA@dEnCzBmweqo4!*&l)cTQ5j zpHo+fy6NDNV0SXtNc@0ig|_X`!%yg;8*T0h+q?{2Q|K@J6{95i1*QyTmpyoz== zh3y_>g!b_A1Nes|o^0Sp`8%Dm-Jn|o-Ok`w;APMcf&N*>J)UuG>Ir8WBipiVpsqZ1 z(Qz{FPCuW}PgM2_Z4Xasy94!`lIo*&>G%b7_K~Kjd_Bh%!sA2Pf0h0E;9{@=xCpET zE)Uxn-GLmx5gxDN_z}jw6xj|0-$>%&BkCqn_Lop^HK1Dw-OrS7i;fPU?#-n36ek|y z@9a>gkFnpD{YjvG7_2<)H_-lJj?W6)uv=)W$v7(*=igu{@F#G)kl#A&e;DpZgfCIn zjItisR*7SmC?diU)tKG|IL)O1dpQZ63Sj?h#+ z81VTt9+LZ3`*rDiX`0>?jny}RZV_~Qg72r%??c(Ul-c~eAgo&f%@Z779HWP#jB9O< zMdoQ4WZs`K-U`RGwZObI-n=nZ(O>lp{dw&Vrr&?j?}^Yo4c$rLKSTPJ5SW~Oex;nV zp$pem3Hz|vpF^LV!+yBhO?=5XyCm^>Cv_Kv?OtQw7Th0$k1>94#<#_Jxb{!H&b}?4 zZE0w0mtNF=MSnXd^=AvWLuq5nT$7Jhn5KzuC@Ygz_AO;QhGpNe z|HriY?Yyx*kYx^T*+ozQoeWq=1`kf#4JCa7- zLtWY_=Qd$K_L25hVfjDUKPB9s!hXwe|0(uQ4)_1b{z>8f)9jxZ?mxqRxB^d%X1{Y- zK8F4C!hLqP-0|(q_)X!fQ&PFrZ4bXchWy%b+*Xmc>u7rgdFXH0@evxInbu!AeHCav zg{BWQw?VTb$MzAx{QGeHl$-=x2xY zhp|6rEBo3D+V|3E>r=Kkt!!)f{1g4W{U7M(4hHXmUETog@BC1Yx3k}q@;AfsZejTd zj(bu5R#@IOEFaEsZ_3{e%e#c-w{hHu@_Au-=dk=%jxVPCov{48uzVQDmr!mWHZ^`a zh2=xp?@Rgou>9PxyfwCelKq*KA3^;q;NjqBU}bO(xEr_@+!g#BtOTwDD}w963g8CN zu1S0WmILjicNg$0aA$BMSQh*m+zI?6<9^4ue*k{~%Yfg26~Oi2j^MZ8Y2f2v8*ma> zlek$y+|&Y>gSEkB;QtE%00960cma%*O=uHA6vtoEreCJD-&UKNT2a)K_F^qX-0n`3 zHJhEdnY6~sh+YJ5LQ(LbAc94q7tciy4x|lIh{4;}zsF#N-!MAuDkXx(7CUHysfTawT!O`5 z(IOB!DKnaF|G(Bwdce%(EBX7pP#+6UDDu^Cgts~czfkHUQI*Zt>Jf>&X_y2`Lvox@O%$PoE46CEM*zrn1&4h_v4Fa z)qJs&8t~2hn2DahUswg-!BQ?B6m*XBy_>j?$+Z`Vg%ttvi3biRq(GduqX(V+2gk75r) MW&WN20RRC1|I;=*V*mgE diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.syn_hier_info b/CPLD-old/MAX/MAXII/db/RAM2GS.syn_hier_info deleted file mode 100644 index e69de29..0000000 diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.tis_db_list.ddb b/CPLD-old/MAX/MAXII/db/RAM2GS.tis_db_list.ddb deleted file mode 100644 index 91bbe104745429a84af8ec3fe7aa1f8ec8927ccf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.tmw_info b/CPLD-old/MAX/MAXII/db/RAM2GS.tmw_info deleted file mode 100644 index 16f5f5b..0000000 --- a/CPLD-old/MAX/MAXII/db/RAM2GS.tmw_info +++ /dev/null @@ -1,6 +0,0 @@ -start_full_compilation:s -start_analysis_synthesis:s-start_full_compilation -start_analysis_elaboration:s-start_full_compilation -start_fitter:s-start_full_compilation -start_assembler:s-start_full_compilation -start_timing_analyzer:s-start_full_compilation diff --git a/CPLD-old/MAX/MAXII/db/RAM2GS.vpr.ammdb b/CPLD-old/MAX/MAXII/db/RAM2GS.vpr.ammdb deleted file mode 100644 index e64e99276b94253d28f84016db50be4d5ff08ac4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 615 zcmV-t0+{^~000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JTO000000021x00000 z001li00000003tK00000004LayjDF+13?hosE7d-|AFcK0YbpaNGoN@(A(@ejQ*9V3K#B|LrT8^(Vl`-Z}O!{#gGB`bVwG-v;9K%R2j2;Im;B ze_hDK+IX!0j(A_nzXkiSr2HNsPt@NQ^kaP_UJhq}Ea&VQzefB8jX!{F_5ZE@GyJtf z*3z#R$fedN7mw@HG~b-QolmKMAN;)X4!D69N({1*|=`uhp{V3q2__G{3OyHbBXA32=k@jSjF{|gmMK0MEy z&obpZq-2?9l>J3<5yx=<6Hr7~=06@9Xap@8Sd!W?%wh00000|NmU~ BB>4aU diff --git a/CPLD-old/MAX/MAXII/db/logic_util_heursitic.dat b/CPLD-old/MAX/MAXII/db/logic_util_heursitic.dat deleted file mode 100644 index ff5fe7f07c6c4ae58758247adb1a137bd6e666b9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10208 zcmb8lb#o5@0D$2iCZ=P$b7s1`yE}&IK6$!19d~--jyX1Fa@gta?(S|r0=~hX_wN1g z2?PRxWdBY||6NjTa^VAFsN2AdXaIK)J zAz-tE%>gzi*j!+9gUtgrFW7uw^Mfq_wjkI-U<-pS0=6jFVqlAdEde$ZY)PRl!yRTODi-ur~OFnz>Wkv3hZdGW5A9D zI}Yr4uoJ*e1Um`rWUy1fP6ay+>~yd*z|I6a3+!yLbHL68I}hx9unWL01iJ|AVz5iV zE(N;`>~gRxz^(+l3hZjIYrw7ryAEs=*!5sHfZYgo6WGmQw}6cXyA|v>jXt!R`aQAM63J31AO`Jp}eJ*hH{Lz#avA4D4~RC%~QrdkXAn zuxG%Y1$z$cd9WA2UIcpy>}9Z5z+MG=4eWKWH^ANmdkgGsuy?@T1$z(deXtL}J_P#+ z>|?M`z&-{04D55TFTlP8`wHx9uy4S=1^W){d$1qCegyjo>}RlFzuG0001Zob6rFZrer>eD7BfdT+!fMM?ym0J7z@Zkslh(56687_!Br zf-TCW=rjR}etSvUwG>n_|7a&KP)d}=k@&Z$`NPwjq@hHa84J?EdONh#TSlqbQOO( zzgaJnY;(SeGbj6Wldmt0-^FR1T*f`;w`H8>S8ks7`sD|9KjJJG`FeW~A9}ysWN~`` zw)~C7&Av#cC$pn|`OmwL_a8pL*PaLLoh8d`8qXJ>7^6NgI$i%UejEPEm>d9;zx*&A zy*mAhF&WfZrBAIg1SVh3-UgJxAvP$SBS*mE@jSVDE0oCyIdVKpea4`;H7t6RMTDF3 zwz<6#gq`VFT*@HA&70|jgpDBF6anOMCJ}DVW^Y~2B7)6NFJHY**YDH$iZYA9lkbmE z1`&3W>6=r^AVN-_&Bt6iN60Bch}&-uL1!{O`R-fFA_UIym`p()g3g#;WDVqyjzqxu z?sRhcJ!KIA=VZ0Wv2&WvH!)=wA!j_D{kD9UQ8p2HPGoj_v07$XyrAqN4CVX8bVcQ zw>PJpI}wc7Lg^z66*tW#P9MRiY>c?Q86W`V9Rjz?L9OP8=ZX+`%2jfntkK5NBtZnb zxO{uK9wDm1rdDR)o&T2nefiqwFWrAZmd=yS9q+%x>HpbNSb4WjSMlPhnWwjhkgVeJ zBgpRZWWKlv%?dhS+*%`cE{ls><8VgO#%_&{k|g!TMW|NL`r^VN2T$xnRMX79TWdnd z?h))NS#IC0b21}$9qJ?$>^@XV@bo?uN+|h#sF$D#ekhmV>2ra43A!{26im=;w?MH3 zO?L~FOVE6`K*5BP@D`|>P%z#Cl@m(JTcCh~=DY<8C^V$EKoNz4^%kh3U}GYP(h(!zXhr&X!={Afp) zb%C-9mS7hssNflPfqDuh#V$}(QOs+2MRuW zy+Dx#&EE@DS?~nDK$V4p!565p;3<588Vj1k7bvmNkoW>+7Ah8BpwxoyqM*=1NxBP^ zTkx#AK*5D3n?S)u@%R{@q@m(MOTG&fUeN5jKgX;=4qmYGf+yhxiZ5suUZDD-l-%$*h4Kppy)RIJLG$}kOMk(cg!&7f;TNdC zP*D5=B^U~hU!VqqCiw-5FjR9`D8!&?et|-aJ2@baCaA{X`F??F44&{8sK?+Lf5CDL z1?4YTl2JYw$Zr*tX7FMHf!YkDCfH*V_I$AZ>I)vE1oJ|aa3?a@qbT_NZ4Y05 zb+h;93jVo%|Bh^)#m+KW#2=sc+?|W%dT>izTI9h| zmtt_+ZQJC*E>)845zrzJZV#DV@Su%(FgR@6oAqE|qX)O7Q3pIYIIOiYhRtjPYtRFuy#D4^R&ZL z#zQKy!%ymiM1wto9iCDjBpS@;cJv4%^F2bP^0GUgQn$y=c`DSlzAbyod`t~3nYn0( zXbt8m?Pj%{(am@0o*j9rQt8stY}gJ@RSGg%s(p4$(JOVhE#)aYJSFDylxxStQ%xW> zn5XRM(bdgNgPo@xF;)5GSxYfxM~@zvpQ1;0%!WhrF%{S`by06(T5)iomxK1nipDBKAO=TnE2)sif6~Mqw)gK7-T=ibVn1?-Ne)ZB4{J)#}m zbmi}uv^1NvK}e;d4z91 zqpNj3EuT8fOF`8SA-3!(^XOCmoKeeNf_X)RI#sr;SU1n}s~^B`8GXhb<=RpD)WZ7a zJf$AEw;WRD!B_dGTDs#Y^OeuFV=}20O*Q8!v7<*&FSEgzvIKk`I3G7x`X0Y>|L16n Q4`t_zix*$~4M3Qi(EYw10ssI2 diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.asm.rpt b/CPLD-old/MAX/MAXII/output_files/RAM2GS.asm.rpt deleted file mode 100644 index 878a98d..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.asm.rpt +++ /dev/null @@ -1,114 +0,0 @@ -Assembler report for RAM2GS -Mon Aug 16 18:40:17 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.pof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Mon Aug 16 18:40:17 2021 ; -; Revision Name ; RAM2GS ; -; Top-level Entity Name ; RAM2GS ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -+-----------------------+---------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+-----------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+-----------+---------------+ -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; On ; On ; -; Security bit ; Off ; Off ; -; Use configuration device ; On ; On ; -; Configuration device ; Auto ; Auto ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File start address ; 0 ; 0 ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -; In-System Programming Default Clamp State ; Tri-state ; Tri-state ; -+-----------------------------------------------------------------------------+-----------+---------------+ - - -+-----------------------------------------------------------------------------+ -; Assembler Generated Files ; -+-----------------------------------------------------------------------------+ -; File Name ; -+-----------------------------------------------------------------------------+ -; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.pof ; -+-----------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------+ -; Assembler Device Options: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.pof ; -+----------------+--------------------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+--------------------------------------------------------------------------------------+ -; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x001737AB ; -; Checksum ; 0x00173A1B ; -+----------------+--------------------------------------------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit Assembler - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Aug 16 18:40:17 2021 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 381 megabytes - Info: Processing ended: Mon Aug 16 18:40:17 2021 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.done b/CPLD-old/MAX/MAXII/output_files/RAM2GS.done deleted file mode 100644 index ad0c117..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.done +++ /dev/null @@ -1 +0,0 @@ -Mon Aug 16 18:40:20 2021 diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.rpt b/CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.rpt deleted file mode 100644 index 29e0daf..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.rpt +++ /dev/null @@ -1,998 +0,0 @@ -Fitter report for RAM2GS -Mon Aug 16 18:40:16 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. Pin-Out File - 6. Fitter Resource Usage Summary - 7. Input Pins - 8. Output Pins - 9. Bidir Pins - 10. I/O Bank Usage - 11. All Package Pins - 12. Output Pin Default Load For Reported TCO - 13. Fitter Resource Utilization by Entity - 14. Delay Chain Summary - 15. Control Signals - 16. Global & Other Fast Signals - 17. Non-Global High Fan-Out Signals - 18. Other Routing Usage Summary - 19. LAB Logic Elements - 20. LAB-wide Signals - 21. LAB Signals Sourced - 22. LAB Signals Sourced Out - 23. LAB Distinct Inputs - 24. Fitter Device Options - 25. Estimated Delay Added for Hold Timing Summary - 26. Estimated Delay Added for Hold Timing Details - 27. Fitter Messages - 28. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------------+ -; Fitter Summary ; -+---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Mon Aug 16 18:40:16 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM2GS ; -; Top-level Entity Name ; RAM2GS ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 168 / 240 ( 70 % ) ; -; Total pins ; 63 / 80 ( 79 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+---------------------------+-------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Device ; EPM240T100C5 ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Placement Effort Multiplier ; 10 ; 1.0 ; -; Router Effort Multiplier ; 10 ; 1.0 ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Device I/O Standard ; 3.3-V LVTTL ; ; -; Optimize Multi-Corner Timing ; On ; Off ; -; Optimize IOC Register Placement for Timing ; Pack All IO Registers ; Normal ; -; Enable Bus-Hold Circuitry ; On ; Off ; -; Fitter Effort ; Standard Fit ; Auto Fit ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Always Enable Input Buffers ; Off ; Off ; -; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; -; Guarantee I/O Paths Have Zero Hold Time at Fast Corner ; On ; On ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate full fit report during ECO compiles ; Off ; Off ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; Slow Slew Rate ; Off ; Off ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -+----------------------------------------------------------------------------+--------------------------------+--------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.pin. - - -+------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+--------------------+ -; Resource ; Usage ; -+---------------------------------------------+--------------------+ -; Total logic elements ; 168 / 240 ( 70 % ) ; -; -- Combinational with no register ; 71 ; -; -- Register only ; 20 ; -; -- Combinational with a register ; 77 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 58 ; -; -- 3 input functions ; 40 ; -; -- 2 input functions ; 41 ; -; -- 1 input functions ; 8 ; -; -- 0 input functions ; 1 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 152 ; -; -- arithmetic mode ; 16 ; -; -- qfbk mode ; 7 ; -; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 25 ; -; -- asynchronous clear/load mode ; 0 ; -; ; ; -; Total registers ; 97 / 240 ( 40 % ) ; -; Total LABs ; 23 / 24 ( 96 % ) ; -; Logic elements in carry chains ; 17 ; -; Virtual pins ; 0 ; -; I/O pins ; 63 / 80 ( 79 % ) ; -; -- Clock pins ; 2 / 4 ( 50 % ) ; -; ; ; -; Global signals ; 4 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -; Global clocks ; 4 / 4 ( 100 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 21% / 23% / 19% ; -; Peak interconnect usage (total/H/V) ; 21% / 23% / 19% ; -; Maximum fan-out ; 55 ; -; Highest non-global fan-out ; 39 ; -; Total fan-out ; 643 ; -; Average fan-out ; 2.77 ; -+---------------------------------------------+--------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; -+---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; CROW[0] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; CROW[1] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[0] ; 42 ; 1 ; 5 ; 0 ; 0 ; 6 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[1] ; 36 ; 1 ; 4 ; 0 ; 2 ; 4 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[2] ; 35 ; 1 ; 3 ; 0 ; 0 ; 5 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[3] ; 37 ; 1 ; 4 ; 0 ; 1 ; 5 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[4] ; 39 ; 1 ; 5 ; 0 ; 3 ; 7 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[5] ; 38 ; 1 ; 4 ; 0 ; 0 ; 7 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[6] ; 41 ; 1 ; 5 ; 0 ; 1 ; 8 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[7] ; 40 ; 1 ; 5 ; 0 ; 2 ; 6 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[0] ; 49 ; 1 ; 7 ; 0 ; 2 ; 5 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[1] ; 51 ; 1 ; 7 ; 0 ; 0 ; 6 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[2] ; 50 ; 1 ; 7 ; 0 ; 1 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[3] ; 71 ; 2 ; 8 ; 4 ; 3 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[4] ; 70 ; 2 ; 8 ; 4 ; 4 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[5] ; 69 ; 2 ; 8 ; 3 ; 0 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[6] ; 72 ; 2 ; 8 ; 4 ; 2 ; 4 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[7] ; 68 ; 2 ; 8 ; 3 ; 1 ; 4 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[8] ; 73 ; 2 ; 8 ; 4 ; 1 ; 2 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[9] ; 74 ; 2 ; 8 ; 4 ; 0 ; 4 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; PHI2 ; 52 ; 2 ; 8 ; 1 ; 4 ; 21 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; RCLK ; 12 ; 1 ; 1 ; 3 ; 3 ; 55 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; nCCAS ; 53 ; 2 ; 8 ; 1 ; 3 ; 11 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; nCRAS ; 67 ; 2 ; 8 ; 3 ; 2 ; 16 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; nFWE ; 48 ; 1 ; 6 ; 0 ; 0 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -+---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; Dout[0] ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[1] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[3] ; 47 ; 1 ; 6 ; 0 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[4] ; 44 ; 1 ; 6 ; 0 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[5] ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[6] ; 34 ; 1 ; 3 ; 0 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[7] ; 43 ; 1 ; 6 ; 0 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; LED ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; -; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RBA[0] ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RBA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RCKE ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RDQMH ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RDQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; nRCAS ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nRCS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; nRRAS ; 6 ; 1 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nRWE ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Bidir Pins ; -+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; RD[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[2] ; 89 ; 2 ; 4 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[3] ; 99 ; 2 ; 2 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[4] ; 92 ; 2 ; 3 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[6] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[7] ; 97 ; 2 ; 3 ; 5 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ - - -+-------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+-------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+-------------------+---------------+--------------+ -; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ; -; 2 ; 25 / 42 ( 60 % ) ; 3.3V ; -- ; -+----------+-------------------+---------------+--------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; 1 ; 83 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 2 ; 0 ; 1 ; RDQMH ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 3 ; 1 ; 1 ; nRCS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 4 ; 2 ; 1 ; nRCAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 5 ; 3 ; 1 ; RBA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 6 ; 4 ; 1 ; nRRAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 7 ; 5 ; 1 ; RA[11] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 8 ; 6 ; 1 ; RCKE ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 12 ; 7 ; 1 ; RCLK ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 14 ; 8 ; 1 ; RBA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 15 ; 9 ; 1 ; RA[9] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 16 ; 10 ; 1 ; RA[10] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 17 ; 11 ; 1 ; RA[8] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 18 ; 12 ; 1 ; RA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 19 ; 13 ; 1 ; RA[7] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 20 ; 14 ; 1 ; RA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 21 ; 15 ; 1 ; RA[6] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 26 ; 20 ; 1 ; RA[4] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 27 ; 21 ; 1 ; RA[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 28 ; 22 ; 1 ; Dout[5] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 29 ; 23 ; 1 ; RA[5] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 30 ; 24 ; 1 ; RA[2] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 33 ; 25 ; 1 ; Dout[0] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 34 ; 26 ; 1 ; Dout[6] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 35 ; 27 ; 1 ; Din[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 36 ; 28 ; 1 ; Din[1] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 37 ; 29 ; 1 ; Din[3] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 38 ; 30 ; 1 ; Din[5] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 39 ; 31 ; 1 ; Din[4] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 40 ; 32 ; 1 ; Din[7] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 41 ; 33 ; 1 ; Din[6] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 42 ; 34 ; 1 ; Din[0] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 43 ; 35 ; 1 ; Dout[7] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 44 ; 36 ; 1 ; Dout[4] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 47 ; 37 ; 1 ; Dout[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 48 ; 38 ; 1 ; nFWE ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 49 ; 39 ; 1 ; MAin[0] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 50 ; 40 ; 1 ; MAin[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 51 ; 41 ; 1 ; MAin[1] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 52 ; 42 ; 2 ; PHI2 ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 53 ; 43 ; 2 ; nCCAS ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 54 ; 44 ; 2 ; CROW[0] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 55 ; 45 ; 2 ; CROW[1] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 56 ; 46 ; 2 ; Dout[2] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 57 ; 47 ; 2 ; Dout[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 58 ; 48 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 60 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 61 ; 49 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 62 ; 50 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 64 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 66 ; 52 ; 2 ; LED ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; yes ; Off ; -; 67 ; 53 ; 2 ; nCRAS ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 68 ; 54 ; 2 ; MAin[7] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 69 ; 55 ; 2 ; MAin[5] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 70 ; 56 ; 2 ; MAin[4] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 71 ; 57 ; 2 ; MAin[3] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 72 ; 58 ; 2 ; MAin[6] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 73 ; 59 ; 2 ; MAin[8] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 74 ; 60 ; 2 ; MAin[9] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 75 ; 61 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 76 ; 62 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 77 ; 63 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 78 ; 64 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 81 ; 65 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 82 ; 66 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 83 ; 67 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 84 ; 68 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 85 ; 69 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 86 ; 70 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 87 ; 71 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 88 ; 72 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 89 ; 73 ; 2 ; RD[2] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 90 ; 74 ; 2 ; RD[1] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 92 ; 76 ; 2 ; RD[4] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 93 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 95 ; 77 ; 2 ; RD[6] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 96 ; 78 ; 2 ; RD[0] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 97 ; 79 ; 2 ; RD[7] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 98 ; 80 ; 2 ; RDQML ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 99 ; 81 ; 2 ; RD[3] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 100 ; 82 ; 2 ; nRWE ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+-------------------------------------------------------------+ -; Output Pin Default Load For Reported TCO ; -+----------------------------+-------+------------------------+ -; I/O Standard ; Load ; Termination Resistance ; -+----------------------------+-------+------------------------+ -; 3.3-V LVTTL ; 10 pF ; Not Available ; -; 3.3-V LVCMOS ; 10 pF ; Not Available ; -; 2.5 V ; 10 pF ; Not Available ; -; 1.8 V ; 10 pF ; Not Available ; -; 1.5 V ; 10 pF ; Not Available ; -; 3.3V Schmitt Trigger Input ; 10 pF ; Not Available ; -; 2.5V Schmitt Trigger Input ; 10 pF ; Not Available ; -+----------------------------+-------+------------------------+ -Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |RAM2GS ; 168 (168) ; 97 ; 1 ; 63 ; 0 ; 71 (71) ; 20 (20) ; 77 (77) ; 17 (17) ; 7 (7) ; |RAM2GS ; work ; -; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst ; work ; -; |UFM_altufm_none_imr:UFM_altufm_none_imr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component ; work ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+------------------------------------+ -; Delay Chain Summary ; -+---------+----------+---------------+ -; Name ; Pin Type ; Pad to Core 0 ; -+---------+----------+---------------+ -; nCRAS ; Input ; (0) ; -; MAin[0] ; Input ; (0) ; -; MAin[1] ; Input ; (0) ; -; MAin[2] ; Input ; (0) ; -; MAin[3] ; Input ; (0) ; -; MAin[4] ; Input ; (0) ; -; MAin[5] ; Input ; (0) ; -; MAin[6] ; Input ; (0) ; -; MAin[7] ; Input ; (0) ; -; MAin[8] ; Input ; (0) ; -; MAin[9] ; Input ; (0) ; -; RCLK ; Input ; (0) ; -; CROW[0] ; Input ; (1) ; -; CROW[1] ; Input ; (1) ; -; PHI2 ; Input ; (0) ; -; Din[6] ; Input ; (1) ; -; nFWE ; Input ; (1) ; -; Din[0] ; Input ; (1) ; -; Din[7] ; Input ; (1) ; -; Din[1] ; Input ; (1) ; -; Din[4] ; Input ; (1) ; -; Din[5] ; Input ; (1) ; -; Din[2] ; Input ; (1) ; -; Din[3] ; Input ; (1) ; -; nCCAS ; Input ; (0) ; -; Dout[0] ; Output ; -- ; -; Dout[1] ; Output ; -- ; -; Dout[2] ; Output ; -- ; -; Dout[3] ; Output ; -- ; -; Dout[4] ; Output ; -- ; -; Dout[5] ; Output ; -- ; -; Dout[6] ; Output ; -- ; -; Dout[7] ; Output ; -- ; -; LED ; Output ; -- ; -; RBA[0] ; Output ; -- ; -; RBA[1] ; Output ; -- ; -; RA[0] ; Output ; -- ; -; RA[1] ; Output ; -- ; -; RA[2] ; Output ; -- ; -; RA[3] ; Output ; -- ; -; RA[4] ; Output ; -- ; -; RA[5] ; Output ; -- ; -; RA[6] ; Output ; -- ; -; RA[7] ; Output ; -- ; -; RA[8] ; Output ; -- ; -; RA[9] ; Output ; -- ; -; RA[10] ; Output ; -- ; -; RA[11] ; Output ; -- ; -; nRCS ; Output ; -- ; -; RCKE ; Output ; -- ; -; nRWE ; Output ; -- ; -; nRRAS ; Output ; -- ; -; nRCAS ; Output ; -- ; -; RDQMH ; Output ; -- ; -; RDQML ; Output ; -- ; -; RD[0] ; Bidir ; (0) ; -; RD[1] ; Bidir ; (0) ; -; RD[2] ; Bidir ; (0) ; -; RD[3] ; Bidir ; (0) ; -; RD[4] ; Bidir ; (0) ; -; RD[5] ; Bidir ; (0) ; -; RD[6] ; Bidir ; (0) ; -; RD[7] ; Bidir ; (0) ; -+---------+----------+---------------+ - - -+---------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; CmdDRDIn~1 ; LC_X6_Y2_N5 ; 4 ; Clock enable ; no ; -- ; -- ; -; CmdSubmitted~0 ; LC_X5_Y2_N5 ; 2 ; Clock enable ; no ; -- ; -- ; -; DRDIn~1 ; LC_X4_Y1_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -; PHI2 ; PIN_52 ; 21 ; Clock ; yes ; Global Clock ; GCLK3 ; -; RCLK ; PIN_12 ; 55 ; Clock ; yes ; Global Clock ; GCLK0 ; -; Ready ; LC_X3_Y4_N6 ; 39 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; always8~5 ; LC_X6_Y2_N2 ; 3 ; Clock enable ; no ; -- ; -- ; -; comb~3 ; LC_X4_Y4_N9 ; 8 ; Output enable ; no ; -- ; -- ; -; nCCAS ; PIN_53 ; 11 ; Clock ; yes ; Global Clock ; GCLK2 ; -; nCRAS ; PIN_67 ; 16 ; Clock ; yes ; Global Clock ; GCLK1 ; -+----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ - - -+----------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+-------+----------+---------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; -+-------+----------+---------+----------------------+------------------+ -; PHI2 ; PIN_52 ; 21 ; Global Clock ; GCLK3 ; -; RCLK ; PIN_12 ; 55 ; Global Clock ; GCLK0 ; -; nCCAS ; PIN_53 ; 11 ; Global Clock ; GCLK2 ; -; nCRAS ; PIN_67 ; 16 ; Global Clock ; GCLK1 ; -+-------+----------+---------+----------------------+------------------+ - - -+-------------------------------------------------------------------------------------------------------+ -; Non-Global High Fan-Out Signals ; -+---------------------------------------------------------------------------------------------+---------+ -; Name ; Fan-Out ; -+---------------------------------------------------------------------------------------------+---------+ -; Ready ; 39 ; -; nRowColSel ; 13 ; -; S[1] ; 12 ; -; S[0] ; 12 ; -; RASr2 ; 9 ; -; Din[6] ; 8 ; -; comb~3 ; 8 ; -; Din[5] ; 7 ; -; Din[4] ; 7 ; -; IS[0]~0 ; 7 ; -; Din[7] ; 6 ; -; Din[0] ; 6 ; -; MAin[1] ; 6 ; -; IS[0] ; 6 ; -; FS[4] ; 6 ; -; Din[3] ; 5 ; -; Din[2] ; 5 ; -; MAin[0] ; 5 ; -; FS[8]~31 ; 5 ; -; FS[3]~13 ; 5 ; -; FS[3] ; 5 ; -; IS[1] ; 5 ; -; CBR ; 5 ; -; FWEr ; 5 ; -; FS[6] ; 5 ; -; FS[5] ; 5 ; -; FS[17] ; 5 ; -; FS[16] ; 5 ; -; UFMD[15] ; 5 ; -; Din[1] ; 4 ; -; MAin[9] ; 4 ; -; MAin[7] ; 4 ; -; MAin[6] ; 4 ; -; CmdDRDIn~1 ; 4 ; -; FS[13]~27 ; 4 ; -; CMDWR~2 ; 4 ; -; UFMReqErase ; 4 ; -; always9~3 ; 4 ; -; DRCLK~0 ; 4 ; -; always9~2 ; 4 ; -; Equal9~0 ; 4 ; -; IS[3] ; 4 ; -; IS[2] ; 4 ; -; InitReady ; 4 ; -; always9~0 ; 4 ; -; nFWE ; 3 ; -; MAin[5] ; 3 ; -; MAin[4] ; 3 ; -; MAin[3] ; 3 ; -; MAin[2] ; 3 ; -; always8~5 ; 3 ; -; CMDWR ; 3 ; -; CmdEnable ; 3 ; -; FS[0] ; 3 ; -; always8~4 ; 3 ; -; always8~2 ; 3 ; -; Equal0~0 ; 3 ; -; nRCS~3 ; 3 ; -; n8MEGEN ; 3 ; -; UFMInitDone~0 ; 3 ; -; UFMInitDone ; 3 ; -; RCKE~reg0 ; 3 ; -; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; 3 ; -; MAin[8] ; 2 ; -; CmdSubmitted~0 ; 2 ; -; Equal17~0 ; 2 ; -; CmdDRDIn~0 ; 2 ; -; XOR8MEG~0 ; 2 ; -; Equal0~3 ; 2 ; -; Ready~0 ; 2 ; -; Equal26~0 ; 2 ; -; FS[9] ; 2 ; -; FS[8] ; 2 ; -; Equal5~1 ; 2 ; -; FS[14] ; 2 ; -; FS[13] ; 2 ; -; FS[12] ; 2 ; -; FS[11] ; 2 ; -; FS[10] ; 2 ; -; FS[15] ; 2 ; -; Equal24~0 ; 2 ; -; FS[2] ; 2 ; -; FS[1] ; 2 ; -; UFMOscEN~0 ; 2 ; -; C1Submitted ; 2 ; -; Equal0~1 ; 2 ; -; always8~0 ; 2 ; -; CmdUFMErase ; 2 ; -; CmdUFMPrgm ; 2 ; -; always9~4 ; 2 ; -; PHI2r2 ; 2 ; -; DRDIn~1 ; 2 ; -; CmdSubmitted ; 2 ; -; RASr ; 2 ; -; RCKEEN ; 2 ; -; CASr2 ; 2 ; -; nRRAS~0 ; 2 ; -; nRCS~1 ; 2 ; -; nRCS~0 ; 2 ; -; XOR8MEG ; 2 ; -; RA10~0 ; 2 ; -; nRowColSel~0 ; 2 ; -; always9~1 ; 2 ; -; FS[7] ; 2 ; -; UFMOscEN ; 2 ; -; UFMErase ; 2 ; -; UFMProgram ; 2 ; -; LEDEN ; 2 ; -; UFMProgram~_wirecell ; 1 ; -; UFMOscEN~_wirecell ; 1 ; -; UFMErase~_wirecell ; 1 ; -; RD[7]~7 ; 1 ; -; RD[6]~6 ; 1 ; -; RD[5]~5 ; 1 ; -; RD[4]~4 ; 1 ; -; RD[3]~3 ; 1 ; -; RD[2]~2 ; 1 ; -; RD[1]~1 ; 1 ; -; RD[0]~0 ; 1 ; -; CROW[1] ; 1 ; -; CROW[0] ; 1 ; -; CmdEnable~1 ; 1 ; -; CmdEnable~0 ; 1 ; -; PHI2r ; 1 ; -; RCKEEN~2 ; 1 ; -; RCKEEN~1 ; 1 ; -; RCKEEN~0 ; 1 ; -; CASr ; 1 ; -; Equal16~0 ; 1 ; -; n8MEGEN~3 ; 1 ; -; PHI2r3 ; 1 ; -; n8MEGEN~2 ; 1 ; -; n8MEGEN~1 ; 1 ; -; n8MEGEN~0 ; 1 ; -; Cmdn8MEGEN ; 1 ; -; IS[0]~3 ; 1 ; -; Ready~1 ; 1 ; -; FS[9]~33COUT1_62 ; 1 ; -; FS[9]~33 ; 1 ; -; FS[14]~29COUT1_70 ; 1 ; -; FS[14]~29 ; 1 ; -; Equal5~0 ; 1 ; -; FS[12]~25COUT1_68 ; 1 ; -; FS[12]~25 ; 1 ; -; FS[11]~23COUT1_66 ; 1 ; -; FS[11]~23 ; 1 ; -; FS[10]~21COUT1_64 ; 1 ; -; FS[10]~21 ; 1 ; -; FS[15]~19COUT1_72 ; 1 ; -; FS[15]~19 ; 1 ; -; UFMD[15]~0 ; 1 ; -; FS[2]~17COUT1_52 ; 1 ; -; FS[2]~17 ; 1 ; -; FS[1]~15COUT1_50 ; 1 ; -; FS[1]~15 ; 1 ; -; WRD[7] ; 1 ; -; WRD[6] ; 1 ; -; WRD[5] ; 1 ; -; WRD[4] ; 1 ; -; WRD[3] ; 1 ; -; WRD[2] ; 1 ; -; WRD[1] ; 1 ; -; WRD[0] ; 1 ; -; ADSubmitted ; 1 ; -; always8~3 ; 1 ; -; Equal0~2 ; 1 ; -; always8~1 ; 1 ; -; Equal1~0 ; 1 ; -; CMDWR~1 ; 1 ; -; Bank[7] ; 1 ; -; Bank[6] ; 1 ; -; Bank[5] ; 1 ; -; CMDWR~0 ; 1 ; -; Bank[2] ; 1 ; -; Bank[3] ; 1 ; -; Bank[1] ; 1 ; -; always9~5 ; 1 ; -; ARCLK~0 ; 1 ; -; CmdDRCLK ; 1 ; -; CmdDRDIn ; 1 ; -; nRCAS~1 ; 1 ; -; nRCAS~0 ; 1 ; -; nRWE~0 ; 1 ; -; RASr3 ; 1 ; -; nRCS~4 ; 1 ; -; nRCS~2 ; 1 ; -; nRowColSel~1 ; 1 ; -; FS[4]~11COUT1_54 ; 1 ; -; FS[4]~11 ; 1 ; -; FS[6]~9COUT1_58 ; 1 ; -; FS[6]~9 ; 1 ; -; FS[5]~7COUT1_56 ; 1 ; -; FS[5]~7 ; 1 ; -; FS[7]~5COUT1_60 ; 1 ; -; FS[7]~5 ; 1 ; -; FS[16]~1COUT1_74 ; 1 ; -; FS[16]~1 ; 1 ; -; ARShift ; 1 ; -; ARCLK ; 1 ; -; DRShift ; 1 ; -; DRCLK ; 1 ; -; DRDIn ; 1 ; -; comb~2 ; 1 ; -; comb~1 ; 1 ; -; nRCAS~reg0 ; 1 ; -; nRRAS~reg0 ; 1 ; -; nRWE~reg0 ; 1 ; -; nRCS~reg0 ; 1 ; -; RA11 ; 1 ; -; RA10 ; 1 ; -; RA~9 ; 1 ; -; RowA[9] ; 1 ; -; RA~8 ; 1 ; -; RowA[8] ; 1 ; -; RA~7 ; 1 ; -; RowA[7] ; 1 ; -; RA~6 ; 1 ; -; RowA[6] ; 1 ; -; RA~5 ; 1 ; -; RowA[5] ; 1 ; -; RA~4 ; 1 ; -; RowA[4] ; 1 ; -; RA~3 ; 1 ; -; RowA[3] ; 1 ; -; RA~2 ; 1 ; -; RowA[2] ; 1 ; -; RA~1 ; 1 ; -; RowA[1] ; 1 ; -; RA~0 ; 1 ; -; RowA[0] ; 1 ; -; RBA[1]~reg0 ; 1 ; -; RBA[0]~reg0 ; 1 ; -; comb~0 ; 1 ; -+---------------------------------------------------------------------------------------------+---------+ - - -+--------------------------------------------------+ -; Other Routing Usage Summary ; -+-----------------------------+--------------------+ -; Other Routing Resource Type ; Usage ; -+-----------------------------+--------------------+ -; C4s ; 126 / 784 ( 16 % ) ; -; Direct links ; 41 / 888 ( 5 % ) ; -; Global clocks ; 4 / 4 ( 100 % ) ; -; LAB clocks ; 16 / 32 ( 50 % ) ; -; LUT chains ; 17 / 216 ( 8 % ) ; -; Local interconnects ; 252 / 888 ( 28 % ) ; -; R4s ; 134 / 704 ( 19 % ) ; -+-----------------------------+--------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Logic Elements ; -+--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 7.30) ; Number of LABs (Total = 23) ; -+--------------------------------------------+------------------------------+ -; 1 ; 2 ; -; 2 ; 3 ; -; 3 ; 0 ; -; 4 ; 1 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 3 ; -; 9 ; 0 ; -; 10 ; 12 ; -+--------------------------------------------+------------------------------+ - - -+-------------------------------------------------------------------+ -; LAB-wide Signals ; -+------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.26) ; Number of LABs (Total = 23) ; -+------------------------------------+------------------------------+ -; 1 Clock ; 17 ; -; 1 Clock enable ; 2 ; -; 1 Sync. clear ; 4 ; -; 1 Sync. load ; 1 ; -; 2 Clocks ; 5 ; -+------------------------------------+------------------------------+ - - -+----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 7.57) ; Number of LABs (Total = 23) ; -+---------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 2 ; -; 2 ; 3 ; -; 3 ; 0 ; -; 4 ; 1 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 2 ; -; 8 ; 3 ; -; 9 ; 0 ; -; 10 ; 9 ; -; 11 ; 2 ; -; 12 ; 1 ; -+---------------------------------------------+------------------------------+ - - -+--------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 5.17) ; Number of LABs (Total = 23) ; -+-------------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 3 ; -; 2 ; 2 ; -; 3 ; 0 ; -; 4 ; 4 ; -; 5 ; 3 ; -; 6 ; 3 ; -; 7 ; 4 ; -; 8 ; 2 ; -; 9 ; 1 ; -; 10 ; 1 ; -+-------------------------------------------------+------------------------------+ - - -+----------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+---------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 9.04) ; Number of LABs (Total = 23) ; -+---------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 2 ; -; 2 ; 1 ; -; 3 ; 3 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 1 ; -; 7 ; 3 ; -; 8 ; 1 ; -; 9 ; 2 ; -; 10 ; 1 ; -; 11 ; 0 ; -; 12 ; 1 ; -; 13 ; 2 ; -; 14 ; 2 ; -; 15 ; 1 ; -; 16 ; 1 ; -; 17 ; 1 ; -; 18 ; 1 ; -+---------------------------------------------+------------------------------+ - - -+-------------------------------------------------------------------------+ -; Fitter Device Options ; -+----------------------------------------------+--------------------------+ -; Option ; Setting ; -+----------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Passive Serial ; -; Reserve all unused pins ; As output driving ground ; -; Base pin-out file on sameframe device ; Off ; -+----------------------------------------------+--------------------------+ - - -+------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Summary ; -+-----------------+----------------------+-------------------+ -; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; -+-----------------+----------------------+-------------------+ -; I/O ; nCRAS ; 1.3 ; -; I/O ; RCLK ; 1.2 ; -+-----------------+----------------------+-------------------+ -Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. -This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer. - - -+------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+-----------------+----------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+-----------------+----------------------+-------------------+ -; nCCAS ; CBR ; 1.303 ; -; PHI2 ; PHI2r ; 0.610 ; -; nCRAS ; RASr ; 0.301 ; -+-----------------+----------------------+-------------------+ -Note: This table only shows the top 3 path(s) that have the largest delay added for hold. - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (119006): Selected device EPM240T100C5 for design "RAM2GS" -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EPM240T100I5 is compatible - Info (176445): Device EPM240T100A5 is compatible - Info (176445): Device EPM570T100C5 is compatible - Info (176445): Device EPM570T100I5 is compatible - Info (176445): Device EPM570T100A5 is compatible -Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 63 total pins - Info (169086): Pin LED not assigned to an exact location on the device -Critical Warning (332012): Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained base clocks found in the design -Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements - Info (332127): Assuming a default timing requirement -Info (332111): Found 6 clocks - Info (332111): Period Clock Name - Info (332111): ======== ============ - Info (332111): 1.000 ARCLK - Info (332111): 1.000 DRCLK - Info (332111): 1.000 nCCAS - Info (332111): 1.000 nCRAS - Info (332111): 1.000 PHI2 - Info (332111): 1.000 RCLK -Info (186079): Completed User Assigned Global Signals Promotion Operation -Info (186215): Automatically promoted signal "RCLK" to use Global clock in PIN 12 -Info (186216): Automatically promoted some destinations of signal "PHI2" to use Global clock - Info (186217): Destination "PHI2r" may be non-global or may not use global clock -Info (186228): Pin "PHI2" drives global clock, but is not placed in a dedicated clock pin position -Info (186216): Automatically promoted some destinations of signal "nCRAS" to use Global clock - Info (186217): Destination "comb~0" may be non-global or may not use global clock - Info (186217): Destination "RASr" may be non-global or may not use global clock -Info (186228): Pin "nCRAS" drives global clock, but is not placed in a dedicated clock pin position -Info (186216): Automatically promoted some destinations of signal "nCCAS" to use Global clock - Info (186217): Destination "CBR" may be non-global or may not use global clock - Info (186217): Destination "comb~3" may be non-global or may not use global clock - Info (186217): Destination "CASr" may be non-global or may not use global clock -Info (186228): Pin "nCCAS" drives global clock, but is not placed in a dedicated clock pin position -Info (186079): Completed Auto Global Promotion Operation -Info (176234): Starting register packing -Info (186391): Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option -Info (186468): Started processing fast register assignments -Info (186469): Finished processing fast register assignments -Info (176235): Finished register packing -Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional) - Info (176212): I/O standards used: 3.3-V LVTTL. -Info (176215): I/O bank details before I/O pin placement - Info (176214): Statistics of I/O banks - Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available - Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 24 total pin(s) used -- 18 pins available -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 18% of the available device resources - Info (170196): Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.28 seconds. -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 -Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.fit.smsg -Info: Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 548 megabytes - Info: Processing ended: Mon Aug 16 18:40:16 2021 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.fit.smsg. - - diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.smsg b/CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.smsg deleted file mode 100644 index 6df10d8..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.smsg +++ /dev/null @@ -1,4 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176244): Moving registers into LUTs to improve timing and density -Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:00 diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.summary b/CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.summary deleted file mode 100644 index 6659118..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.fit.summary +++ /dev/null @@ -1,11 +0,0 @@ -Fitter Status : Successful - Mon Aug 16 18:40:16 2021 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : RAM2GS -Top-level Entity Name : RAM2GS -Family : MAX II -Device : EPM240T100C5 -Timing Models : Final -Total logic elements : 168 / 240 ( 70 % ) -Total pins : 63 / 80 ( 79 % ) -Total virtual pins : 0 -UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.flow.rpt b/CPLD-old/MAX/MAXII/output_files/RAM2GS.flow.rpt deleted file mode 100644 index cd5d6a1..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.flow.rpt +++ /dev/null @@ -1,126 +0,0 @@ -Flow report for RAM2GS -Mon Aug 16 18:40:19 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------------+ -; Flow Summary ; -+---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Mon Aug 16 18:40:17 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM2GS ; -; Top-level Entity Name ; RAM2GS ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 168 / 240 ( 70 % ) ; -; Total pins ; 63 / 80 ( 79 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+---------------------------+-------------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 08/16/2021 18:40:12 ; -; Main task ; Compilation ; -; Revision Name ; RAM2GS ; -+-------------------+---------------------+ - - -+----------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+--------------------------------------------+------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+--------------------------------------------+------------------------------+---------------+-------------+------------+ -; ALLOW_POWER_UP_DONT_CARE ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 962837114763.162915361100164 ; -- ; -- ; -- ; -; ENABLE_BUS_HOLD_CIRCUITRY ; On ; Off ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ; Pack All IO Registers ; Normal ; -- ; -- ; -; OPTIMIZE_MULTI_CORNER_TIMING ; On ; Off ; -- ; -- ; -; PLACEMENT_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; -; POWER_DEFAULT_INPUT_IO_TOGGLE_RATE ; 12.5 % ; 12.5% ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; ROUTER_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; -; SAFE_STATE_MACHINE ; On ; Off ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+--------------------------------------------+------------------------------+---------------+-------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 421 MB ; 00:00:01 ; -; Fitter ; 00:00:03 ; 1.0 ; 548 MB ; 00:00:03 ; -; Assembler ; 00:00:00 ; 1.0 ; 381 MB ; 00:00:01 ; -; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 374 MB ; 00:00:01 ; -; Total ; 00:00:05 ; -- ; -- ; 00:00:06 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+-----------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; Fitter ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; Assembler ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; TimeQuest Timing Analyzer ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -+---------------------------+------------------+-----------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS -quartus_fit --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS -quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS -quartus_sta RAM2GS-MAXII -c RAM2GS - - - diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.jdi b/CPLD-old/MAX/MAXII/output_files/RAM2GS.jdi deleted file mode 100644 index 8f5f174..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.map.rpt b/CPLD-old/MAX/MAXII/output_files/RAM2GS.map.rpt deleted file mode 100644 index d013013..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.map.rpt +++ /dev/null @@ -1,309 +0,0 @@ -Analysis & Synthesis report for RAM2GS -Mon Aug 16 18:40:12 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. Analysis & Synthesis IP Cores Summary - 9. General Register Statistics - 10. Inverted Register Statistics - 11. Multiplexer Restructuring Statistics (Restructuring Performed) - 12. Port Connectivity Checks: "UFM:UFM_inst" - 13. Analysis & Synthesis Messages - 14. Analysis & Synthesis Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Mon Aug 16 18:40:12 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM2GS ; -; Top-level Entity Name ; RAM2GS ; -; Family ; MAX II ; -; Total logic elements ; 177 ; -; Total pins ; 63 ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+-----------------------------+-------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Device ; EPM240T100C5 ; ; -; Top-level entity name ; RAM2GS ; RAM2GS ; -; Family name ; MAX II ; Cyclone IV GX ; -; Safe State Machine ; On ; Off ; -; Power-Up Don't Care ; Off ; On ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; NOT Gate Push-Back ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Block Design Naming ; Auto ; Auto ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Synthesis Seed ; 1 ; 1 ; -+----------------------------------------------------------------------------+--------------------+--------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ -; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v ; ; -; UFM.v ; yes ; User Wizard-Generated File ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/UFM.v ; ; -+----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ - - -+-----------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+-------+ -; Resource ; Usage ; -+---------------------------------------------+-------+ -; Total logic elements ; 177 ; -; -- Combinational with no register ; 80 ; -; -- Register only ; 29 ; -; -- Combinational with a register ; 68 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 58 ; -; -- 3 input functions ; 40 ; -; -- 2 input functions ; 41 ; -; -- 1 input functions ; 8 ; -; -- 0 input functions ; 1 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 161 ; -; -- arithmetic mode ; 16 ; -; -- qfbk mode ; 0 ; -; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 9 ; -; -- asynchronous clear/load mode ; 0 ; -; ; ; -; Total registers ; 97 ; -; Total logic cells in carry chains ; 17 ; -; I/O pins ; 63 ; -; UFM blocks ; 1 ; -; Maximum fan-out node ; RCLK ; -; Maximum fan-out ; 55 ; -; Total fan-out ; 643 ; -; Average fan-out ; 2.67 ; -+---------------------------------------------+-------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |RAM2GS ; 177 (177) ; 97 ; 1 ; 63 ; 0 ; 80 (80) ; 29 (29) ; 68 (68) ; 17 (17) ; 0 (0) ; |RAM2GS ; work ; -; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst ; work ; -; |UFM_altufm_none_imr:UFM_altufm_none_imr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component ; work ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis IP Cores Summary ; -+--------+--------------+---------+--------------+--------------+----------------------+-----------------------------------------------------------+ -; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; -+--------+--------------+---------+--------------+--------------+----------------------+-----------------------------------------------------------+ -; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM2GS|UFM:UFM_inst ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/UFM.v ; -+--------+--------------+---------+--------------+--------------+----------------------+-----------------------------------------------------------+ - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 97 ; -; Number of registers using Synchronous Clear ; 6 ; -; Number of registers using Synchronous Load ; 3 ; -; Number of registers using Asynchronous Clear ; 0 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 10 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+--------------------------------------------------+ -; Inverted Register Statistics ; -+----------------------------------------+---------+ -; Inverted Register ; Fan out ; -+----------------------------------------+---------+ -; nRCS~reg0 ; 1 ; -; nRWE~reg0 ; 1 ; -; nRRAS~reg0 ; 1 ; -; nRCAS~reg0 ; 1 ; -; Total number of inverted registers = 4 ; ; -+----------------------------------------+---------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|S[0] ; -; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|ADSubmitted ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "UFM:UFM_inst" ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ -; ardin ; Input ; Info ; Stuck at GND ; -; busy ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; osc ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; rtpbusy ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit Analysis & Synthesis - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Aug 16 18:40:11 2021 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (12021): Found 1 design units, including 1 entities, in source file /users/dog/documents/github/ram2gs/cpld/max/ram2gs-max.v - Info (12023): Found entity 1: RAM2GS -Info (12021): Found 2 design units, including 2 entities, in source file ufm.v - Info (12023): Found entity 1: UFM_altufm_none_imr - Info (12023): Found entity 2: UFM -Info (12127): Elaborating entity "RAM2GS" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(158): truncated value with size 32 to match size of target (2) -Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(163): truncated value with size 32 to match size of target (18) -Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(290): truncated value with size 32 to match size of target (4) -Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" -Info (12128): Elaborating entity "UFM_altufm_none_imr" for hierarchy "UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component" -Critical Warning (127003): Can't find Memory Initialization File or Hexadecimal (Intel-Format) File C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/RAM2GS-MAX.mif -- setting all initial values to 0 -Warning (18029): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated -Warning (18029): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated -Warning (18029): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated -Warning (18029): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated -Warning (18029): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated -Warning (18029): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated -Warning (18029): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated -Warning (18029): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated -Info (21057): Implemented 241 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 25 input pins - Info (21059): Implemented 30 output pins - Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 177 logic cells - Info (21070): Implemented 1 User Flash Memory blocks -Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.map.smsg -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 13 warnings - Info: Peak virtual memory: 421 megabytes - Info: Processing ended: Mon Aug 16 18:40:12 2021 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - -+------------------------------------------+ -; Analysis & Synthesis Suppressed Messages ; -+------------------------------------------+ -The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.map.smsg. - - diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.map.smsg b/CPLD-old/MAX/MAXII/output_files/RAM2GS.map.smsg deleted file mode 100644 index a8e8eb9..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.map.smsg +++ /dev/null @@ -1,3 +0,0 @@ -Warning (10273): Verilog HDL warning at RAM2GS-MAX.v(56): extended using "x" or "z" -Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword -Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.map.summary b/CPLD-old/MAX/MAXII/output_files/RAM2GS.map.summary deleted file mode 100644 index 4c4a5bb..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.map.summary +++ /dev/null @@ -1,9 +0,0 @@ -Analysis & Synthesis Status : Successful - Mon Aug 16 18:40:12 2021 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : RAM2GS -Top-level Entity Name : RAM2GS -Family : MAX II -Total logic elements : 177 -Total pins : 63 -Total virtual pins : 0 -UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.pin b/CPLD-old/MAX/MAXII/output_files/RAM2GS.pin deleted file mode 100644 index 4acd586..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.pin +++ /dev/null @@ -1,164 +0,0 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (2.5V/3.3V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 3.3V - -- Bank 2: 3.3V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -CHIP "RAM2GS" ASSIGNED TO AN: EPM240T100C5 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -GND* : 1 : : : : 2 : -RDQMH : 2 : output : 3.3-V LVCMOS : : 1 : Y -nRCS : 3 : output : 3.3-V LVCMOS : : 1 : Y -nRCAS : 4 : output : 3.3-V LVCMOS : : 1 : Y -RBA[0] : 5 : output : 3.3-V LVCMOS : : 1 : Y -nRRAS : 6 : output : 3.3-V LVCMOS : : 1 : Y -RA[11] : 7 : output : 3.3-V LVCMOS : : 1 : Y -RCKE : 8 : output : 3.3-V LVCMOS : : 1 : Y -VCCIO1 : 9 : power : : 3.3V : 1 : -GNDIO : 10 : gnd : : : : -GNDINT : 11 : gnd : : : : -RCLK : 12 : input : 3.3-V LVCMOS : : 1 : Y -VCCINT : 13 : power : : 2.5V/3.3V : : -RBA[1] : 14 : output : 3.3-V LVCMOS : : 1 : Y -RA[9] : 15 : output : 3.3-V LVCMOS : : 1 : Y -RA[10] : 16 : output : 3.3-V LVCMOS : : 1 : Y -RA[8] : 17 : output : 3.3-V LVCMOS : : 1 : Y -RA[0] : 18 : output : 3.3-V LVCMOS : : 1 : Y -RA[7] : 19 : output : 3.3-V LVCMOS : : 1 : Y -RA[1] : 20 : output : 3.3-V LVCMOS : : 1 : Y -RA[6] : 21 : output : 3.3-V LVCMOS : : 1 : Y -TMS : 22 : input : : : 1 : -TDI : 23 : input : : : 1 : -TCK : 24 : input : : : 1 : -TDO : 25 : output : : : 1 : -RA[4] : 26 : output : 3.3-V LVCMOS : : 1 : Y -RA[3] : 27 : output : 3.3-V LVCMOS : : 1 : Y -Dout[5] : 28 : output : 3.3-V LVCMOS : : 1 : Y -RA[5] : 29 : output : 3.3-V LVCMOS : : 1 : Y -RA[2] : 30 : output : 3.3-V LVCMOS : : 1 : Y -VCCIO1 : 31 : power : : 3.3V : 1 : -GNDIO : 32 : gnd : : : : -Dout[0] : 33 : output : 3.3-V LVCMOS : : 1 : Y -Dout[6] : 34 : output : 3.3-V LVCMOS : : 1 : Y -Din[2] : 35 : input : 3.3-V LVCMOS : : 1 : Y -Din[1] : 36 : input : 3.3-V LVCMOS : : 1 : Y -Din[3] : 37 : input : 3.3-V LVCMOS : : 1 : Y -Din[5] : 38 : input : 3.3-V LVCMOS : : 1 : Y -Din[4] : 39 : input : 3.3-V LVCMOS : : 1 : Y -Din[7] : 40 : input : 3.3-V LVCMOS : : 1 : Y -Din[6] : 41 : input : 3.3-V LVCMOS : : 1 : Y -Din[0] : 42 : input : 3.3-V LVCMOS : : 1 : Y -Dout[7] : 43 : output : 3.3-V LVCMOS : : 1 : Y -Dout[4] : 44 : output : 3.3-V LVCMOS : : 1 : Y -VCCIO1 : 45 : power : : 3.3V : 1 : -GNDIO : 46 : gnd : : : : -Dout[3] : 47 : output : 3.3-V LVCMOS : : 1 : Y -nFWE : 48 : input : 3.3-V LVCMOS : : 1 : Y -MAin[0] : 49 : input : 3.3-V LVCMOS : : 1 : Y -MAin[2] : 50 : input : 3.3-V LVCMOS : : 1 : Y -MAin[1] : 51 : input : 3.3-V LVCMOS : : 1 : Y -PHI2 : 52 : input : 3.3-V LVCMOS : : 2 : Y -nCCAS : 53 : input : 3.3-V LVCMOS : : 2 : Y -CROW[0] : 54 : input : 3.3-V LVCMOS : : 2 : Y -CROW[1] : 55 : input : 3.3-V LVCMOS : : 2 : Y -Dout[2] : 56 : output : 3.3-V LVCMOS : : 2 : Y -Dout[1] : 57 : output : 3.3-V LVCMOS : : 2 : Y -GND* : 58 : : : : 2 : -VCCIO2 : 59 : power : : 3.3V : 2 : -GNDIO : 60 : gnd : : : : -GND* : 61 : : : : 2 : -GND* : 62 : : : : 2 : -VCCINT : 63 : power : : 2.5V/3.3V : : -GND* : 64 : : : : 2 : -GNDINT : 65 : gnd : : : : -LED : 66 : output : 3.3-V LVTTL : : 2 : N -nCRAS : 67 : input : 3.3-V LVCMOS : : 2 : Y -MAin[7] : 68 : input : 3.3-V LVCMOS : : 2 : Y -MAin[5] : 69 : input : 3.3-V LVCMOS : : 2 : Y -MAin[4] : 70 : input : 3.3-V LVCMOS : : 2 : Y -MAin[3] : 71 : input : 3.3-V LVCMOS : : 2 : Y -MAin[6] : 72 : input : 3.3-V LVCMOS : : 2 : Y -MAin[8] : 73 : input : 3.3-V LVCMOS : : 2 : Y -MAin[9] : 74 : input : 3.3-V LVCMOS : : 2 : Y -GND* : 75 : : : : 2 : -GND* : 76 : : : : 2 : -GND* : 77 : : : : 2 : -GND* : 78 : : : : 2 : -GNDIO : 79 : gnd : : : : -VCCIO2 : 80 : power : : 3.3V : 2 : -GND* : 81 : : : : 2 : -GND* : 82 : : : : 2 : -GND* : 83 : : : : 2 : -GND* : 84 : : : : 2 : -GND* : 85 : : : : 2 : -GND* : 86 : : : : 2 : -GND* : 87 : : : : 2 : -GND* : 88 : : : : 2 : -RD[2] : 89 : bidir : 3.3-V LVCMOS : : 2 : Y -RD[1] : 90 : bidir : 3.3-V LVCMOS : : 2 : Y -RD[5] : 91 : bidir : 3.3-V LVCMOS : : 2 : Y -RD[4] : 92 : bidir : 3.3-V LVCMOS : : 2 : Y -GNDIO : 93 : gnd : : : : -VCCIO2 : 94 : power : : 3.3V : 2 : -RD[6] : 95 : bidir : 3.3-V LVCMOS : : 2 : Y -RD[0] : 96 : bidir : 3.3-V LVCMOS : : 2 : Y -RD[7] : 97 : bidir : 3.3-V LVCMOS : : 2 : Y -RDQML : 98 : output : 3.3-V LVCMOS : : 2 : Y -RD[3] : 99 : bidir : 3.3-V LVCMOS : : 2 : Y -nRWE : 100 : output : 3.3-V LVCMOS : : 2 : Y diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.pof b/CPLD-old/MAX/MAXII/output_files/RAM2GS.pof deleted file mode 100644 index f288ab0dfb2c6e99db0de99f100b49770bc48f9c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7879 zcmeHMZE&2`bzXsGy9374nM`45WmJA-GSjKCtw3rVtRaL_ii@2gt|#>ffx%MU#@5sy zS{!-z!cZ#-69zhr9TZnm`C&7e7Pf>KMPBb-cZ}VcL?4}|*um!A8v?96h~3vhv-@iI z-Fr`;bMLz=2~wv2`lA@6t9#FRo^$TG=bU?2+OqjiW3gCMY?=MuiSOSy@aW$C2llnz ze}C&8U;g8-?b_eEWp7XS-bZ)u-nqB+FL&)N)}YgVsq{n~+D-+sJxUE8|W zHFw;$cHM33)~s!7eQ4+2-d&IFY~AwcV}IMaw)LSuZ{4QO=`%j+$Uia^n!rrs<94C9y*$29P360G(1rwD$&YWX3~$nCoG;Q zw4)&E4Fl4K$|mqlA`w#A;v+lw*+3C;wqrE;;!|?Q(?#EqPukb4hq-9*7b$>!rqPrL zP2D~j$^IKvh8$*n6Ua&eiu3#m2)1kSVObGnu)l^JbCxq+Yl@pt>ICA_kVB?Jqu8GV zZofe$MBI3tBzS$z`^qt%1m=#4{8EPuhuX$W#QWk?1Zhqgz!b?CEQ_|5Y$G(D6q`1QDB zy}nN?uOOb24|aeg*^7NKZjuNlEWSWu(gd}Ver<~Lq20bU z7rej3#%oQ!`^kfc?>56-hvuC9M=XEJ?(dHFY_Yq4*~zPZPuHQUlkc!{S2xr$b|GFo zaqgW#zxV4K_YKYr3{1?ny*D^8;9s!*k9~AvM?SxM;`(#>;^__E@l)yChV%okq|+{b zC5h0|h({EdIP=1PpM1pUfZIRMC(~Lh{%4CT=N<{IW#*&C@6{N}mDexGFlU82h zbEQDpxB}~uAcGj7!U;6=7FEHo63h>=<mfN_Zz9YPlSeDxNDYqSc33|u_qw$mF8DYJQviQq! zE4SLL%!r46UQ&yB zDfKFNcT*?5LB)iZQnK8;2#nL~nL16~_OKPzIuZnD+ zQmI_(*q3ywDjxg=&llStq-!eKd-}U?Kk{$Oe(&5I;>71|>-)ALjJrkbiDF0d6Su$h z<{w$PaCq(?c7LV2E}dWYjJW+mZRNy+jBoI#a`<_0_nFIPc*#%i%FnHw*tAG)?Pz*u zQ-4oa{#y?2s7>1n&#})kkMPG}8t*VhOWsF$cNN`H$oDWu(t_tu$3+uIS=lwmkKI zSyg4)P8qB@eXiF}##x6f--wQ*NnTzo7tjyt{j}o2Un9BCy86kGgj}cs1*p3+GKge^ z$GmQ*pqc;Skb(?(xcTStySZO9^sIhp-vbxx{&Kx4SVJ5`-lxatV#V@+9yIc^;=Y@=+Bb@9+^WwZihv)S&o^KxUd7+9ZP%QU|qWpI}4$pl_P+95k01qDc z7unH8>>n$dE=T=!fjk5MVZaFax<{3voS31(D^8w)@96g#FQj&3Dq7Pr0bcBrwz>Kv z!aFgqeZ&z@6DWk`=Xh{<4~3L}TI9#iY`!rWTOMnk`(A8m=hc}Nzoyg$=yB z_g8`I)cDc+K;fM_i~EG-gmXj&;@wN8kMScoD!Xp+h>tM7AT41J4GH@E4nlqag`e%5 z@V)_0*3JdvaGcaRFGoI&z@M6r>VZoV`A?Gd>Ue2+wqveKoL8Jjey$<+wHG()VccOE z5{wT#QV~AdCv;t%OZf&^!Dk}=ut*;Gxt;w3_%t$`@qE8#r0EMnU8wgT z`}smy|6t=4ygRZQ{7PE><5fKU*iVb+{RqE^FeciC%`dz!P;JG3@lZ1cUB;7?PKF`g zzg%ZTc)vz|VAK-(SLja!Kb6IA1!Yk%FY779O;A?(S?!A`|M6i5e!o>hosg8!>oji| zx=R=8yjZ7l2^=|aXzl|AMOxPP=Z_b~)K3awD2+}*-Y%1WH7zpPX^Zcm*{q>tk0;rF z821!SlySNVFW#s>-bV>_mX)3GpZbPgmRG!~(@}hGtexna`)>2}*&nVN6m88{R>f97 z`Sq*gO|d9GH-0src;b=fuZ$f_CjO+YdG40j(%6!pwmiSf+JB`=SM#DP+5G!8(Y51C zZHFtj#O{d2{LH(LTD+#SYas7x4$g>GH*bWzed!mQVskCeH(9)qs=cy{!K2#H7QF@*3{*iuIAd7MCGuM`xG#eni50thaC-`htw&e8ajDnAhbQ zmoIj1LXT)TiQZjm@YnTt43)#hF-n<5^=j$-$9mLHxc)djH1Z-9YJ4nm{x0O<`pP7d zc#-LJV;y?Dg?<_ z!FjxzLSH1`o&$n@lJ8~lGI`|L_t{p|R&lat*4amYFo^R->?6ELS>UlQtJvAWz`on1 zhr8@37SX2(rA-yB+Ry18XdiM=8XM?++TJ`FWATQCihpNr7B?s4!UAHQ6(~9O_oxJ;orY*tN zr()(OkpH;4Ice_edjB83Pvc9Ry57g zANIFCPY0h`Qv1-#-yHjScUSPXH#pfFZ{INb%VPu2?3;a0541>@S7=YM|L2>!zW=@D zM{d3K)a!#U{p{GK^&9U0etJ|fKgX{c+BgUJs4qtGgu(B3rV5@4d4C9X5}Ag)BAHbr zJS{tn%-H;K@#nhH7c1z`z?PTkMN}Sm8gsXf-&;w(|8m52s`)*Kd!+6!kM4727CFQlU3mXvs=yB% z>v_yCvLwOc@UH$!(dQB|!uZG^nS+k|e2sS*IAF(QkkVXti}CP^;~K5^I)5yFD|PY{ z26fAF1^P^+7w|g)JUCw|(vDy9zM}PczB;cR+g-dHb%`yTU_bjeS*6se3e|=uEU&|N zfFJQ5clxleRo_M@-{$hS6aGw(xcgrOo+MPKLf*Q5eZ0D@mc6H?Ur*DrDy@ti{OcE? zpF$rlMfcyfTJ_$R{>n66XCP1fYGC*V$V>Q-^8)o(GyhI+>dRdJw_H6;1MgO;2mG_q z{RjDdbARvTD`y~oX*J}UW?_fpGd6xc-uwReJ3n}?t83%m4bFN46K(tO`eY`KMKUA&K+qy!&tzTPzM35uSkiU(uBJus_;?MFbeMdQdiKxh`$X;WtW`e-+;Nw@sk8#@aKsk#ugFR(rA8|cL{0`6cEo~g= z6tIrR&FglmxMP0%dR-syU}x9n^CEt?Za;@%J^XB*Pui{X|8LjL|KLCKAaC10i-+%V z?jrU0h_3P^K38<-xn5NP!Kl)3WqtJf*#&Wyzh%D*c-n^>e;=qh`=71<>GQ3h!{_7T p^ZUPw$4#;8mo)w&)XIMmLehNx@AC+J9)bU-5m;{jR{X#p{5N+4U7P>_ diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.sta.rpt b/CPLD-old/MAX/MAXII/output_files/RAM2GS.sta.rpt deleted file mode 100644 index b9aa2bf..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.sta.rpt +++ /dev/null @@ -1,1576 +0,0 @@ -TimeQuest Timing Analyzer report for RAM2GS -Mon Aug 16 18:40:19 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. TimeQuest Timing Analyzer Summary - 3. Parallel Compilation - 4. Clocks - 5. Fmax Summary - 6. Setup Summary - 7. Hold Summary - 8. Recovery Summary - 9. Removal Summary - 10. Minimum Pulse Width Summary - 11. Setup: 'ARCLK' - 12. Setup: 'DRCLK' - 13. Setup: 'RCLK' - 14. Setup: 'PHI2' - 15. Setup: 'nCRAS' - 16. Hold: 'ARCLK' - 17. Hold: 'DRCLK' - 18. Hold: 'nCRAS' - 19. Hold: 'PHI2' - 20. Hold: 'RCLK' - 21. Minimum Pulse Width: 'ARCLK' - 22. Minimum Pulse Width: 'DRCLK' - 23. Minimum Pulse Width: 'PHI2' - 24. Minimum Pulse Width: 'RCLK' - 25. Minimum Pulse Width: 'nCCAS' - 26. Minimum Pulse Width: 'nCRAS' - 27. Setup Times - 28. Hold Times - 29. Clock to Output Times - 30. Minimum Clock to Output Times - 31. Propagation Delay - 32. Minimum Propagation Delay - 33. Output Enable Times - 34. Minimum Output Enable Times - 35. Output Disable Times - 36. Minimum Output Disable Times - 37. Setup Transfers - 38. Hold Transfers - 39. Report TCCS - 40. Report RSKM - 41. Unconstrained Paths - 42. TimeQuest Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+----------------------------------------------------------------------------------------+ -; TimeQuest Timing Analyzer Summary ; -+--------------------+-------------------------------------------------------------------+ -; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; -; Revision Name ; RAM2GS ; -; Device Family ; MAX II ; -; Device Name ; EPM240T100C5 ; -; Timing Models ; Final ; -; Delay Model ; Slow Model ; -; Rise/Fall Delays ; Unavailable ; -+--------------------+-------------------------------------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Clocks ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -; ARCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { ARCLK } ; -; DRCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { DRCLK } ; -; nCCAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCCAS } ; -; nCRAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCRAS } ; -; PHI2 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { PHI2 } ; -; RCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { RCLK } ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ - - -+--------------------------------------------------+ -; Fmax Summary ; -+------------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+------------+------+ -; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; -; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; -; 57.01 MHz ; 57.01 MHz ; PHI2 ; ; -; 121.57 MHz ; 121.57 MHz ; RCLK ; ; -+------------+-----------------+------------+------+ -This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. - - -+---------------------------------+ -; Setup Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; ARCLK ; -99.000 ; -99.000 ; -; DRCLK ; -99.000 ; -99.000 ; -; RCLK ; -8.339 ; -245.761 ; -; PHI2 ; -8.271 ; -88.383 ; -; nCRAS ; -0.317 ; -2.784 ; -+-------+---------+---------------+ - - -+---------------------------------+ -; Hold Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; ARCLK ; -16.858 ; -16.858 ; -; DRCLK ; -16.363 ; -16.363 ; -; nCRAS ; -0.103 ; -0.195 ; -; PHI2 ; -0.060 ; -0.060 ; -; RCLK ; 1.192 ; 0.000 ; -+-------+---------+---------------+ - - --------------------- -; Recovery Summary ; --------------------- -No paths to report. - - -------------------- -; Removal Summary ; -------------------- -No paths to report. - - -+---------------------------------+ -; Minimum Pulse Width Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; ARCLK ; -29.500 ; -59.000 ; -; DRCLK ; -29.500 ; -59.000 ; -; PHI2 ; -2.289 ; -2.289 ; -; RCLK ; -2.289 ; -2.289 ; -; nCCAS ; -2.289 ; -2.289 ; -; nCRAS ; -2.289 ; -2.289 ; -+-------+---------+---------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'ARCLK' ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -99.000 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.142 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 1.000 ; -1.613 ; 1.529 ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'DRCLK' ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -99.000 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.699 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -1.595 ; 2.104 ; -; -22.637 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -1.595 ; 2.042 ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'RCLK' ; -+--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ -; -8.339 ; CmdSubmitted ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 5.262 ; -; -7.863 ; CmdSubmitted ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 4.786 ; -; -7.540 ; FWEr ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 5.138 ; -; -7.536 ; FWEr ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 5.134 ; -; -7.431 ; CmdSubmitted ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 4.354 ; -; -7.397 ; Cmdn8MEGEN ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 4.320 ; -; -7.226 ; FS[12] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.893 ; -; -7.147 ; IS[2] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.814 ; -; -7.078 ; FS[11] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.745 ; -; -7.033 ; CBR ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 4.631 ; -; -7.000 ; CBR ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 4.598 ; -; -6.983 ; S[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.650 ; -; -6.966 ; IS[3] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.633 ; -; -6.929 ; FWEr ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 4.527 ; -; -6.898 ; FS[13] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.565 ; -; -6.794 ; InitReady ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.461 ; -; -6.759 ; FS[12] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.426 ; -; -6.748 ; FWEr ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 4.346 ; -; -6.664 ; FS[16] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.331 ; -; -6.657 ; FS[16] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.324 ; -; -6.657 ; CBR ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 4.255 ; -; -6.654 ; FS[16] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.321 ; -; -6.621 ; IS[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.288 ; -; -6.620 ; CmdDRDIn ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 3.543 ; -; -6.611 ; FS[11] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.278 ; -; -6.559 ; FS[17] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.226 ; -; -6.552 ; FS[17] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.219 ; -; -6.549 ; FS[17] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.216 ; -; -6.541 ; FS[10] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.208 ; -; -6.499 ; RASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.166 ; -; -6.451 ; FS[7] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.118 ; -; -6.444 ; FS[7] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.111 ; -; -6.441 ; FS[7] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.108 ; -; -6.431 ; FS[13] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.098 ; -; -6.416 ; IS[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.083 ; -; -6.389 ; CBR ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 3.987 ; -; -6.373 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; n8MEGEN ; DRCLK ; RCLK ; 1.000 ; 1.595 ; 8.635 ; -; -6.359 ; FS[16] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.026 ; -; -6.351 ; FS[12] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.018 ; -; -6.312 ; CmdDRCLK ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 3.235 ; -; -6.282 ; S[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.949 ; -; -6.257 ; FWEr ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 3.855 ; -; -6.254 ; FS[17] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.921 ; -; -6.250 ; CBR ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 3.848 ; -; -6.203 ; FS[11] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.870 ; -; -6.195 ; FS[16] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.862 ; -; -6.159 ; FS[16] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.826 ; -; -6.146 ; FS[7] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.813 ; -; -6.099 ; RCKE~reg0 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.766 ; -; -6.090 ; FS[17] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.757 ; -; -6.074 ; FS[10] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.741 ; -; -6.054 ; FS[17] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.721 ; -; -6.023 ; FS[13] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.690 ; -; -5.982 ; FS[7] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.649 ; -; -5.946 ; FS[7] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.613 ; -; -5.885 ; FS[2] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.552 ; -; -5.827 ; Ready ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.494 ; -; -5.783 ; S[1] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.450 ; -; -5.753 ; FS[0] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.420 ; -; -5.751 ; RASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.418 ; -; -5.703 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; LEDEN ; DRCLK ; RCLK ; 1.000 ; 1.595 ; 7.965 ; -; -5.684 ; FS[2] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.351 ; -; -5.666 ; FS[10] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.333 ; -; -5.664 ; S[0] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.331 ; -; -5.663 ; FS[17] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.330 ; -; -5.657 ; FS[6] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.324 ; -; -5.655 ; FS[4] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.322 ; -; -5.650 ; FS[6] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.317 ; -; -5.648 ; FS[4] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.315 ; -; -5.647 ; FS[6] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.314 ; -; -5.645 ; FS[4] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.312 ; -; -5.626 ; FS[6] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.293 ; -; -5.604 ; FS[14] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.271 ; -; -5.594 ; InitReady ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.261 ; -; -5.578 ; Ready ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.245 ; -; -5.571 ; Ready ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.238 ; -; -5.568 ; Ready ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.235 ; -; -5.558 ; FS[3] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.225 ; -; -5.555 ; UFMInitDone ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.222 ; -; -5.552 ; FS[0] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.219 ; -; -5.548 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.215 ; -; -5.545 ; UFMInitDone ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.212 ; -; -5.544 ; S[0] ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.211 ; -; -5.535 ; FS[16] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.202 ; -; -5.451 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; UFMD[15] ; DRCLK ; RCLK ; 1.000 ; 1.595 ; 7.713 ; -; -5.438 ; FS[16] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.105 ; -; -5.430 ; FS[17] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.097 ; -; -5.398 ; Ready ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.065 ; -; -5.395 ; Ready ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.062 ; -; -5.386 ; RASr2 ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.053 ; -; -5.363 ; FS[5] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.030 ; -; -5.357 ; FS[3] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.024 ; -; -5.356 ; FS[5] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.023 ; -; -5.353 ; FS[5] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.020 ; -; -5.345 ; FS[16] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.012 ; -; -5.333 ; FS[17] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.000 ; -; -5.332 ; FS[5] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.999 ; -; -5.329 ; FS[4] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.996 ; -; -5.329 ; FS[6] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.996 ; -; -5.325 ; FS[15] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.992 ; -+--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------+ -; Setup: 'PHI2' ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; -8.271 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.438 ; -; -8.271 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.438 ; -; -8.271 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.438 ; -; -8.271 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.438 ; -; -8.251 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.418 ; -; -8.115 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.282 ; -; -8.115 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.282 ; -; -8.115 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.282 ; -; -8.115 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.282 ; -; -8.095 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.262 ; -; -7.799 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.966 ; -; -7.799 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.966 ; -; -7.643 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.810 ; -; -7.643 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.810 ; -; -7.577 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.744 ; -; -7.577 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.744 ; -; -7.577 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.744 ; -; -7.577 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.744 ; -; -7.557 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.724 ; -; -7.105 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.272 ; -; -7.105 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.272 ; -; -7.088 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.255 ; -; -7.088 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.255 ; -; -7.075 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.242 ; -; -7.075 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.242 ; -; -7.075 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.242 ; -; -7.075 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.242 ; -; -7.055 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.222 ; -; -7.054 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.221 ; -; -7.054 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.221 ; -; -7.054 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.221 ; -; -7.054 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.221 ; -; -7.034 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.201 ; -; -6.998 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.165 ; -; -6.932 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.099 ; -; -6.932 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.099 ; -; -6.900 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.067 ; -; -6.900 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.067 ; -; -6.900 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.067 ; -; -6.900 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.067 ; -; -6.880 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.047 ; -; -6.872 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.039 ; -; -6.842 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.009 ; -; -6.716 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.883 ; -; -6.603 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.770 ; -; -6.603 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.770 ; -; -6.582 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.749 ; -; -6.582 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.749 ; -; -6.428 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.595 ; -; -6.428 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.595 ; -; -6.394 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.561 ; -; -6.394 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.561 ; -; -6.362 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.529 ; -; -6.362 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.529 ; -; -6.362 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.529 ; -; -6.362 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.529 ; -; -6.342 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.509 ; -; -6.304 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.471 ; -; -6.178 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.345 ; -; -5.892 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.059 ; -; -5.892 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.059 ; -; -5.890 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.057 ; -; -5.890 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.057 ; -; -5.871 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.038 ; -; -5.871 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.038 ; -; -5.847 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.014 ; -; -5.847 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.014 ; -; -5.847 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.014 ; -; -5.847 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.014 ; -; -5.827 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.994 ; -; -5.802 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.969 ; -; -5.781 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.948 ; -; -5.717 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.884 ; -; -5.717 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.884 ; -; -5.676 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.843 ; -; -5.655 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.822 ; -; -5.627 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.794 ; -; -5.501 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.668 ; -; -5.375 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.542 ; -; -5.375 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.542 ; -; -5.179 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.346 ; -; -5.179 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.346 ; -; -5.089 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.256 ; -; -4.963 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.130 ; -; -4.664 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 4.831 ; -; -4.664 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 4.831 ; -; -4.574 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 4.741 ; -; -4.448 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 4.615 ; -; -4.234 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.901 ; -; -4.234 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.901 ; -; -3.754 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.421 ; -; -3.695 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.362 ; -; -3.674 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.341 ; -; -3.674 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.341 ; -; -3.674 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.341 ; -; -3.674 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.341 ; -; -3.404 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 3.571 ; -; -3.307 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 3.974 ; -; -3.297 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 3.964 ; -; -2.824 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 3.491 ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Setup: 'nCRAS' ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.317 ; Ready ; RowA[4] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.053 ; -; -0.311 ; Ready ; RowA[2] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.047 ; -; -0.310 ; Ready ; RowA[5] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.046 ; -; -0.277 ; Ready ; RowA[9] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.013 ; -; -0.276 ; Ready ; RowA[7] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.012 ; -; -0.275 ; Ready ; RowA[6] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.011 ; -; -0.267 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.003 ; -; -0.253 ; Ready ; RowA[1] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 2.989 ; -; -0.252 ; Ready ; RowA[8] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 2.988 ; -; -0.246 ; Ready ; RowA[0] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 2.982 ; -; 0.038 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.500 ; 5.917 ; 6.046 ; -; 0.079 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 2.657 ; -; 0.538 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 1.000 ; 5.917 ; 6.046 ; -; 0.549 ; Ready ; RowA[3] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 2.187 ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'ARCLK' ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.858 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 0.000 ; -1.613 ; 1.529 ; -; 60.000 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'DRCLK' ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.363 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -1.595 ; 2.042 ; -; -16.301 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -1.595 ; 2.104 ; -; 60.000 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Hold: 'nCRAS' ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.103 ; Ready ; RowA[3] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 2.187 ; -; -0.092 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.000 ; 5.917 ; 6.046 ; -; 0.367 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 2.657 ; -; 0.408 ; nCCAS ; CBR ; nCCAS ; nCRAS ; -0.500 ; 5.917 ; 6.046 ; -; 0.692 ; Ready ; RowA[0] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 2.982 ; -; 0.698 ; Ready ; RowA[8] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 2.988 ; -; 0.699 ; Ready ; RowA[1] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 2.989 ; -; 0.713 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.003 ; -; 0.721 ; Ready ; RowA[6] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.011 ; -; 0.722 ; Ready ; RowA[7] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.012 ; -; 0.723 ; Ready ; RowA[9] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.013 ; -; 0.756 ; Ready ; RowA[5] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.046 ; -; 0.757 ; Ready ; RowA[2] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.047 ; -; 0.763 ; Ready ; RowA[4] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.053 ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------+ -; Hold: 'PHI2' ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; -0.060 ; Ready ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.244 ; 3.405 ; -; 0.172 ; n8MEGEN ; Cmdn8MEGEN ; RCLK ; PHI2 ; -0.500 ; 3.244 ; 3.137 ; -; 0.206 ; n8MEGEN ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.244 ; 3.671 ; -; 2.578 ; XOR8MEG ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.799 ; -; 2.676 ; C1Submitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.897 ; -; 3.054 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.275 ; -; 3.270 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.491 ; -; 3.565 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.286 ; -; 3.566 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.287 ; -; 3.743 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.964 ; -; 3.753 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.974 ; -; 3.850 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.571 ; -; 4.080 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.801 ; -; 4.081 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.802 ; -; 4.120 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.341 ; -; 4.120 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.341 ; -; 4.120 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.341 ; -; 4.120 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.341 ; -; 4.141 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.362 ; -; 4.200 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.421 ; -; 4.618 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.339 ; -; 4.619 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.340 ; -; 4.680 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.901 ; -; 4.680 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.901 ; -; 4.772 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.493 ; -; 4.773 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.494 ; -; 4.774 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.495 ; -; 4.793 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.514 ; -; 4.794 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.515 ; -; 4.894 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.615 ; -; 5.025 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.746 ; -; 5.289 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.010 ; -; 5.295 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.016 ; -; 5.296 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.017 ; -; 5.409 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.130 ; -; 5.540 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.261 ; -; 5.821 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.542 ; -; 5.821 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.542 ; -; 5.827 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.548 ; -; 5.833 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.554 ; -; 5.834 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.555 ; -; 5.947 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.668 ; -; 5.981 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.702 ; -; 5.989 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.710 ; -; 5.990 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.711 ; -; 6.002 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.723 ; -; 6.078 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.799 ; -; 6.101 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.822 ; -; 6.122 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.843 ; -; 6.232 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.953 ; -; 6.253 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.974 ; -; 6.293 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.014 ; -; 6.293 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.014 ; -; 6.293 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.014 ; -; 6.293 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.014 ; -; 6.336 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.057 ; -; 6.336 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.057 ; -; 6.504 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.225 ; -; 6.624 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.345 ; -; 6.755 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.476 ; -; 6.808 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.529 ; -; 6.808 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.529 ; -; 6.808 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.529 ; -; 6.808 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.529 ; -; 6.874 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.595 ; -; 6.874 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.595 ; -; 7.028 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.749 ; -; 7.028 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.749 ; -; 7.042 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.763 ; -; 7.049 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.770 ; -; 7.049 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.770 ; -; 7.162 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.883 ; -; 7.198 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.919 ; -; 7.293 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.014 ; -; 7.318 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.039 ; -; 7.346 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.067 ; -; 7.346 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.067 ; -; 7.346 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.067 ; -; 7.346 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.067 ; -; 7.449 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.170 ; -; 7.500 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.221 ; -; 7.500 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.221 ; -; 7.500 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.221 ; -; 7.500 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.221 ; -; 7.521 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.242 ; -; 7.521 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.242 ; -; 7.521 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.242 ; -; 7.521 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.242 ; -; 7.551 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.272 ; -; 7.551 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.272 ; -; 8.023 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.744 ; -; 8.023 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.744 ; -; 8.023 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.744 ; -; 8.023 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.744 ; -; 8.089 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.810 ; -; 8.089 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.810 ; -; 8.245 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.966 ; -; 8.245 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.966 ; -; 8.561 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.282 ; -; 8.561 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.282 ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------+ -; Hold: 'RCLK' ; -+-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ -; 1.192 ; nCCAS ; CASr ; nCCAS ; RCLK ; 0.000 ; 3.348 ; 4.761 ; -; 1.245 ; nCRAS ; RASr ; nCRAS ; RCLK ; 0.000 ; 3.348 ; 4.814 ; -; 1.338 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; 0.000 ; 3.348 ; 4.907 ; -; 1.659 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.880 ; -; 1.692 ; nCCAS ; CASr ; nCCAS ; RCLK ; -0.500 ; 3.348 ; 4.761 ; -; 1.693 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.914 ; -; 1.703 ; IS[1] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.924 ; -; 1.704 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.925 ; -; 1.706 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.927 ; -; 1.745 ; nCRAS ; RASr ; nCRAS ; RCLK ; -0.500 ; 3.348 ; 4.814 ; -; 1.809 ; PHI2r2 ; PHI2r3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.030 ; -; 1.829 ; CASr2 ; CASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.050 ; -; 1.838 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; -0.500 ; 3.348 ; 4.907 ; -; 1.952 ; IS[3] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.173 ; -; 1.961 ; S[1] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.182 ; -; 1.966 ; S[1] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.187 ; -; 2.108 ; FS[6] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.329 ; -; 2.116 ; FS[9] ; FS[9] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.337 ; -; 2.117 ; FS[16] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; -; 2.124 ; RASr2 ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.345 ; -; 2.126 ; UFMD[15] ; UFMD[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.347 ; -; 2.143 ; FS[8] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.364 ; -; 2.144 ; FS[10] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.365 ; -; 2.144 ; FS[11] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.365 ; -; 2.145 ; InitReady ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.366 ; -; 2.148 ; IS[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.369 ; -; 2.151 ; CASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.372 ; -; 2.160 ; IS[2] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.381 ; -; 2.164 ; FS[0] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.385 ; -; 2.215 ; RASr3 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.436 ; -; 2.230 ; LEDEN ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; -; 2.230 ; FS[7] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; -; 2.239 ; FS[5] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.460 ; -; 2.239 ; FS[4] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.460 ; -; 2.239 ; FS[15] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.460 ; -; 2.239 ; FS[14] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.460 ; -; 2.241 ; FS[12] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.462 ; -; 2.242 ; FS[2] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.463 ; -; 2.250 ; FS[3] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.471 ; -; 2.250 ; FS[13] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.471 ; -; 2.267 ; Ready ; Ready ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.488 ; -; 2.270 ; UFMProgram ; UFMProgram ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.491 ; -; 2.271 ; UFMErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.492 ; -; 2.282 ; IS[1] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.503 ; -; 2.332 ; CASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.553 ; -; 2.385 ; RASr ; RASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.606 ; -; 2.395 ; IS[0] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.616 ; -; 2.414 ; PHI2r ; PHI2r2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.635 ; -; 2.596 ; RASr2 ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.817 ; -; 2.605 ; FS[1] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.826 ; -; 2.647 ; RASr ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.868 ; -; 2.674 ; PHI2r2 ; DRDIn ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.895 ; -; 2.689 ; S[0] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.910 ; -; 2.704 ; IS[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.925 ; -; 2.741 ; Ready ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.962 ; -; 2.744 ; Ready ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.965 ; -; 2.748 ; Ready ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.969 ; -; 2.797 ; IS[3] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.018 ; -; 2.799 ; RASr2 ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.020 ; -; 2.825 ; RASr2 ; RASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.046 ; -; 2.939 ; CASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.160 ; -; 2.940 ; FS[6] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.161 ; -; 2.948 ; FS[9] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.169 ; -; 2.949 ; FS[16] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.170 ; -; 2.974 ; Ready ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.195 ; -; 2.976 ; FS[10] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.197 ; -; 2.976 ; FS[11] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.197 ; -; 2.996 ; FS[0] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.217 ; -; 3.051 ; FS[6] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.272 ; -; 3.059 ; FS[9] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.280 ; -; 3.060 ; CASr ; CASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.281 ; -; 3.076 ; CASr3 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.297 ; -; 3.087 ; FS[11] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.308 ; -; 3.087 ; FS[10] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.308 ; -; 3.089 ; n8MEGEN ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.310 ; -; 3.106 ; PHI2r2 ; DRCLK ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.327 ; -; 3.107 ; FS[0] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.328 ; -; 3.112 ; FS[17] ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.333 ; -; 3.117 ; RCKEEN ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.338 ; -; 3.161 ; S[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.382 ; -; 3.170 ; FS[7] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; -; 3.170 ; FS[9] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; -; 3.174 ; RASr2 ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.395 ; -; 3.179 ; FS[5] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; -; 3.179 ; FS[4] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; -; 3.179 ; FS[15] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; -; 3.179 ; FS[14] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; -; 3.181 ; FS[12] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.402 ; -; 3.182 ; FS[2] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.403 ; -; 3.184 ; Ready ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.405 ; -; 3.198 ; FS[10] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.419 ; -; 3.199 ; IS[0] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.420 ; -; 3.201 ; InitReady ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.422 ; -; 3.226 ; Ready ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.447 ; -; 3.257 ; CASr3 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.478 ; -; 3.281 ; FS[9] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.502 ; -; 3.285 ; RASr2 ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.506 ; -; 3.289 ; RASr2 ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.510 ; -; 3.290 ; FS[5] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.511 ; -+-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'ARCLK' ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ -; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; -; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_imr_component|maxii_ufm_block1|arclk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_imr_component|maxii_ufm_block1|arclk ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'DRCLK' ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ -; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; -; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_imr_component|maxii_ufm_block1|drclk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_imr_component|maxii_ufm_block1|drclk ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'PHI2' ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; PHI2 ; Rise ; PHI2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; ADSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; ADSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; C1Submitted ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; C1Submitted ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdEnable ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdEnable ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; RA11 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; RA11 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; UFMOscEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; UFMOscEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; XOR8MEG ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; XOR8MEG ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; PHI2|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; PHI2|combout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; RA11|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; RA11|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'RCLK' ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; RCLK ; Rise ; RCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; ARCLK ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; ARCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; ARShift ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; ARShift ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr2 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr3 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr3 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRCLK ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRDIn ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRDIn ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRShift ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRShift ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[10] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[10] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[11] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[11] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[12] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[12] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[13] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[13] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[14] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[14] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[15] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[15] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[16] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[16] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[17] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[17] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[8] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[8] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[9] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[9] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; InitReady ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; InitReady ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; LEDEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; LEDEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r2 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r3 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r3 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RA10 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RA10 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr2 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr3 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr3 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RCKEEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RCKEEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; Ready ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; Ready ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; S[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; S[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; S[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; S[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMD[15] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMD[15] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMErase ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMErase ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMInitDone ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMInitDone ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMProgram ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMProgram ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMReqErase ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMReqErase ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; n8MEGEN ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ - - -+------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'nCCAS' ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCCAS ; Rise ; nCCAS ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[7] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ - - -+--------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'nCRAS' ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCRAS ; Rise ; nCRAS ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; CBR ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; CBR ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; FWEr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; FWEr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[8] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[8] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[9] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[9] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; CBR|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; CBR|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; FWEr|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; FWEr|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; Din[*] ; PHI2 ; 1.258 ; 1.258 ; Rise ; PHI2 ; -; Din[0] ; PHI2 ; -0.399 ; -0.399 ; Rise ; PHI2 ; -; Din[1] ; PHI2 ; -0.465 ; -0.465 ; Rise ; PHI2 ; -; Din[2] ; PHI2 ; -0.419 ; -0.419 ; Rise ; PHI2 ; -; Din[3] ; PHI2 ; -0.432 ; -0.432 ; Rise ; PHI2 ; -; Din[4] ; PHI2 ; -0.403 ; -0.403 ; Rise ; PHI2 ; -; Din[5] ; PHI2 ; -0.284 ; -0.284 ; Rise ; PHI2 ; -; Din[6] ; PHI2 ; 1.258 ; 1.258 ; Rise ; PHI2 ; -; Din[7] ; PHI2 ; -0.455 ; -0.455 ; Rise ; PHI2 ; -; Din[*] ; PHI2 ; 6.350 ; 6.350 ; Fall ; PHI2 ; -; Din[0] ; PHI2 ; 6.350 ; 6.350 ; Fall ; PHI2 ; -; Din[1] ; PHI2 ; 5.955 ; 5.955 ; Fall ; PHI2 ; -; Din[2] ; PHI2 ; 5.770 ; 5.770 ; Fall ; PHI2 ; -; Din[3] ; PHI2 ; 6.091 ; 6.091 ; Fall ; PHI2 ; -; Din[4] ; PHI2 ; 6.121 ; 6.121 ; Fall ; PHI2 ; -; Din[5] ; PHI2 ; 5.943 ; 5.943 ; Fall ; PHI2 ; -; Din[6] ; PHI2 ; 5.355 ; 5.355 ; Fall ; PHI2 ; -; Din[7] ; PHI2 ; 5.526 ; 5.526 ; Fall ; PHI2 ; -; MAin[*] ; PHI2 ; 6.395 ; 6.395 ; Fall ; PHI2 ; -; MAin[0] ; PHI2 ; 3.121 ; 3.121 ; Fall ; PHI2 ; -; MAin[1] ; PHI2 ; 3.011 ; 3.011 ; Fall ; PHI2 ; -; MAin[2] ; PHI2 ; 6.395 ; 6.395 ; Fall ; PHI2 ; -; MAin[3] ; PHI2 ; 5.274 ; 5.274 ; Fall ; PHI2 ; -; MAin[4] ; PHI2 ; 5.540 ; 5.540 ; Fall ; PHI2 ; -; MAin[5] ; PHI2 ; 6.213 ; 6.213 ; Fall ; PHI2 ; -; MAin[6] ; PHI2 ; 4.745 ; 4.745 ; Fall ; PHI2 ; -; MAin[7] ; PHI2 ; 5.629 ; 5.629 ; Fall ; PHI2 ; -; nFWE ; PHI2 ; 4.554 ; 4.554 ; Fall ; PHI2 ; -; PHI2 ; RCLK ; 1.892 ; 1.892 ; Rise ; RCLK ; -; nCCAS ; RCLK ; 1.746 ; 1.746 ; Rise ; RCLK ; -; nCRAS ; RCLK ; 1.799 ; 1.799 ; Rise ; RCLK ; -; Din[*] ; nCCAS ; -0.186 ; -0.186 ; Fall ; nCCAS ; -; Din[0] ; nCCAS ; -0.211 ; -0.211 ; Fall ; nCCAS ; -; Din[1] ; nCCAS ; -0.524 ; -0.524 ; Fall ; nCCAS ; -; Din[2] ; nCCAS ; -0.467 ; -0.467 ; Fall ; nCCAS ; -; Din[3] ; nCCAS ; -0.495 ; -0.495 ; Fall ; nCCAS ; -; Din[4] ; nCCAS ; -0.201 ; -0.201 ; Fall ; nCCAS ; -; Din[5] ; nCCAS ; -0.387 ; -0.387 ; Fall ; nCCAS ; -; Din[6] ; nCCAS ; -0.186 ; -0.186 ; Fall ; nCCAS ; -; Din[7] ; nCCAS ; -0.459 ; -0.459 ; Fall ; nCCAS ; -; CROW[*] ; nCRAS ; 1.569 ; 1.569 ; Fall ; nCRAS ; -; CROW[0] ; nCRAS ; 1.396 ; 1.396 ; Fall ; nCRAS ; -; CROW[1] ; nCRAS ; 1.569 ; 1.569 ; Fall ; nCRAS ; -; MAin[*] ; nCRAS ; -0.660 ; -0.660 ; Fall ; nCRAS ; -; MAin[0] ; nCRAS ; -0.660 ; -0.660 ; Fall ; nCRAS ; -; MAin[1] ; nCRAS ; -0.783 ; -0.783 ; Fall ; nCRAS ; -; MAin[2] ; nCRAS ; -1.185 ; -1.185 ; Fall ; nCRAS ; -; MAin[3] ; nCRAS ; -1.355 ; -1.355 ; Fall ; nCRAS ; -; MAin[4] ; nCRAS ; -1.507 ; -1.507 ; Fall ; nCRAS ; -; MAin[5] ; nCRAS ; -1.728 ; -1.728 ; Fall ; nCRAS ; -; MAin[6] ; nCRAS ; -1.433 ; -1.433 ; Fall ; nCRAS ; -; MAin[7] ; nCRAS ; -1.123 ; -1.123 ; Fall ; nCRAS ; -; MAin[8] ; nCRAS ; -1.416 ; -1.416 ; Fall ; nCRAS ; -; MAin[9] ; nCRAS ; -1.500 ; -1.500 ; Fall ; nCRAS ; -; nCCAS ; nCRAS ; 0.462 ; 0.462 ; Fall ; nCRAS ; -; nFWE ; nCRAS ; 1.077 ; 1.077 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Hold Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; Din[*] ; PHI2 ; 1.019 ; 1.019 ; Rise ; PHI2 ; -; Din[0] ; PHI2 ; 0.953 ; 0.953 ; Rise ; PHI2 ; -; Din[1] ; PHI2 ; 1.019 ; 1.019 ; Rise ; PHI2 ; -; Din[2] ; PHI2 ; 0.973 ; 0.973 ; Rise ; PHI2 ; -; Din[3] ; PHI2 ; 0.986 ; 0.986 ; Rise ; PHI2 ; -; Din[4] ; PHI2 ; 0.957 ; 0.957 ; Rise ; PHI2 ; -; Din[5] ; PHI2 ; 0.838 ; 0.838 ; Rise ; PHI2 ; -; Din[6] ; PHI2 ; 0.033 ; 0.033 ; Rise ; PHI2 ; -; Din[7] ; PHI2 ; 1.009 ; 1.009 ; Rise ; PHI2 ; -; Din[*] ; PHI2 ; 0.456 ; 0.456 ; Fall ; PHI2 ; -; Din[0] ; PHI2 ; 0.456 ; 0.456 ; Fall ; PHI2 ; -; Din[1] ; PHI2 ; 0.037 ; 0.037 ; Fall ; PHI2 ; -; Din[2] ; PHI2 ; -0.029 ; -0.029 ; Fall ; PHI2 ; -; Din[3] ; PHI2 ; -0.577 ; -0.577 ; Fall ; PHI2 ; -; Din[4] ; PHI2 ; 0.113 ; 0.113 ; Fall ; PHI2 ; -; Din[5] ; PHI2 ; -1.945 ; -1.945 ; Fall ; PHI2 ; -; Din[6] ; PHI2 ; -1.358 ; -1.358 ; Fall ; PHI2 ; -; Din[7] ; PHI2 ; -1.521 ; -1.521 ; Fall ; PHI2 ; -; MAin[*] ; PHI2 ; 0.373 ; 0.373 ; Fall ; PHI2 ; -; MAin[0] ; PHI2 ; 0.263 ; 0.263 ; Fall ; PHI2 ; -; MAin[1] ; PHI2 ; 0.373 ; 0.373 ; Fall ; PHI2 ; -; MAin[2] ; PHI2 ; -1.645 ; -1.645 ; Fall ; PHI2 ; -; MAin[3] ; PHI2 ; -0.524 ; -0.524 ; Fall ; PHI2 ; -; MAin[4] ; PHI2 ; -0.790 ; -0.790 ; Fall ; PHI2 ; -; MAin[5] ; PHI2 ; -1.463 ; -1.463 ; Fall ; PHI2 ; -; MAin[6] ; PHI2 ; -1.361 ; -1.361 ; Fall ; PHI2 ; -; MAin[7] ; PHI2 ; -2.245 ; -2.245 ; Fall ; PHI2 ; -; nFWE ; PHI2 ; -1.272 ; -1.272 ; Fall ; PHI2 ; -; PHI2 ; RCLK ; -1.338 ; -1.338 ; Rise ; RCLK ; -; nCCAS ; RCLK ; -1.192 ; -1.192 ; Rise ; RCLK ; -; nCRAS ; RCLK ; -1.245 ; -1.245 ; Rise ; RCLK ; -; Din[*] ; nCCAS ; 1.078 ; 1.078 ; Fall ; nCCAS ; -; Din[0] ; nCCAS ; 0.765 ; 0.765 ; Fall ; nCCAS ; -; Din[1] ; nCCAS ; 1.078 ; 1.078 ; Fall ; nCCAS ; -; Din[2] ; nCCAS ; 1.021 ; 1.021 ; Fall ; nCCAS ; -; Din[3] ; nCCAS ; 1.049 ; 1.049 ; Fall ; nCCAS ; -; Din[4] ; nCCAS ; 0.755 ; 0.755 ; Fall ; nCCAS ; -; Din[5] ; nCCAS ; 0.941 ; 0.941 ; Fall ; nCCAS ; -; Din[6] ; nCCAS ; 0.740 ; 0.740 ; Fall ; nCCAS ; -; Din[7] ; nCCAS ; 1.013 ; 1.013 ; Fall ; nCCAS ; -; CROW[*] ; nCRAS ; -0.842 ; -0.842 ; Fall ; nCRAS ; -; CROW[0] ; nCRAS ; -0.842 ; -0.842 ; Fall ; nCRAS ; -; CROW[1] ; nCRAS ; -1.015 ; -1.015 ; Fall ; nCRAS ; -; MAin[*] ; nCRAS ; 2.282 ; 2.282 ; Fall ; nCRAS ; -; MAin[0] ; nCRAS ; 1.214 ; 1.214 ; Fall ; nCRAS ; -; MAin[1] ; nCRAS ; 1.337 ; 1.337 ; Fall ; nCRAS ; -; MAin[2] ; nCRAS ; 1.739 ; 1.739 ; Fall ; nCRAS ; -; MAin[3] ; nCRAS ; 1.909 ; 1.909 ; Fall ; nCRAS ; -; MAin[4] ; nCRAS ; 2.061 ; 2.061 ; Fall ; nCRAS ; -; MAin[5] ; nCRAS ; 2.282 ; 2.282 ; Fall ; nCRAS ; -; MAin[6] ; nCRAS ; 1.987 ; 1.987 ; Fall ; nCRAS ; -; MAin[7] ; nCRAS ; 1.677 ; 1.677 ; Fall ; nCRAS ; -; MAin[8] ; nCRAS ; 1.970 ; 1.970 ; Fall ; nCRAS ; -; MAin[9] ; nCRAS ; 2.054 ; 2.054 ; Fall ; nCRAS ; -; nCCAS ; nCRAS ; 0.092 ; 0.092 ; Fall ; nCRAS ; -; nFWE ; nCRAS ; -0.523 ; -0.523 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; RA[*] ; PHI2 ; 12.060 ; 12.060 ; Rise ; PHI2 ; -; RA[11] ; PHI2 ; 12.060 ; 12.060 ; Rise ; PHI2 ; -; LED ; RCLK ; 9.813 ; 9.813 ; Rise ; RCLK ; -; RA[*] ; RCLK ; 12.293 ; 12.293 ; Rise ; RCLK ; -; RA[0] ; RCLK ; 12.293 ; 12.293 ; Rise ; RCLK ; -; RA[1] ; RCLK ; 11.412 ; 11.412 ; Rise ; RCLK ; -; RA[2] ; RCLK ; 11.273 ; 11.273 ; Rise ; RCLK ; -; RA[3] ; RCLK ; 10.539 ; 10.539 ; Rise ; RCLK ; -; RA[4] ; RCLK ; 11.236 ; 11.236 ; Rise ; RCLK ; -; RA[5] ; RCLK ; 11.157 ; 11.157 ; Rise ; RCLK ; -; RA[6] ; RCLK ; 11.290 ; 11.290 ; Rise ; RCLK ; -; RA[7] ; RCLK ; 11.217 ; 11.217 ; Rise ; RCLK ; -; RA[8] ; RCLK ; 11.381 ; 11.381 ; Rise ; RCLK ; -; RA[9] ; RCLK ; 11.302 ; 11.302 ; Rise ; RCLK ; -; RA[10] ; RCLK ; 8.195 ; 8.195 ; Rise ; RCLK ; -; RCKE ; RCLK ; 7.518 ; 7.518 ; Rise ; RCLK ; -; RDQMH ; RCLK ; 10.547 ; 10.547 ; Rise ; RCLK ; -; RDQML ; RCLK ; 11.010 ; 11.010 ; Rise ; RCLK ; -; nRCAS ; RCLK ; 7.518 ; 7.518 ; Rise ; RCLK ; -; nRCS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; -; nRRAS ; RCLK ; 7.536 ; 7.536 ; Rise ; RCLK ; -; nRWE ; RCLK ; 8.637 ; 8.637 ; Rise ; RCLK ; -; RD[*] ; nCCAS ; 19.663 ; 19.663 ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 18.824 ; 18.824 ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 18.920 ; 18.920 ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 18.917 ; 18.917 ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 19.501 ; 19.501 ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 18.823 ; 18.823 ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 18.946 ; 18.946 ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 19.663 ; 19.663 ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 18.951 ; 18.951 ; Fall ; nCCAS ; -; LED ; nCRAS ; 6.153 ; 6.153 ; Rise ; nCRAS ; -; LED ; nCRAS ; 6.153 ; 6.153 ; Fall ; nCRAS ; -; RA[*] ; nCRAS ; 13.196 ; 13.196 ; Fall ; nCRAS ; -; RA[0] ; nCRAS ; 12.954 ; 12.954 ; Fall ; nCRAS ; -; RA[1] ; nCRAS ; 12.928 ; 12.928 ; Fall ; nCRAS ; -; RA[2] ; nCRAS ; 12.374 ; 12.374 ; Fall ; nCRAS ; -; RA[3] ; nCRAS ; 13.196 ; 13.196 ; Fall ; nCRAS ; -; RA[4] ; nCRAS ; 12.862 ; 12.862 ; Fall ; nCRAS ; -; RA[5] ; nCRAS ; 12.781 ; 12.781 ; Fall ; nCRAS ; -; RA[6] ; nCRAS ; 13.093 ; 13.093 ; Fall ; nCRAS ; -; RA[7] ; nCRAS ; 13.020 ; 13.020 ; Fall ; nCRAS ; -; RA[8] ; nCRAS ; 13.070 ; 13.070 ; Fall ; nCRAS ; -; RA[9] ; nCRAS ; 13.106 ; 13.106 ; Fall ; nCRAS ; -; RBA[*] ; nCRAS ; 10.091 ; 10.091 ; Fall ; nCRAS ; -; RBA[0] ; nCRAS ; 10.087 ; 10.087 ; Fall ; nCRAS ; -; RBA[1] ; nCRAS ; 10.091 ; 10.091 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; RA[*] ; PHI2 ; 12.060 ; 12.060 ; Rise ; PHI2 ; -; RA[11] ; PHI2 ; 12.060 ; 12.060 ; Rise ; PHI2 ; -; LED ; RCLK ; 9.813 ; 9.813 ; Rise ; RCLK ; -; RA[*] ; RCLK ; 8.195 ; 8.195 ; Rise ; RCLK ; -; RA[0] ; RCLK ; 12.293 ; 12.293 ; Rise ; RCLK ; -; RA[1] ; RCLK ; 11.412 ; 11.412 ; Rise ; RCLK ; -; RA[2] ; RCLK ; 11.273 ; 11.273 ; Rise ; RCLK ; -; RA[3] ; RCLK ; 10.539 ; 10.539 ; Rise ; RCLK ; -; RA[4] ; RCLK ; 11.236 ; 11.236 ; Rise ; RCLK ; -; RA[5] ; RCLK ; 11.157 ; 11.157 ; Rise ; RCLK ; -; RA[6] ; RCLK ; 11.290 ; 11.290 ; Rise ; RCLK ; -; RA[7] ; RCLK ; 11.217 ; 11.217 ; Rise ; RCLK ; -; RA[8] ; RCLK ; 11.381 ; 11.381 ; Rise ; RCLK ; -; RA[9] ; RCLK ; 11.302 ; 11.302 ; Rise ; RCLK ; -; RA[10] ; RCLK ; 8.195 ; 8.195 ; Rise ; RCLK ; -; RCKE ; RCLK ; 7.518 ; 7.518 ; Rise ; RCLK ; -; RDQMH ; RCLK ; 10.547 ; 10.547 ; Rise ; RCLK ; -; RDQML ; RCLK ; 11.010 ; 11.010 ; Rise ; RCLK ; -; nRCAS ; RCLK ; 7.518 ; 7.518 ; Rise ; RCLK ; -; nRCS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; -; nRRAS ; RCLK ; 7.536 ; 7.536 ; Rise ; RCLK ; -; nRWE ; RCLK ; 8.637 ; 8.637 ; Rise ; RCLK ; -; RD[*] ; nCCAS ; 18.823 ; 18.823 ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 18.824 ; 18.824 ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 18.920 ; 18.920 ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 18.917 ; 18.917 ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 19.501 ; 19.501 ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 18.823 ; 18.823 ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 18.946 ; 18.946 ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 19.663 ; 19.663 ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 18.951 ; 18.951 ; Fall ; nCCAS ; -; LED ; nCRAS ; 6.153 ; 6.153 ; Rise ; nCRAS ; -; LED ; nCRAS ; 6.153 ; 6.153 ; Fall ; nCRAS ; -; RA[*] ; nCRAS ; 12.374 ; 12.374 ; Fall ; nCRAS ; -; RA[0] ; nCRAS ; 12.954 ; 12.954 ; Fall ; nCRAS ; -; RA[1] ; nCRAS ; 12.928 ; 12.928 ; Fall ; nCRAS ; -; RA[2] ; nCRAS ; 12.374 ; 12.374 ; Fall ; nCRAS ; -; RA[3] ; nCRAS ; 13.196 ; 13.196 ; Fall ; nCRAS ; -; RA[4] ; nCRAS ; 12.862 ; 12.862 ; Fall ; nCRAS ; -; RA[5] ; nCRAS ; 12.781 ; 12.781 ; Fall ; nCRAS ; -; RA[6] ; nCRAS ; 13.093 ; 13.093 ; Fall ; nCRAS ; -; RA[7] ; nCRAS ; 13.020 ; 13.020 ; Fall ; nCRAS ; -; RA[8] ; nCRAS ; 13.070 ; 13.070 ; Fall ; nCRAS ; -; RA[9] ; nCRAS ; 13.106 ; 13.106 ; Fall ; nCRAS ; -; RBA[*] ; nCRAS ; 10.087 ; 10.087 ; Fall ; nCRAS ; -; RBA[0] ; nCRAS ; 10.087 ; 10.087 ; Fall ; nCRAS ; -; RBA[1] ; nCRAS ; 10.091 ; 10.091 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+--------+----+----+--------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+--------+----+----+--------+ -; MAin[0] ; RA[0] ; 10.197 ; ; ; 10.197 ; -; MAin[1] ; RA[1] ; 9.846 ; ; ; 9.846 ; -; MAin[2] ; RA[2] ; 9.051 ; ; ; 9.051 ; -; MAin[3] ; RA[3] ; 8.214 ; ; ; 8.214 ; -; MAin[4] ; RA[4] ; 8.144 ; ; ; 8.144 ; -; MAin[5] ; RA[5] ; 8.753 ; ; ; 8.753 ; -; MAin[6] ; RA[6] ; 8.281 ; ; ; 8.281 ; -; MAin[7] ; RA[7] ; 9.251 ; ; ; 9.251 ; -; MAin[8] ; RA[8] ; 8.196 ; ; ; 8.196 ; -; MAin[9] ; RA[9] ; 8.221 ; ; ; 8.221 ; -; MAin[9] ; RDQMH ; 7.373 ; ; ; 7.373 ; -; MAin[9] ; RDQML ; 7.833 ; ; ; 7.833 ; -; RD[0] ; Dout[0] ; 6.115 ; ; ; 6.115 ; -; RD[1] ; Dout[1] ; 6.297 ; ; ; 6.297 ; -; RD[2] ; Dout[2] ; 6.244 ; ; ; 6.244 ; -; RD[3] ; Dout[3] ; 6.825 ; ; ; 6.825 ; -; RD[4] ; Dout[4] ; 6.717 ; ; ; 6.717 ; -; RD[5] ; Dout[5] ; 6.723 ; ; ; 6.723 ; -; RD[6] ; Dout[6] ; 6.184 ; ; ; 6.184 ; -; RD[7] ; Dout[7] ; 6.756 ; ; ; 6.756 ; -; nFWE ; RD[0] ; 16.365 ; ; ; 16.365 ; -; nFWE ; RD[1] ; 16.324 ; ; ; 16.324 ; -; nFWE ; RD[2] ; 16.324 ; ; ; 16.324 ; -; nFWE ; RD[3] ; 16.365 ; ; ; 16.365 ; -; nFWE ; RD[4] ; 16.365 ; ; ; 16.365 ; -; nFWE ; RD[5] ; 16.324 ; ; ; 16.324 ; -; nFWE ; RD[6] ; 16.365 ; ; ; 16.365 ; -; nFWE ; RD[7] ; 16.365 ; ; ; 16.365 ; -+------------+-------------+--------+----+----+--------+ - - -+------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+--------+----+----+--------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+--------+----+----+--------+ -; MAin[0] ; RA[0] ; 10.197 ; ; ; 10.197 ; -; MAin[1] ; RA[1] ; 9.846 ; ; ; 9.846 ; -; MAin[2] ; RA[2] ; 9.051 ; ; ; 9.051 ; -; MAin[3] ; RA[3] ; 8.214 ; ; ; 8.214 ; -; MAin[4] ; RA[4] ; 8.144 ; ; ; 8.144 ; -; MAin[5] ; RA[5] ; 8.753 ; ; ; 8.753 ; -; MAin[6] ; RA[6] ; 8.281 ; ; ; 8.281 ; -; MAin[7] ; RA[7] ; 9.251 ; ; ; 9.251 ; -; MAin[8] ; RA[8] ; 8.196 ; ; ; 8.196 ; -; MAin[9] ; RA[9] ; 8.221 ; ; ; 8.221 ; -; MAin[9] ; RDQMH ; 7.373 ; ; ; 7.373 ; -; MAin[9] ; RDQML ; 7.833 ; ; ; 7.833 ; -; RD[0] ; Dout[0] ; 6.115 ; ; ; 6.115 ; -; RD[1] ; Dout[1] ; 6.297 ; ; ; 6.297 ; -; RD[2] ; Dout[2] ; 6.244 ; ; ; 6.244 ; -; RD[3] ; Dout[3] ; 6.825 ; ; ; 6.825 ; -; RD[4] ; Dout[4] ; 6.717 ; ; ; 6.717 ; -; RD[5] ; Dout[5] ; 6.723 ; ; ; 6.723 ; -; RD[6] ; Dout[6] ; 6.184 ; ; ; 6.184 ; -; RD[7] ; Dout[7] ; 6.756 ; ; ; 6.756 ; -; nFWE ; RD[0] ; 16.365 ; ; ; 16.365 ; -; nFWE ; RD[1] ; 16.324 ; ; ; 16.324 ; -; nFWE ; RD[2] ; 16.324 ; ; ; 16.324 ; -; nFWE ; RD[3] ; 16.365 ; ; ; 16.365 ; -; nFWE ; RD[4] ; 16.365 ; ; ; 16.365 ; -; nFWE ; RD[5] ; 16.324 ; ; ; 16.324 ; -; nFWE ; RD[6] ; 16.365 ; ; ; 16.365 ; -; nFWE ; RD[7] ; 16.365 ; ; ; 16.365 ; -+------------+-------------+--------+----+----+--------+ - - -+-----------------------------------------------------------------------+ -; Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -+-----------+------------+--------+------+------------+-----------------+ - - -+-----------------------------------------------------------------------+ -; Minimum Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -+-----------+------------+--------+------+------------+-----------------+ - - -+-------------------------------------------------------------------------------+ -; Output Disable Times ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -+-----------+------------+-----------+-----------+------------+-----------------+ - - -+-------------------------------------------------------------------------------+ -; Minimum Output Disable Times ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; -+-----------+------------+-----------+-----------+------------+-----------------+ - - -+-------------------------------------------------------------------+ -; Setup Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; -; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; -; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; -; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; -; DRCLK ; RCLK ; 3 ; 0 ; 0 ; 0 ; -; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; -; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; -; RCLK ; RCLK ; 620 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-------------------------------------------------------------------+ -; Hold Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; -; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; -; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; -; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; -; DRCLK ; RCLK ; 3 ; 0 ; 0 ; 0 ; -; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; -; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; -; RCLK ; RCLK ; 620 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 31 ; 31 ; -; Unconstrained Input Port Paths ; 232 ; 232 ; -; Unconstrained Output Ports ; 38 ; 38 ; -; Unconstrained Output Port Paths ; 77 ; 77 ; -+---------------------------------+-------+------+ - - -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Aug 16 18:40:18 2021 -Info: Command: quartus_sta RAM2GS-MAXII -c RAM2GS -Info: qsta_default_script.tcl version: #1 -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (306004): Started post-fitting delay annotation -Info (306005): Delay annotation completed successfully -Critical Warning (332012): Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332105): Deriving Clocks - Info (332105): create_clock -period 1.000 -name DRCLK DRCLK - Info (332105): create_clock -period 1.000 -name ARCLK ARCLK - Info (332105): create_clock -period 1.000 -name RCLK RCLK - Info (332105): create_clock -period 1.000 -name nCRAS nCRAS - Info (332105): create_clock -period 1.000 -name PHI2 PHI2 - Info (332105): create_clock -period 1.000 -name nCCAS nCCAS -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -99.000 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -99.000 -99.000 ARCLK - Info (332119): -99.000 -99.000 DRCLK - Info (332119): -8.339 -245.761 RCLK - Info (332119): -8.271 -88.383 PHI2 - Info (332119): -0.317 -2.784 nCRAS -Info (332146): Worst-case hold slack is -16.858 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -16.858 -16.858 ARCLK - Info (332119): -16.363 -16.363 DRCLK - Info (332119): -0.103 -0.195 nCRAS - Info (332119): -0.060 -0.060 PHI2 - Info (332119): 1.192 0.000 RCLK -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is -29.500 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -29.500 -59.000 ARCLK - Info (332119): -29.500 -59.000 DRCLK - Info (332119): -2.289 -2.289 PHI2 - Info (332119): -2.289 -2.289 RCLK - Info (332119): -2.289 -2.289 nCCAS - Info (332119): -2.289 -2.289 nCRAS -Info (332001): The selected device family is not supported by the report_metastability command. -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 374 megabytes - Info: Processing ended: Mon Aug 16 18:40:19 2021 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CPLD-old/MAX/MAXII/output_files/RAM2GS.sta.summary b/CPLD-old/MAX/MAXII/output_files/RAM2GS.sta.summary deleted file mode 100644 index adebd09..0000000 --- a/CPLD-old/MAX/MAXII/output_files/RAM2GS.sta.summary +++ /dev/null @@ -1,69 +0,0 @@ ------------------------------------------------------------- -TimeQuest Timing Analyzer Summary ------------------------------------------------------------- - -Type : Setup 'ARCLK' -Slack : -99.000 -TNS : -99.000 - -Type : Setup 'DRCLK' -Slack : -99.000 -TNS : -99.000 - -Type : Setup 'RCLK' -Slack : -8.339 -TNS : -245.761 - -Type : Setup 'PHI2' -Slack : -8.271 -TNS : -88.383 - -Type : Setup 'nCRAS' -Slack : -0.317 -TNS : -2.784 - -Type : Hold 'ARCLK' -Slack : -16.858 -TNS : -16.858 - -Type : Hold 'DRCLK' -Slack : -16.363 -TNS : -16.363 - -Type : Hold 'nCRAS' -Slack : -0.103 -TNS : -0.195 - -Type : Hold 'PHI2' -Slack : -0.060 -TNS : -0.060 - -Type : Hold 'RCLK' -Slack : 1.192 -TNS : 0.000 - -Type : Minimum Pulse Width 'ARCLK' -Slack : -29.500 -TNS : -59.000 - -Type : Minimum Pulse Width 'DRCLK' -Slack : -29.500 -TNS : -59.000 - -Type : Minimum Pulse Width 'PHI2' -Slack : -2.289 -TNS : -2.289 - -Type : Minimum Pulse Width 'RCLK' -Slack : -2.289 -TNS : -2.289 - -Type : Minimum Pulse Width 'nCCAS' -Slack : -2.289 -TNS : -2.289 - -Type : Minimum Pulse Width 'nCRAS' -Slack : -2.289 -TNS : -2.289 - ------------------------------------------------------------- diff --git a/CPLD-old/MAX/MAXV/RAM2GS-MAXV.qpf b/CPLD-old/MAX/MAXV/RAM2GS-MAXV.qpf deleted file mode 100644 index 8fb201a..0000000 --- a/CPLD-old/MAX/MAXV/RAM2GS-MAXV.qpf +++ /dev/null @@ -1,30 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 64-Bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -# Date created = 18:33:17 August 16, 2021 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "13.0" -DATE = "18:33:17 August 16, 2021" - -# Revisions - -PROJECT_REVISION = "RAM2GS" diff --git a/CPLD-old/MAX/MAXV/RAM2GS.qsf b/CPLD-old/MAX/MAXV/RAM2GS.qsf deleted file mode 100644 index ecad28a..0000000 --- a/CPLD-old/MAX/MAXV/RAM2GS.qsf +++ /dev/null @@ -1,212 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 32-bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version -# Date created = 21:16:34 March 08, 2020 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# RAM4GS_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus II software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - -set_global_assignment -name FAMILY "MAX V" -set_global_assignment -name DEVICE 5M240ZT100C5 -set_global_assignment -name TOP_LEVEL_ENTITY RAM2GS -set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" -set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:16:34 MARCH 08, 2020" -set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP -set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" -set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE BALANCED -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF -set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON -set_global_assignment -name FITTER_EFFORT "STANDARD FIT" -set_global_assignment -name ALLOW_POWER_UP_DONT_CARE OFF -set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER OFF -set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE "12.5 %" -set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" -set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF -set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF -set_global_assignment -name SMART_RECOMPILE OFF -set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 10 -set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 10 -set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING "PACK ALL IO REGISTERS" -set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -set_global_assignment -name SAFE_STATE_MACHINE ON - - - -set_location_assignment PIN_12 -to RCLK -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RCLK - -set_location_assignment PIN_52 -to PHI2 -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to PHI2 - -set_location_assignment PIN_67 -to nCRAS -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCRAS - -set_location_assignment PIN_53 -to nCCAS -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCCAS - -set_location_assignment PIN_48 -to nFWE -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nFWE - -set_location_assignment PIN_49 -to MAin[0] -set_location_assignment PIN_51 -to MAin[1] -set_location_assignment PIN_50 -to MAin[2] -set_location_assignment PIN_71 -to MAin[3] -set_location_assignment PIN_70 -to MAin[4] -set_location_assignment PIN_69 -to MAin[5] -set_location_assignment PIN_72 -to MAin[6] -set_location_assignment PIN_68 -to MAin[7] -set_location_assignment PIN_73 -to MAin[8] -set_location_assignment PIN_74 -to MAin[9] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MAin - -set_location_assignment PIN_54 -to CROW[0] -set_location_assignment PIN_55 -to CROW[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CROW - -set_location_assignment PIN_35 -to Din[2] -set_location_assignment PIN_36 -to Din[1] -set_location_assignment PIN_37 -to Din[3] -set_location_assignment PIN_38 -to Din[5] -set_location_assignment PIN_39 -to Din[4] -set_location_assignment PIN_40 -to Din[7] -set_location_assignment PIN_41 -to Din[6] -set_location_assignment PIN_42 -to Din[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Din - -set_location_assignment PIN_33 -to Dout[0] -set_location_assignment PIN_57 -to Dout[1] -set_location_assignment PIN_56 -to Dout[2] -set_location_assignment PIN_47 -to Dout[3] -set_location_assignment PIN_44 -to Dout[4] -set_location_assignment PIN_28 -to Dout[5] -set_location_assignment PIN_34 -to Dout[6] -set_location_assignment PIN_43 -to Dout[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Dout - -set_location_assignment PIN_8 -to RCKE -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RCKE - -set_location_assignment PIN_3 -to nRCS -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRCS - -set_location_assignment PIN_100 -to nRWE -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRWE - -set_location_assignment PIN_6 -to nRRAS -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRRAS - -set_location_assignment PIN_4 -to nRCAS -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRCAS - -set_location_assignment PIN_5 -to RBA[0] -set_location_assignment PIN_14 -to RBA[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RBA - -set_location_assignment PIN_18 -to RA[0] -set_location_assignment PIN_20 -to RA[1] -set_location_assignment PIN_30 -to RA[2] -set_location_assignment PIN_27 -to RA[3] -set_location_assignment PIN_26 -to RA[4] -set_location_assignment PIN_29 -to RA[5] -set_location_assignment PIN_21 -to RA[6] -set_location_assignment PIN_19 -to RA[7] -set_location_assignment PIN_17 -to RA[8] -set_location_assignment PIN_15 -to RA[9] -set_location_assignment PIN_16 -to RA[10] -set_location_assignment PIN_7 -to RA[11] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RA - -set_location_assignment PIN_2 -to RDQMH -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RDQMH - -set_location_assignment PIN_98 -to RDQML -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RDQML - -set_location_assignment PIN_96 -to RD[0] -set_location_assignment PIN_90 -to RD[1] -set_location_assignment PIN_89 -to RD[2] -set_location_assignment PIN_99 -to RD[3] -set_location_assignment PIN_92 -to RD[4] -set_location_assignment PIN_91 -to RD[5] -set_location_assignment PIN_95 -to RD[6] -set_location_assignment PIN_97 -to RD[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RD - -set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nCRAS -set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nCCAS -set_instance_assignment -name PAD_TO_CORE_DELAY 1 -to nFWE -set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to MAin -set_instance_assignment -name PAD_TO_CORE_DELAY 1 -to CROW -set_instance_assignment -name PAD_TO_CORE_DELAY 1 -to Din -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to Dout -set_instance_assignment -name SLOW_SLEW_RATE OFF -to Dout -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RCKE -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RCKE -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to RCKE -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRCS -set_instance_assignment -name SLOW_SLEW_RATE OFF -to nRCS -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRCS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRWE -set_instance_assignment -name SLOW_SLEW_RATE OFF -to nRWE -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRWE -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRRAS -set_instance_assignment -name SLOW_SLEW_RATE OFF -to nRRAS -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRRAS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRCAS -set_instance_assignment -name SLOW_SLEW_RATE OFF -to nRCAS -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRCAS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RBA -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RBA -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to RBA -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RA -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RA -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RDQMH -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RDQMH -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RDQML -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RDQML -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RD -set_instance_assignment -name SLOW_SLEW_RATE ON -to RD -set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to RD -set_global_assignment -name VERILOG_FILE "../RAM2GS-MAX.v" -set_global_assignment -name MIF_FILE "../RAM2GS-MAX.mif" -set_global_assignment -name QIP_FILE UFM.qip \ No newline at end of file diff --git a/CPLD-old/MAX/MAXV/RAM2GS.qws b/CPLD-old/MAX/MAXV/RAM2GS.qws deleted file mode 100644 index 9b197653715f02733573d21d56802889fdc64823..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 613 zcmbV}%}T>S6opS>Te|cCTm%s*LbRb&Le0X})5gq#;=75N9R)junjD3eg(-!kxsCh3NYuywZU+ZPsPz8; zE*SBDi1Yn_=na37i`q>i8E^%PGrf=QwN_Y+5>#a1XmlzmES3FWC!=7 zPX$~1yT(UL#lJWXb5qu~QzEPUd1^;vc;7A&PaCtB;JSUKrvU@NYSjW}PTj1W9r-*& zJi0m|eK1(dqwCS}@o}9Npnc{1RTM~Umj?0h-Ap!gihgs9HTW5g@JTMTPzH zR0TZN4{3#`M5V=eC<=0*C+PajmvZ}wbV`LrD-UI?JWmot^_hk|zc76a+J3ip)%Feg zN)Q#)k8p#fPw^(2vR{`aeDwTd*r-3B?d?q>1i~}u;!3T+f7Mzfws0K#+$|o;GL1M=%&$zyQqxrM1+;8D; zeVG@1HcOSMz|+-p_B_vv)4@Hb1Hd|D)?$`1-cO@RRaUm$EbYyiYvP)^ zQ{rYg|2WBtrj@3IOjzTd!sD55^6D#SE>MYe2lMJP|GUr`H2j8}(npu0O}&My#3)u= zr{Cm1s8dtGO1*AbojEt$$<_25JeBq1#!#jhRIT&>X)@X7#UlY-wv7!)2WuMAWCh|+ zA@r2w*pbP#A^0PC&Los_ywgeoNK*F1fpgt{Xg((@ks*Zh)T`1&u6(BGdXjb_!muPT zm|ydcIozAR&l}kt9Kejs&p4^!Bdj2i3<5FL{UikZb!4W<6*;-t~s#iZ}_s) zbnYxL7>IO2Wx7zx2D-^NlTbm2eF+N*K0IE@S)C zXmgHXKS8NMFyQv>T~MpBOoR zB9X7bs+6PXz~a*HjF0GWyFmKVj(vb~a&>aeyDcm?c2-Dj_ZK%Tb6HxRNmKC8ZOm%V zmmr%zTXW|@9eS%&P7Y4{ki#@BCT#1A>}<~{+0y#?{Y5LD1AU|(k zNmZ=8C?W?fFq#GsclRG7sVtDct6K`7^LEg74^3yu-C2qd7?{k<-LYs(x+XxQ^{rZw zXS`upYq2_i{`1BY%l&-fW_uhqB;l@i8OxzRDT6WM6hKzap3rd z^8+A}yao6(%8fN~-ATqrC@~at7_IKxV`Oz-(_1CvBLp%cyNrXjIbXUQ4^uex_4fD$ zZ0t;9c0ji&}EZfyEnCteE27?HApPy zDtRZr_;HMkIJyU?$V|G5S8&xux)SE#Zg!%q(CfVzL~~9)`*q!_e%o8>qKoJ{hfsJz z3V2p0@857&6<%kBg8MqQvH@G`3~Z|I3+1`hh8bUK-B%sI2V9Z6dKb*V%Lo1?yj~6r z3EKJ}yb)f{m!uuPGwa{*MtUVkfM@kvzBl4R?uKd%^=Vs(7~-Nm+qJmfYq|+Rz73~Z zH;`%H)q&5qaRdCHKcohTgw;(MEwlQ-@;H&ZpRO2z!B1~AWWZDuFffGIXY|K^XPY;t zH!*7z?kHMQ*#j)SGH;drU;jF#4VK(2(%-(bd&4|FidIB!XVx?E3B0t&9mIdqVANA| z_7iohfMePp|?5yR~z=b7%FZ>PM61uP;9jz4p^g8;kv)BCTy)rbz zkOVR5xo+7@y1I=IYF#heN2=4c%6JwESSmv@yA~1mTGHVOxVkv&?p>WW<|lg17ZBJo z>J<(YWs`!@F<-ZRQZ%OyQemSlJ4OrGucG7%a|+SoFLpTzlD)=xV4qcRT+p-fub$mT1PB+{0M1~o1WN%fSy>3J(r*4ik+6&Vco`Oqr zv}4zp5_^3D`2Mefs0p1Le9xem-q}G&sJ3m9ndfq^9dqmoFPLR@M}#!j<$`4Q7}0JA zrYZz^jK(qebr61>ND{FA?opzSK2c6?E063gH?+jT8nAM`5+XpLJGO116dhtrHuwBYAyh3AUvf0{UeB@Q=>2JkG64U$ct_{Sw0NC8rzC znNAlXO%=DVywVW)xUiw|cpoInT5JBO-Vo!~LHla*7JnTeAVp5%gmOS4MYoP&1Gz=N z>)LBF;Vr-@5&4n74&2M>b&!rhG3A{eM}>6?&l`@}aSa^vS92wv*^pe#r73GDb%xWu z-Zna)KV2@SiG|L5vE$9P2R)VA3SJ;2$k&HnT+$jp^o4hwEn-yh));tANTqVl_iWM3 zpL;b_p)xHcJ~&`DpNK|lO#rDv5)Jf80t zwu#~>XTM$zc%5n~yI2U5lzCqnVzSj3bC+d5vpszJerkGnthk zmO%+zk#)0kA`dI!cDzq{+h_3iUpTNM7e8V!wf4Nz3BNpir%cRX{teb3bINvd3bkSJ z-lmRa&(84MI_J&rRO-HhQ%P62rxBMpHev7apt?}a_|m`B zzo>!2!71qWOp9u4kfFkXvx4=dzygb!htzxVOk9hcnexo)I37-#>NfI=gf0L5?=BhW zH)^5Joj>|guulf_D-^EoD7cqV=+&N-CzjEgNqcUpj|-|Wg+aHk>s@5-{dGPoh($)2 zb6-Cn)sguxHs~qwY3QQ%T4$I@I5Lkm)<#utHF};)srba&I(O2HCK}1c^`$efyO(X9 zI|Y_5k%(k(jaiQ&KkSF6@?`O0fJ$KcIoeZu@G7MBoyQ#3hlr7SV1M?GALlzNmwhAG z`S`zeVdIAC_4i8SFuO7~`ikRMg_+4c|MpxSDqxf*phu@Jjdh2=xof)RD!zAxU;+{5qkXPkImizeU|JeVRX$;0PB9MD&o?L}_(d4^!M6xFiu2qkDl7 zoVA$Xyz<}e{OyAK^NJtb1w(Mgg7YE((Vq)rN;6hIJWwZdetNuLPoFsBkX7;CwRYTMlMeG#7hj%~7yMMWe$d z8W|i9e{68(D5zliXX5_P^gV3Kmw#RtamM09Y!P#wOLq$qxo7r$a#^Uf;99&l{HwT` zgo9XHpt|;#kic>66JQ;_7k4K7UviQVB`@-=Wjw$H80iHo?nm7hqh~MN5dY8#yr&dg zcgzPvIoJp%>-sT=#wq;G+&d-xyG#*ZSZ4l!MxEEx@f1=(McgbV@gRyuM5)~$$yI$s zMdR9hLQ4|?jR=a~v~ach{H5}wpCJWZIpKNapO9R?oJaoVqz3F|=6z*2F@_B-D zt8qVn^H8tX%cfb?>5JGZz)tL(^$R|@J=gNY2Bh%4e#cM7Ga>a$wF{-0>8)KnI4L$w z+3@nterbohd$^pW{<;2lxbkVc=F^S9mr`YSM^?PDF#P@s7@)Rn%I^v=aLT`T-;c_- z)Dr-sF;s?xWQxECb!umlpUU;r(PKTWzz@AXu(OZ7KB%+nw|v~3Ajau**@!ZldNyw` zjbvQ;H~qZcYR&}-ujw@$)YHW*B;h(&l4@>suTmx+#43dcb+3Nm^BTvVF67oD-d>2U z;ehzKXNR=>Mm+oKE&=Oyz6qt77|k`)WAQ=K-xySzL*kk5aXVLKBCK1mDCYqzrhA(V z*XQXLhz-Hb1_qhnyEX3beTB@KE!b!1k(HnO90#P$`jq~z`t5Q3tE(Pl{+Bbm+G{>d z+ZUr+@`7nvi&^CFlt)>f`{-w4-V^k4sri03Hf{TljIwiC@)rTQ1Qy1Dtxwmz$Le2> z13B+M+;Sk&PkCfn9W@9(?~dAx+fxox_mcseHjIMMuj zdEc)iZWLQ69b-_NBJu>$!7Ctd0;dso*=IkSS6c#&A0UgkM2rY&tPM7}CX=JMkB{ax z)zRV&Zo*<0-S@v_b&esX8ea-%Qt;iKqPj0+{cM(crX7WZ{}g|eHM3px+pd*wFut&) zHP(7^_U*K;k9_$S3nb@)r~6ayYH8i)a)m#FnHOw8YJ3Q;_9D=qjzCEmIMZN;FU8nu zgrF}%;JkOLf~(WxUvVvjVRvXzajr3}lq++fm> zftu7k^OBmcS3>YqzQ=D4XUV!xY9-30%hR+wnr#QSQIoIi`EjY9Q377Q+*NPDN+FvF z)F8*|K+Z2!?)gU4P4p)ZWa&$URr!kj6MKbf1h9Qn!iKA=cEWWa>l3p28s5tm64ch~ z2e;!8x3h`J2%XtO&5wG9x|w@S@vxqTPIm;&oywJ2B?av*%aD)cr1TXJC8*wW;vLeI zLOP7N+<;8m{LEm3%lh9sL#Fj(8r+)X2JfqG^&j_{F}u9QG&!4qx!&_;_fvzxI6Xr> z59&NMEE&O{6f+~?V+^|0Z8H`Lb48Gg(kzL&xP?@hjs-yVQJz^{=rX-4``#8*;;G}<@$U%pbWVuPY zonPt!j?7jIT zC{1bd*yNio9jPU3c*iIajfxd)+=6Hp&X;kWIeWx%tX@6qR$7T)=x|-L3_OO<>dUx( z<39NYaOB>*`yPL4J;jqMgU`KRw+icWfm~imyZNg6AWsH5h0$i6ym?c7&d*OApvxb? zIU>p#besr7uTc8m)YLM|$^`rvXd@<-r9b{t~0uQ0wF;B(Ve{6MuN<7F7vLE-_J zQXzV-ZPfTaPN8bG*n7P~k@@~{e6iCV-I3YWhH~yZMe-Af_q$nKFB>w|Ru&wP$EHYk zJ>E_u7PUZm^is{t*-k}1B|22s_qavHE)MXIGH7fuhP@o+ZaqiR?s`1KfD5?^zlJN{ zdW?LWe7SI`3uvr9AM>})ApkRqAjlz6IcxZgbx~{yCNIlWIA3%IL}G6ix<^<(xJ1*-jPB z{pY$4mR{0IMvbqC=*t3(NYya>X7fC|N#yR&fQQkqbjqLb@3U0xzh6e~rBaM!7P6jv zKcWxc`i%#gOXWc==dBj~%H>p^rFz{vp-LB0s~OQZ^Fcm%Ubq2StBY?;a`rO;Q{YP+ zT8=-DZ$PavX{O8c1JA#hdvz7jn5&cJaSf&9E}E}g`_+Me?N@&7iQ>u^U^As4=-t}y zId6u{Z^pjUfgbLO;k8&a8K|wSSm^`XymeN92_;p508a&j20j1vq7^^)Tf(fLe|*b7 zd^+7$o(I17STFZt)9JCtSJQM_+Mnw<{L~OMgvb*ry1RbeEcaXE2kdk^fflBu6bOUA z78a8xI$TklI6J?+>GFWeHF83Hd-TD`wN7_t!hg9A8WIsGgCKC~A2-kaSPcZFT!7N1 zy?LXoJAJ#Rb3@x|E6u8V*cHgoROaih51Mzu@XuGR{dX#bINhx=gEGMghGadHD&fcA z{onS;b-BMOp-;Ly;Aab2GT%*{*Dh2;;eZk>k12z+KcT`HY5RGv9d;u?=dI%NxjgI- z&pbYVu}zM*t7U&YrI%e@7D3MREou`PK~m$zWn)#7s$FEXBKK?jK4k|t_e&y3FU}6i z89?QP%{_a(Z`^0IV_;q>|plDmmGuGV|yopg#d4%_&<-JZ1 z{qV1)wgF=Ag)0N_x-@+ftQ4rH3lQ1T->2-ndSSZjUop}rsN3hTOmbgj zFn;;xD7}%qPMI7yeR?(K$tUqPlBjLmFeZ|^u-8Ho>8SynNDXLE5osyQwA@&Cv$2Gc z){#fO62GSAM+(|q%sxD_FY3RIG$v>>UCz{bG4b&IofAQIaRs`Aj?QfWzvHU}0v+q@ z{Mx~l5jp&`Eu`w7g#s^9!UKb#4pyGI$iL^mh$9fwWfznxRdHJiIds$sXozUE()m4bu)LPy&;;FlUTv3squ2tvwaU(qxWf71V-fHnoGFPmp~d^UCYqp3|8Bb7eA z4(l&_(N%##WB%BB+D6Km-o#eIEvqZ6fq?N9z+7&#_Oj7_wcY(sVYhL%G}g46^_LOg zuIWvzuzK5dpx|iHo7O+RRhEZXi(Zq`RYOlhrq>Q9R#xrs*NpV-e@WiB%graP4M+cG znJW#W)S#fUhg+KhWqQzg>k?$-<&g1fZ3Z0^exEnE#|Xa&3Nkvlglxp>zx^0d?t2Z? z@!WAk{S(yc6I!duEkSRe{ScK1Q?{piF^iN&AM1KO4BFyJ?z1_DR9DIu)L&kL$O>+> z<6c3nF+qWZ3GCq@u|Gd=K#3QtL{Fnuus5NQ7hzyIY!^%sD@}U78t1VAcq4duKFmMw zVh1M1em45^_vS8dG0^GaPWe>C%T_q3+@OB*vTt=I_0Ktv-RARpoy}I&J+;KZFXiUaGM4+1EbMwNof1= zCTN*5F@MAn=0GC?63HoV#ap@ZShRn7Ueml?!Qn;QFnD{KbX<7Qs+&KCKLV72iO-FX zLoXd+BV;0KVDaWfr>5JtWv;-Sccyb2#m7$~WjDC!i}lJ}Iu(H%prF!6*0qkuZyM=h zCbmIS^_S~T4x4AD@m_dTr~jn3)zAKeq}i*)J28<5!O@X{Q{Be?R1*uM>U$GHGjuJ^D!u6VUW8x|V^Y}CT? zM`3J&U3O|O(q>#2Y_BuPu|Got{wzgomR|DeS`vw{h?zEE)BUwA3M3Ml<5+lh!N!S= z=X22_et@Oy0Z-e1KQ%#x{><60ItaiL)4=(7B3oAr$K?74z&+1sSa#`^!fnzeuiZAH zrryJ!x&Y;1-(Hb#!XW95Cyes+zQHHucB^g_IJw2UO`+Za61%;iGcSTSRf`>A(%!@; zqJfPbtD+(h3#g~!JJvRDnJ;MN?~~hfFDuHnIF52Jm6h*JT>q;^AA>>Dm3MjIR&QH} zy65V7OfQr{0cmei%dG8-4Ke`#I2n%)R_|dGl%?jJUu$(v{P2Mf_izQN_3ZR@oH++{bz7Od2 z$@Q>k9h?v-QTan!fl&U?wkuClt6mrXs1tASL+pEqry}&0^`T?CZoWlEfa>>tOmaqblItkvlQ&}HeiV^W=O&$)iL32)f3u3R@A;EBrO;KqO2 zarV}I%5mqVebABrHNOh8>oigeA%K<6v&r{mrmT&aBlD+a8{n1DDIE>j59fUBYWJ|~ zj4nFDsqX}h)L0&JmM%@?QkY*>k@io-u?WNAe@+Y@+ZYfC)U z+mkoCe>j+6t+aQ6OBfNk<$74spgHq+|2P18^sioedgXi1`hwm+l+yaaA$blvoBwwD z;mXTdLAJgJO(U^Jq?}5hS~|ErAhaVj;nir3-%sai2$jMBYr8BGE;F%E>_C;Y!%^b7 z;B#RA_Y(VzDrjw9AdwRF;^Hysj|ikITb2DFX`F|;f|$7K4H;V0 z`EECVj^AK&bBY0T<8m>`D?pYqLh=ViL-h}&z-STt2`BCZib1PWdDn8>r#?Ogh7ZSu z^zMq}ic$lU*7jS9g~jBvpOP^2Jy27k0;1fi^>ApQ8RH?+H30XAxk6_Y(boag&^qT! zW)8{U5+C446C;?yRGwu&UJ=}lv#nHyY5l(2;GOi16kRBB!GjZlc1B)vhY)=v-@1~) zZC2Z88=zk>qJHY=JZuK3uy^JNIA3FvmZKMwl>0n)^e5B4eG5dbdkTe$U z2#tS789`8|9MW^U)88SS#?K+|wf!b^?DFd$C`?j5R!w6%DNBz#?KlcWlGAG6;rsBN$f%5hF5d(BpeqgfyQ(m&03Ncbr=Z)6h79x zFXLS^Q}kzBpsO3pAdiu#5)M7uevD2dlt#BZ zz+&2=Ldb0M{77~6HyW*_eo1fHakCsh8Kzyia?mZY2QvT6mq`m{LVc|U+!|d_qJ#8< z35x>>OdRf1mj>sOT_O?Wf^F2}1OwlT2r;1|aX7V;0D*}$p`$rouCkEPD8X|0T#^=D z^r>{Ney9TxR~Xo(@aH64E78pR&r>nY3(4r$yhi$8Vi2kVWLV2?aCr0NdgLvKO&FdF zBJ$tek6)X>kQ+u62+S|CX|*ZGcs?A{eo$>h7#-{ny(S=2u>fs4-+df3j#rU_qWA|t z^M^e0%@%eOqI?g=$><?c^OP({G)DV2oX*K#$~|yG*18W^&NI&PzzV`G;;P z`kxf&bz`$V@LVyx$-7}MmBRq1=$%M%MFLpLyJOT}*I-2! z0@BpFPs>TyautmT(x4|MpdZO|<&P^>X`uHPthJd-nav4x5Psr}xLkdz(%3^9-LYj` zSB1aMhqIjHWHuBywGGKadCo8L``n{F)SGtC^N)Gn{ok~qK zx_B%Ojcx?e0}*v;xPK01XV)ylenhMB1zy45=?>EfFfAoA*0KTd$qk^jTldVX1mX3Z zWx)FrSWOW*4~2UsM41{K(yAx5*r%2$kYBE~QQ=Q&aW-yn_5eO03IqX6>zlxv8+YSM zN=_(F5M!$^+g?cKuc z&o=b`FtD+9RlU(!QldiU`DKH!z_wrvfDzxPr;ys@w{ z(dH<0ieW8+3eG5|90IyM6@z zGza#9oIMXUYjzkpRxZ*=+afeeJCFIiyDZGFd9aG#jF4b|hKKedAtlk6E{9I1+f)O+ z-=slW#6zO^HA}WIzuuR#&S|TkuyNGC+lZvHrAW|eTvFN*vbS>qY1$nq^Y%)KK-Z`T zh~R~gbP1GOjE;UTl~8Q=E{v4kid_?g^7{&mfa2h(+E3-v3;ktTuJAC$izM>2fbY#p z=Uj><`0|F|Feb`-z06MitP4Z$elS}!$}#Sc-`&-y9b0GHB}`7=KI2mbNpWx(X3Q0A zSwHJl$4&MJeUna!WXIR8F=IG^w6Ajy*tHpdGrEf&3t2Xk&f+VxU!kwU`Uc$L^wxt# zL!X}*Z#p4hnSaBqL`k!w1$n8r@|E@C9ZRA0&YA?i%dI<=A&rLg;l3WcjBzcQA@1~j z^*$E~LE*{I&dM44U~o!;!^6{ORMNPnW4Ap4RSH0GdQJjIwV;iD)9WU}a<(qBL>Xm| z72j#-JGJmnx+7UCA~=^Ux;p)SwUP8dyy(Y2o#!L`cn?59>9qur$0Uq=N%Rzw`0S&- z?ZZC}SoG^1<~+W>zCAy(CXTF2I$3YAJ@ri8$9J21sCf3v zW_VnT=i629?u0Bl+LV5=6M+4(5$2*+dvTwRny~_(OH*bt*1DrNL^}wj-W7HG@ujZC zmu)DPv>JRn_?DN<Wi6) z_7;%8*Q3+qMi7?#b*P=<-$ar&eU}NG&L_w_Eq9pz`MZFvqwjV*r`hKG^Q*2$uzP5( z>u>_hWlJZ!Ek}XmkA$cTZfE(g>aPXkOB4F+<5LhbWao7^l5gbhc~Z?jxWPrJAzw3d z)58giRX@Pfvn4`rof%k=nu!->XwlZc)lkd3E;Z;~6yRZ4NdEXPwTwpk_?2O3ygCcd zwGdkV?T73gTT|RAahFzVm#2S~A?3~Kq1+>(`r&#gL%C?6B3f$pB%kMoOrjP~vd<6p z`z(B@=+{Lmtah4de7%5kbluVUjZ=(r^~dWG5pfI19F^qk zFL%~9E`dU&bK8!+r^}{PK2R;%BmO`&f6HHaNO%X=v-Hz&Ltiic&S^v-oNIF;?A{Gb z!66FA;pZZn5{8(>+&hH>#PDvdH3^9DM8mOYu$i)mU1VsU29q|E+e*Npz`_p4gktVf zSrf-YLxY_}@X&Q0w;LNEyqy?&~RXhR(sNSBgc(tpG^gP z{U86Zi!|Y}WP`tpaEIV(Oue`iEKbj=J3Ya4#G$Zm>VBF`1eM@=A|qOO|Jz#;-U764 zgwcbiU8=t|kDUJahh*Cg+uwTR>v>;oP);0p*dD{w#CurR^|~xxzZU3O35+^k;8>3^ z5-Y72yILa`^0y&XzHjiHT*f8GUAB}jq2#BS6R#F@JI8fDnS=cfQgjHrDKG-(9ygi9 z?uX&PqX0S^!BhQKnU!liZs`h0hV^Tc^*{Znux`!>(Nz~xzV%G&t*9m14{ItaJP`BN z9O7)`A2_21<>IIF>YJs|WWXc3I81&n%k0D=;Mb5&hD!l3A-N$OXRww`d>~=Q`S}U? zjmYAx4!tgLl+o`Io}nml!GRppyDIUS`?xZZ2uh^nLQzE&6wl#4rfH<6vPl+dWd_&# z1^|l)cn9U@xS(pI%}6wTDJv5vu=W?u1=0vOEzV8eu>)7GUBQ>Vg)3hNXNcv*$*LAz z_I&S|=f=9Mey7NPyVQS*T!IwVwAhle!b53bQglchZbFy ztEp5=ar6g`4iLMX_3hl>x=eC6g(PmE2nvi6XgAJ1_}3&JWDQhlZ>sr&G%VwHcl%CH z2qVf!PZwFLxVF)pLa)6`%iMa4%zQ;7J9mNX47a%j!jfonf6c-C9N4OC*D4jvh|zcZs?%9OY+U$bb8i6~E^m z+}!pxLVi)07_du`%;x)~83%;QJ#hD;UK(cVp|U5R+O{z?x^tet;@4BOePmSUWQ2sV z*fqj=0QE#8jpt3>ZC)FA`QaI(Jo#l@65aiFs+rS4jXp4g22v`iZ{* zpyIgD+RnfG2cgLR4jq-F>H{0wiAMXYus(gkTmL$z>zWSkXW!>nnjiu}n9Q|QOINP+ z#;&Jq;QAAvr*~rt@cdyFU$7bv%3&aGh2G}LR#+cDu#`wJXFE3+O z)82HZO$z4(DnY2LiTj8K>u*_1v>_=ZuNcENq@mgAW8|AqR+O%SZ`$b;4Mw>&D;0Sow15A9vF5 zEaYPf$QqM<@>JdZmiyL@B9D~U1!Me_VZUz&c@nuch*yOUJX>W$knW2G7P~}}g#f}7 z1a?=Abz&uG5#HjYBGkL@scy2>2p2=QzeC*sTNaRh8JTwbhf}LsVGHn1Mihx4bK*4-rnG80}Z+{>Jv60Q6kH~KM;Z#`$#&xwf5>A-k6-j@(Kqbe>K@6#= zT<4^}}TLeb}pV*liS!}(Gml&gj9(_$hj-Xf})aoq&&>jc@qhpi^fC=$d5rnUwpxLiY%Fyhl>z&+qgKmu)0M9P7w~oes@9lK zzIXF4&e6Q~mXK=3PU^ep7IPVrFRDME3lantr=tw1H_w!cc(lZCPq1W9O7moQX8V{} zdcSTONGLpu9NhqBqQ(m16Slro7Aa?N;hsv6dU7b2JvQZZW<<2)YasthNKJT&p=5rD zXkES>QH$sp03Z26KJr#QZh&HTFOT0ijJE={sXpT>|0(3P6Cs#*KTf*4L)_0z`7YW& z`9#XZj#z522*y=F94E`hhWmTzSyTNKiXX!R6))xGO?70FzUmxkU$yeq*QcpEbTNtW zbBa|%74XBK78GAH@iluUp!Uzk$j~~he^a}Ry9R*k+u5*Z>5bsckP6{kZm7U|Me}$c zr;!8WCY-m4AMFa_KczD*h-{I&I;iDhm{4t>6 zUtaZzFbt=jm8r9(8d*a=IOdPZv1|v?2c6Ho%jcc|IQ=>`wO6uK+egq!oKygRYRfVqxiovpK|D$|H!$l>dC3mZ1@7YXEU=Ec;c3P+aYrv_t8)2j> z>S#G{7#gPCh^RjziltJ@5vHh5+^Vtl8ruQ6BjP)GxwfTD_GKNDagJ2aKfk*r9=~uw zLL$4pettSNnTqK;@Ab$Y-6%4oyw8Gep9wQ9YQD zW6u?gx9Y0xp*&u2cCH96wW7pLKJ^pd`XJ7;%jnmkcY&jGPq#T=eH;s+hKG}bxshyt zH6kYGdczQN%f7zY-T2-KlIOkcOFYT!)~i@v^@vB(M9$q@+kZ66FA-RORo?L-Gp-tv zZGR8~TI&eoYF_Vk$gyi^Mge^Ur_XBEug~2W@pgBu1f`J28dxKjTF1>+x^6ycYM6Bn zQfxy^u?@A#9_A#}1QjknGPAV|En;pV@vSZzpkv*<2PM{j)b#vzxr zcSwDC54Y`~oR_NWK&20Jc!E)+kQ+~l7*x~p$F#FFmSo@Tu7g`x!`WKqa6+H^i?{&B zo${F?%Y@v+<&kzBOzyKnxjc*^Xm4TxVjWjOTIv~vpRv9xWgY4JzN-6n^O(*;AQ134 zvRd_wiRwF&@hfP2;@ITol&=fA6 zshY(*^1UL;_16f1m2FQACm?0_{Sm&`K4CUYHLSYhXuGYz?s^Y>Oi%|67Oag9`Z#@sHR`>=^PI0-mawL^_HNzo2a40gnS~}f&?zYzSl4+)V zxb8CzfUwO?tON+E3g7Pg9k@5Dp`VM^f_=lv04uSbkp%aoWm|nK9u9k89J-XJqGS12 zGh9%Wd-Ds^*f=AF5bo~o9NNpBe|X(W4!sbyM9<2~j+>zUmG6P{V={E?wn!oH;By(E z?JkisphvhPZ3CBV%h3`g@5}weSl#w{b%q+mH^pt|Xr7+RR4?^ZE*)j_T} z({1QI@=V_LW(>?Igsu)@ns2?~_CbDN_}zP2EuGTUXgTS2{lx>&Ompr5M29Z0a&a)W zH1zoQgN|MpL#HY-sPpBM51gVqycqBGjj4Pj0fMEJLj1=I?J?E5e?R$j;@u=l+~pZ1 z|MvWJbhC!%AZR+MV-w>3=+8S5>4o}4{O0}i^34_1hb120W-M4}r5M7)Urpv?Na;P6 zCB&=6Kh|Ul+eJ84nTRM8T@(!6-D>zeLb!$<;hFFCb2lpeYaeZd16F$w7e{S$+q(`T z3o7t4LfC45~+F3SecU|t&l2Fefd+p{MtK#&`Z5XfvzxK!Ur}3{9lUeRbETX?e z?;+uK&(NM>%puIFDH_jklP)`{?uC+X_8!x8$)a)XpOKn)vU9e6I!gTFwTr3VYU6Aw z%<37a*Rs~omK;|+ z>KH#d1m7^4Pc7Xo>^;prfg!+Lx=u8VgiUBRFxLk?j5;VX!2fe~k*L&tXtU8D1;10~ zkWD*kK}^Rv{XA|Khs%F-SMHj0*9HFE zsOv1H7QRKBi%I*%K5)u0c{2^%?QjFK>9AY)exO!42!oJM^J9j3q<(mG`_7=bBKxfq zw|0X=M|(F%K(!br1|IP19u)CuC;yAjqQejPfgxghp;5;E7hhJ;{yiUj0R3hzv!1JW z5D(LSI%I9TBpq32PggjR+vUbqG+EiIqt-1 z+e=le>A&x(1!?6fPH(0!he8jL`ErerOF0Oy3|p%UH9Tl9HxNriuMf!eQ6S4#vmfk` zB3&9df0UsgnSlA}utdOiT3r{wMSF=4YxSQcTwCoe=l)TS-+KQ>K#l!2 zMm_XW4Eys`zWU;l<+|C0=Yb`FA5!w^kXnF18E zNznVmtE@PCZF6Lr&Aox86XX8C!w>w;{OF7Ste!dfBDJ60lDUNzkh-tt22Z}N6 zu;M5bcZvJb-lpn057`m{S9Y6EOWDHa7DJc*#V)^|&_RB=9^3RnzC~-af&s|3`dlD~ zQ$kf*M*}LR0+0B*@MZ2!9Nm>wIcozd#(##Ya8F~Pvw}4Wf3ph|ztHY5>#&z|?}5Rw zpjT^GjGl2GIe8b%2M)wH^s&mp^-0+wrvwmS?(Vxpd1GDGrB0|li&hN}u-My_#_EmM z+Y*|*^i_RTFpY(JTf9#-9mc2*rf2tqCf~j!1Ld*BC^n>p5LKbfi9;h}v#K8ZALle{ zgla{~Zy}p})=ivP!e{k>b+8zfyF}g;mq%WaazP8~(}T$O$JV7 zIm}AAl{KGP>tz>BS$e*BzOeaH%D*i1LQEGCDihpJkFfMEToCxG>l7{F zBsn%3-xGQQW1VyFLTcetm8Nh6wcCP?MZ%YxGE`c;LeIxvg~ zh+th&D`sG%uyf(N>AYQk)qVC;C(*TeaITlXrj7xFdi5ksAA^VS(`{Grk8M6ns$tcP zALRBEnf?3!et2`d=6l7ub5o8|w5zuKJGAQU132-#Fo~?QX6sOk?1g{neJC9=TjMOg z0y}f59-ePZ%!;V26>1-g<6bLk;D78`9Z_>aeGWQb)e?3?@)yQuy287r#gQJq?Y&!( zv)D9~t(B=gpZzX`W;`fK?dNSV_mDvSj^MActQqyn#;EEhpf`P#A2!f?7VPv=slR+21NL2EF( zais2`pxTpnZnoQOOaB=gpoq^Y*by} zwX(REDS4>!>FmQ*LY9|}LoHFbNdUHMzjCbls^C0uc%Y${K96opd<@EjKfbyYk@M-P z^}Nus%TH?Ol`TQMa5Yb}aXr0Mcve!o4L3^GwlF>Hl9JDDn-PgU=LEWw=?_d1YA6o) zi8KuH`0)|f?dPM8k4Fm1LsdFu#Tu0S-|6yHS|MTYJJN8c~(}3t>yB zVnP{zTe?&mQO%meM0jfZzc;2>zPO6V7&?8{G>4o=wKgMrF2+tGQ-Lu?1a#!zRqu+k z=EYtTx+lW`ygA~m7NFyE(@}yns=oLTrVW=%H25Dlei7FOfHiMN{{ozNmmq=6$oh~ zTk{Oa;~|hINqslVr&KP8Tx<6fe_pa=Da0h^z>;OzzDQ^6}#behw~-ksWOaOxaCY?dw{TOwptM<)=4hCPkX z=0u~F{Eu7tH386Hs$?KQ(#Yc=NmfLPCrDk|o2dHzzvq0Syt^^&-V9wD0Wb+T zGHz@$OjDgyN0h8Yzk!w-Y%6u(wTSkG0XL(L?Pp^nN8&v+|57c1WE#h*OBjL(+mhS+ zg6k+?pC?g{pVG7kbKUJY6h7vrMZaUAW1O}==b3qwkkiA4iIuk0e5MXott~z8{#khp zd3R0dMYr)-kE*hCPl@t}-dLy?&L+z(tdQzeSaAOMG7s-1^_1(P+zKi%4qA9;aDn*B za(gk4ooD6WHRjfqa1VB9Wx2gOu_Jii6r6BVl!n~r8XWkjOF#2^{yN4cJ?-nF3K)H4 zf!wOg5BVqxn^y^mCaBOp70dDzB3 z^QlabxHN?ITn09raG$@O^mdeRp9yl0R^GxsMF72prQO7p{8RESsOz(KJW>K&?w%t3 z#;3Q2WLVG5+qm{EYpM`=6tB`~s1*ws@#Y)WVG`9&WoN2>H$S5KDq=2TQU4>hK`RPa3iU9QwZ386{j7Pu#UcuU%^fB4DVJAYEHixUROLk^3Q3gmZnWB+30D?cCs$oEl=&{#{j&Ph@grxnon*&Zw&5*bqeU>^JAM~!|o06Qm9xXFqB=xb*9a~K?)5TSylHT+G9FY5_f_RFsA?z5mh#hj zkrWL$Xr@iBf!GFyE1A9duV^9}<*l3e%j}0|m0M%--mkX=sD=%~TqgNlA0A_6$>Oub zajS#?UDUrn^sC&AX1Bz!8;?^ha16|mz56E+bx@gsS_N?+k9K%f((pTq{|kT*fAIfw znuQVzj2uEGk4*AsC;PBU>O%jg9p&M{prujvYNYT2;A<{j;2H6{!1INeF7WD~<__*S zi@Qghv5uuLw(nwpV6SiwdycXxx~}ga4{as&$z#b6{8Kv2T9Lnt{gZ8KHPznc>yvV! zA;0iPr3U;cU|pZWXGYSjpU2n^Idkh1+?DE#5n%z^?8A=D(UQk2N2*a|gcG-8wyt;8 zo!ih))UJhJIU21+BpM}^M+TO=A*v&fOu%<-6aLQFCJ+b$-;H?-Bnpd z^2h}IW!qVP<}4C~JTf6q*Z6sFhd!J6%?Ta)Y~)EV+f^fl7Xa|O`wA?1WLhe?WgXz5 zy*=gWDnIaDcJkQiVV)zyR3nWe0O)s_lR}?)pis#pQ&;+o$#+vu^J?T9nvnhdPTx^u zEb_BX5z%TW;dGHXJv{y;!7Dz7rgw;TTHjUxj=J-Io#4CJlQm73_y-;zNEGtOG%38k zq*_6I=?D(cf0lH9a)KY_-**Z2W6HKB8@0zkUoIIci9s&DA3?n({Ck#Q(|esGpX^{w z>~{)B`4`)Fu|H1*vF?z3C!$^l~z^4}`Dk@4(J1|xPHXq(9^-U50AQzMV~#$on#;S3FxT(4^8?qhk0Q{hOD6sIt14$HTUU-`?_crQa2v_+gI1SC_Gk+QZOvso-cc0wRyRheIrM zxl@PyUB+wt+hzX4{F$dcB|3RzV!pAo13dDeg9wuc(*Mb71lwCBSjGV2TKK&?stlOq z3@N<=S^u!irKAz$;Dq?~=K)Lj)~|6*xkA27{`xzC6XMq&3@qV~p@045z!Lt4WIy7D zYsw}0>rV*ki?Q>5oCO%$-qZ^MET>d*atjp=UET)aN zwMmUxX=irz(P~!f1zY-3@!yGrcPV8OqOIS2>ble-;S%c8KXK#dqXt@J3;OE>PDf&i z@_Ul-Z3<}NmHk@GqY64a*O@@fD>$?Wah@*aLcDzpA>8K}WR0g4gg8f3=pSLslgBzV zgy3aDp>p|1uDOIEk(*^^30*@+Z|x~(t$o7Q1ClF#6kO4FMuHJkX?ves7 zFW?}z=S!J1sI@kB8VT;|WjfeRpZyqVH`712+uL;^x7%Nf`!`zbCcDrb9=m1p30u~l zit*H7z5IyJ8(rfYKtUo3eJYhGc(>me%Y=*UfGGwW;)tJ?dSQsQ@DKDJ9=W~TDLaOy zU=7hXg_V5Bfp88O{eR#xOV@5_u^AE0D`$^8@ubI`A#C4xp#e6{|C%A#Gc|UJH?Q{p zumwAf%&WJo-O8^p!ptYmxk2*H;pn>M8V2@_A&#d}=vx~ev1l2XYmNAk!}Y-zeV^iv zPiy}reKoqbn_L5kLG(LD`$>mVG~G#9>}-Rj)zT0OK)`dYa$vG#nF`B=qwZ$zuIWhm zhRhNnNVU!iOO~nhz(0~Srnw0-_{&$fm3;=Sc!_G+H+;q)Np6#Ou~_j0*=f*`YuQxh(%jykY$I9zv^s#@54n2#nH#*cA9p0d~de+|Xu(-DRHv2F$EcyLEMaSqH z4USrT6y?#SssxWbR`30mbQ+M;?fH7PJ^WRY6FQ}O?){tHm=75-;sIHIp0ycfA||K7 za^#+U7dmOFTzMLluNB{|qLtS}8=Slz|1s0a>si!VJM!0{V{-cXH0vLr1N{;_ZK71) zM*nv$bZFNlI%;2Y570Gad{#PA#_u|?=H%GhDfLUhd;j)5S>H$lTgT8&zog@eP%lYh zs;rP5r}fbwQ#(xU5G^pZ!_*Gm0^2nn(g36WdXQzPY1G>|#rSe(J>$Z*-4V+6E2-4m z_?5<>KqQr+73kS`?O_1igMTrFF^zGOIfkbXj?G7Q(#uGsK{|cCm;>3^_?6uvyq{}C zcJ=?NE;S3&`e=`-9j11O7MR*$Y6owDKSDg%uYA+L+qi=mv6l}5RpEd7=f@X=Kg^9U zj0=}(ykI=}TODnEHwk^lomYqstZn>)4)ixDzcO9pI{a=%y^epTqtl?`dLdPXI;y7i z(J)gx>`->-&&OzEpI6>z8t2hN&HvN5-J#;PcFvf7>Bo7E84C4?l+lUs_P*7vwWfO2 zv|Th!7tb=g)gaUQMPZ+QyWn|*wd(($JdN`@h+SbC&x<0SYmbfTuYUa3n6AKmOr3`J z6mP3Bz+DRWQ+}p-DVy<>ZzmwUl& z8JX^qYDv4fvFJZ;-_Y$5Rp!-@$*B;Mh*pqI^1BgNBK5KfI-JX^EXMy-%2<-DV*P9>@GCcL*qgeTsL=z^^Lgf~svG{2UwV5>cgYu?`^84cszZ=_ zz#jV!w+B+LRSpU-(VhR^t0X^nmwCJ&+3^zG@Df%67_4rdJQP)KM5KThD)myolMOG3sVyV{q8s}GbUI#zbI<_OV14e!7eyRYAT<{4Z-f;vH_ z$&y#PQ4J8TuCu}t&M=j~TC)TyT2>I@ME_EAu~UDn{D~_sus&!Gxs1*{b)+i%AN*IW zm&RFr8~vJK1|RtfE2}TVi(j48O@wey6?$3#T70dfN49f3OXi%cr<8iHGb!z;`jB)j zCMX=F*b+c!U)$pY)vfb!)k^9;h1bmUo}KUb?}45erKs?P7H^B?GZg^v8l>51w5 zQ@Zw2!SF%}`*bf-hCVbuq2qx%8o&Gqf1Z)xS+2*59@lxELw=}^&=KA|E5X=vZymp< z^MiC$pIh-1;^$O;pN{v_@ukXoO{d~l6#iPr&nq)-^IaP3`BdfqtMWlQ@~qI6D(|Ty z@W<+SA06qZfJH7kpvSAsQ`y=B4g9dtUMgcVWK5$D-yRB4FFVb@css;%DIO-RyO-q7 z{ov2n$kx#2`6%k~0q59~IRtUYSirc)`>NQA^Xrs}0e*#JSHW2wc#LS|A1FUl*H-Iz zx{h??*l~AdF9S5O^)l5%M`P|B^8A-FaMUp}{;M+I;NhK|gH`4^GP@2Ayuo9hqgUdB zY&>6t9<*b!`OwWIwyDZfWq!%RiIGIu&kxbk<1E%`sl1YNG(Ceh}Z zDC}oEkiVzQvrnH@evz*6D*(uh&g7S4cKuu`?=Lwh!-wbHIN}H5fJ~WqLqBMc&6iiG zd}m$5F4z#-#1L^uTSn${mFXYByFmGnbp2A5_fN6i)^;Ab9osJcgD<{$yyW0}Ydm8| zU-k`^@2%rgbmY4k`0l>SJ;Cj+^Y^O^Jnijlo$sQ2p=4dA>)%uzcDq=|&nxSvZmZLj zKcg~n@*y1qJ(c;6hs7i9@mgi@@2dK*>xd2M>u5K$FWL=uMn39+y{C@5W-#>Gmnq*% z_21L+?mFHj!C3p_O()t5{U>b%9X_Zd{qZF_@>~S|!>5`Rhw>U7i4~sTgFbRtED{rB zlM`LgnIkboCQhvFp%Xsf2%e8E{PO<+00960cmZ@%zfTlF6n+CJoC+KV*BBBhj4@~d z2}VFcZujPHH@VwAXP0;+Boiu4u+qYgP*7kjBr$aDwkP2Rql_kC~Q&&`scc_Lcfc{dG38Awp{v?s%o8-!YVp_*cVnjl>?+)PyK zvjtmjK^})CZB2T%BlFtx^YWpcw{%d1kPHpueSav^%9f-*2fWyy zJ({SB_s0cij1%aAMByA)s+_W&DBCnGf;O{T{|=(5EMotyj{#FzH}&DPb+5P1mK%_W zYy0I&E_AJu)JhhSq*MX3%yWenp`X5)t)-#4eUW3RTr}qo_YkSH(Ffq!`lrtvpgYF( zD@Z@|mAGbVw0`_-0Z!M?k#xmZ|Ig($7_E&Yc5&;7`IINCqM6oUTYmEL!6WGQ81DL_ zla^o_P5&BegYHVeD4`%O8_MjGelY_$9wA>Sh;~Y&!0O7k1E|DgxPQKfO%fe+8|c~b z**a9BHfAMj>jW!hBHx@pxzmpuTcQTT#}Zem2IH&Kuh#kOk$OQY(PR3vdFZTwnfL~8 zt++(b0Bpbia&n6Nd6H2OAM-A=AMN8GXrT$5-cF3{&0(YCOt-;Ip+i}-?1M)e&)N6i Rv>R)xtz00*ZvX%Q|NjE)&*lIC diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.(0).cnf.hdb b/CPLD-old/MAX/MAXV/db/RAM2GS.(0).cnf.hdb deleted file mode 100644 index 54a1d9d647d7d080066a2c4bd2eb7b74a916fdf3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3649 zcmZvecT5wG62~cfTFR!ZQZ_}VjLJsJ2H9Xy_THl`88QVF5E()%8yPA~KsG|9jIvRN zh?JrXktI_=g~!V;dCALrcc0w%lFMB#xql8sLPAnU2e^tMSFUxX)^5QeUIG3P897O5 zNg0S%sF$xRL{?4~BCQ}LBP%55F0mV2*TA1b=7$y zcQxh8{OSMECDMOX^V&Ze0oX>42i(;M@ky18wlOl%Q58@le6J-%1`M7kRVx;Aid1|G zIvC{A)qWpLQz*>VS0U@aFbr5?zJv+NJJ3Bks5%p_#DLmJpvTd`j*CzFXeOjb92Y&h>BOYj$ zev#vRB&zO>1W)D~T$Y~vyP}j9pTlt#hLkA@<##W_&&`lhF>$ez5_N?Y%j=CCxBD(R z_AhkybkAUU?gnyvD&SMAUz{ zG$jNuL1|0Z2zCTJGL?S1x6L|vvx|N}^46PC(`{Ln|D@1ldv?iNE6_!I2S+}RIkMb0 zGB(kUqH5ACd>oH}^aE56KXCPrP4C9K1>b97wxcF^NP(6-(-|=A4fM)5L&6 zTSYn^n;;<)_w0_~mY;v~r&6rg)hc{Ft5M;YDCH&WwL^WZ7i0Exj#ht0aasER$I0zh zLjHMhX}zO8wlLuig*=57#mfZk_+}%{81!|4w=OMPk)sIZEbaehj3w(tWBmnH(|X(? zODp$KB&}iQHGG{Ghq)+GrQtjXmp?T)j945%9fxf3_MEEYiRc>>4`4f+c zaH86<&S;L_@c2?}*Y~AQoTaqT_jD`V(~`IqZZj2$Ctj{!u>K{{`vOpT-kB3g(ynRb z3wU>J>_He*&Q z1VU%10ZoY0CI_TZlQMzGalyKw)T!41)BL6j8no44GO+w>Uo&bSDjskUhNVp_vgrj| zxv%Ya1B3^pnXjjMCfL;amxh_B#5_%8_Nfu0(@5blUWr)Jj69S}#A|P{I7F*_|fsCaUEa#OcSq z+)`qYfCq`uP+5-~$L~IYpcw)s`_4$V)J({vBJwC3J`k-io$@Q=D~pvX)hd`4w)W;A zHR=SS>CFJe61j;q>d)7oKky8B??QOPh*`zajB=H0ubp%*wpv7;U!(!5mKIXNgu5Ei zSy6?}g@ke(nN(zo67g61GGewr6C2pBkWI_R_lu@LH29_k#grI~2_E*>{%zO%o=0#0 z-{N0)`Zg#^#G-d?e|m`v)@Gr!ptN|gs|2Uz{5*V9iu*1g+2uNS=*lUbuCtQx09ahF zHb=Q%^@d0ne9xHG(VNUdrr_p#OZKYuEO?oO&T}4R4!v`XnXVo3_KVN} zIu}Gg2b!230DT&U2l_2(V1~!NF!9UZ*|(di2{qfRb%>sI9t@zE)>z|Dd;(QUCz~H5 zGQwqAM!yO|qR)l6_9yUz%h1no7A66h+);+G4=2~b(DG*ck$Mo~N%4eq6f?L$G zP@M)nE0W8lp{C-^F?dtU_k5n*D}%3~f1AjWI`vFG*Y5Erz8nLuBK0WFmq>4b%WTa# zFTN*j^dBX%TeigED#kDL`a@D!^UWXdgFPVV{bd1cNM(|>g(D&_LpG4%&xmK^J(-M_ z3zE^}0U^@w?X;#0lJ7Q+Y`}?HoV6`%8{YhuD?}rnO-EzCt*SB@_uDe~$2_-P7RQBV z9u(?bV!TYUPwgur?nF*NJlD8NspX{#RoG?(kUrgTg0rEb*0sM`FnSs`WzL=|K&c@> z{t1!P1oC_I1{e=|8l7SWkW_dSv;Y{?siY`J>WX!=#(({2vm0WNEnXiESpm42XdK}@ zn5k`Dp&2#eq67|9pO*l4SS=+Tq?_%U-Ylv6b+2MU#y+@O#Rj}l1a1n~1dM@<}MjvYR4$#~U)S7`j*V8nSC3!>_(Jt#% z=i<|m)43P2;dP`mx*a*4w3VZ0Q&Vf64S4A7@qwKxz2bD~dWyFr%lhTdXp|u!!9z~W zfa<|dnZszV6wn_1VhTg&`@@qI@Byhvy5m#>2pDGY{g9H5*+i^N49LhcG7sQMggbRB zetCR2C?XtvH#NHWhh&(rn^>@DjKusqr4r+Dvyq+X`8OZMhH}_V5bRsd<);&mOo(!s zvX0cW(Mt>o!Y-hx)%yS;@N)SkvXRZeJFhh9ZYz$0(OeKv7QpfX;NbfDr+xjsEK#B6 zxHEn(;rPIyjU;DDO_dTduzM1xY;6WpvdURQDvj`g{ zbtbC4i=O~ZH7Wk)M}3c%{#{3AR71;Lg6-YK6RfrOdx?ML_-2@doH;!)c0jSJxH zieIdYP>DH@?eFBg=nN%q898L-Z^qsx%5sH<9CBd6K4b1^_Hd$S;Q22(>)$=iIGp%J z=wyshulpkL0gsyY^AbCG?M^i`X}62k#&#(=cT7{%>lm}p??s${YG{0AVq_^I__+4{ zlzSW$B~_e(jb6ui-e68EzP;^z!9a%(B2m*5$BMbedt*eguXZ}Qp%VKRK08*Qjm5{* z%2Ejn%+FGxYT1AKUd2o@Ew@$mx(J<@koS$ox(fBSzC(C@(}$fE`F(83F0sYG%KOrS zv$NjmvJPHk3b1`U+&5~?v@L>Qy***zHu$L%h$<2oo2j(H=@2 zR=mL88=^KJ4_q6%7oYpW2MOZ`FBCuX8jakp_F$v{?*2p_&z#Quy$LTW{87>wu3l}y zRC1wJaz0}n}gE}xyb z_0K=n%{}~DjyGXaoj-9~w{MK5CU8b)cQh??8J`TTnRrk#Uu2J=@1!QQ19>A=W zN3%CqBV4ssg@at^G{FJidYIoS=cBpKJ!K%Aojxy>?@{|BXH2m7rl3qoY+Bc8N!e+4 zNdMB^eH$#Ixr`o-ddIemUQu3#hfJWEpO!K}&)YjX(-Wb6Lg*63HxeyaL{|dO3?<53 zaU0(w1(yp4%&m{ytg*C?u(2%?;C%6(_%dr<15P|7-}6R%@7W> za>~~o64AY+D^N_!v?Il3m>w~otyA9wlZ=enz!8d-rIRoZOo$aG`^%>TY)a|*rr7rR zXc`&!3{`IA*mejj`&Xo=qr7VDxPP(5<~p}c^B(y!tMFm?&s8~?E@s3M2Jd7`_hlzIxrAD{o7UtEa{^slYq@Y!9KqEk1ecnxHbR$HY@1cU!4HU nC2IJ%JG>e=ZcM(_aG~PJ+5mWcBc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KDw00000003|U00000 z004jj00000008X-00000004La>{vT*)IbpS@eCjkfdbJXfoN!WbW~f>rKF;;oU;QV zIs4?hQ$)l4QGNju0R)6M=KJ>L^~(3~UW!DzjmF-c*>7e%-d%r}s;a7gkgHJ?{l&ej z0A|}!FN%8j+t3;eqd)M;`)}N-KFbT*1xQl%_xI#T;dIw(7NOJK+(H<`ZS!JZ#Kfc= zz1kUDL>D+yPo316e6!69uF$Kbp01X9Rwkp&=G!H8)~y)zvpflcb6E__;$@i(g7D{o z+MbNwNHFv6ntNKN#U$*VpF=o2x(v-rm(YCfay+!DTPM1Mol$+QswuY$RDx>yukN$g z-e4E*{PtP3c0IqYMO!bVKCU0WLwD@=>EGAQ8z1-lje9B@*I8TKS@BM~{>nSG6`3bL zF?vtfM_g6^?XGh53%)+p;D_Z%VF_F4ZvQKaY+RNz)V^afnaQS(uxEG29^{c z0LyAA0uTVc46y$E1N~kujQY?d|KtK$Bp=iQ65y;;OrH|R#k5F#9AMD{UeF#xoTMsztHhEQh@gZxqKeD^5+Jcv?^-h$+;xbQ8WP)}N)EjpQ6o^%0IJ z)>%z6Z@>{nnmh{GaOcsiL%5?z9MY5RI}})lLJ#fDII;@eIZb-j&(9xYdt7_A6R+oa zF`8`02fJnV#7SP@C+d{n5$gDD@S6+d);3LCLp2Q0DBZgYeCQZD0Uvb%I&&kg&0A`1 z3hHsHRIc6cJ8M(;wzlzlep^*)T}XZOo)fi~xjnPz*7MuTbgcDx;l#k+lK+L$sw1_v z1o;fbj17q}K50wYd8S+~B0JH7}z$pG4V`DUapqBCH`&eeoIR60v z0RR7Z0pep|WN2VuV3@OOatx4S5CY<0cfWW)*AO4i;E;G%zmT9vkOTu05OXnDFf%aB zP;h1hiKqc_V2Dq=Q>dS_hpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGdUHAr z+(d{SB!aFVWFc<-ARSB$5kT@_i-#@90U|(*u+GENHOMi@*#qHPPKFMkvc!FRo&gnt zeTGmC^&dzA>@yw)1t4pismvabh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLKYz!Sh zI=wr41}BgP1s_6VNRTHma3E?WfHKSs3xMRb@M3FTAPvF@wVwWr>L9xcj z%8&sR+%fyY3uPcJfTF-3=wDx;2qeN-7#x7Ceh16_IzSp#r6*jaHBgQZ9NC7qR_6dY X48lMRvJ@Uo@lKAxu8aTx00960a6~}b diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.(1).cnf.hdb b/CPLD-old/MAX/MAXV/db/RAM2GS.(1).cnf.hdb deleted file mode 100644 index eb76e4a48d1de3844320ad38f9021490ce914e62..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 930 zcmV;T16}+P000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I&700000002<}00000 z000OA00000005!`00000004La#8t^o!!Qs{*@eW3BNCs0y4*PR#Em28C~Z;1tS(?`KX8i0~>{L}%1sJ=KSImD($jPw>c>(WV$ustPg0!%2 z2XbR7O!LHNDU_!57-`a z5MpRF9%l}^0ZXKcv(e0f=^T40V&$WV{S=9cio_x2et9uWvdDoq0Z&zas-o1v*MJYo z;@W^K&;xSd7RQ`pmtozt7cO#g&Q_kOOBkd8`OzJP|uMYZzuZ=>ZDH4_t|Ki zBRkKHvzupu`D2AGm?vzD!p@w)i&+*hAo{OE_a^#SPtbRaOP5XeKy8!6(x%fxo79Vt zZniDV>i*isv?vGR)mSBMTj|(J*H(J_ShMuP|ISf)3)#9lG?tAuK|~CvZfpCT?R#8! z8|W?qokgHWpz9BB`5VWDALfNl^_e-H2SUw?f~twuIO@t7$GUn{oBA40U3O!VZkQ|R z5#y&@$C%`j&K0y5l{?4{@_|2jJNxps0O05wR20X;Z=bxgbfek0_HSz@f=dYaaia@I zpI=Ztg<@#mTg)C}`K02fW=_%J2bXUE00960cmZ5dxlY4C5FG~s0S5sg;u1m}5`qe) zqGe+?_9A@9yG0@jHv}nNO6Z{A2k7XLs3?#qDCjAYAAm2Q;t!B`vnC0mcr)uaZw}9t z$)PJEdbsYi;iv*=Kgv#GPZ$;Bp|s%u6@W1+!S>gm6K2)`)+RF5P|3(-+N3J-Gd$B4 zOK1lu&>Zacd*y|hL5EOkEqwV$yNu{0v2Abe)mGt`fV$AKiFthGWnLVigHTaE5*MGg z#ScXH4IeZ=Oc+U>2?{`ex*v*bx|RSY=l1pHegVu15Nba& zvWeP*LufCMq2LU=qOu*LSG&7lrV4P%KeaPu54L#rwZ*F0UzIw-fm8znF>5FI0RRC1 E|E@Qq3jhEB diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.(2).cnf.cdb b/CPLD-old/MAX/MAXV/db/RAM2GS.(2).cnf.cdb deleted file mode 100644 index 0d881683cea55a10ecabe7684df98ba8ab6148a4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1267 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Id600000001%r00000 z008v@00000008p@00000004La>{rcd6hRQ~sL{lzkeeuxfZ{<=&?o4eJmsQ@USyg5 zi(|6cFq=hl+Ps-h;0uVaAP6do#*E+BJvCi3JH+kiMcE2HUEN(@{q$B>ck(>XkI^n0 zV}7EKZGe@udd8Rq{4EtT3+Ruall>3$oIcAB=sa4Ib#}Jh$m0sOocSHPo9>nn+R${8 z?F$f0veAqFeu;>IF9Ovbj}9D|z!)&&?v`H!DAg~I`3t}tt=ThJU=n66QAwxRZ@mJE~1V5gI0MA za76OYc|PHp!J0*LhVt9w0tKMT1bAdMFo*|k1+2HIlYbUu(BWLNdQ7+Vn7p_cQXP|Z zL-kFp0wZH1Scry%XW!(Z?1jo`NXEEWN#;6Gn{VP{TvWL`ql=dy#~-?uwtw5nrCUBU zChaUiicCHDmG}NYab7M8%6_?NTxQkf|8+f25D@7*67!?lJ9Un%jv4o=P-XrooI48{ zD?+pA(ek{}3aaQY+~iV4|FN>G{k#IfWwU6$6%~P105xfX;e_@ykBiXa;V~heb&D4x z9tT!rnFr)0AMRkCw2C%JUZ-h`3EtircDC=k1%7x%X*$H_nKA3S_~cXQ*}y+PVH>&-WTSJSA5{iY&+91GpjSRt_wnAW?*J67hE717ziq8V4{hU ziHL}g*yOmtz#ky~3;n8B9rwhBud4g&uez$bJ3|KjCZhfGtwlI$2O=j>frvdB#YzNm zSm6z-1DYvcPqf=-8_e_rbFrtaQeeBHpn_sSOxOiWMUEK@y&TBqhK8nCOpQPbIRa)awU@Gila)wysyP+ z*l%Jk`De!$yYO;>F5W1 zZQPP^q_?uU;e7QQaBy0GgWUb`3a_|CKI-iOm1Rc4Xwvxxdw%uv#df`jUQhPXrjq`w d2fjKol)~iZ$Hq9X+Wc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DsY00000005){00000 z000OA00000008s@00000004La#8tUY13?VkaEqg$1O%UeL`$_59VKytL_Y^{>L#bN3?$@tQ=(GceH#~p3lcbVVe)Z>7WX|&X_0=oVLqMH`)- zLDyi(^1)?mXy6sVwb9_ggG)X_qcm}4Jn*LAqw>YV?b)L0IG}u zA(?=WswcB9ICSiyv=W)%kI>xK(qYkT&}aU1(PQC&(#a7`v1kajh-Iq2kKR$c;vHRQ zsD>7L&w>h_0moDr2=7<0N>do-CVh$nn9#EolhoA41NxQnfI%@8$cMz4<{Zv&V9GHTqlC~b<>Ku8 z`1TtB0RR7Z0bEhJPQySD9R~sd2LVyUEyN)qs8A|eHfCcl!iT(DB%*LbkkX}u4hnvN zjvk4M0*Qixo+9}H_yQ{a0Estil1M4u%=*on!!u=a=!S@%ZaZx_ssP%Lva{F|M#Xq2 zZ8$&$V1i1p{ms{enGJxoiA*(AGBTMqsfzpz&$Pu7+5-x-0K5BHd1GeKA(UDRUyf;~ zX$6}0_Cak8ei^6>Et{CfS6=4D5jqGJbM^o&!aeNdgCcgbP?pFGSpMms8kpQJ6=-)jFEj<{p4 z43tbo>IJRL=BTIHRr!5;ts0RR8oS;iOu diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.asm.qmsg b/CPLD-old/MAX/MAXV/db/RAM2GS.asm.qmsg deleted file mode 100644 index 1db2993..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.asm.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1629153622162 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1629153622162 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Aug 16 18:40:21 2021 " "Processing started: Mon Aug 16 18:40:21 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1629153622162 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1629153622162 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1629153622162 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1629153622381 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1629153622381 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1629153622537 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Aug 16 18:40:22 2021 " "Processing ended: Mon Aug 16 18:40:22 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1629153622537 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1629153622537 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1629153622537 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1629153622537 ""} diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.asm.rdb b/CPLD-old/MAX/MAXV/db/RAM2GS.asm.rdb deleted file mode 100644 index d5c4ae9717c5a7a8962904fb558bdb7b475afff7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1391 zcmV-#1(5m?000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IyA00000005T+00000 z0027y00000003_V00000004La>{eTE8$}ehfl}^>N`OE-oCkywMB=qc07X31wR35R zNt$&d)E6|~oprX|i#wMP_l5rrl<=LMb-bI}L5+~$fstnCoHKL2IWy-ndvS4b@h5tt z(YTA=Xf_*fV(4lu(@`Q7%dWQOEl8e2>5oeP9W?r{0QdmYmjLA3G!<%k6@+=lX}*Hb zZ&2ob69y2B)wqkD?_jL#ERBh?v3?EG2VH-_tE%l|FwIx-nQdQ~@W0?+xDV$RcHt)= z=PulpnN-5akaprkYWB?nM{)>3)j9H#0FTC)A;vjoU!KK*q{E4c^Gt71Z>#lCOQl== ze2gC0RAxrEc4M<=N3G-TptI|@dPfKS)}Z?n_mfs`&BU6YBetnru|CP8CFXJb-7Kf1@y3pzR(^QW+(_FifoJJU{-OLvld>aHnk8DC~G{`gR+A+1i zq4sxM8{Sr@LmjWvcFW(xaEzi~V>QMGKf8AluFa3MNg25=nxi&I3+lAr0eHKCn_7 zrcjiqn~7xlOezYkV*Z8QIG7I0ovZX`^SxL{1csEHqH2{TYNx(Vn(6?9LYi%%Kpf=a6d&Xo;2#tM5@BEhVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j z{!U)5&JZn}Kn1K|EkcHC%s^U1pjupgom^d9TwS258QB;VfHJW=9=?hM(lCP|1_p-& zdHT7>yZX5Ly81y3jshxTXOIArH785TffR!f5QE$T(E?S=$iiR%v^9*)(w7-1FNmTB x;!Z{;1`VJRV;{F@ko#c9xcU3IxCX&o$HBk>l$><)C=XBxJZzu_00000|Np*IifjM? diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.asm_labs.ddb b/CPLD-old/MAX/MAXV/db/RAM2GS.asm_labs.ddb deleted file mode 100644 index f0193bacfd5f98585636a038fd18c8b2733d66af..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2681 zcmV-<3WoI&000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FK300000001@$00000 z002|~00000004Ok00000004La)K^Vx8^;y?W;9$58N^)Cv8WoUJCZGu&cP^pN^=N? zvYjaDk7*-FlOBqVVAE<)7(NsQBPh&>P#s!_WNid-PQ^w|9sAOAdt-te+MIaop(t2G zbp@jcik@7-k^9XqCAqMi^x7v6=e>C|Z@zi+&FuL8{rmSPk;xWyLG}N!mc;F`Kgn`z zq_1I(nwPU>L=yr_U2kY0j1a}j8?Y=b7%1QFB^U80^EK#>VA#`KWaBIgMY3E1j!TZU zxgc$8^7libmv6&CTmAc_+%L(b6BJPb+NTSznb1k4dliJ7r(aRHtzoMkaVEQ3whm<2 z9mKq}Q5Cq?gez-ZN0{UtI%NUQ905s5(*i9*ow-?|@2U@G?}kY7@ILl>5IgZ7r@v}( z=yG%F9X}51HGxIINrxLsY}ACIb(z>b+LH8WP1Q+g(LW4aQ0UJia-)pxjon?-SQ6MM zCFUP7x|fYRn5z#9z@KCE-aTu62bgof#4;!G(&W1ARw(onI<)lZ69|aCp!`C;5Vy&& z52la=t58~?&CM-d!BY*m^IfLyYF*lTacbQC9fi}fxGdlfb>V7d43zTNzo?&M9ua>v z_B_Wy&IE}(1nbTi)S;P8%WMwv5tfP=md0?NE58H*?$FDK*DYL8Au)KpWU%O933`@= zLfpPE??W*cACz%dU}chfDQxnSu5g7WKA3|ot5;j&TxN*q1$FDNvYlL@*f5BKi|+%6 zmXnoQjFT^B9Ql&xHI2@nY!B9`FhZDPHuON-(`F?`r2=EOK<-ZyF3h73<_kbx{qiu_+eOf8F zmL!9ye|6rck*hO>`6fC69M@SV!##@wKQL^UVSHpx^fBf(Rk&V~W{C;XDtW4aVb0*^ zkKp@fr!0PDn`T1#B;6^;>ew&M${EOn41Xt%zpzoCjvlF95qis*{;3cPMRn^u+#&*3 z$ui6?qg;D!TlXPBYL_jBQ`$aRG>%97^|FbMkk~f$Z8VXLhiIMFmqR9O8cD5(U*tqD zk8p{+D4fJMWtv>+qdmx(!D*H22z+#ncM0$rk7Z}II9IlVS_AH-eXrE(o#` zm|w-pxbc}@FG4@H8p{33)rro_?kcwR6no~3SSd{R$|~%Vg5Os-!TwA(9nn6?dr}g) z;gYhRKBnK_2sWYPBGs{!CGbnQl-`ksl$xHEE)V%e1NN{w*-13Y)}*&sJwdsR zpg$!z1EvxA;}br32NC<9Y6v^k=FO7KEd*G9tRSD2MW|3UmXAyYy>3~E zZtZ;s84qg9*s{_I+nj{_>|^G=fqvkyFzdrT3j2T?v^j^H2UPK`A`0ajsE>22l|9CM zT>|cs)8|8cX|&5rEJ|~`lG1x64?`B$(FobgGCpS+RbD0b^_s$FC~=)m?!t97cN?L} zRyWL}(COlG-r^0&VcPT6-iJ-nB$}&^g)NbMln;4Mm@>-Vd1rDtlfU^$Xh+qtE3F)P zaV3vln>TZ<9Cx4@#n5*nFAPuMNFvtkX<5y(P#D4&Vjot3MXhK!whi-IZ6dtv+3yEf za^?)%6P7R9uF z$T_K-{AO7Gaa`6j+cd`5kxXBsJvadMiVHU?sK2!u;u^1dembha?Gtb%fgg9x@Leh1 zl(RkuA84_0>TI}9{V;?%V~39!J8h0wMq&pKw9AUZyf5(cAXDQifB$ezh8-CUD^5K9 z;&K>tCF0VD@^Jvn|ot-`yo1yyI~FbUNdwp zQP^Z|Yh!jv&fsy4dZB4l(@u~u`+;wBX=A{%kT;GXoU?qeSbKqIn&2{+X+@RTed9bS zbshR3-=>_Om(|J%h|l4Md5D9ss7h9D+ozQLoU@NXKLdj?h3|-jK_10-mnSK+c~*2G z)C8w1M*m0AG$SzlM9nx6j$OJHFvTaaP{v z6wN!H3d`*2{Qa2*-5a~%dMBqiKW{0Ro9r~yNLY1%)K?h!;_8EZM{)$n_>K$NW;~3Y zQRW%fAlKFyz6Knm#rftDa9y+_@;zb|u_w=hQ|d#17RDf-Vb7HBtSR*GNT#pt*o?9V z6WA8B?D{zGwl%u#M?POYgx#`{BS^v=wUI^5i)HcAGO?^^F+z_^9a)(x!~H+<{W*?_ zSTgq8y)5f}2efU%n4GmQX9GC17hka)-@(UK@(3d$IOg4zn2!*aEe~O5MjhKTUz6I7 z?45U4bNJU2g8w&)@8MPVBk|rA@3T@5_mo)83$Y~JP$W1zZi2GJ)BN5Q1&+*e zWpnGic0Ut=kI8e~Iy_|61k}Ue|HQFFM^z&zUU;zN8KLKKMaut?~oe zK7dauaGgtq{*1s~=LdWZXXd$N2IdP3?Ho^;&|fk;%$Muo98c%T8Mu8e*o?*X(&55= zX#djZduIJHOn%o)M2t`DmcYBrGlh9KKG|KYT&LMU$TYX6u|m)s_wN$q;+0&ta@1|G zTT8`Nj*T%-6ph5X0HV)NKpT#OzXp564rx+gsqI=c9r>6BsK1$@m!>c|eMR7l@IR27|;H)PNWO00960UfCZS diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.cdb b/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.cdb deleted file mode 100644 index 79584697cfb59d79d4238ed375f76a823552f7d9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 42303 zcmeEthf`Be)V2*lQ29w!5F*m0N$;Rk0RaJ}NQp?7-g{z0M3hLE8hQ~S(rbtyz4uTP zdVm0-C4qz_pYQkm7vH>dXZFnO-aWgs_uMn*JkQx%7cN|=WV-mT4gB|L{gak10f8RA zKKG<$B_B#k-_r{A@N&KPJIXZdXlfGwRc+bk^ z^*vo@kDz}ubw#fHQ*+_Me*XUz7hYWbe^Rsf|H-h6yOe9^(bKau2vnoE==**4OgF&? z`9AgLAD=s0ipurbIXB(#ZRBp0mQ9oqXf`euU}I+#z0YQh(>eZHVv0Y5=VDu`0%uTe zxWEz2Bsq{o#nBufy}4Dvm7Xt=!Ikw$6giuYi9_7F0Et~%>upuO)MO+K4_Aqk0lrnO zU&`ML@#g-ys-TJb>9j17m?c$hhf_7eN6^f>HMtd*y@Qt_KLIZktM=fP2*oxmX$GdP zkWfu$au<8h#2Z}X-Tcnh0iwd(vfLG32f?}nkly&m{0Z-Xz5t~E^){t6zmX5XYs`yE z3e&m^e=<&Hb>bTex9aONQrvia&9)`HS{0&}i=X1LH3`QGD#2}L$mEG1Y_N6tpTlJ> zdE*}~V*Pne@2<5Za4H3dO$IObNW1sQ_eQk7o%R=k^Y#|5b2bTzpUQRg^mCjTwy|*3 zac<5|X}r3-rsn={r)ffAb>#rz?i@CliDp&SJkrq)={VjF`nRzeY_r2ui_pvDKkos( zN|2CXYuo5QgHCncAHG#E+g@?#d(QhIQL{!nAhj4F`-_Z#-6M<`8ql#T(cm z?B3;Xen+^Q9_I1El(nr$wMxTTV&RH-0=`8k^W6eZh0~thTp@}+P&bq<$4pIs`f+V# z`wiXzxoLXwgyiL`CY0N9tJW|GwuB^Py=)rrs}Tm&F1%R*Opnr=P7N~-y3Fx!+*dIj zIk_yIeuUzz%k-MMV*7k(73+HkTNgfLGfZd8jf zPZ)$#ULg`vWe?JCI`fp5O3pumvdi-Xn&6uOB3a7Rwsc8Or3xuWI%&iDM?qz)s zTRqzYZ3jzYP@fA6#Yf}-OL`2c*A-4bqnCPROcLkra{$g?j`oqzSgLpY+08Aw;^ z00wt#6^bka&1PFin9pBaveBPUI}MKh0F>(p)on*ZJ-0GXCHFLKryzy;kx?aHnsJCS z1IfA@JQwpuI~#x|-iFk4$alm#9pXT9M6|Cqvmps}ModDHPpQ0SIC+57uHYCh^@n_;IugSq3WU z6}gp8xpRW|lIGkw9PPU}hWPy*>iJrQaqlvxb(d!!lZKL6&o;dV1>ka5qd^=KS;veT z`%y%3i65ioA1hIlXE7@bJ1#8YxXSjz&B){fDU53TYg|CPj0brFt(sibnD}6xxd;u5KB`_`Q(rn>brS)_5+mx{MO-&FyA?#Pu8tAo#mwL z5VMlMLf)h?QRpi3VrfYTEzJ`NJm4N*Mpfh0w*!by-?fJynCj!C^0UK>~00Og3 zuu2j0=>|m+$;nRXmRBpd0?#wx`7M&2PVlNh!w=oIkiAaM*TOT8w3VT{&_C1Lv(&3# z$4%|oLOYnx8mG)#V3n{VEFkJ0Xqty|dI?JXB6E!rshXQ|oS^{XGqnJx6C;yPdj-za zcIn{}YAawfNE`mlbc!!9xs4d2UR#8Ah5gCb#O+0}Dtzt?AGY1^f=!W7@}gQjSnulRY0e6GY+&6`0Y`SGOCwJe2j__FVvt z)0?Idi))RuZ;pF)*W4IL*SzgMupjJ;d?*VF+1edD>o{4x7hvXgygk=8=x?8ZUG-K% zwb;pdB}-ZbH5UxBPeS4)IgQbq_Ep#b`*iH5wW>kgzY5y{y6IvqcG8rlt$^_pZ`4MM zBr>?!M`{0mr~dCT{jW*<|M}-Ugkc2}jNY_{cf6uA9CbiMy&G#^nMp6MQ$BwJ*1`EH@1hy%#)KH--LW{Q&DOw$M7YZBdrL z8k-_jckF7z{|Y=9wIAS97(^d=BLq&r9t{iiG;YK+@52J#c(;Jj!BC(lph0=pXOaS1 zwb4t2=QhJ&z|t8FuVXfxs=iT`Nxb*W(VO23=a<-OhXt!5mlUM@7Q9q@6f|!; zWzm8b#HA998^RyxnR!f7%9)DEZ}hyJpT2$F0vszKGuab`6UHe=s=v?+=c_-ZLH;SB z?pZ*!A?$+J@fGHq|I|mt1I4qwt9+}6UduBIxq#Mqtw->~vdlYOXQ@nY1 zNG5I+3x#a+i!%@uFG}sj9;9PEL z3g+4^h^b&S`0KuOPs2vCQ*YN0&@N74q0Q~9H;1IFWsvtBdwy3vp2xjf(u(DP^ohle zqa)TwFU~R=&SGduk!gGQi6admHEXkg3S@kM`Rp=wyOdUQ`c5yISsk^|*o{noxqJJt zHg@5#qX3A!edjJSfuHGI)Vg!T3-PLh5+Ss@M6@18Jg13!NV#`j3i$YITT1=qyj*k8 zE%|pKcT2;W5LC&vQ^@EywT+Xp_40|2)B-IDaQMu{i;(hYCn_{GT zG>4#EN@De<4xKTU!hQptVXAO2_@cO6?~BHUdU5?-;XUzmx(zMw{c3d^;byk&$$fkL z9Sip(k>}4v<;PtvZ7O9aNEFJ2hYpe_YTz^6U?l0`fi`W6#sQ zM=;ZqDjjD5oK3Afp`)})c529M(n!N@@( z;hj5f$p-2`*sy16y?29p&O&q%@hBV3Ogr07tlB=mYCJ9Ay&n=|ResSjkIMVtc^>*X zO%V4zLOg1i?5zun?TKeRQx}@7(iqvD)}q~H-el!k*Qa4x&dY3eOqqXo-+h;>MXhnW zr2oQ+iDZ$vdgy*@AAhqyGCpL?iXAf`v)%7zY>=+eHc27XkU3ifk*;>f&MQQ;WKXs(!Z9>V5 z2UL_ADREFJAz>!sc6VIU7CvQ!G*c1Cz|@!|^+3;`s*!Oap#cyF0gZ-UKG0Zy**Eq1&K(^5M=vpXGg57VCL}2TAx;r=Nn8Ok_IKq zM`VZ2tjX(8tMfR-qE$s5wN?zh1r^PVE)~;`4uGYWfQetL{Adx6sVP~Evd@OC;Baw_ zoNDX-0xhs^9~geGt_K#EIU(abGwf1ZGVfIWXB-;qcP8-`O(xT->jE*?AwmWZ#8%4eD$t)3DXiq7HQ%VV z_u=(}8p?f68r*=Y!Z^N!TVcel#f;;;?)2k79#I9os z-aGgC$eRy#epzBB3Z{(MSQ9^srXdf_g`xYKE+@xHJ8tJ(K1Xk3V5%1;d$Ga(b!~~D9!a}X1+<$Kb`Tf+ZzV4_G>ToN^{TAiYfpz=e<=pva zx>W_f$t^mw&o66rsW8P zke-*!4f;NkAAZ-q?Qr2vKEa!_MZJGpF+A?)M2^$^;K@$v3PZ~f|B0uMt;`Vrm-ZK{ zPsYFqx7+S={f*_5u}|T-UV+UaY^188XJl1cXjtjnKx9TB=vwf!2! zM}mlwIJoX-I9Pp62&PWa3i{rX42E1g~> z9QA@DOAh+z_H9N&slcl$9i+6+|)j?l4 zI%N3vb{aTEh66ozY6}ipwHJMzTrWt#D#k8r{Crg6?RxNO(a$FR+%-~w`@^`DQ$`+nGG3CTrz`EcWQ{0~pHk|6^C&7T?(rJi3j z4a-uvJwktbl9&+OX_mhxiP-uAV_HdXOG#}@neBVh+2=AD;7QgF8lA*cU65H^2&bsD zh%CekDnjpZO4HA)Vb8+N^4T6|!p5uay78vxt8P*bl(g+C9z;t_PTA{x@ad!Bq}PU6 ze!nQkBqgh-N1?i%v*)U}R7r8({LN`*?(I;ujt$RRO5jT`MDeNSixa7k1y(wo*eNS^ zH7nsrq^uWvC`r3ak-UK>;qD{7aeK_-QB09Bk^q15r-0g@B4m{RSmSm1l=rba{@eb+#6;EA=)y*wL{ZLg(1gLuMwh!P0~^4RwRZ2jq}`8e-@}aF zC);QFH`GT?ejX$#)wK?WBtf*jL(4R|r1k%VDiE6fG`J8#R7({W+HD$&qfdFRQULvI z;m41r!8#2Z3-y&4yKm|S#^xD;`NGzcv8^EPmc0c_DU;{?hU$_zmLw@iRzuTw$oeWg zad*IVExUKtBSOdSVcIPlX;62#9erv;A=7jzZ`d!xIn}C))}=75Ur9#}t*4~dK(N-L zYr3&re!~x&-aSi5#_t6#RQs?`mhmgap8HwB_WP@l+65)@x5kBw5+U)J^Tveg1@1*j z261zW-K~(bc+Uw}Bz?=$rr1Q*JZ@U%CLN`;`HOc5cuQ-J5`QB^DmpkD4i5)yc7*F_ z|DmV0tlVxzEbW1cGZp4GmYh&9>yZ4D_C7jsiud6b{N)GKx@)mZl(2603_fJA)M}2u zkfhq$2Zho%-*;keGKPm1jBlPf+asJ)KR!id6+J&8;;c2+3SZez91Ib^Zs!}I-tzI^ zO_K=uX>=^Lp|}r?Qj3qwK4Ad^g!j2V=I-5sZw>eI&hNg*@TS z@Ls4mM*SH8o4Xk@Hyx)WYHSd~CYgYd+{-{=YCAo?#8~un zb$;V@_O3oqMut2WzXvtImcsx{Qz;pJwaUwOJIITn`LQzidmJH>y9Jh_FZY*2lp}}E zH{z;7;hg9O=aSTf;9Ej*JLZ4C^Cx&`KJ!(mo%V^86n$0jsixBN$PHwzsKygBqddJIW!5z1;6@;%llA9Z!WCAFiqBMyy7{a3Smg+!e` zg{|Mt0!$>OgRx?!p%IONv6Yi`aW;9H$uCO?38rE33FS(FNXD#&JDZpQ&(LE~+ShY4 z-p6fbZ&oSjR5H?YSGEOYJ1o?) zi!kE|xg+-JKfSqid`9nrXZHJu@V3V6+#lCIik~*M`(&TJJt@{o&MnfOAC`5p-Bc?T z{F0bLNLT()K-@e5>8nMk)?mHA6F-Ejr%UQqiH`EfBV&y(b8y6E|B)W4za&|?dM2bi zUu*As!$sIw=UBFGBi^5t`BP090rv#*clN4h?&XMR3P{AOC3B(ex5tc5rwdqaXN~C2 zl{sBKtlIttKHI9Dsqu(w;10_VcYeN!ZR*ME_8oS08w)kzp0}s=v7}!Ta^b0(_6)`a zQc68Pz3ppCux6RFjBa7{qmK=Zzgupa;3V2J7)IQ0C+)vTW)sz#KX@n9zw_HHX}&c! zO8sd?%W$IJa$P;Fu0Fh1l?lgi?QgFC4T?XVfv7QWfMeQWM0(0C5*MDzsG$YK@}FMo zX1L*2=tfpBxa6=3N$PSA%TE^a8wu8Q-WM*By;RKIMm6N&N#nC>F48=jejs<&I`6fe zd7wb#pQ4%sGv3I9!J1hyVvPDmMjVIF%ZA=m=&MN|>s!6kVDdFfX7g3?-i_9SY8nRd zkNh!94gwiLf!jx#vkPlWZAF}n0{@4$EN zso?&;5+pa`dr>n=FfQWy``~cQe~Z7T$X}llJ_;H6EQc5Q^dIL?_3F1`LdxyKO?U+B z#Ic6P+S-3daCBQ!bbF4+@#?N&tPjf63x0>!eQoLcei0C?lhktM9XRvp6xL&L3UqKY z+Ha-rm*VeU9({imsbjyj3EMMI0~$eUG~{_Y>iLPoWEg=-(GyPm{#;;EMiZtE`V5lR_r4ghrJvmnbIA#{M{^F&(two}=LsQ}VZmAb{^rio zZTtcBg!aeP!@=iXvrCD^a>AP_qQWV{gUH*-@ZHk*tF6TD;j~VL|NR2LY4gmz)G+np$n-I zrmNR3l@8}I=(!zc2`;odBs5`Ym%si#GA(JF5ACkrl;DqyPUZ}! zj9sKGxLzc??1n*7nKr@JNynNkC00$s-=i(9cpUWLpe*6-?y7)!!`XTM$M|rP~ zI}7fO4pdjuKghGL&w&my(S@pduDQ-~2XGT#X;^#4Xp$ntT%9o@Iu`&}vt@sMiCfCX z9*Y7y6r@*)?T_h5NL0222e;`E>@9lrIm5Tii8p01!78IpW$}-Am#c1)7URClI`5xk z-Df@(vFJa=MnZS1LRjP*V|!$mRM(KIkXw|0aV+Y_QeSdoWOgUQOUl>eesY>;|7BET zDh7D)O)J_!YT3KjEZPUh?qw<0J)q%=S4b@JBf}M*8AAVH%i<-T`0-%yPERJ*Sv3dt zst7ad?X6#wA5uzQnGA9SzKWT;ez$LUG2aOpSQl@;sgX#hs6HWv^(Xjwkn~sDCIS`R znWtMkoPRNGBy;bm$XK3s9c?m6lS*3Q(H`Z$pWU_pNLAeNx9437<}TE47st+?Hz3JX zBRGE}$NaG%H)7RyxiVkzxVVH#d-Hi{xkm4oR$K^d_neYCc^%u z)LeDEm^+X&^RE+QJ&eb758yHSoM{D%g`b(3{N=Vzqfei+ZZgRn1Um%By32Hql|B)< zJY4p`el0vt!elhWNUNtZOLWy&#X#WI1$>6%6auYU>(g&_dJI4PnW}cAeD;HQ0U?{Y zjoS(@+OK^KmcaF^P`sxtx`ur}KFKdVOZaxTzkb~qacd+$rnKw9+}wMqJ;Ge~Hq9KT zDPHODCG~DVwFCKyCpnNRrkjipaOcmOsY`xt3Lu)@CIfe*byaWdH%o1*f=}YBzY-v! zWV^MaNh(tJ>H81gLPSVXM@kfIMTmzDOus6E_L#q2Bd=Z5t?5*oqN)l@pAzui$$d(e z-{)PwPFxLQV1M9Z;y#%BX|4^B%G#d7uQxHMXKB6iWtZKb-B&|z$njfQR>hA(&PaH& zUD8-ayNI&!fOpD>;lqiU9DZ13GX1uhz@NWkv;z*@gm2~h^!=NcTCAUiSv`r&TTU7K zc-*|N9w%a~Hblz)egS;bV6)S;=JOW@H%&)W^cSTIYr`7fat#7^PE?_xtap49zvWi7 zd|hj%rTf%=|DnRTZ2e(HLcx|!O&*DlzynuL)RIOf{B+)BUKz}8;;66t(y)99F9y$T z3;25UA)=JlD(S*Jp3BluTQN>n0Iq#2l@FMVZCx@n>EKJ~aC+|BA-cYwt7DMDS* zpqul(_T9qnf`AF7JeHXaI|S}~;8mN{;A`81OKy_+fqqhu1ev2TS9>mx3yC8U4<@CM zPgqDl)NYpIrUb&PmhYvT`=Nv%t~#d(WR`#K5kjd1Z3a{++X#HZf3V0gDz^xCu<}jO zw_$$S@N2U=kHu~+V#+@SpPS76sn_o?63-v~L;;kf#%Lm)o2HU}H|ym3wZWDa0T&$` z9@+bbeyeRo&vGsFZLCdZAYAAgoCL_E$2ZU7Unqeuz}6`#P2@Bc}IR_O7xt2hv(%Ad}(!Tmo}$BQB+dAWCQ?TqnK zA1epW(+HXM7y_P*JC6QDv#|+8PCYF zGhYYqtFN>@Wfml$0P2B*rwuf0r8HZ7yB0+ zBFb$UO^nqK6#*eTX*Z2CbCY%KyP!`X65g2;2gUz#2^DYg3;)?EeR93yKjgzEY@wjX z!w{{*E8)I+*F;4iQZ>RlscDTaG)cxV3FhVi@s~N-&qdWjkY=gP#IRdTDPr}?;*CoB zYZy0y?cv-o589jhg-(yM$!4dgVojk#KT{)hKwKY$E*1Oo7Z}2cL^yQV92tnarU}u= zFf!Ur6#PxJKJq9wbr3VSVtnW#ehUH7S3~Tv_)a4bVTPX?)jDu9sTTvtu}x5>1b@$pa*hVU_MVky^AAf9_cwyVGJXYYiUMiYJ>=_N0mgugCU(a$L zHfm??ma#C?wt-WPA_KuKg6sJr?pj3!B|umHcR% zj(^vPg0s^Ynq8l)2#Zc0tuT(rSTG$X7e1tLgon)S((N7RjKO>~L3?HblRhH=GWc1G z^y?0!i?Pv{TW#1q9Byw-v-Zzf`aC~3CNdHpUF>91OkTv-wAxv}kg}>F&a;eOED?6u;b$gLeMrQ(r6= zj}KA^V!F9O3nd8A#7`P;9v4ll|vrH7+g&8`o${29roJ zhbPS2thkzYCl;lqWLm1J49C)&;bRadGJ|P2Ecxa(+(&b_wrT;R-pNE&tRJdGZJbyg z-?^yFbcU{M80(GCt;FIYM_y)Y3{Sq_<%u@(u?d^7nPBk{S&k9NR*f1dz=CBVTe3>K zJ4tO?A#>f)T7MsjzRIZ0Wcbe|NQaMmU6ea*!twsi!?;8KJkd4*c5dD?1<;dLM3Sv8 z1Li$9^dN<*xXN!JCi`V%mk0hR3mt&f6$fLQL^cW&z9`L%HcQ3}&*vw`ww?sbt}bNX z&(17U=rFwLkLrnwV9Gi8+CAE@T~AxQz_Vl9XTQVwTdBa})aTEtyV`wEag&^(RKuK8 z-k(*b<@({gM?L;+CJOow&;P17@IF5+X$@(RY*#i+TAmNOyCIEngVmu08^-geL`T*b z@JDSU-fX3K*?@A392R7-b&j^(KWDCPO>lZv1^6&u_K%dY#eB;BE&SyYSKT&4X@kgb z(1YL>uS*bXHj@(AH(nb!s^iEwKxpBo#=v&!BnXA%jF9~@cqPQTY1b_ZDRP4l+`of- zr#wJv;63q97m(3@@{IQbSFi4hGjVfc$H_o&WbO9iKTHKsJV~roI3&1iu}LUv)tfYf zcuotIq*phkrHE^*7&cEmzlnwXXb8jH+K=vuDiF_snTk!+l^&UiU2MDgpR6nmgaIw( zSgX=QPgvwz@d<vLERUd-lJpDOwyt&uRX@rb;Z3}(8ZG5T|-PYJ0Mc{yrYFY8W@u9tf zj*eKtC!fnkR(vLCnm<@>@wtJ620^k~_a8s5s08HOq;1KIKkv+Vyq9t@E9^#QoB2!! z;P}T&zZS2nfFMG_5(TM3xFqT`gk5&_%&^*lvSEK5 zb}atbU_c}%ZSYFxf{{r_%$k7RpF7<_Tt|CndtuU;5@}o`D5t`+S&Mxnb z8bfZ{V2-Ajb4$C+6_ZQFR*})_pZQQL1yU|V8a zoEEi*rRWn{#{*?uCp^-OHL{Q?`N8GKrC=kfkrGWxXY40+|2=J>3ZZD_4GT?$@MP1B z+J9<)0lVJUcs-m$^7J0Q?```_YG$L9VuL~i)7COB;KAv9BC}vCJh**q-wThYYjLRh zO>>9=Ls!lLJB)I8#FJ7nLke6yB-mz>wsj>pn6@4XcsEJ51CelTouIKiQ0*;>KIO;( zSUT$K`wW1%A4?O%4l|?;>TP1T1Ax&h8NFX(qN%?cJQ+&QVi3^c@egL45^?;glg2&+R zMBFdG%yUeZ1T`@082y*bNy4y^d@YO*SezI(sHeF<%*0c1-5o+)*1Cd@ns z$rb!Wi3M3ZpScb}&s30UjJLp&np8ylBoZ7tba#_Lc9hn*D+zLo1X(r;RzLL(rTMO* zIlxify5w*=FmW428n|3#6w!E$){8Qvq!9^Lsv<#u<3$J3}!D0_x8 z1dad$SJxIy(kce&DZq@8?^ti=s0r>I>aSPDQIEku=;8FEDNT(LdxlIv)9Lx*!$0V^kzC)m3U_CV6Y=K$>z14B9-CgnguuW5910Onsow zQ$u_X(S2ym0}SgaF00ZQCs9ycaZD?1>;*TP!9iyQM>nV9G(aO?Y?3JrA!q+E1Pe;* z%YB}GDe7zWV;2bUg#7lDKg$~LcG6q775ZxsTuG+iL)&)|0TMSS5>V~N)YRTSuwVRn zXaq>P#bLRT-T#&xLaZrzppu2^#ks+C}21Y7uR}#{Sf_ z3#pclKv6l;HTQ4yOVJyFsM|Nff0)6FsNp5#&}b9WDrki=9IhTwpwxNi!=m>bf~f7W z?vTpMH!WiICeXTFV^WrNc6`PR zVyWFTQXp9rv2#9MMSOjndU6#pd4Yh|rI6dGvOZwf*AThPJc7>)U_ah<6?y4hrTG+k z7eA7_gYwrEN^UdAtGmzcATaPW+etNNZH=-zCk$lD4eE5Cky=e%?JWE;ea}F{T6j5I zB~>~8#OBsyI`q3`M(N&Xt>n|#He0)?h$31SMs0!oL$By~n!8Tq9F%@@I|yk`?exbF;WV^}J9ff1>dCuihn9_5IC?_H|}ozqPDQ(se3k^MfdR zLi0CQ_+!oU&6`eWAn<6g9oL0#;`hp&8M3C-;&8didmco_m6dcur^c7_K||KGVreHz z#Ql#c`0M^v=bQL16INl7GF@o)4$k?zg{A0GlQ(Jm0SImk=S%(nVw_$Tn-k;XzrGV!+WY??r-}DFH<9;4Ag)jbo6D!@9d^nG95n->Z1ND&%^P4!{JdnJI-2O zceY`q(kIR|vVFEo;^KV85=%CJ{S3Y9{Z3BI-TroF6l;USYUE={sc%D@X}2-&YTkRf zjMlN47TkM8$a^}heqglEXH3%)y6Mq;U)Irc{t^-O^d#Bnu`NwHu7)#%Nma?J8{$_KaN{>yDB z=1;%i^HzFS$gh=A=CB2O+@;rlgJK$eYgBKQg@zW#!fknEFB*(6dz!_fM^*#! zQj(;cwm9~(Ed&l)-2<6YbXJM55>Jmnoc|?nfc3jRBn7LqY;n3q{dd^}z&A&R1K%>q zBb^i>IYneN=$h?C2C1*1>eWUT6x)|=Y~0wTrHs&U%IbiH^SZFx4UODA55%e(mRE~z ze&@T7&mC3CMEa^yQd33o4O7*Fm*U|Ck?!X(b^JN*W^i^aeGfRRz}b(*bkkzov+R`j^pd3Hm^XDd_! zNg}013D6kv_ykssV>{xU*b6DMz<;Z}4CFZ9cb3k-N7L}pt&);f*>-f=d>+{vx07*r zy_6=6WF~bQjFmi0p5n7#2`o_{-;ICmV0fmDSSv8^Eg=co(HedzPi#~S(xi!XvEgt4 zY4JZM*sC>z_VB(H2jJ+vHbv2Y_^N}cE96#fcI|cr)AV(S>Bq&|&Ycb8!p>=tizC0>;}huc!8I+O+wkROSS0)NQcJluD%Fupw?GN3hQQCm;4g z{S5Nih>!k3tD2d2F-apXpg4FijN{c zcHKPpL{UX05%G^-NG4UXNVPa6e$hfAhH!@gX#7jHBI}gNvT?xJSqny6;*Rd8W9SS^kg}_%ud- zjc5A?=sUsRDIr;x;H&s4w1Cq zu6*Io~-VwQ$ zlDm$Q2U;8Bg(Juj*?{FE@AtMpmzN;2nP-`;(K$u+QE4(2@j|3guD{?t4ysfr6?RA{ zrnHNo4iQZBEfl}cjeQ!i6$y6Bp}yOpxxx#sW>SY+Kd~@@G#wfE;u$7YVt8>`A`B;# z?kfxNAz{83fZM&oJY0wn1?r)Tdk*;g{;5&6CPA|>kLFcMy9ypq6U)fl1@lQ(nU6}Y z02-Z?QiJPK_s$dy^XlF$^fF(v$+uA+l$G9V%sMSzd{F;FK;q81y3aSU7eEw{HUM=_ z0Zw@pm)=gqYtO6dtBEFk6a`t_^mgJfSda?$?f&wxa0VsGx@UJhfS{zzjLDu0BvC?N z9+j0c1T`Oh{Y(-*y<3FJ;zP8rj1=$1=bQ>vZ^!evrvP`qqxr6$J8ze&27|0hfVnu5 z88~xCK7O@bFVl7<}R_FWs%wozizcncn zIjJf0A`O`P!R}0QxqjcZZOxv5j_bT7Lg`q*wh^z_cWCBC$0_Wn&zA33=11(z28AYG zc^xu=r1_7q+6})CjIN`#{+;Q0>1;l+j~SyuOZ@(jma)K#EKY^QE!y>4sZ6LX+DZ)W{^w;l0Zsok%%-DwDX7 zY;$@BuZMm*g38?9t3p}uk7B1LThU(S9>s*HMw01I)x+VGYbYvOVlVWFz)U1~Q$sVw z#@4|s{LxF;g&M~N#1;s>L2e^T(N-fZ9HDUScIiX^LRIUmDAPca_fhmX7MUnhOw)<_ zW!4uO$cJ{2L~AaAYU>FyMl2$G`-R5bV7P6HQ4aVNdFTV&Ei9cG8`=oN(CX8unsaBq zIGoOkrW@xTdSwsEGf7i~RO7Bmg0n!<^V4|M?hRY?LLs`<>(h7q8fQ%Pio7H`^?ll5 zbMbakZqY`Qh}+qzUTbr@n}vv+^966xsC#c(gfc47?HtScCynM zu_UjHKQwtTb)ktn$hxRRST`)Rxl!|FK$q8!=2Cm@PbrGjx@}=MID{}a<+KDEaeevy#X>2JDN`rvfPtr8H4 zNU4*2(J~)hBDrnGYf;fublTqMr<<~Y^P~kMl);GdRB7e-(5rA**yPX02f@(O znqvs9<|8e=oAw+-1B`&Pu2M_cNOZIoP^>B?m5I_WNNRKZsJ5WE)tEl)RKCB5QCPVj* z00MK+eQ6jFpX!3IIGGP&*}ai#(P=RnM2#sWAfMRLXF?=C&oyYgesDf5xZ-etq}Hv7 z@cgy#{ZDmvN`l99^6y00fbZ15^jo{OrO0)Atka@uvi=o(@=NY%Os|)%Y4&&6O zF1)U3l&ShHT%w1>O@~zQ#P}rZtLD4AxhHRL6@K_RQJPJadBdKT{>0-25?7lG=OZz1 zn{bZ+cJMV$4FEzo;#l(;$@ANlwmK_EXnZimkSGxL4AISSRtEOugAz0Tz)|`+ptfFp zAyVV`5T51|HDT5J)uFU;3{sy9VJj?rW+7Cy)UiqLVG5~hq1kO7<*X!riMA9cVXV_} zl8wxXs|x+%X$gc&dgCk~~&TVPU`-%_d!-MP&QD5mKO#m|MRC%;p*a;n-b zsBu9p{Ai6YtL3=-^|BSm=#@e=UP54@~q0d8q|mohY8OrXee4ZO=-Qm_ct=ME`n{f{lj;K=qR%BHvA{$XqK%m8Msi zEVG%C4>I)oCRSuuCV@nZNHBC^>RfecSJvl*{BHhlJg_rv{A~9YsYA0JTRGTR0U3#H zG1a0a*PyuyB$wW**HAW(a~lOzUwl*j$nDx4y?ANRG{ zv6WwrH-=iPvDxq~&XLv<4LSIM>fK|a0S(Ynr@Qyt18l38VWCnrUVX&KanLE&b))su$zNdV6Q<*U)`&6_)U8-(jk?fWWF<3v>WW#65z0Z2xZGwp= z`?t3@5>v|D0B}^KTB*jW+Q_dL$a*vhPnAe2wVwNf?lRv!$tcD~bqT*MXlEUd~ zBf;HONEz3v&Amo3*HP04yMfuG9wR60IV3B)(tgb*+tYfO^+9SXs@qto(h>lth2E~d z{}I8fJo<7Bv5~!%FNsDj(f+jP$;3baIO-XCAJ~Nf(Sd1ny+HQOst+B=Jhmmq`}93zntXEY<44>f`7L3mnC7yrhG zMyutXUF!SDYymQ7_C!EeM&%Z>c7^i8+5wxxTt?0hSaNlSs+iwedr*w(Z%2)zPjc72 z*BqwDn+n%7xtlcoF6{?o#4Lk6W8(e6Z=39F-ev7qnEZ)e34-moFODA;T-}d)thz;P z{(b(9U9yM5w;JG2=hFg{#Vo?A{G|7pInx6l{L|ijWs$QAT|x0&+y){Rq#uno(R%-H zm!f!pF^rgID1M0_hf)%iK-z8IXo zHVCUSdhM6IUOlmTq#-Fkt6LZsB@aCbZFC{EJRL*6P5!lGRq!lzOvvcT>9Qe0wqR>d zz#t$=WN`*2YY>vYE_VBAN`~(N_r!|<1*Ug3daoUIr8DIFGi@f9``=K77-m}no}}`! z_%kbCmuCVBC<7m4fHD@)85=;q$R!y{v&9!-aYFYWqYdT9XR0=`lP56~@v0xz$Q%zn z4y)w5;=uY=FoV}vRZ#7wHY${`-dRGX?q|!7kRJmolNVxV(m!(_Z#wDB<)3*_BeZKT}p)Xd#3Yz?h@u=hAub*eNQ(+FS1 z@sQe~xdTOxe;1+dSoa6|)~KjE5`6gU)$=X$ZrG49#u#X~JCBigWgY9cs zah)9_hZQ#ZSQxfw4cT=oezi6cQ++Mq#=xJSzQ6p`o>vC_s{u!drrZTcFzT!HHgeoO z1NIko9o||kV;^F>TiySb+wF1!u-tD^f3c5l{jX8*b?Bl!Tl>ac;>cZz_R|SW#cETo z(#w%*&|=7yUSBIE4WJ!rI|ZF3nq|5JdsicQxf!!D316?ceB14^J?cgNkQFsi`&Z0T zQUyBMz7`Srcrwfx|KjPZi7JJFddG>G1z~LLPx0Zkyl?-9q^pdIqiMPU7I&A$7ALq% zaCZsr9^4_gySqCC3GTt2;BLX)-539O-tX6(GpD+zW_tS8t(Mzvjb3u%GQi7s(wKJ! zS)k&>kEH!%{OU$m#2O6f6t$G77Re;>q-{pZ@%Y`KMA>+n~ zM9Lb)E|gnrlWWVS&M}THZ@R)~W#<07To`1q(Z05wWh!$kH1Z*KYLI_;I&Id9-u0$m zYJyChi+YLHWjbNtfrrfReDrvU-D+Sr`UaLd7Gb(V<(Xt9@%Kt~u04Ji-|iAgemvR* zW-HqZHiR8*r5Ik!|3MQQ*YKkN$6~iAbBkY}bYj(S<`^ga39>EXH{u zUUaYSBo_$TaLhIVl3N2TGnY+zOV*b`GdG!a; zI;zX&hdFEWKU^&E1<^UZKFFA5((m`>rMLRA%1n9N*4-ErbOV^Vs_rj@Piwvjp&-+m zhB`OolP2`snRoow206cuB+DpU;p;U*NKgoV7er}oDffZj6epfnQotpTMx_Hg_a$kK zc7eC6@KbJO)5uT35g}9p8zDdGUM6Lv6Z!GiDaq}_?xoHV`w00d`h+Ad+;^>%bPnm} z@8gpMeE9cGfC2-%G^`_RUPd|u5(mAFL`rtLYs$ZhU)#>g7C*?tKPr?AEG~={Y77OM zrdOt2m`aSjk&RyixY-#-!S}0O{P|X0ZLK$lil%}eT#~)E%~u4=(?cAGgPsaao0l=! z+F%4+MB3Qzql;Xl^M&mufSMt$Z3a;(HNHhTHd56@smA2$PqPLcNj4N8Qk9LPW4` zM#B{ToGvr!b7rdDy0mBZBXM`RaVuGjIM0=G6GCcq2sd-3$=D3nUp z*OID-p3|Aa-+o^oyu5c^!yEPI%>I!}X7_GVgBt+U2=~~jd(7Z0z{l@xL@K*ZA_|~A zbuQV9eIkqPz7=r!gIlkLKI=^x2hL!Je_bV~D6;}@|6KgA9mSlVW-Bammlzo=i73c* zd0GB|`_FP%og*vyrua5QUO}7cmfc`Q)@OqEuK{3F>50$9BlpgD{})r>X`fa9HuBXQ z;6oScwI{*_#AtdQGQH1d^nss&sK=SaXn;$Y!7$0d*y0A(46^ag7;^?(RcZR=(7L8(kWuSVpzgi~VSg z^Mm9JEve};s(jiu+>-Sg)9<1)FkWspSaS5EQj98$FGZSuLx@^uy4J1PEY1RD7Y zSyD>BGm2}ZHL6BNK=J$TM@_=skf{~bQ)qo_m)lg^sh%Z~ywl>wG4=1GL5jWiZy(NL zo2N*V2*fzkmsnKRh7LdCgnMJ5viv5GFO%PTC=7JKQUY5OYOakOf&e>3v90jSTeZdC zfsAyXmCehS=XaiBfbMCEAxx@47YfdTo3t*H6PXZdqHedWH7zlOI()^LbGJxjKiOXA z{&&0lU23t95ufK0@>A3+>^4pd2W;Nlv^x6)fd^n^$3lsU?a^>erak$xewDB3c#P{L zt_8rad6RBp3QKMX)Aa-8UiO8xr)J57;juWyi!SKadvmj4%$-FPT)l;I)h{G@gjj}5 zpc}&IH=%nD&rRHP5ziRlVfMGt>vbV`L{7-ypp@&tH$AWF8m{*qG34r`ZZd0AbDHN= z!~o~@kB^TW?gsz69tqU|eV%WUaWG>=_+-a86v1;yZ-)Jem8|189>Y;Y0?CkuJDPD7i5k z#~ybeEd!mWC$84UJcC|Ae?5DX-l>`%`I{JkA1@Mpi)ug9f-`fdgX*}v7j?QcuB#8Dr}rBQ zYwK;w^>HXq=k&}LPU(^v7}YWM%kA+bJx4b&9F0sRQx0Rpr@NyBGBf7mFrW{VS1Y;& zK$fDoWHWqZnDdhtu=Y5iE@RH_{>HSCqoyV)w901rZWA> zBk-hGc;0}LKVdwb;&pK>ti-i{FBVn*e8Q3M=R+v zjpWsh?hq}PZyq&tTo&EX0+A6MCbZbbbN^~}jALdG6Y)W?$=<99ZE*ffQyR{K~gjJc*Vs7%SY*PEC_tMSHg(5brsMC#gKpWTVx#0#t^EP zQDcJ~O>NPiOC3J068RxUqWipd-QN95d3r8(x4cJ1S8pt<6TF{kik5Z zU01o1$vx71sgC4sFk^PdvAmmRHytOdO|@-FjtU!#uMZ&n_5Gf~mu>89s4(qz&cLn#hC(XZ6G~fch^NcsG3Gvx8QzLa+9Vi<6vX~;_GsYKh>6bl(S2^Kw zEIAnM3kv+ylT9DZj%*sehst42CN^-U>FUtdMZI zT&uG=!3YoQ@Jx!lgxIjdhaMW3O~u6SYKV`dKCX^`sDEV|wYla3VeAuCU8#ZE-JcQ| zGnJ3L+m}m!1Z&CH-hmJN|Cm3>i1J7gxE`v^eeX4TD|j<6j@(_uaR*~Zi30`8jcwbb zI&_;SJ~KI?Apx4ae^#Lib0>vGT{7x5HPGgZ$B(#+;C50qYdk8>Rn67n*?siZkSeb$ zoz?w6+cR-vBQhkFN06>Qb2NGzONXcf>NXpU-X9nE`H{!&;aBIp4^TvDC$b9biQ872xLi`c(ObSt88$!UgVk-#$f=sOWr3HYOgTI{ z-QCK~yc@25r8hGFP9EDns(yUAk4Lz3vxwiL4m1ybw=+yK5#N;1Z}k3YgbAFRpz46? zr{8Jo8X}~g>zon|HWlrK9qy|G0~_d zE}3*ie+(wr_LIT5Iq)9%)_`*0q&V>)s&Fc=UIqKznX`5zESM>Zs^6!gOuT0K`JA;C zbXj4T)ayl$zXnCjX8e*Oaw<>ZQC!y5Z7*8XP`G73w&vMsZbmELXDcBER^QGFzS@f+ zI6&%PYW!JZij~fAt1vkyb|11#mCA*8$`M<_5539VFD`3FDGh0s1Q|Z_6o;NDWDsui zl?08WNt$;-LNIh6pxnEe;R?a8GrN)Owb;U^#{Z(da9$8?MECtCu5%CE1yo)Fs(D_4 zWHko#jry=(8cn77cTjNT(|AZtPIv83B(d(;g4E!_M5m|5e>^2KMm#y7oIc29q|s|Qv7{< zgVei83T_u0st-SI>D-F@ePnSZ82vds5fxiY!M1P02s&Jw3cC+a&I!2(I`Yw*WQCnE zf*;ztl&+q%$ajb7Gc`je9m^4U#c=*h?^6m%}J zFK{_&N5zxW^|ST^!fg+ctFMol+@H1j?~{U*e*%z}@VjVKz?|DC$_E~9FfBQ8NjTtF zlD#x9oURc+mvyym3xV!=G)W+l7~AoZB4$O<$2jkDXTO`+;ge6UgSvf%x9keeFS zCf4iEYofK)K1a#hSkp&>(&3@pm9S|PxQ$^A`%F=UsSAH!wqGG0yR|q$FD+aI`y2Qn zINcaIX{x_C7`hl+Iw?s(PAm*}el*LTZ8ATH8ho*!M595{FRTc@dsMz+G5b-GJ?xBywmYXk5s_TVB!HE>7ZYGX;d z=C+}!hwB17CHlN}EJ?%HHidZcinvAP1Go01!F~h0J!xPSKV^DLnjb%J+gPp*vAoJV z+7QDP$9LZi@X;+dNN^OO<_&5^B5gTILxS!Wze}-CH1mIvjqIchsiLbpc=DLi-V}355X8T^$K7Zh#7Tv`7Gk>Ib5%FqXrPi z^W`G6**tvNbqf9!vXVP1Z1I(9K{2camA>R-SQ?+>a@^Pe{mtjAL(zv>FYN4Wx74Xg za2Y8>Q9mNxEF@_43dtgjzRK+VDKYg=t)Y$m?~KMf#H+ZwCM7%N0+Np{F#gu#Zrlhv zvhhnVoQ}vYGD7ccCXV$;F3ZHI?9z$o^gXNamGnwC$l9cc?^1y|va`#W=#4RH>sk+F z+jqm1>T~vq`(uK|QwePl%&GS{%ijyGuzHZk?|aKz?en(_70Bdl=~Q-F)0HwASFS{%JQ zw-#Ejzn+-!nQGjhWVs-Xu8yP$P#`=!?EACso}mXq)fp2S6f{eIj}r`^}-z!&2gM8mA&(YDoY)-nQPR*#AQnZUi?2Nv~zD~^i!8T!H7tAVat z`A_wVv9h;yT{eqDA%W2<$-iL{v+Y&Nq3GY~vhIkB5zO!?(2v26CsQQHH@m2axxZ*T%FFWjQ(3Lvv&7M_W_dM;wcwCwRA{bbkr#j_8M zE9Vz=2r{nIm5vGB3)dQ=gI?xeyImz8}8>R|#aK>>gigu=q%S5(;AC-J$sY@e6_czNK{wy+66U@snAv#r(-b zoU*&H{QjIcBfS{;2!T?g)TN(z9MQ3r+m1BTKm$HR^t&2gsJugy)|?3VLFtr_ zdDZVuhfFab^W0q(2&tY){hq*OQ*EWqW5ag*K>&v(K#! zxVooXgGLVOR_gNn0p)!PA|XC%X+EOE$XOKMk>cx8B_v5NkjPl3a)UL$zfHNnj15f7 z6k~^1mxBFE3e_^@#oxUx$!AtCp*|Lu6Dav;bY7Lhc&LG^8-U* z`QIxb@1vAk;2(36v`-YNA9_vyGjSn{^uCEzyH(J*2EvCC@|88Tptg%IHScSZ*S|gG zC%&Y+^`3I?JTFb##Q}OZj$T_+v4if28-l+q_zO2$CteM40i{g&3#r_1HlaM;SG<-A(_0qdtkOyvV<@23BD$7njV(*4Rb`#oP-5}$x?i%PY` z-U$}We>ThQZG%4KZUauFY_GNxJPkVqBbYYzh4~M%FH`x#?rov7nRLTd&P+3UDFijs zhtwz+oj=2G+Qoa{7&G$zh9~3id7-l^ zV_U6R{waA(Fk1c0F1&lHP3#|L)K+@0k|s_k(Wp{i6X`8m>VrndD5j}4kJU63Ym!%}kFJvMTwcH8`70k|cDwpsi)ivU z5i&mKg$cV$PSrxDvIKh*O7q?q;#>-@%y7^hTbMmynJ)Y{D8`G#woB-7(@PNbdvDe0 zAJ+qK;-Jm-9qT@o^YFlmn6H}0g=^$^AvJo&DG>sQu}VJ%cuM{zaM3Vgcwc#0Q^9NX zy=bu0)THrkdj;M1>R-~B)dF)qzSXC#>fM?Hx_h^uAMdbONf^ORC{w{+w>R5Hg`YDJ zL9NJBgMmdxnU69eQ;0Azm6I>-kzrWV!!glFcX>}tO?+M?&8Nj3_EO`d*jESltKQ9*~NH$1$J9B-W1~HSOr#F$w+E7QSgPvA_@++nZ z@6yVMo#2`^{YweU)zePRxB5_K26cZ;!AV@nMF@Y*1e>pWI&*4MFswNq>I}T6cRXb4 z#hCvBy5N6DN1xaA>on!eKI)8Bn8(%jr#-Lj%Rc2=Od}4f?9Z(&RhT|B?&jcMJZl|C z=;IBp@5R35<8G)WoOEJmMW>zciz`kH_k9B-0SE}3b#$BhbE zFb#t+pM+VfxDsX}w9uxA3Ss*E3JI!#+hP6!Tj~!{>yVHz_(J_A>Cu$Ie07`8tr#w z_r1Y`TS%7j1Kp-}7z)T!+ARS&APYklwnwsn-_E(Vgr`aaIn-A|?@Y zlebq5LZV95MF-kB4a$oB(1_|w%1l|0>KG|SE*%n0U)gvk@NXN>xmA?;#YJV~j)(kF zr-hXZ&8C69*t+3$!VE1Z?3QE2QwueRsdNYmd8Z7I@ESM|B{g)!tUlF$HmPx_Fb-ri z+#bFC?Kt$|S1Fs`N}FRE7g}@a-s~gY)%Zh(ucs%L?uqV3S;tefLK+MRo3L+R z)k@1wGiQ~@vO4EVMpMFhR0;pgJId|(^GbSf-^{u&j5{@^3f=x=lh6n)!DN;mrDOIl#cxV2GMA{b$e8A zuWwxL^9Gv(jr<>jJjU2hS*(q$jAK?FNQ$;dedRO3q-gUbc}a&ldp_6SB(S?&Y-wr> zz^S4TDiV!T-BM{C`_x;jE{Ubb~QJcTwe++qg0mt6W7Ayt?$GZ*mGzc;W8D+#~;k?AQ z79aBV(dc(jaIM^Bel(pRe-@{~`!bU(E~J%d2J48&$rUQ*zNwIE1NjNc==@Y4(JvLa zhInNI&KS!;fh!2>0MN!W&bjIddmkDED!JSVdJ;Zl+!j#;b^u83U;?cvyWQQUoqsF?v}#h`F6&u_sNY=FOCue1M(c!}YWMJwr(i|U5)Iohy^ z9T~5`7#9f2tO7#6a1a!^P{`yQ=+69rTW%*Iu*1qs2k}YoO}lqlsg=>o@+*geWU`eH z@d{~`QSb^DsGEd6AVt?`a9ci?q*-NLArLteTd)Ox680Y0$Fn{-omgg(I~R{=XN#Dx zwj0bAqMDWTP}A6$c-xX926O8WlqL8$AokHAoLa{rPJ6sY(cjih$13g8NOeimCmWe3IZ+#ZDvTXrZi;&H(9Nz(&47Fa8ZoKuIF756&^$%YIA39+Etp ztnp)&d@xv)ib-wWx&MR6K7ATa(DDjKH@Oe++qMNs6gpRtpY=V^7_w;~9A5QD;d&pH z)QWsAkm8u4Rk*vsBhN!L1F;X!C|h}GL=wU0&o^m1@bBr1u{E?%O+0eL{LiP~KfovM zsaS3FWC!4rjjJy3M*XTDfbugkPiWM_5|z6^#+4d}k9H^rv@&&qkm|r4!avgo&{h%8 zy9j9eFl9^}1gbxcZmHS&EO)?ut3da6E@91D&+nc=jOPE2(2f1(;c|ipd2&yzP;Pv^imj+LSUfbP88}9kaIG@GlMK8gMk~1Yx0? z47j#GtFsAkG3U(LM=*l3n&CSFYCN(!AQTOcY}g?0!?E0XzuVw=RO&G&=!tY=NwN$Z zl8oIIxUZv8dQV$9EdGE?6AjN6-p}AwFoaVoVv&&r;|JjIawUiUOL%cBYTClG(^f@d z{g)O>6N3hWXULh?gm2sf7x8oY0nst92Q5D}vW#m>?n7 zuwi!#63dpqm|p?_vsyAxn}96!m<95$1tM~Ee#zTmZZW8u&aRf2cL%280^X5dspPG4 zf*gh+-a^k76D8}jVp4W8_}CjbB=wz?(DX+UOxU=VL*UA9Jk#2|SV^hA*U&{k0fdz$ z+(@<`he~Y%u`vH%IKI*Z{v+iM%j!T7<6)`lf37PIp*b8txBh?EqZVm9JA_;7W?)ZE z29ZgE(HR;*#4p||c%s=a^4#pezS?pwZ3v(n1$$<$A@WMWf_0N^r7fgrHWdToJPd>e zucJc3YgK3uCTrrZqr!{0mVd$duOjG{Bzy?bT6sf2c%Tfe7co@61w32jj}D9OFMb-m zn5kP~fd4#^l=?!OWU`KSf11y%mH67iZQ>6fU0cPjH&x}|hkn^xI{~*7AA{wtKnAom z+Hiv-PnP^V=Osvya209W2`+}M6&wh^L@X5Zm!?X8ycHspX7xw-FmiwfL7^Lz(rx%g zWMQFke6Ijsp(^)eZ=`c}|Iqr1+Q;2hw>|E)TV z&a?$ej1-1>ImUzhEkJXDi3d5~$~gepia<#%OJDWrgD-EW5TyQldJmeGW?I}vUQO=b zeMh(0BEG@_I{uyZ!FkU|@oa5LsR2hrk#AY_lKDjZb>X2(V>STVB`Y|}?IdI6{j0pUCVHqUWLwiC>2U5kxDw%|wyL zL)AZM&o@dw+st2^K&12EBaEj{HfWx+k; z$SJ0+L$Zx3|MlEZ3xp7e#UAcmB=iCnizu;5nV59rv;v3|Zc%z0i(n9%Rksg|XalGW z6?zxWCQ)R7W8D{(K32WLVo1**NOE4(1hqhqOfal9Oc(_*Kys3Npde7vYxMj>T^74T z*wnc$v)9+r1FBqS`wXGZ-h-ix1Sgj!4&#~EO0=Q5R#SHa4TLUB+uw^aB0EB=6cP57@paQ<4z_$T${ zgS6S;e*66#4!Knh&F?xprwZw{{F<~M)#r;W7ybuY~Au;GA0i%UosHb;4=jSFd4hW8!LwbyY)yThp2qWELvq)Hfwog9T< ztQ{wph-a9Kg#KTTI?q}%b^7`8#%xsfi6GR& z$WnIPg&yL{K5i1!xsb>Vp?mIoNO$`RY@ppNY$z6^2zs%8_NQWa=ubgYQA7WK^~@_V ztTMskNLe#K9DZ4gv0H0!1rt9_Y;@_7XrL#^KDClPfzZM~IOCL75%iDIQ5_&DWPVg6 z=i?8hOF0t3gqv(d^Ts~HQSi!T=l%YKls`8qrJwaUigP1B}k(p^-`8qiwUxuX5n+5tnxwH(_YJndhY@o z8^2BBb}DK9*YQjS;P!X9d+>a43T9$M#NwteSRHG;#O%~K1{y+swzj2~O9kFF((Do2 zvjN;PDfJt>PydZm#No*b>wZ!%pI~ts17cXsbMqvR{-nVSgZSJYxW}~S3KMQ@EGogz zZ?O`sq>xXDivj;CeTZ6ov(FPu({pCyPO>qbO9TIW-Z+EoD#}hFqf|rJ0U=tpk5qYl z%_+JCzvYjZyUMy-BOQVe;iL}y?@{i6_*uB3WRgbWu5OysGs1{my2&()3Sc2fIk2tX zI$*Z#OmL^DbQMWh4=>1>+K9N93AxNL9QUZ^H|^((_M^Fyk5_@>5iVRsO?k?nAXGI9 z!)Bc^2n>d!$I+fiZ2kv%_#(m`G@+yq3#^-h!$MWW0bI%Mc5=7j8rY%W=vq}6s#-QA z;on}t!b6wjl8q*0Gm9(o;3o(=a}8NKI0*|wf2q(Sk)na92N}^;G@Qr*UBY=mxgnr0 zZPh{42f(P;-mErK5}w@9Kb@ozKj0Z-N;;kERS+E~8qd3{JE<8SN%>uHV{D07QB4Zkt9`)+*@JCz~TGVDF{?~tz;RY(6RT7C= zB2PY*L^H@es728*{C2)c1N#Z$!HTCp^yQC-7*U+H!#-uJApoBP7xo_2C>W2bAI&G2 zg*pj8;3DU05$IdYb;JtMe-3TW0qIIfe28ZhuxV!;Oz91u*H#~l4%rNj_7}r+hYXyL zjyFp}A&-eqXhh_zHo`|R2E1h$G0vw@I{ar;8=*-bh)&_EE&vd#^Bm#V?}vf~BM(Ly z)5W@1!~4>ZNE#+3kMwUpem6&tCIw-H;^Cs~MPZZ`Zye35aTzQ3*neGqyS47o#fWjCmDBWB- zSJNFrY@ni!^eaG|o|LQmvhu^P<|C7%;j!&e7bX4Bd-5!U<2K7L>m!-N`rK!L!K;7a zl6nc>Xx*d%xmH2ibp%5Nl-Yt6X}#B2I^JUUdb-enfPVMXI=AYO6|ANu7)eE`j>snQfj zdxKOcGa#9TAO{pE$!8ZJDMbF@IWGBZ_GwQXCWaJ|ghh=9d33-zFn@?+%#R1D5+l@Z zc?%Ur5|?*?PO|WNMJ^sb_fm<$szChsiy^MZnQU^^Kt=*0tP#86ak1K}`Oj6s)^9Z? zxf1+<&NF^2YIi6?pVO$mXxHSVuszHYaR~=P7pE80_|s^IPRTJ01U>1GV>)O`JO7DG zcrf^BM_>kSKVFChFhHj*@deXyt5+Z6AjFn>9I??E%3TJ(pyNWOG*|p)SFz@M61+r9 z68o}5fgM%N|<`*1ox~+U0L5q%~2mrQ}ddli*@f+(H40 zbuWx=SPTjC2x(!tn1gfr%|sp2D$rJw9-j)>#UiZr+Ckd)dXKqfCo5W}X4D?#(S|ZD zl6xb{^@k(%`{*=N%ua-m83FY+c*6HQdx2Nm68SU)WI_i&O7S789+b10>9^F`0#mp; zdtSvE}e*(X}S=Qjb4(^0=7J6f#K}!EuEbkAZ4c8C!-tp;mc*_ zy_hkUEr4v&sgaA->q2#m1Z0z_RAB>TLnf~8Ln4E~Q8&tf+2HV5-s#EEX??O|*0d*G zmYV1XvXr!cj~!vDdYX3!^ktcaiyaw_q2hw@pqc)=-tPEvyh3lb3$$KeGA{ojF90T~ zj0e6Y(pFgYH6a{90QYPA`Mlfvc6ak}WaF7-&zd_0Vv_mmJG|8>m%V4Mw*@V7|0WyGqztB`u zrA__kI(x7u*~<$c&VdG|jF!8}Mg>{8CT-@MNrGf;O6;no{Zg^ioj9lwNN`TUkyFE@ zPXaTF`ZR}EoI&q{$nf1V7c|e_b@(MB+5lAAGp(si;X?U#$RWsnb?-%cQLO1Hw*M1I zBUmbtUg{EdBw*ble;+Qj#7~~ubTfAV_lqnwbXfKO(F0{40eZn>DP!MxW?JarNfhdQ zGDRlK5H5U3>GVw-pB@`V)N-_ZTOVyMc78|A(pnoM~u*Y zlM>_2&)aS#Fo{(NRC zea4DHrRe*|8m@#PU9cF+~;eiO9 z2ZEGCe{^<(Jwx$^0k`;K^ihzq{x^s`%oRES%s-uur@C@@iLI;&c2IsTQZyYNx~7I_$++r78g+=$h5CRggYn|Btz(4yA^p*5a-@(D2RyzS+Zp)s;ZL7>WH`1@y5_sgJ#gSr4eo^>Hy_V zFvgk>PCr2QW2!oegqHxv;_Q@^tD&x^&xNl2DYRqJi-x+yb^c>1AA1E&~A%`OMpA| zmd;|w=#>3Dq9$KrMEmJ*s_>MRr@T(swU1pyy?y48t_|#CTS{%7tl8O*JUWbSJqI4e z9Crk%V6E&rm(^o_+t0V}C_kmU?Z&4T5E7g*MpVoGv2kh&+wqPYwgq1ed*>4k*B?!! zDvhcN{^MR4%@@`=$-Srd(fnu2HHAFq{*GpC6$@lS+9a?5OV z&pO4I(UwP2!J2VohzmMzc!hx1rk8fdsd&xAuI2{%%~-E;!)t8gk=!-9MwKZb1y*D) zKiN))@5$v~p034J{Uw>}(1#eDstzv?W1VXlUJw2jGz$?So{(iUw2;=JyZN~ul@&!F z_3hEQjee`J;L{oW?aq@TQL#4TU}g#@U>9y5U7&9MfK?cPy`+ozM8>PUq-Y`uIHtlJ zZ0Q`-xGYqO2WcNJSPhRvZo?=_y7%|Bg$isviB`P~5ao7pCfEU?3U@M&dxf-|nQ4m^ zftz-X*V*G7&Z{X!ZK5T`_CI9-QD@-Lfyl~v%^ZqK&hQkqJvD zYj99}vLqksdE}#JNlQ6?zgtt9jq1VQuXq)? zeq!#Q^TlikY58zHaat~brGuOX!8+*6-w8~d=(n2&=CukDcMFMxYQ%n22sL}Y7UMXOl!B~m1CC{;Drfg!_$9Dnuk*ga^jRlz_ z4Td~N;bzcuUusD=q1nkUDm7Vxc^zy099n?Jqdou_I>$zHO&YD0bZHFlsv+7u+!Ev{ zTs4dT{CFA)fF&~G3HfA*!0Q#`9}7+Yo7|tG+%e*oV{ks-+QgyU zjsx4!r)_=WCV931Sn3sKg`;KtndttQg-%vEBzND{LkUoj_UTNq>ZuJO{xf$WcOT&}0VE%C6;KmE;Ps}QR^Qwu z($I+_!-hJ&Jgad+3MMMhtiF8R9vxygrj1+5Wulg3x>RYqf41sOnuVA6rvlE?u}(Ie zRZ+faGy^cBm-2yt=R2M4h#f}XI351uZTOu9X2Hb#lkz}}TC-Y3<^c_kep|CZPo`6+ zq{EO~_hT^#^0fr&i~n&viEtQ;d+*KiDS0+Xy&`eHNOV$L{tUfLt-J{3di(h$jDjF^ zjbR%4Ox(3EhWsSR=(mQ(4&(#!DwUV)EAn5j{3v7;%Q{$Jztsy_0+6=FbVU0!(1r+N z_$YoZ7hA`G7X3s?5EQ27nyy3djln`GQ9Tc~_U65IH^wu9u7Ln5Y-ZAmd4 zN1v&`n+Jlx)WoZB2)!0?Sof^6cvjpev<6xrH@$!SzT%nux`}ekkdRQ+;_#12#s>@pP)b4#j#dRbL?M?}wzgXX|aJFw$S1KfXo?cwTs^rslD;*AX@v!n) zxB>+%J$_-KEp+F^y;oPPC;aaC`l0|yqJ7}MT3Tj`=Gx*yx?{nmAKgMrq&)z8A@(AxtWFU6VtEvFC7e|%&GA7X;D7cS9bnLJd}6i~aRgnlO9 z@5kNdJ?X~{NfOp~K!Sp|WfGZX0qB4jJV1u68@`VfrH!tQjfTw4f8hD7qtpwMat4jx z*w=0)+aFzk`u)>Z15H^w_Y2hyVSByEWa`NNlf>1Ao2Ux|uAc&z2u7VeGbGs-#K}yn zGbHzK?taIZ-aY)!v5^(g{{?gY-jqid7|r+$yWoaFzq#o6lp(Y`modyWsaR3F+RIv8 z0_HxICnMUB@6?}7*>l=CUZX=RK|0=DwV{yhhG`Xu?yHgME0}batTfiKrlsu6T_(U2 zs%i@N+2RJ+CBk=sza{e5+3mpv@mwm|hT*6c-`pCBi?~<-2^))Mb7+*4b#!!l)rhx& z?Elh}sI~ynTh5S6G%{NFYnpa6|7*lZRQE@KB5f8%i3j96t!;IT$M)INqCXetkS~2k}-lBC|@zQn18uH0W({Rd`+e@RJJ6&zIJ&> zB`|dw!`#T-AX#1&YgY2H$F+L)-@GiwSg?+V9bqvY&UqiyaMI_ol+gYZm%8>8mRai9G0F-P8+9=Bc?pX;kmYhF>D2PM>zI(c<(`@M&f%vV&#O3b zQ&7HJ_%$JO>rh4FCvZWY+G`|r?bJ2Zt?EPJVu$Wxt`#GOv@L#XnaLoVSy6u&M%gQD zvaavlMkwIL@zZ$ZipUU<%;$FgS6)5VJoi

    B5?_E^#^csoZ0GTE{ z8vA+AISu>fpJmUZ18tKpF5|NmN#=A@vITMEJ99Ftu+K)C-SNJ6WN%)g@p93sFJ0MlV?zfMhA!vB5iArfH zKsHjacXH!J9+_~2bGE=aogt*)TA8zO`bOMGm{}ePu@}^+!S^X#9Cvgg{gc2+qe8WD z55s$jO1;G0XaO^x5P~)yt4Nbl+7Sm*J_5grLMpR2nMH&m^_>QZq7v0H-}!aR6P<6g z`NKD;*`i;bXsa&2%3#69Y>c~-2yF;DEO7(`mvTH6zI^fcu`VMB$807nV8J>uM?E)x zY=Pln+~_SnsX{%+_jyl+YVP%Ig#A9aYf%#0OTdhE!cD%!@>>)91D_GA{5q$QJ>-N5 z^_=&+X=Yn*x`m>5BiUhrNnV^()0I-TUyv0k>J`=J8GADjH|f_j?^b(VAaVmV3CDcr zmv~fmwM^rfo72!G=RK@jrw+-OKEj(0sfHvrGSR9?6Pi{Xj*+~HL*g=3b=xBZ;ppCB zFLas=^5aZAQO6}HC*FxTgwC3(+5noV)qcvmuEP7H$)tE(IFB%v`W@GAa2~ICPmwH- z?PFoXUWs)yWh|pBnd_h5wN0f-(Fz#Ldkk%Uf`aZQ1Go%*?*@Ee?#;rV)E(*aw`S4Izbpyb`qEJ^Rl%Mb=5NDq8zvWV@XqUYN@sGl zr=}N|#AGu*u!AbRJSHUk9u^+)is+AA%iOHI6mh_3jA3f4fe|7MNNo+o#%3y7{y#wP>&)Fl_7J5HXfY)KuP44!s@TvEj@J7RAujto{ zU~B@bGt$vJ97rvd235VSNm`^1QmPX(+a_j8VIG^wk|ufA!g|@+dY9P37nBr9a-6mE zKI~|(K8deSwoby;{A%)dO^|f2XlS@VM2oz$vElVfdbok|FxG@_-AxLM&PTFs)3$QP zd??pD)?&kXO)|wRxFLApdB$aQ)5{(Cu|4ty+JuKrpgSraH^veLdsKbJwT(^6y3=(FneybLs9Rg{WA=MU7G z%IFEqc8Pa*hQ9|BL*$dgl6!HY_AnQg<37L{pD9f@{VkV3z0A9b_I(ZDO=NW<5n7E> zsDJi1_+zJ0Z9pO@e#`|ERAbIwmHF%DqF1rNa@g=`M-Ro~WxC8 zk>n5KEOFGo?>4r(EY(O*TZAex!+IpdH|L=W;SPKrWx|~CqyGl`!YL%8Xg4&mXURBC z#!^^?^7u5<){aZxtZ{z|K@!2Pm-5p7Y- z<6`YM+DM~I2QBsEA3Z1>^SHxDuAphU)+SN)R}_vWv!Egzf#sfG3EZhM#+biKO=<4! z7fy=r=V6KImjSDtrdj%42m4#f{@kjCq#r0NghTsmAA0>zpA3h)ZTAh*Be}yic-}2v zWQDItsen=nVrd{_w13q7Ai1n)$>i28r0K&uM_xDIc2N2iWnz(6F(ep&+d*;j9Oim* z`%!{{a~R)r5@jqWFZcgoRta4EpjRqAk=#gj>*JLMtPO|NwB^g>&L?55bruZo7Z{rK zGRv#+U*9%OT$XC2>yc!B7dViCu`RRe@qfQoZDu$|=jQSdXD@$p@wR)*tm_sJv)-Ge4FL498?>LY5AZ zehm&jmz!;3GLIZn2#u^Q=9Dz8b!b1F$XvsLDOG4|+jtJn)mD5i=Fz3O*5L^O5JyxFP9vy z&)fq4px^zzMiysJ9+zlf4xidXVoO@s*=yDuk!!Z1w+Gs6!3Pp6c0D3bN6)XiJ6ueB zbD>8V?|q=GU|-^%T|)J*_b=dYDN39{Yp+NY--R1o*w619r|5hllWEF z{QmmJUT1m;Wk9R+%c{FMcpkZy_Ch1*^|UEa>eKocU3!TtPcVVO|jiVgbxK0(cif@Y^1UvI&uB49(5%@m9R-Ey85Mvor{#RqvZUva!=7YZg%}sco)n1 zVa#p%=W$uLFVOx{o3E1gCfMl2*}|0E%g`J5vNS^l%F=9OhIEfS7m}*ZQnSASt!3z0 zxcJ7xkQwd=ssLp2Ddb2xaA4z#=ZrO~@QSANhu-GN38I-7A~<(SeSu^{^AcLpF`@h6 zU-0^>+Z|6oXwP%r;Mzgv$}jRs6r#-=Z$b9aBK#z<0@6@$*31l?!ClJkX+1iW6|g;J zl63v=+Gz~@lu{VRw`Vk(ZEPAUEyU|xZRKg3+h$hK;e+<|!mAT1v zp5bE(W#73V#?J)W;Ulm0ECv4|vKtHWC_GxG5ex+{%1gcmMGc>ye8_OhdWf|-qE$@g z|Ip>sYx31N&$%)7v+1kE?`X#p_p^@9u^xNkMiWREjQ#1^@O-SxuB_9BN@jg$d~YhS zFqQS|E&NE&#>V%Ntrm9$&hz>SyxOGWg*&BSm)kCFTjEPK{3Ughu61IN;447;OBBoZ zFL4fbi~g{KKCw-jil26R zW}GAj2|qua1O2oK42+zL6F}=n+sOlIqPiYyo=3Ai0dMW%zj3KD(qTn;p zlc8Tb8)RGLgwmFtJF#+Fr@3>i{J%a|!0I-W0Z|Tc9icjI9O1Wme08_`CfkPHjE_}7-V4VB~CzQikD&OuP$Xh#QQ4m?v+U4SjTn`-x zn4ZXiIs!Q%r5)?#`A|oB$QH}ABAm-98NE4M9Is*mf*_Z9Ny0HxfXTY|CC(k{0~sw2 zaq!ipKStpp`SVFE2xH^nt40!^Fj{2HpXE)z^4$IcAON@81;pQ6K)q!k!b>M6I&|hW zI0pT6PY9nOx$gQ>w`F9zx3TcF;)~=96f3e`Bz^VT3Yq*CUf}?MrqV_ay)R)Oxw*TY zo3i&XRxn)}nR+s_Ruflo1k=bnq({bYvFDB`yE>6zjzk(wQKz5ZUW0FzYMDZZA-*O3 z5@Qv-1T@^I|9@oHv5$U@Hx{_U}}Ji1sOtZEhf z5WVWB39`;$|J^0Ss>pB;~qWOygeP|(7;LywwdiH z40t;O*=}<9;Uq}q;f_!@P^1+B0$w3k_5`rT;t&nq%QXojF3jg_|FX^=D4RiOyPuKo z(K_iuuqMCNg)-#l`3n$ePfn7cmtTDekh;fFe;|hBO0Xvu`wn zcHJRpq&nWfmC@zyR8^kt<&=B^>LYN&L>q!ZjlCN%EfsYB8mguh;0+#itK;=Hg$r~b z?qNy%2x^m?%G8LDWVqR_kg;h4R>2oHi=9CH27&zBN&*c&$y!0Q*bf$#GTxmEpIEFT znRQN--(ni#IiZdy+DvbD0peaAnwjkN-lE%d{K>5O7n*cWSgVEb1K$3-Y@1?f=kD`G z0W%6CxI07aBsClZv1j#uhcZF@Z|Wa&-+dS&Q(gzugZ_N5l-V)p@=o~01It_`Pwo6R zuh04TZ;#giO}j-H@|pAkp`(4Gk-KcgY)V=rd@(d(QMzD}Tbh|q_N=uhXXcO7{JgJY zVbTd74%;-jk3TsNl>2PJ)Pju?2iy|b|An@c2Ld+I^0j`i^clo6-9~Q)R;~H}b93ka zB4*|<3A7k#>Jd5tKhMu&B4=n!Z^57obJSHk?P_-I0-+B9J_=u7$N}rgScISB`qrIs%=#X(b;*-F2Q*0*BK$-KI>=wzhCAY38TM{$(5dRoWnc4fgU2wo8M^;w9 zFZuvzZDyLx0I#qg%*?k+jD9DBv$5Qi0ke+=f=lv+%-Ey+c-{|!`{Z}#o9`}^aaewE zIDX!~l9!^Pi=3*4M%Hs+!Mj}Fnq0n^q0vwNUsJK@o(hE)!XoF#2$B8Tgg_U7XoItU z!k!MdZ=8SCn8W*heG>XAc+Jn_M)hBDu;~~+mZOzXvjEKF8qyZxJbQw2u1NhH4VNm9 z)tg#uFi^`I4f{h309#y1gEg>N;rh+|0m3l!Gt*eF!LaI%BfSiMeb=!8 zYL^piP+9$7agpK$7u@e{74)TVJp}n5ynEqc^+T4W4L;a%sZxMiv2}}U0%J^7k{P?2-FBYsXS5gNq}{1dn7=RgAvWQ zQ~4fLm^`eqfxr&2*i?;_tb_%gfX1`W9Q3l)&ot=hzi52y2w%1LaMgkU?8sT4@{MRr z!j$*y-u+&jHo<#Y#?*7&r=nSDfLsHEH8pIWsq_mz@p}>>bs(%Ru+eBUIK6Woe~%t? zH#O)ns};N_;-}2~t+p37%h(33dS3rj5$g=?k{A`E4C2wdMrSvl8B}u+UQ2{MyYQp| z|6?KRxJMfkpbqhg26!cs8{U3vs(ckQ`dXGCo-pXeVeV=)ue@A>^s|G+zysS3*i45V z>2|LgNVBveYAgqt?IupTu*~D7li(s3TLJgw1}f6TT~ z*e{iFaAwN0ffW2-P=XKLHo_n5DxKsKuydX)WlS^8(K?$u4Vg>#uc9hr@jhyLxUsED zOl?V*UGl)Fn%4{*9sM%qOjY?R*pnu;%`e+p=k0`F<-l83>?rmq!R{8P6xqQrl=~85 zU?EkaYU78mcsXv6Na6ys!?ynMr|-Xrevc1o*;=buWV+B=3_9t)iCFRr5LHOkzn-il z%we>24I9(XP3(q*zhiDCUOA!LN+iKcxa+K7JC(MZ7GZy`6(@CM8F7&|olE+i=CfP# z5*D7wk4>W9rl(Ijw+{5qhUs-^L2{FRJ|HNVa0f0}6RHI#$6mcyD*x0gO5IF?zqgQt zF0o@aLW*Kl{%Eo@^ue<_VVs>GD_X4SA3X#o%CdYOr6xv5jWZbSCM5DCBKL~`wXjrW zTc@tqrq;ski2v8ZEX;f}Za%d9*$Z))+tNy&q`r%0=;dC*0Rsgr|HscR*b6txX%YsM zj9Pg|Nce@&Bj5}Qwz75LBN{lVZ#W+}Kx@yrJ6k1!XQo?!T1uHNHs=S+D&xZKZ&d$g z2YjKTwsBHbB|zH5uISp^LoJt*7_YfoP#u_H9LwkrzToV&F=l2^x=8rI z0;^E<7nNsHJlZbf>KDR2Ge84*Epcs#k-Vk*CZjyU#FLz#O1ml9a}ano8dqlfr@A=a zVUQizi7^pDZ9$7ib1WoGw~`3WmHemSpwm@7 zjBJ-MHIh!hU&E|hlWvBilhy+rKhW){(V+Uz8d7oyx|qTr(AA-efHZ~ZPR9JNih+qi3nFn-G0zf ztpWQ4JBo3ie1`4}(&TAD?RcNumV5KeH`tQ~xQ0WF04(geT$oKp^$0AyYCAY(Y8gY7 zl%W#SQ<<9t6%+ePvInnOhilH>7-4&VK(pTi*jEswB~aC$omocMWhqUvz>H82AxTqi zjA;qW9%kWwihvth);Ik39D_mbB}pXx0iFi;8CvTH_*X!Vf0CPut^*nBaC!=A<-iEF zu%iNjCpSMv1cgow5Norp^bKJ&D|&5aKZvCH4sE4DDgKeUeFaLgA^A?JKEsD-LUW8` z$y!}?^Y~8jV{F@^(e^ejJ!Uv)OiY*L^Az6l3d;+N`mcAMJ&jckb%Yd^HWkBQB> zMFS1~(G#)WvHtCUJKYsFGy0@pT1_)~Cy27?Zu%P>MU>bV0PpYOPPBWJONFSjA$AXb z6>aq1Ejp2LJm3E~StVh$TQJ?%W~nmjIKt&&&Ce1hY@Ju~vf|r^Z_@}6u&eYTlk8zIK~0X*DXKIEBvVNER?EffFp=XnK+JcSyfU1tUpjbo-G z-oQMiIr<&aN2;ZI^sjO<~Og* zl102GO~0*y9ki)-Ql?+b+>+>4?{%v#%E8Cwc8pu=wys$e_L3#)O+>I`^WPGgTT#)O z&n=if-Cc0wrd%gG=6iJ3WS>uYelN#~ zJQO{5CrIF%9NqG7Q1XH^Vn!w=SBjho-;yH)(s7*(-@x$5;O*=?W>|K%{gfPUNB?io zuhews+asnU8*{H`!|t|5(Kf^J{_Q~mZ-)1-a!xBR8Zq9K)^VN$T(DoVsqLzkRpP9b zk1cEY9IK&b>PfBEF}QMO*Ea(o(34TM+sXXkIto|prGtxziM<9OjJpxo3CQExf8KJM zqw#2S$Df>XWp>E7Km7VQa7IFppaS3h{XMDrX19rLB^Z21pP-p*SiL}?@7bkQ#)4}; zO_?FTR&v@DCG~T1Svt}%dS!P!U}0y4W?4my=SD&^zNlUu=;3lKap1FiIcN7R;Xl@^ zhs3gftT6`&QsUG9xP+tZMIG&VZv7S9G3sIq{5D811lL?QR9LGh7y15{6=H50V1CN^7{jtpn0PqX}?*h)w zxoZk8q1wHGyUk-e7QscM-2EDxmilJ4ID%(Sm%(O6yUdkzSZBsPRJDPkJtU$Ci2WR# zy7xBtffZV?U^XErmMd9h_8e=juQkLBYGktK^_0 z6qET1g*H%KOiDfJY)J4r?tj4y&m*mHS3EMlGBNMA`Tk+YVVhU}XmzB`9tt7D-Z7uQ zR$=EO!FWmLvHpySG5wIGxDv&D^kNMYj6*w-2N1EtmE$4^%!8jc4ZDY>c3N}ODcsMu zVU;Ww#DwWLa+kLgJxF-N#c@vOwV=!zq2&@y6xhw?6A1!iu_5ftMDHvTxB1P5wcfln z56&;8j2(A*=;k-{V;0Z0fgJSUw$+bwbgJjj?x0N=pXEB_uZLl|AhDL~w~AUGBMrf- z0vrHwvIQ0IGmzF*!UeI1yMyw2Fpy>+fKSX~OV486N;~#--OOB^U8UR=oV~^RX9=>m zc{;Q+4Ldffbh#nu0w5CmI;5@dsUx6}&JDI{ZBFb#^QyB- z#rIR;N0jIN>%+0SpCx8UJ#SA7>_-KO;n0_^#g+XQdV*Mk*N<>fKT5hHA_1i3Jn|}K zN96s{(^{N7xrr@V!JJBZf$zX_n6XbKQqQyj{NUhC_n9&BkIt8q?eY^ng&UF`Fp2sA zFjJwt)I16Gi`mltz6sqplSilDoVzm{5>*I>ZiIYiahY$>bUf)7R6B_D3iEQ8Q@M?} z$l5@FuFFrWl&@#4b}--n@!V=zU6)!W(|9@K9jm1rT{>O7|7N)a_1W7G;kLkWDO%Hu ztbmJhXWKni{RG$NegwaD0BB%WYNneX2i^c|DgvOZT-Zq^TyC|;-HRGIm=UafxF z75a3`v{pM;+tZFp2}P7 z*9mfMQM*P-gOJn)9INqA9%V(kCq8ZT`sRH{Qu|d4?-%ul?eJx)tE!L{5JGMUB93*d zg||4CBmI&3b4IBUT!8?8#o*BWxpSs1;&M0nph1wi_+^j42kXV$;H@ILcFeZaiYZR@dxu4J z>xI6IT37LH@xL9R8gGum@j&j5`Ab&qcv#@Z+56<8dG1DV)OH z+fQe=+}978vOeumIOwXHy=1;ySZ|&ycU`ZBZ5QEepVzpL%3I%j3cerr{J%Y=*?`cP z>OSQo3|*8_2FVr%XEH1o3Gs1snZz4axT2Cu|8_NF+CJk>8CIwsn_7DLe)S`Gwo_a~ zg=t6`{AzGdM*mC7W_&h)PzIEs>8f>BR;jg2ZVyp{(j@L5TfeNYO!o`BzK;0sbm{w z3)U;o<4MNd1gmEfA0+QP>ixq>-gn{Xb2rlIUas^h=$@ns8gMFHGO#LAdcbI$w~FO@ z?hRbufHPsjeODK)_6{8k+i{x_*02ZTgwR>M-^(~XkoXEV(9gky&}_L zZpPH%VP<;XML7%-Ntz4A8d0do#hsA3iMi0w?hQj@RP?Gx1F1<-N&!ObBo1wTOsN^R zI>kdolJsjcz6uBms2PDpj&qClSZbXd5!GE>`)tbqy55tR@*8DJpG`KJ#m1AfKd@U2 z>wY0an|ylUCi-8tm-8;;2-A7n{EhUy#CxX0WdHIZF~3bcJkArl7q}4y?kznSUNtk_ z!|&h<-+?e@dX?hYY96dK3%A|g)OvN$Vx5ml`$Kv`+)aZ`#l=}}mLs-6?urHSk@kRk z!IPrWS$zwX(qELI&l?Q$^bEH0CxwR71Z)uYE0Y8WI^WQTu%d%x%; z9()oKIKz{1cTF>RM;NMBGtUE=%}TVKfl|WOhFTDWpwB@4CtzutyK+~s40H4 z!D*KGvsVA}x8jM-nG#RFbA#kePl%9Nhqy^W1h|jyTK`~1e)v9OYq za$(TULDTs+UbgB#4%|equS|J*(5AzW?c29+cQ<%{jJ3JD*n<;D&*49$PrLObG=y9F z`_!yOL8hhobzo0o9AloQV- zu%>UcB_0utdHmAXHN8Lec*7Mgr5_GMVzrt}*hjEMs1ecvIPJG(f=P9#B6=(gthXD1(5O}IW}_~zy|K{MpTt=;eFT;BR{ zYUo3p>6mcZ<;0Vyoa|d>OP7pxq3`@CYR-LHG>@N`D$h-LD&Mv1?c8r&U&nBW41jGK zzU+HfKiE*);3WuBjg`IDniUfG{jOzZsUko~c>+~zjZgUGsB$S{y@IC%xhrsKM5RzU zqJ7}w<1E=5W-><}r@7eq2v#0cb>8?m`C-dfTuoR`w9On>W|BVeRv~n$Rrtf9&Wcen z)1fF;Z`yI07_Wlq5mM-mhV3826EsZnrFBIwl>&T#+1mo|6KCTh{%u0=<+p>(W<&+@ z2ThBfx(TfxUlDUBU)H_vl<1mfe@p-6U3P1Sujpg02z&stS@8(GGcgGTpo+E&8LA zsKUh~AyvmrJx{RefAwqUT)BDXb>wNSq=*j4hnL7hOuJn6GwFiM+)IS$Qt!K~|MrEr z-?P3s+UTLeEsIJ{-Xxs(cqQKZV548eC+~IMb_natZ^;vZw|&&ek3f0{67)Qet1CsS z#P9EReZCz}e_VD!w*?p`#k>N{VLwe~ZvD*|mOynWVw(KztU^OtYtfLD4a zm93w>om9V)w%cZ1gYnUD&`a;k_3Vx-5LEur?B`Saurr?NOLiX9(WjU^^c77t3pe`yw1G{uewc|!Y_vESPD-f~YN+qO0~H@0oty2Cs9<@0_1dEc3HRpXqg?yl*n znd;Mn3<3i37asImI(?tQ->IsJqmzZ5Eg=&NJtI96p|FdEwJ{+x3o{`jI|CCl12ZEN z3!##UqpO9H38B1!krg2mp^_A#nu#Hyn6ZWP_mwh7|EU83Nf!8D0wRa>f2r~B|4ZFL zFMVLM@x?NHDfU6}{b67te{h`sVm4#$sA9{=ASH1Mwj+l{Vmc-sBu&y1BpMsP{u~s* zr)-1kNUQ0Lf)0H4gya=kaavJPgH%hHP(g*{)&HDP+FAm-x~Zu7>`cZ(^t}D?Q+eu{ zd0*DD!_(36nH>B+NH~duDfY^rel*iz`d}*}25HU+{S@Cz4>*vE_rcp27|v8-S;n`0 zD_A4ooX-Rj))9Pwa^h!a_{Oce`lIoIinDw1L6A~KoggxKsAp^U7!CmNPVBV#dn~-C z?qg~qmCYOR48Cs0{%Ir@?43qg>qN--E`^)5BLdn1&KO1*Ca=)E{XZIa4 zlKEPf;nEvhm6odYR>%In5=S+T-opH{19o$JTaL;mU|Gsm3$3-NVKercdTpA1%A|F* z!}JQs=r?S4D^hmXmiZ%CFUXZ3mUHEU&eQ)h<5DPRk?6pXi^wAjuQyN^pXgpJ`i~yW zRT||@z3XgPbyCFh%G(&g$SbSM+DnAR0c}QKhPGY?rz0ZDJBZ0=aaYFrg?wi9cjKLj zhNA5FMAM>>*CCNdOlb+e$Dp`A}_52UH;l!K7Bxfznb4Cj-YvZvb z3$5*0YftQgdftkK!x(ytxV?763h~mPy7MyYr)l+vOmv796T76n>Fn+(I9M9GEL)F) z3*cTe;Et2md^CWZ#3@|1ochOC=Muyy-+;Auyf~T5Lc8{oabT#IP^WnInS^cVB>3Z$ zF`{Kro=J8JQf?u_3UUpZ2)iaj+Rr~7oj!n2yVd#9&FUoF-&s9 zkVHCHX5&88bYTG|=14M!+|F6j>&Fb5G#|6gZKCP?@7`##I13L69Vm*|p{Bm9)zQ)W z%(GJHO#D?Z{CFeR8;$y#Wb5Pdv*?nUGMeri_a+O;aOfJ({z|MlP-xH&&m*jhmcJeP zQ^RPUcK#esPY8Wmh9SQSnIJ@RlK9kP>!${ZOfZ<(c_P!}yZ*>^v!)su8)t-??o;RX zrK=leusfq*yh0fn1{vW>HI9us7SmTKm6pU-NKD*k{jVG5p8011|MlnppJ{-$jb1h+ z@JDXby1(*)rW37pcn`7Awkybr7 z&E3E{+0|$K;Kb;?Fkp1AwZ?E56j8@~Bpb8Qf)3ALUYK?r5E5+I;F-6TEV`MmjjC=0Co9Zg5l6xgM?_)6c8 z;!=D+Nrj$TCw03nKwQd(oV#vJ7gjIyn;Fl^Tg|h5g*bxelQwGh9*;og%ARk}V)p2v zAc#8Osj%$b$^|^#8OXSQQNBHE_4h&xDcJ$bQi#O<_X zM1QTqB_){YJac$xlU%X`<95nccP`6jVt_JN7l4If($m-XejbYJn5&s%&RaG+IcevV zs509;b-RPR?D`Y+ai$c3ygG=q>>ky{Bc>9kaF=kKxf6U#$_?0k+blZR`SA&gcpI!n95Qf zZyK(21vUzOJ9SPRdz_1WYkkZ({{hVU0cNMB>z`tll$D&L^l``0a@tnEyGSR? zrB9Oc2&9!-N{sO+hc~tNA1sTuX|ldm6GH=-4&y^6$r}dHH8;~xN!bjiEoSsTBdJJ+ zIH%7b{80S>PM@~xGd{fs>R38BIlFPfx(^v1Q6|>$w&yd>8oukV>-_6TVYDG#{d))R zm(OEfFLyvT-IX{iaA|1D~D`ly~9)i{(oy#kt;4@A+?Ob7!!@D`$DoX45y)@uVBkje^s=#_F zq`JT0$xKmW{5Ix`e&ucAljRqN$UoD(3gioUZ5}Mxq5*O_d{K(F=e_+Tl(ZxZFv$d2 zIFH_iqm5TAHfbTzz1z1i8ev}%cKZLd`y<3<)Dc_6H{Q39=OxK<0c%K4bCTo}H+9Pw z@b_g~JfhY|WEXAf1xv%bENnSb%jI8tm zmI-CXGA*R;co~od)^#-LnJ*4Vp*Ct(VoLQ7O{_fYDe7rNH9j`q{*pXo$Gk^2O>f`I zL(yo8?9+Ds^(?Up87EnG_-z5ZcKJ;jaCQ80@IcZ=_?xjv!3@<;gBTG|&nZ@k5ZN1g zQ)k!Uts}sn(s|K4F|KX8Sh+U_)`|#N zB`;A+2UOdiI#FD-X-%A53ntWV#J%@0hzu|L;se(|s~2e@O(L=R?mK*G{m}a?Y+Qcd zrQKhu?92f+o|Jl`^!H$mQK_nV25dC94_PJm+!_E@9y=eY8aXBM>=P^K1FS$Bqb%TW zVq31HB4bs7>6^RP3S|Kuzu0c|hDi#(h0K!ZPo8*vAc8oq*kp+ilL_fdLLqG#6PIrn zKl5pFuSc%z?>WeC<%+)RzV*gyz9JDuNmY8=WjiB&GO2#=gEpl@+kbT|9W(rXh8WdV z0&5>{jre|RY4jQa69EEw9|t)%T06COO*cET4Zu2w@LgNIX(pAADqe5B_hGf%>-&Sd zi{p*AXT5^ojPR}}BC_zuXGnCrzJmPYNsytJmMV{ngktPG|{MdsTMtF zE@x7WAshcOz&h;sb}etPXr`e?1uFXpJ18`VMrvy6{WaIyDaG5ks6_2!yK*E&0_!Rh zdpoBN(Jg<2v~Jg6NV6?Q9ea5q zs{zX;9v4WDe?*$SrPbo>wD3hvPw8ER*BjqaWsA)3sJd$m|+u~CTx`B>16O|xPP&;ZrIYkQ>aFgQ8w>PZ+fQeh{Z zpR-`UOYkTHHk0u^K)rjty;%Z_ikd$m5q#((h!$OI*qiNJOmCe3n9E)_v;5b2d!dLW8+Mbdit$x!q46QuqPVllce1yB#CcSXB|ea|f0}c%J#De}#AtARpP&wC$Tdn1#{=MzZTp#$ zHri6QM6=e?P~yCHRtUeR3(T2M^B;`k3xdw)1KE-W#zkpR^FU_U1>b6;9*n`}Pp#R! zzh41y34+x!>k^Gm4w<7XJg)s49&SWvAoPE53_83m=ooO`FKu@1-aGr5}guu%C2Mde)Ff5Dc-BlK-F!aRbHQuvHI7TTpd3^SO(s+9W)>3iT%pZRqX`^ZHYZ!h zq9_{?=eE`eglG1-y=`*t%?;5ouPpP{*4Of=J3=`q?KWkZwfPV#-pPY+j=nkh=Ion` zZ?32|uiUCg2287!JH4j&2N+6KxajNB)aJ@Tii^T89x=O7zMPR(LRnccP@U$zmHaz# z;+~m+W)BHj*yWC}E=65Ui z6B79JYp&)NI-+CE-0C$MiTpV|gfqTI@Z#;v6TpT2|uS`k@!eRSy zJbTnF;v;PplD1o}au?R8F4DT_sX2i59BpfZSReQv+5Mm|lHL~t^l@e{aTLnPX5xGj zGYhxW;oIy-=yMcF`>UwcXy`KAO+|h3=K|@-8r4UO7Xp_?7u&cQpQYSDUG0da=b(-F za;wR}c`EcJh9JHnUuOP=haI<7T;!*?9UL~ag@|jI`SOFrCZo)8ATyT{i&i2cy?d>A zG$HgynMosd3elv8r~N$K6!tr6=GHiI?Cy?6U)B|1tqvPEkb+)6WDCw`l5S zxww~{|4DA#qSgEYMq!40_H(Dn^#YDHU?;!YKi_wRs*u9AhH;Cpc@BO#hu~2L+y&YV za(zp!_WGagfQETj%c8(UMxSu&g;Ttn?xeK-Jz{Ej%=u4@-FAeTIqSdYL&LZo_;JtH zHt?WIOnpS^)W7KlRN0|$ts&XM)caWW3q!zd3`~np33<$$mhlW>bj0mpQX@xRXH_`c zVuuDfJAQ{7$||S}hYD)6&t_6s42%Q!9Fu_Rih+3L^exW|2+_0HC~cs7=~3Tc!34wQ)(GhtJViI0#htwhVakqcsf z2Xo^fpIeHW%aRB?edlUW_l@Wp{?2~}IgCD7(3fi?WT0N*hK9i**L1iuVCxX9dyr2- z4rzb+3kY5{cUW12VLaC4?G!Bi5$5#2Rs*9KI4ziS zO7dI{kO8IBZzh`w?gn_Ou&z9|GDtRV)z!k0uGsW*tlnM2WCbozDe|Rj<8OiWg)@>F zi(oW{UBCHWwGIBKb(KyXY6%|E)l={13S>6s$M9&uuS0Ro+FFav z80wQW<5R=#S-6o6uXJxvv2~P1MMc8$dUa5rzLOe%Yo>~wcFF{>#oA}(fk(63-Q$>M z=w?+@;3lKfybva*AB=ZAkgA7m5?>=AAFNm~J}MCps(TOJR7o>z?V7Fe5_!Iu`~6Y- zxkDp0o*qBjNG6tdeP#%JnAEfB&^#xy_Z}j7*CAr|oVRntuG+%GCw3miLn~~fAZ$<_ zn8h04`?)|z1mTQa4Tg^US6z7ZqjfsC&iKnaUX%$v>J3!d7h1 z$ru~i-hH-cXN3$vr)+D|;TJI@r2f|8v9{Szt>Z`!8YJfkHWN81o)JAgRFHS(ZfAg7 z2kU2(j5Zhzl3OvoTTBd7G*`yC7jy8&#wvoFs#*(U^9VeX`V3JTd?Z&pQqj}cC+k%G zvjSWOA!Ifb7NZdr66=a2=%SFS?1jpk+J`*h+90Bn#d?ToCkIThuTF@u6L)ol28iA2 zBVrg%@@|kf^&@n9vQygj-AN++)aA2MjG^KIbBQ7(N{fO%53ifn!pQb*ac(fwR3HG2LXia;S< zrogwZJQ%3gA(-Izw5{E*XlNhEe^BUe)hMp+%7oF8+de6s; zgg%dWlZ`DEP*wCG7#4p3MXp;OcMQfWE-roHee;yvxN`4*5z zaM;Ta#Qy9q$6OizfsKOVR5+$@GU?FV8&{yEaI)X)V}iz{RFNdAd>4CF;rE2)?iTiR7h~3)Sq+^XilPW0E}uc$E5us@62btOLje)u@mA+XkwhoMZjDfzX*3*D!=a z8d-HSmbz5lH6c(o@LTtiROk*$u*d|n{mc2~Ksi~AfV7~-)f?2rp)M6x%#G8Kuxx{r!w5>Aw5PcR-C%RQ5%OVnYPvL#BiL_5nf2jRhT3xktAR_1o( z7{~IWCQw>qda=@0IqPgA5>8*2H$7dF3m5(eDfMT1zD%HlNJbo~|)F$4Pu{+ZiH5#YO&$7tN5TCO7E>yad z2f?u#bwkuUKOx*NB#ikZjga2n9dH94t#O@;uk>5_K%uMNEN}ZNY8c%eF*2DNyriBAfB)I!;OBNT_7?1mU&Ml@!@-1%AXnh z3jJ6c&03w^L3}fu-HCZQ3f~EP;m_9RG7wB*sRsW-#%&6rSXaf>3sXQOInMW>#`NqW z1e(l3AvNmyfgI}(vc!KuoqC_0P=v1p+45g?{PM>41NBlRI};FplPMN}+H?rt2?}aD zA?`sKiHheZm+VD4BT48173Y}GLe_(Arwe~2vY0RTql;~qX#7!nLfFkM=|=1< z%R4Wr6`Jl@N5SKOv_Et3&-ZCirF?*QF~sJccs3MS4?=^qIc}LmH~gUJ-v?PNa`D*( zS$t09%fl?m0PpE1%K9B#@`DF%Spb*+s{VFNa6KJq4}Jqt8$sbT1FilE(F}ioy|MEq zxHg74gMW@(*p+r(!n5V-6G8YI19k+1X?LRIu)=V)Xf4$W+Jhd^J$KNvt8aQS2M90x znI7RMsPzZ7`w8)vK)4*#mBeW;^c{pd16cP@=WCk`-yf=)dP&EF!uvk)Ks!>8oe17o zwBg4sk=<@&rZT?mC??D{YER zaJ=)+n$dhs7E}Z?R9BY8y730`ZyZrlDdwa&fUt4ZMjSu9tEQwGY}OIFe0L~ zdd%^dpkv)v5esUXs?00ql%rI5N_v^8&Sy9>9#p^26OP841|@QS4fvV`E;8H^c}61) zE2^%~c&tIFX(%r1m(irQw2vQgO+c*4Y4ayIlZ>dJr(sGW){CEKS2i^nR63(S-hN<_ zJuO&x3ug=I{jw1Z#Gq{o3NQ)?cv+~k^Te4S+#iJmlr7lv!b8{E#IBh6DmqY#CQzkR zJ?c!}?k*1abr)VqAg7IP!-}$8zT@j3IDm;l4nc4ggf0hnxc7}BxDX=fLfi$$ zQ-lOL;06WZbn{}_?~T(B3<1Ka7LlFA-{^N=+Fi%BlLkx09Tmn|J$P5|{;UrYH8sZ{ znEKCYMf+tZD-~lre5w44LBIdyXJp85)rgl@9-rc=o44DBLVPyFdZAvda^&G~iwfe- z1f~GgQ_KU$ULdcVoUrdAuNS&LP4uNY-Q;}5-fty7h6Q4U@5H{8aK_7kGn{)A?m}*c zg3b857dSGwKcMj2LEZHy0Bxku(4aX)$!f7C+L$^Mb~m?KSer*Lly8DXF8KvoiX z*pv`l`~iu0DYV@bKz9xHmAA`tuu|y`TX*Or0;gc&j@E{21~r&;E3lsqi)}Xw#MyU3 z?!~yn+<)F{LpdvQAj}9oP#~Q6P!P;W193w|_>LQMN9%WTBR|}bln~>1P;^F!ACgFY z%mcqn5>Li*vwoHd0^T_-CCYHPN0sIZ!YkrNzV>&26E9?o3j_pN#NL!}^5+~P3T9?` z_KI@_<@-RqA`fbB>P5YHgd>Y>*mr@Rv4z))d4n2GgLYYVV&8G@e~Er*;ASO!BJ9uX zxc% z&er>nv=+1+B)5F%37Zd1Evn^Dr)Q zjG&lw+IqN)r3LCn;8OYkB^C_@X|6{ zEsaN+>NGikM{=GTjEqfDMi^-hU%}qhMYzId4V)lYwoNpVu*BMfoGzxd{mDm-`U+6J ztH4T+aa;3%uBRTK?u;{rzL|yNO1(_X(!{T|W~y?E=DGYJVK~O^s%RzyxB$6(%P#cO zqAs3|l>1b|P^zzxCbm?G+`J`YWsUK)pVDdb*qqO5_W!hOBE5e7?)Dg5c~2-jNX)04 zVRvj+3jd_;Rb9&AP1DK1r5oLl$(`69yRBSjxOUn!qTBfOpzfG~v2p`h@|nIgL;sya zuaZs6c~o9Tw~{hBem!y&dFkm<95Rbgxl%n6Ps6pTpQx6(ay1vXV7K;KZz#fI1KkaYpslY(~ zEQH>ntBGItK6J&Cl|2eWQ5OZz%c$_Of#Yc!EfKm^^Efj;jped;6tF1|#4a7jlVfol z{P6NELp!mXpp+9TIT%LUPJbO8Jlv9xaqJ#SuGRbP)+KZ? z<989T8{A&ZPgM<#mfFf=DtGQDzZeX7?^U`=Vb#*}N0cUwtk^vwj52-afF)}blY)Dz zyY6J2bGK5M&j7l|b-q$k z{z(LNukzR*XghA}iutv}r5%B?qOCF!v&|N7y+&TS!K1qbZj71hw6nT}S|`(x9DtXY zZw}y1B?j8#k8n_8{z*KwZc)p(^-@|H?kdk2@w$8}uHzuJN{eDjMa)uatA?G??6@zp zv!^K>#udi8iWahm$jcV^5&6-b-!=ed$d&>opVjLdwu^a=JEg1FG57oRj=?Sr1(vu& zT|`wghTBISa_Co&7fsK7Ky;m#^6J{IF!aS;i-D_ z)()$5`lqM1cwMAFV;kh1(|3qSi zSJHz(ps}|8-se8!i=rxT$0!2TFERiA6Du42>+PIl>!adf#~9e_cIfkDcR%%EYL{pK z)fF@#MP?*j#?{083SWIdb0F99F?>kD^XdI?aF8RQ@pXN3pLDhJ?gbpUI@ zI@qDO!O7!)jTX>&=kPfHLcjRPNYUFqnVRW!{dzeU(C{_YGy1%X$&=~r_FbS8&;atk zXL&pMln6`|a7rY~tM}@e;Suxz)^=VOwgkLCGp_F`s{HIWZfN`(KE~cgDDu|Idi{zg zcSWj4^o9kh3V_IZuYYkDH>Sp10hlhK+VokK^0<6AEgG>${uGbqbc3Df6=wbs94@eC}rDz&9JXGe?HHN$+- zum@2MKA5^8l+v1#S)xL@t_bDH%<)RNqhTtf8WZ!ngDKH2C}#Cj)c+PG{$JHEL+0QN zv68nr4WtYCafay3|DssQFmhF5Y@|DyoF9d(kXdmoskDD!kE1fE`W}@8446|x(-PO2 z(EjcS*1uO&MKlLfGQh#NqNF$dR#zncPQZ5m9iq#9efq(Xq_vqg-RY&(JM}(f1`|UoAjl_bgTNE9E~00@lE6@Xasao2rDoOacJx zv&(4ae(>v%kn0;lT3Y$t;7t$kO;&*rusIr)18oyXoCm`%?Z=0^EG`GPo* zS3!`PKbyXFGzz}U2eiO6;lMQ^&)U+?h6=sg2e3n|i^DYu&E^KukgsvzXChO51dZYT zg>KV=YvRh^(#&@WXekp^%HLAX?}li~f^WhI3iWf(q0M?oaFn|3wj}&>rv0@ z!mOvkH`&hiDCSQ=UR^=1L+0x!<>w%-yTLb|1OXlczplf+auxD{P)$MbO?p9q>%g9e z{2M;V^$EfE6EGVr^BcO^X~-)*xF#pT_Z6@!>)Fr0f?9CvE|BZLW)WytKM1Y)%LTna zn#$14d%>^XA$`gOcb?&zl!V?D0$jn&Uq-6ieeIde(>v$e?+T5HrC~jflfr-P)deR; zCd)d#iMA;xSJ%36vAUCz4HM)}BipYfiFfr%s7I&OIT4eUl*{XmWD^&tE;{%iD=0>e z?I>AG9$ttcTlXEg=rhH)Cg+_@tHj2bz?7sssSKs^^ho%ZBUB*x66Fw)B_rKLf}({d zhUfVErRFS~Q1DmarmUANuKwgSPrJqZ9rxJ^X>sJNA~=a6<+_WqTT!ApxPxa)7s;ys zRw6typ>8{-NLzPbn#Sv@gRC&GK>Q=hPyn*=H4gv2Bv}-I7MDZ*dm!65jFb&e^XmqgSm&>~$_RM3N<)X|Zb zYIw81DkzcVs5vZMQ7_$z_aW!|qXJKforg80!-tzr=e&N=u_X4QR@-7>?B=lbyrx&r z)mMkh1MJfrSmyDdH#YBkzBBl|W3i;hIbUb=S2h(!MVm)Eg;mEgz4xgMk%-PmYfjOx zz67h4bpGG6nZ-&=ag#GTjgCj^F#J~@vdH-pPKBuI7fxH?G?CO+0qe1@9ItR?^4E3B zw*jx|QmD&%v+1tf!F%>o7aT}UNDbBI<*|ndQ05I|N{lH7maxtZ3WEeB<;nXvmq3lN zl{1A4BkW%mZDSS@e*m31Qn=p;qPGlv6iX8%9 zX`m}Fx6h>Z?4?cID{xQzh3{BE{8cjq6Fen!|%4ezB_P3;ENYG9er_A z78hIcnG&!QJMzmg?(|YaZ-ouwWMj-H$rSgdf&)1F2>vekVE&fB2A%2i{}Gjlqwu4q z9sjo)bS_Choq zzPdjzN7hTanVpUCS;N{1Qx-{cE_5V$Jqh414s^K$mC?FXI^b7Hk?0w%LWfi`7gdPr zv(0+F4rX#9bCjZ@siM@lwUlIr0u@ywHJOQNNpY8s2p^X?a*3ozCo7d*8u`)H{C8bu za;tRGy{kpyP)$1*hNZHb)c%h~^F6_=Gng)9t&4y-rdpZ!QCkpUs~(*AL=}2uYUG9v z^6=_XSfVO3;Ze&Bqy}#C;OfxtqTYA0EGBQ{JRjYnwk9BPO$zb9EhQ)0v^2OU&@+P@ zjq9MA7CRauFZ;qj7=wiln?+>=i9C=Meg{&%6xnI?5%^5NeV%Hm-iq%u1PZ*R9s!xQ zH#UN9FpWcFo|ip;$COlWynK`Z$>lnSt%QZaExRiOe^6SMn_yG^Yadk3(H*QdfFsNb zT6ShQUIYJgvyT_38Mmj18DrFt`F(48B%6E=OuOne;5s3XG>g{`qo6|5+)5GuC~aM* z8Q0-fF3d(G3Keosrl~tSb;@7qgesL(@s$s{cA4OZN$G3}Q9cN(l|13S3H(yjQ;EQ9 z43e@&6mo%Ds%IIk!V~J?cJ%3A9aV2})r#+34{~;a@F*lH$KwgoEN3YXIfgjPL@BPK z8GYjtGAgGTeRc}$;-)AM;SRdA{Mk^|+)(RCe>91@sIn2Ly@MZY2~Sf$@6PhK1(MBG zOa9sN=ll}3v5(bJlclyEEVGLB-%F0)D(Ye7m)gHo$VSzd@Rar4?A3$Gh6d2 zWn+ah!EmvliZ?TZDKudr$ujaqjr?Y&Ry3@$btp%E=>>!EQ!tIvKR?uaPr*ghvN?uR zp?D#pcq5{CC87XV)G2skgwIcOIx#u6bruY^Yvm%$NHph}UnhM_iDMt$OMo49)LEka-@_DQ1IIdC434p4H}A3yfRU?HeYSwxeW z2SAafVkHTKNTeaz(!B8rm&Y{nV}YL|+_>Yg{|f(RgzP z(_np;hF1jtA{D?9AV0kj^UZ$L7{170 z+rdwN`{%u)DVO}awG-~y7kg(sb8o5D6_#5_Lmwa;BQIl%x4yfzaTAA=B7X=X=6lm# z=LkOxf0cDecng+`U@+H9xRW#LJ!UCM)Cf8qzSUXPPujhITJks=yqCC2FN96a&U33T z!!>5qeQ6#SSroS(J8uxTF}vTQVDDQr?|+N2gHw(A5$Mpf+xqo?XX*XJZ#mPx9zf!W z3$_ciC%EeJo${2Y)Ot9ur#uXL|706b!VVw}F#gG39o<`a42r?9s5jlAHqi!wjGD!y z6P)<>0fHS@Em(hRb~|I=BVh5ZasQzr%71TU#C?i8n5@VNzY9~aMd^a}r0{sjUmaV> zgscZD{0+S_jdTbdL#tmSEtCq)^wODf>PL+0D^cx}h%REdt`g=A;0Ho3iPRX`mmc3P z4al%wvYtA;k6YjcmYUl;(eoS8*Gbq1I+FAV(z5JJihNL+upDG?kfG}Y=QCLK-WhCZ zlO)OP49q{=OO6vHfkSzLLq=%PX#W$Cej)9P8!TMRznFtFE~md-1cnhkatR!(3Fi01 za8JT;Z^E*7!?I7qvJb=1+yW7_QybIw>+(cZmm4@LdUAMPTOK>nt%RGoJFDd0zsYT zyM8z&0R5*oXR1&o5MXoRSy&x*B?YmMOz3`|8AmwUeh9%>&BgSCtMnI_`oSRVfSK}M za7V_!f>U$Z9?<}I;rvo?{{cOVJiT&HLq&Ci8%2|Niq{n0wHgTS$<#9?|GJvI5I+QG z17@wlATUNb_VYc}wFl9YQRRyI-)hiks03cjOrzN1bcd!os`9lvrt=s~Ju-(|dLk;=dnw(-xg zY&Cd!JIZ_A^gjjt^d?UK1x7|R4jEJjo|EFHt?s*CQGMSW;W945Ql!C|XA#|;^h7>r z%0rj?M2G}FUR6=;b205}jzcBiQ8Qem6s$)LXi^c;yay4$3lRsXAr@YtkF6^u^0MzX z=(p%Yd@UrnCljpv3v3XHr~{x2x@RO`@4>P-ah%zG&zl#!Z-3Z!1KK~5*kYsJ{Sk;q z6JlRecLP40;W`w1l_kYV3mA z(C6DXMivM9gFU5GAC!AcYkAC^wSD{Qq|q`w zB!yWskc#X0yeH!6e?F#wkAmDrtyE(dLF!k1J`1=LwV9uEyiZ2OJOzm>h;6NFE&7Y{ zF4Ya2=%>8sYXJ$VVthvM;#&4@jgZg6(4pvv&Czt{Q59JDR0eaZ5^8GSe_y@Y=e65J zB6FoKkTc`Nx47`m;ffC=y%oZ+h)zffv!`{zo35c&{CI-@&+5-FL~pNeTC29KC|eZ(`o5bb~$cl7T()3k3PzD5u@ zauBi(@x5K&5num_w3xu(g(Abi7o0v=hX%&)yc7A|ir_;PufOvqxK|%lnYoggW*I^u zDJ$ObzR3u3ZY7)Y7ahQ=`i}#I5hUQKwvB=miw?&8?I%^Y*tlj3e#^+pxe4k=!-|9U zEA{6g+F|QG7>X>_%R7U~^U~4xk?;kPz^4|i9Nq+1$lR0ab8XRSta7w5ZG`e`+`Es2|E?~y zCn6?kz!@*V8DEB@Z5S)6%cop})A3qJ!!LoYGTgE@e~_+9C|Z6xW?}Tn!Pu{MO0Ojl zHngTTyPAxL7pkox7t&*Z^$m$^%NOhyii!a$YgHMPwX@4lN5`kibaTontIuJ03G&ynN^0H7GoT?D-Pd!JMTHNRf;y^CcT z+xrmP=MY~p2~*)B&|ljAbB##|;dKBd|J&=)`GB7Kkco$Ph{8I#cQzj=B)#X4YIu_9 z&un;->g@wYadqz`=@`m$Q~k=E4-X#U^m|B#{U||q&+WAD=jfeC?@r|VYtr^fqz5j1 z$gZDe25KV^sYhTu7KzTi36IFx8od8tZDlri(#v=!uSjM9YoEYwn0$Q}~3f!NQ zy$#|#NT~cGNS**rcnc}Gg9+wok8n|ZlXCAVu0x^!uB0OM@{p!&LOgV9=A)Nu?xbhOJEnEesyiI#SFo4g=P<;c@9*OzL47GoZK^>1 zehhoV-R)s}fo=RNx87(#?>`le0lDt*JP%|y5YVV9eA$`q}?9Q(6&hBupu5hpJ za6NbAuM@G4`KXr^42L|7J1d5L4&6bZpu6UCt1emZ12O(BG5#aYeZ1NjL07ndC$ir? zF+NoPK_iBdT&<^hZUoVg(DpjNmFvW5;Rheaoj$mm(QB!t)&;y)r|#Ln3 za>j-K(TTrCRv2K@d)yX@9MZjr=mBA`B^|M_MR8fhl7jYVm~3PrT@b`j$oErxW_NY} zRb(PeJoKH#p;+1VJuYsh=>61GJQ@BNea}m93S$*I^4BVJ;Y&pcb6}Yw);P=}3q~oJ z!_Q4Gn5KOPVj!X1&A#SOMA-~S^*>m=_LLJLsJXo4%CoxF@zA=D25_w#(+X5U(7GQ; zDbTuQSC_ub#p`8*EH2ne!CLh9Q9tbhP;oZEU@6O2%NG*~w1-@ql9jA^;7R`_8{)8) zlI7WqDzHWqo6LmASQ+<1_p$O$h`9LOOn|&RWzf0y7|9gG!ePK zk@{>l)j&M&*|+30n{1gT7?*jN8yc?cg=hR0P!W$}jbe1pMgEg1O;|cN*vToIF-&cZ zvBSk-am|*_I%7dTHF6=7x$|Y~KyG80RvmYf=rmU$ckk^H@l#T6c$=XxZkLDM!V6nx0nhI;0oJ!}Pl3Tr zkMdyv(ac4_3ESJRWHwH&xV$Rq{X;6I;+i9ClwV& z*_bv~dZ7zG!4JtZJ5X1a`$uq~!6oZmme7b3@cafPXN5S%fQby$L^RJ|cT>Zp{R3m1 zdurj`E@7CrrYAI=H}*+`ll10d7Y_swlDuZm7t@Uh^S;ZYTSMdR!n5L9yaT=Y zAT;u-)k^gac_!dCd*d)`;|?fc0RJ+Zo$7g{DPHrFmAvOrIiz|wxYhDE>3xTthqD0i z09fYha;N4HAY)8O`SS@DNbc-1)4B{Zt*ELE0_()qD!m)Nm*}2SUCy_A#Wraa?z76@>t6qspmW1~_xJyB0KdRNK)$}* zL5tO_tkJ|!b0H(?j#Rd1H05dN#PEqr5aFDjr?)zfu7kF^1tT0(;811YAaJAuiHX87 zQG^7^eg{RQlA8-FCyT<8QzAux5(uz<5Gn*ADjV)24v=_GujO=aX_-r}8k=5EdY?>s zOmR6iTBGCq!`yh;IIh+A$1*xI?q3N$gJ-dNsra#St}+17iSyD(L$6xbrKp)buGu^nkuW(If`7wA$QfCWC}GUezzuC$H#7Rtw-Yp4JB^7kyV z5gu%2R{n&upmbH>xI(!G)n?L#{l5T&1$+7*{-!B39RWR3ncM)JnX-IC3r@i8`Rq~X z_ciX_hqE!z5R1V9foBUdDNI!tE^g9SL%dHJ3$i#J4h`|TtEPp)W1I)DgRuMRg+GVD zz@!x1)y%jQsAnx(1pOa_F3_!#Qsl6%=lEVCr{PRC4)B++z1IN)8w-u>67fcSl6xF? z*$Qa=SQ+$(XVhV!v0>1Vz_QV6{!*dhD%%BZDoIkgs`DgTTyl2Pmg5B$L~XkoyN*Jn zylrd|vXGqh$lAC{Up-}ufd{U4;#67=qEPpm$RYs@dN{d1W2e_m6ez<)XecaZmF}J= zqH@Sd%-49Y4 zm<@o`f7zE1i1x<=Lisa#!r@MnZ*x5tTMG&G$cioRAjQy-Ld{s}Vqdj#-n@&QhrRVX zHhcy{WSK5`3YSTxLWahBy<^U=V4z5oKnBFH0%-TJXQ99Gm2se4KZ#lhr%-#qaOAY??kO&Gl4okJHZ!w6@sZmL@YI2kXd zEOcK~5zym)!b&zF34Nnj8I0p=j-Em~X%TLd$iZcEg@eV9;1H!?U-Z>CTg*+kBt?qf zHS~IdO@sd3{gF1D@1n23y!hxXGp z_b}XpuYfdMbMd1OPA1i*p5tl|nLkm+GkR>~)M98Cx^68IlH52en zCFO?X!YQc-xgB;G!}M55=!MGDvrz_l<2@Z}Vt`a;LJp{Xka!$ER;<#p=(> zpjVFl@G%UL9O08FO7w`Fz+QvCn*B5r-voo9QKkqUPfD6n^U3Xh7r+K9iSN1*Bix)w zuaLq+&t1Wp%+9%p@;!(b;o&Bg1f5tP-KCz#6>0B4(GaUNlD^F)kGCU6`k#W&72HwN z{$i0!Ud6;wUs-VuJt$S7_hd4G4Couoj=;FOv~xQeU;2`nTc*92A8Uzik>TpvF5+|-2Zl)mST7 z650|E!bJ7m4CL2(B#5$Tl?^jjXu%6vz+;Ddq8=9mZ)tvlyP}~i_gBUwcT{X^Uya<; zl6#^NJnO3*-iCL2f)$e=(?d7;yLcZn*6nLy$Fwlz8Tne=@i}Fb4r?lR7h>qh@@_!k zvr@V0K<-d=Km;K?iKuox)l!7%sb?xzSwi+Y^ZWjlNH6Qp&CXC9y1wmuW=%zCEa|G2 z>2ci*?>cU~z55}ra^1ckyuSJ2j?bA)iog8nj<@%MW@sbB)qGDZ_xz}e1l1QcXXl`0 mN&U044@5ZvN%GLj-IPhjH2*~w#aoXkJ)Su+$^8!i0RR6IpxeCw diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.idb b/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.idb deleted file mode 100644 index 8b3dcdcf0aa568dffcdc6eb65ec4bac0b7129e53..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12219 zcmZvC1ymf%)-Dl(GXo)kgF_$$cXtRL+&wr1cbNf#4o)&S1Pc<}eUJoqcXt~Y90q@! z`=9szd+&OyyLVOZ>fT+|tNQ!)-qm<0C@7^^&z_{~(GkX&9Zg50XQq235S5_vC5*bRVD|T0#v!B1q|Q(r#?1T6$P{ znph5tf0WDR46hJJuB{S|vLH)C0K*^dwZ>zc4nvcFucSzhk{N6Wa$BFl7roM_W8c8?(PM+uPsgg{=H( z&s=WLe3Gg+8&WGq7*}cygza4zykcA)sj`Gd84UbVE>)W&&^Q`8d8Kb9j4ve~mN=TV zPwO_x`c`O<ciw+3Q#ERI%k&*9^;vK{1Y#dS4Oli<`<)6lSvek{*buq0Zzslb>jCY^ zW9V856ameEl0zA3wdI=R5|c_Ob+xK~Z1O_8XkBH8p%>*)Fjb?TOXVi6O`B|J5N#06 zRsT5?^p*xLXO!qM27P1EERO#g!!4P9Vn&uc@q`DeNozH5&JT5^9qB#y<}gqQ%^WyZ z>}%(EDB0xiJATB;84Il-3wib>MQ4Qg zq`wQ}b}OTt9`z2?k$iz#89GeZN!%m`wB3~uFUejCR$#cJ+-3l#QYv}s=vNHyF21t^ zb`!rawSQJGYdR!GWcxCV`&lP3is>*Kdrwd{)C8Ig1I9LDbymkVRZiz1mfEu&N-lI( zR7AtLaXLAlnMMUQXjg~}OpB)Lz=1|g;kDQ%C5EeNawUrBX3WnkFTd*8ik1Ae${;sb zSnvV_EGZvF{pd?MkM{F#vA*2#*28HQ_L(d+gK{3j6jzM2-D!5=#~WOqpj|X{!A>e{ zNXrQSnvy zC<8Ak55E7c=wiVnWWZQjLtCqmfzcQw(;(2=8z?9dXyZTtq=obnb>2t`S($W(Z=%0e zg_&f4Z%fdwV_TstOaJ57Q*TT%ze(^-2DFgvTT_x=V$7zQWTKd6q9i6T(S`d4B95`m z+Oh3 z1bo~rC_@6COcX(OiEx&Ix#2+D8KPma@_3F1uADdj1VP`eA*#UJF zJEmz=AW;rwH%%BjhSoPG#&Gs%0E7bf9@T09$qzlF$y6}<=HUV5rm5Vf z7M*?rv}Nq?Y_>Tn^RtaILlJoYYRvI}MMVkCO*Gq6+se}g>vPY> zSb%2aoyceGxMET4Y_j;ilF2ky{ay#Pc(FTZB@7tCc%d1q26DR*Y;LHG7-$mO6%pp# za=TH;ka43Kc9qZ_1(t6H^x-XUPff~EP5-r*ed~0MV!PD(?7sH9AIgo&8p$PuHrBqz zU+`f)>xGxxX)4X7Y*-I@A0@gV@Lz8?U{;b0UxqVZx%v@<*s4n zSIF77PA_qy4b)CscHrNV2{=O1|J(JaZC3poA=VA{7@#yryoT^2NPIU|z#ZbV*29OY zDjMb^eo$+YBRKm9AP6i+6`!S2^pu5A>`{*6L3YSHU1LP3vG;K=k8@gTgDK9alO_2o zD$K?EB%s4kRGKpdMAG$1D(>IM50>y5H}nl|G9s$KD?9kOgUl()WPPlGsc z==TC{1vztw7`enn_1NO)Zg8W9&EW4c_M@(4;dJAK-M-kN5BDZELoorGM41ehQ?82( zFDu=A_fzFt1OP|y!gkQiC`Q=BoMX6V-?4U6g*nHvL!7v=OiN6#e;P!I$D=_mHUo-9Cop+3*5t!@^qsXZExym z0*D%QEWhz9!J?c-1;U>b(S%>0WuWJX0Jj3H0bv)TazHp<*ai9|*`=;i1)8cTY5$)L z)DzTTNk8B`HCTjlTM&3fQNl#}G&fiOll&?7r9~#A!38AOD!ixJ4x5roy^yBw01*As zP?Dd}<1rl9B*+Qtqk%sBWqY|`u0B@1N@p$M9_2dQH_cYst@s+3TxOsTi9j5EM5Dn z!zVC9_opR2xCwU=FJ)a{4oC^X!gFqt(Eh(@;*xUxhi_$i5d! z39;Q=X-!fuMcDiLc32T2JA~m|zIXS6*EjCAM>7kB_C1&OFt^h) zcZPk9#-Hjl2>bN;tE07z9oDMGJiNM#gqn`vA1q2! z_de%)hZ^;9nQhSpFdx;Ustd;HA{)kgzc+9Y4_huF)tK)gK0Y34Nr-u>F#v8uncJS% z^XF|6`K!3*H+4)S<4RXKI879Fa?I_r)z~?90~P40XFsuP9uH5M7J^Qh7vc3M){ixpu ztHvS=TmgqP<8jC^j#!nTkQddXEn6)vK59&yU#sl+7o9u?bo)6pwF=*-%(S-^&}tcj zqYhau)wkuJ<$YBXqyHB6d^WAMa^z3(GB@HQdA5N_s<$#O9kHc9v-j^D?R%(b4Z!RB z&6shTe`SmR;~NH%*N5Wl7_VVL70j%Q#GBu76xQS>Jz392z23p%w8fGYciGhaM z&P3uS8mbXv57+G_q_%#+QR^`eL}Xd~{zjYRikG3MIk47G5!uM&i`X zVhz{rr736B4}LK7>40`X{f1E~akPm-)9(Nzw+*)yxl7`9Qd z$1f*o?;Iczc9|FMAn&g--O`et+23D#>VVGABW9k4?hjTJ`O;Duc`?NIE$OOsMDP}SdcTVE(>eIdex>>dh32~~(?}OYesd;ZNgnJ9aci<<ux^O-8MqF^U$|nixf9VCQ@f29_05Ohr)xey+=?q@LpUwzChx>C=8KN?po1 zVrOb|MV&aAggfzND8elQNu~@-TeJFe^gh~>O((_NtjQEgEBST=dhGX9SI|0GqkEl8 zyub0DvLCBmB(7^LeOw^N;O;%7O5r-=n2)QvmtM5e*bM^ zCUVD^u6zR<)T1(?oekUOtts3+Q#kP0^du$Q^2;+OFKr!@F={HO3A!+uq1oOUbM@2$ zc$U`@95aX&-$MOOV*Lm^8bNwI>55y9*1XQ4Z;zT!isf@oFT4_py?o{yL>M()(uS?_ z`P2r6CnV!O{dL4owdE4`*ld6IMY)|Q!;nS)&0uVzFA+ZeK6_s=pXl)M+Qyx9A04?a z?wpPN?wn)rZRgmF+Sc8z(B}F52YYCLHg{9r#RnapupXY$3+X0@t92!*`pvz12$g}) zBt|*b3$3mdZXBFa@9pH7?&mCmWn5nCh()BQ(Q-SxTr^+tVJR&B;=}hsTIN*bw~kP) zwE`>JQng76hff##DekX!))piO%ABrdXDHqFL)l(|caBHAWo7C2_D^4DQXHhhxS-MMPIIo9DhCYZEcjashtJWzg}8`v zJxCtn&(CLFaZmJDE_B|d>QKCpQ2zK)<7=IO98B9sbY%!Gf)%a%LO;SK$CJfBexRko zmR#wqKb^xkg?=5sOb_6@&YO!HU+sOalo`6WcuUS~VnWz5QPq0vCsHmSi~H%+slgwd z`e&oWpIDIJPx=qZ)eq7OkhI~973vI*tKi7sofs5?(%EclDuVY0sZwm{D@& z$S|e)HROe7$J1HV!n|gT3G>aROAd#9O-WZ^3MX@uxj-96W}3jtF{pz`&5n0u)qNfe3DmoLP+H;(SSL?+2g zV`*jGB_=@avXl|zVk2{^Z)^Ze6Re>x8djy zng2$~RAi&#Qc66PZ~JV6t5xZV<={XYcT#Jy<=_h`ujB<=7g!J0T5CL8aI@wGPkSvgtoCd41(e7)V)GIzsVF|sM9180(2 z>g!KVQn{;IgS{SQ87G28UJ0~Xd|<^K{GPLlsr`x*d^`2D$@t-ZQQTBXI%-8w`w#`WWho))d2p5sg7`qkQ_)eEie z6V3zrUppA&nFmx0nTvU}%SXxG`{M-0X50DG=5RLVvF37x%5xLHj=ZZm7hGKQDQFGX z?ETz);^%vthjs62yMbTy+$K06ZCxKF{+JN zses$$9t5*%kqR1T=EPM*!IrT9$KQ)t#7BvNxz1ne86M+a~@AE zSWjL#$xU0a>QwGO(DrXgcgOnXH|Q?&`0F3J8sVGLOIy+9bFIZ+fOUd*Fehy($5r0U z*M;g)%B*z^s`URC8lUbLGsQGOB<5HT_l@+(NX{d+}gHIlyzIq^t(Q%|e**VD@gP|p6kGPYfYW3`!cDL8z_WoFc*IoGL< zMRNW5^aF0yu#BdF&}zu~;}8YhY;&`&QmDW|sVK9RIu9Unj>s$mDR-o@n6P+z=h6?f zTGado;RD#*xxEyZh6G_6qb_9pUI9t@M*Tq&3u7tqBgj9%ZE~u%vs_EuNkg`EYutI> zZF<7F+63Vw+@D%jpwxxRoD+6GM9Vj!1=7u=mG^gnrX6S&g+2QU%>_O3!xg3&JwE_+ z%Bm}CY_DSvNxBrx-nz7X3z@Xq^hIpVL>)-iucFo`ITei9Fx0;btVe|Jm~h{?KIZV8 zfA}2vmUsK3yFdyj1!QRCk0Qz7c&llm_r5&28Vl>2S>34O()+|OKURw7mVJ`L5i-IX zc%9ZlW^>V7y*kC=ZI59W%`gw3pr3X$%k^|N z4jO~a{eW@)U)&c3Vv=9s6mr_a&Fmmlsz? zUg=8MWWD*l^5>uv@gE}VXwiQq4f$;#J{@TU|GckviU^^%8In-$=b=o!EpKSIc#%W{ zcAIW#vi%(qMKHShR)(4E_n*)>w~nsRo8_in$?dlFnt`%2mkr-mm`#u+#bMPrt)B;) z{J7s+UJ=-Ld9Rk$z~vPcJ&!EAwos??x}gt0Eng4SJw_Il9m2J9(Md=c@JI$~;}*tK z-Wb-@40ET!T4&=!prs!9eJ|9%uY~m&j3Q_~FTVWBLkl)=qlcDqU2i#MDZ*G?YY`x% zL&nr5P&0{FnZXgUF_TA1ubtAh%{QAM0QwdaHPS~HHCF@TZRrq32r-fw8u*0yyCi4r z88UFtll4DBXS5WFWKbpjvMn9nd6iN{#m?V;*`h!nQXG13o<}QOezKme-xzLe<5EO8 zFr?+U(I;Z0VfqEDv?R~!_ISuNVaWbwTsnhuvXzaLFQ7h5=`kymm@)9>mc`;VeD#w4_9#(e$J+HQl6BZ#%#p zeetRapA7k)jM<3z=bZmc?qAHuL&ewchJWPDTR1vRsp?uQJi_%BJKyw$C#JL8_a^j= z?#Tf3w$Fs)Bt83ePWeb~M#k-!jwE+p_aIM)Sh#FYb(!sN0Ma7Pu&KJ}VL|0_pskLKyl!IO?tn`>W=gLMhb^3y0e60gU}b&X?r z!TBu%ea4=%bAkTbf_Mvck$J}>A1FXuxN|LO{ENMf)+&#)d2Zv#Tu*NP>tC3u@Io+$ zDDVEhys`ix?IT*uVqOZx1!#8&;+m2DXQh10?BcCj)3t8lbWUFLJ_$vUh!0!aDZt_$ zR=dW@sFK~FMbLa-QyAk}qvc=)E8n#q>CsD1Kg68K!xAwlxzS;1TYGik?G%5@i@klx z>9@&c;%+T_dB}BrJd#@Km?)tk(15bllE|iYN8;@|og-QydH2^m!Fagzqh;3ZFr4pj zZ=S2Dzkad)kAl4jo2Rr_>k!X^`*=3t;tET~i1FNjS!|d?d1hwTvNrQwN0 z-ulDxDcxc?uikW*+$Wy^KII^Vg$ag~}G0g3jaaexV-m z(DCTzetJUO$$sPm94vIYs7s9B`kk0XXi)sjWo&E~4V)MD{g)_<(vTu&sv!rYB}GxYz|78!M?v3nOD*iPBV;qe7jOLwlwgDE=Q*W&*9-H zrDbU|e&b%nTW&_y?nVk%b&8Vi2;SUs%+1MmSHHBui&9$~zdIWsIEKW-J@>1Khu-45 z`t;_0VbRiMWSF40*B56zKPqtD9>y^DLtUXwE4!Wn%M1l~jKS&XWw-J-Z$s`H8J$!*G*shrjcnB zKX_}|2Di^m3d;;fVC9m?u^iM-nxVo(KZgyNY{z&LNkQ_~b}W6Bih$`saCqZ)t={}e z*MMP}#!BCMoPZpLqlNO%M5 z^X$7Nd&ucV-fC@rudGOPyuGLj75H^^5~iM1lgTYJ*KjFPWLgmp?&kcEZdvapFX}Q2 zJysucl@_Hu)gQUHouY}2yz(-eKiHf?a_}~~AaI27<<<`Lh zO7;@6uq8~o>I1&Rchw<^*I{EkJFqQvXuy@1c6@+gV&%l1u6IdWt~s>c2dVnccf=NH zS^OPuiD*4@_sLdbo!ko%Xh9}>e@r(jn85mXmHWpn&HoI{kl-h0<<^lxrFm?={`J&e z7s+YC--)W@O3Y6S?)k{uespWEUOwcQnL|CC6mW)6h-nj=7I8_td+^w}J#Aqe;zi&q z4=>`6VrJn#6hUQcDh){c}M= zEb2_`*yLhRy+R;5Yq3`-Chz=HaHj${ueDydZ}ftoz8|h67mC=*56#!8P55QG(C$}c zJC)aigp4`3RdkDp#Ajn@ez!SOd)#a)x$tgHnhBDAqT)kNTDm0eB(J6Oqnc*Whm_)} z-Wu~ktMbC8zC`}HsIl`ZGtooNoTf>hxoVZggy4~lcerah^)L&kn zq2FrMRKQ;wZ8WP7tk5XRx1dKWrfE%%n< zj<&0DG05OIiO`T_Vy@&TB(jIHQW}m|Nz3LFf?wnc{w(_$kz!04Bjx?IEDK z!sZQ!xU^-?lMMLo)jb)sZ6O}9&I$}d z#XTUklAq*K+s?MC4qrcb`q{9`-@2Q8;qFqhmC03Lqr2eiveN&nVCVGvJZA#z?xhEu zKX`}G=4V&wLPMBL4Mn@jb()Iqh*ufKc`~aT#`HdY0ym)*`JPO4XyQX|&Z=dsFDdxq zWhN14=Khe=);r^ndz0aclF@xt=8bqw1$tN5YlFh5*N;LL)Ne91GHg9R*@O*Fe`|q2 zY`15s+_zyT8`j-M{zelgA7niAx)EytKAH_csFfU{)8ZK{pOCo)$Jerx?YzgA7;dMW zK;gC-&a>2D*;CnkTa{!2&oydR8q_55+wFdXsWXAd^dWNSUgSI!em#=sNSIA>7&n^o zXL#}k<-7aQy`6z*=nQHC*Qe;g+&!M0-7g4=T?xrLFIVsaY##i1F0(PRsllUp1PqFL zlNYH!(1-RTN00u9E#rb7j&{;_F4t#+U|AMvreAp5FJ>ESG1*Pk|GVMX;RhbbQH1p& zU|}o7_8L6LGOr}Og>fR>86kQ+_00jpxEKG@jJS?_8PrJ%E&Bk&77&A{OD-4JS_q12 z``bnDdY$`D79JR~$U=7}jBa4Cv`XzGG;hZ|$aXUdhRwqL|eig9QQR-VrLBQtggtDNzJmxA~((j_*MB*Y9tFDCpEocT~4#BlEhpL54R!R zFXzqUS=h@hOQ0ovt7CI$8R+H*7eS0iRFE366$!2^?V`a^Xc12 zyV^qMuCsih>WJ~X-b**+8v3cb?8uejVo9;0qK!}GV&rY6jhN<97^`;JKy61qQld0p zHI%@JpfU8ib54VlQ*;z)Ry$r}f1%}|8PYqwtPP9ZdV3KybNdWoDnK_;f zp;8P@nZM#JQoIY*Dr*~D=HyhF?uH*2?_i<(9%_sHYYE}sVm#FV*6ni%->!89(u^Wy zW9V}Txwij#_I=-2M~|?j>E{mz$_}N7XAe_Y5CXI>Qiz-4>-hWIH|VFy+siK6JEPkp zZyV8mS(8VA5_E7EMF(z^lX2GN^X79~Fq~mStSmm{o$xCPzX64Mw` zL{?rjV#fY83Ft^c@aCf&VIE$i6{{u%2pmFXEB+otwvAH0$` z6To3|RaO~U1E^Ij@jYS?W!Ik!8Q>_*(AEbqpT55TLnYOaY_>90cBPpEKjv8(4ssJ7 zKIN}JNXjTvXMMePHMe&uzIV=5euTSf=dZ*|pW7!8G5+7c`|o9*)d96t<&}lUa&XtWvf!!367LjA-fw@UXBUDh`<_{o zM`DF50XNCv3ir72H)J3FQ~Up=XynAzy{ZaEC&^;Aw8T{?*vAf zBL*(}B+<6XNBy=<&Sr(;ne^X@%)(H)brT``cWOJ1d#lEvH-fX7ywUu6sRl(v1#2yL z^N$UqSPR=8i7l3%Ygn{`HmVc9@R^tXEKV{8z_dBu;cD-&40_`#&dfuOw@ae;z*@#P z-rdZIW%hSJKll$+>os*u_zue9o;mVN)Oy|7ELBn~FX%#D$}&s3EE=x`2}$ar;9bN> zlbK;X7&*}=u-go8>QYVZYi`&MVY$osd^dPt3R0xC&KHh(c285~ zq076{NYW-z`aJ!`Z~hBf!K8#kQ|s!iOn=yY!LvGx`U8$6ejjbEwlO*yG>gFmx@;s% z(SynxBjBN)`s9X-dCLClB@+k3&m5p%?7;Q?!4!F6CH%vPs2xrEjhef-jzjufgtP~C zfA-XwmA}y&r@X8*9P7jQVgojBp(|o&=DZ(8*Y_4Bo3?B+ch`C>J7@-fQG&6RvrK<# zi8f%=d+VlPzkARwjQX`9F9la zCZyUw5^5T@&>3_8;{FsDS5|LWp66aRx5#~kd}omFO4WbCc%~ey_~Q^ke>vhQtpGr8 z+=W_sWAq~rwcSeEoy&UgNJYld<*(4Urp|9xN)B3>xjs?Y#RM6iBY52Ehpjzy7LIVd z!7@Fr(eb}(FExHZ6FuBoMv4y%xYEdQW%&Abb4XW463V8WxOd=*JrVgI|GL)dL?v%x zM$@!+P=GCN)N*w5d8gi9(mpOuR4~rrC^){h@H_c*dw4qVhqjleE;(N{--DAIhiLAzkZ$)old`bY0(*SMAQcBTh+=5$!WFMOeUiw207r`^2iIoI)OZw;rj?FKsY zA+6u;Q(HQwVal&9QP@JXsz-+$2uqkA1v3xz*YQnx8|iRXGHEN< z58h@!bO~0ECKuA~)<NRDvQ!GuUMQBpkT5gVQaB1(t_NPV4GAo%3kM5x+zX-h$ z^-LN9T*2gS&Ku92ht92i#u~|dmzYx99Mc02EGaDG_c+Y~{8nCr0ro+S_fZu5iaKP( zT=}fDZ62Q(k3!q@K-9pg-_4+fX?4@7(-Z@1d$ zwi!zn1YX)9OV{M8SNJuKdOXBO=B-P+Q6K+l8 zWj@R#?-*N1F9=?G5#x1wV?^0J#)*LgP*xo!8MB+j>b^1RaRXw{CN8)V|2R*f>fK; zJ-dlR{EVcG3ia;Z`=yA_d+T`3?P!GDgnYg;=le3eKMY?iw>Fdxg;*y?*cFS09Jb0GkREr zKl-M|bJ>C~(_GKNy`;Fp3v;B9Q-xWIcd)@*e$Uaj%*&15+NYw%a%WfjYq`7uN|Sn0 zR*YNOcMCtgjx+RAGqyi&ss2|`xK8lIEyjz?nq+F2;0(+HNz8vy41R{9yX?u;_J=2F z(p315Hf)kgsvZ2qKq7X_8e0FAReo%J{=`u$ZD>WPPsww_|20MK{JS2o{Iq|x5@c$-)lURD znxOLc8s)zw%#n+4kodUt4*(RD$49Sc>!zzH60brVrb$9#PnX$HU7M<@pnJ@S*oh+a=B#d#E zewMu|3#mrBk2(3ZZ;-Ll%>0$0XO4|HI+hBa(98RK-}R2K58D}@)%W81H^H{ zQiw>unzQZ1irG*yzv|2azAU^1NT`kU_EDn5+gg<^qvjGJv-g3oI5;>yp!_l diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.kpt b/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.kpt deleted file mode 100644 index 73afc77cce3987b44386c824647e1f5ad09811f6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 220 zcmV<203-jb4*>uG0001ZoTZUbYr-%Th2Q%t4tZbOq~en;D2(A?;4I9?65A^aUDGT{ z1z|tlx`1P-AozOE{l0VI&RXNEW(zGl%GrAUCSJ<8?hL~z*pqdt*E!=cXZRkZ^@IDH zzJ~#pOH3zE3+3?JF|;@XA&ZjlSO>710qa=aBlP-f0m4 diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.logdb b/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.logdb deleted file mode 100644 index 626799f..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.rdb b/CPLD-old/MAX/MAXV/db/RAM2GS.cmp.rdb deleted file mode 100644 index 4c11aeb820cb3494a0e0673b0b38efcfe35ed518..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15283 zcmV;kJ50n8000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*H7y0RR91000a+00000 z0071U00000006T)00000004La?0svDWJz{bHL$?84b1H96V`BdXJ@8ocdEKFtGXV& zTI8&(>gt-U*JM^#PwyHfx3X?kYsnyE1u*4rDBY_0AWEuWp|KTBIejp(P z0s#__KVW1e%OIn*Wqx>BjE(JG58oG$8|UVYeAOeXXEv|8I^)JYapJ^@6DLlbc)j=D zd++@r{=SF5DLl7sO??DE#pdZ*tsb^(%|=j)&YE%ik0JK0wYS#@B%fP<3UA*<>Oa8W z?|1$E9^U_-`TJe`@{c+F_IvOB7=C^XxqS-B_iCrnTb-!Y4iKs}j)OuYte<})idClN zy@Je;;amLgkK?aY*vhY$|0qJOc8LFf7#M#LznjrxDm9Q z&3fyNpx!*LRfD5Wqe>38g428YdR=QL`xwKyPMt$|!l^(%`K zy?Yi%tycX!Xmt+07FFB9?RHa914f><6yeooRJhP^JO00xzs-{IL=y;cnm>QR7Sl|$%oCLDSrxc#iw zJ^^b1c3RVAeFJ3(+rhc5{2JH|#AI`;34~`INQf4S+mf}q>NL+=!D-m&9EH_(Ck8JM z&TTnZ>A<3*ZW~^Zw~&Ld14`oBH^}>1s~y)4I_+lMnhAp4dK4nVI68ua7#`miMNEpO z2tDe=O6Cruc37*oW^Su?VB5%pdh=OO?wp>6@p-0!AmRt`cMHvA>UYpo`FHa#Ac#~c zHT9?Qvj?3)@m>!*$5Bgt>H6>1k0S!=hAJod>w=lQe(*U!p=VE}g+6LiY`g-)F6SbG zu35-z0-MctvoXCI9fh5GJ6Nwb56~l(`{ev0bN&$iv+Yg(PCh6V^xUun)r5!D&1zFp zwN?+$T2R@vX4KcN|7fo~pVg=dWnumdf~qh(<$hfQrSwLN;wb);mg3Fkv5rmuf9q8k zi(l`+sOq#Tr{P&JJsn5kVWnkSVnta#UxJ-GJ^d`MwWG|JqoY8ZnbTW^xA%kTs(HO_ zu^!dhy|5zfh0%ts)9XMBL%BzJ6yqobA4N!+;4N4@uLkAw2FwVAwK@J|JI!H;0y|~e z|Frf0kLRy@4DcJ;_GgQDP;0|TRG1%Z>}4>2*kHC;IfAgc@YjwiepO>kRQwj~(XfY2 zaF^NA__06%jo3!B9l%J1rPM$pt~G)%cx$V?uFUKi3OpV%=acx=y-4RwnZ1Cvh!jxQ ze;3G&GL471vHXQrD>{V%tGQGtZ>g{1KhJOo!bA1|!>5UXqR=jOQ%7; z69Z4w>?Al82Yy|*ezqHHVfEBiY%J6!-_mKQ>vqF9tkq zVTjnlI6V~$b7F?n*oWSZAsd=bLOt>-f_^Q4!7XxppqvRM^o+e2r?R~|^VE^{gSH0-_A)pzOEfcV-9?ZMioEu!5?OMI|4edRGJ7lfVuC>o)t*)Yp!gUwj z4<69lq8bc5KyeN3E;?y~_OoWt=$sxzXj^qSNZ1JJl(BK>ywSjr1Xc^u)Zlrl!jmOn z=q-cGN{Ls?y3USkPb0YS&d(yc`jlgaSX*aNwRQx59uR`kAgnhVXvupbLrnx7bFJE; zauW8;zujzO9C+cp;WUEcAjNbP!QD2ks3L1nYLa_WgYz=3o}4F2gS=I4S~+?eHvB$H z=!Ec~wPdKiyup{sjK($EJY*8W+{?>j-+)?+)CYr?xdf;i32F zZDx}yYfAr5-F>};sA99xfYY`1l+sx|iK_3ksH|64R`7r70VTTg`!$`KI@3#*HE4VB zhvP&&D4M~TYUb;wtz%&#-|2<6oHdz8$bsEE3P66+`KLz?wk8pgd1y*LXSyB~O$^*j zMNJ|GbAV#6+%syxoOlvd`|*sNKM#zzs0O44OE-qh*GWX0TN8df5B$(ggW~^vGFIaR z_HL~)y@PQj$7K{lPYZt&(Z&0BAGKfx-(77U<4@1iw(hRi+7CMicXbbax465xdKbP+ z{_Wq@v&Y))X&`3KY7H7Yc*y1#@C#E!kG;yYESilTG$`xP`sRlHr3v$2wE^-mWM%sC zRXs&bg9uaRJ@Qi~z?kMF$qvmBnv-joX~dK_h6SrpJ;W^T;UU7D4t~f0Ha2|Dua6^mxkB^3<}hwBTp%wzU2%GE3~Bj61Sd-{8SX#_~b+bCwuJT_slQK2&Vi zf2v48{=;1N@yi2D$w3Yo8mW_xO3$#fug>yf(hWTi-O!|7x@^h!jaWj#V5W&Z8%N8f z=$I~}I4E}yMjwZ}4`g6MFdD!h}rK2~S7V6oW);B2p8P znuye(Rx-->NsF4as7Z?&rNs<_{XTC|FeLFqWocnjrVF zZo(Gt5bpiU7S-%jyQ2?l&7@Rv^Jj z)Hpb89=bK)5evjouTSE8#Z40Q;*5-+a7l8bS9rpuUYwEf6D~<^^a@Y7)QdARe!?Zm zjb7mimwItV#!t8;xzQ^;;ZiTo$oL7DBsY46CtT{q85uv}lH^9O@PtdfI3wdHT$0@A z6`pXZ7iVPrgiDeey}};^F2SXShfaMi({*b~u;W7?;x$ zG`q{q{%%K?K3LIBKIpCTtr?brkJ|o9@?@pGf0nVUGN|g{{+rC&W8WzPqAn(f2=qR{ zU~-5+@AaQf>bO}z@AWF4;7};L(Ok%whBYbh^ZsdD6H4E&T5(cyAKaQVngj+2cW7dZ zP&;no%upB+VZGP(3DwCDbyCT9`mBIaN103j-W$jVS4DpgL366>tHBN|x;S_c;t2Ep z)uncC@jq#k*|0iN(^m^u_`wx`OQLy1ttrGqoP>@N=xyiy3-OysncWOT!v8n^)R)Q2 z)YOCr6CQB0<3tW7JebJAq+giyjT8G|Vk1qu!}p0v05b8=9nL$NaJ)W_K;@-1C7d9| zOIHS*422^%)H%!FhRApS{dawq#xy{NI#_jc@=hWwrN!yXIB%JE z6jg(E95!0GFb5Yi;edCZ&>9DEr_o>`cw(w?Er-YS^63L+5o=E7#DS_j--)Mm0=%hj zCAwn|HNwg7jn3-ZC1cza{*ASa|)tLKqgfued1QFWP*T3$zXqy zW;x|x`1)GaHxB8fQ&VnTwBn9F(zn^*g;6KXXDvl+yLlGmac*z>zG!zl|9a9=#PNM{luelG4yIJGe9%2&6(v7H@KK*yQ3cHl4}EVVR4=ZcRZ~u#G6M zSA_fNaESE?Cn?>`xqZC)VxxgcS039p0=4YAvi_~aOGmXi#0!spA{dcr=KVzk<=Ig< zNU0rncrzT;vpbI}xRd^}8^yeEFFNEg(wRr;y`en7mT2GaFo#)V&yAGn1S&2;ct&VVEAL1_lT9CXW7B z>8;LCM<(~A0EyrGhlKY~!04YMc52Wb6cFZe6#N!2{5_)aP*6ecSHc70pHkMnzPAhK zK6W&l)U`O}PL&t+9Chl!bg+f7W1({#(mN>ImgUsS5H#ajolM7ZlHH;kdbNOI);chN}S6wb#-VJg=`OV;QbP%lR z8*z0)bgnp?@@b8zg6+`WA;^whpX02-*!cFEXVdlQDK0Ep(^r!8250gI;c2aIu6Sjy zfMcM8eT|Ku^});fom%?dR(^i=D|@-w+2TDNOVZ33atk|A>xOy6^`M5~sfOZN&OJp< z9le=bSPJe2z#iNQ7H5N30%Omx-_V#DsOR&n1I857wAn zwJ4&Qbw*^!DC5fsHV$=qdVC6-n>&vyyE~89N|i^umDQc?y-KlATGJ3bY;@=7NWZWb z+%XM+;c0pb@W?O)x45&lyRiv;<&E|2!rr6OT4iHZL8|jbmlyI&bBnp${NlpgOl~2+ zoVz!-kXx9&w>&?up(MgyVK&mW?ZV3DT4m)?x$0-V?3L(9FYb*GlD$oo&S6`4Il7l+;VAR%CJ+rpW_6Q7P`A zj^2E|~e+;U-yc zJ=)ycV4=2=waknuMv^d&RUPeG$SMl`?&ii?319ILYtGanPJ8rG?0w;v_#AhJpbW8@}z4;{{LIX~dGPyc6 zgR>Wrb4&e*WZ%-$?LK@Su!DLtg6&S#Nd}*>DWs&xu&4q9>6Ig1SiKciPjJsI?yhLH z8;Pw+s|Oc9JCUPlfQXcV%cY6CR?yUKd5FN%Up!d5xT9oxx2S-XUGx|i^U?disH0fD z3p1u(2>WDxexXD8a+kO&Hb4O#^=sKcn4%ZuR=A%7`D9lPDE{=Pja>UGQkl?5Tzb0>%(pj;P_Y467 zdagJ0ykUue&i8>ftxiDa{LpNoSwd!e0~^e)v@XO3lVV$o9OLO>y3`KxB}AC&YN$bv zfKn=Q!DK^}1~81V_TV()k_v}XsUc%-yy#%Resu7TfD*$yY7B$W%3V+mTh$OV8V;>U z@UlzLI&V}@;%1}SX$94K6vlV!+>)72whVYYpTnOee5iD$ejSfoi0VrB6P9ElM$4u% z`D_BDoxRnlURSsBR>L?x531ZmCX(e$GPZOcWanI8WU1|e*jsdavB(=!J8Yj;@gHIB zK89IABdP8W;cKb5`DOgzVIjI;RlFLB-Mgp5bad#F8gx3EBC#+(r(cN34mna#%r8H) zU<$^mV&Ufs9@>kEgYASu8mL-ei{uvG@Nl5BS?nI4TTZMvFSqh*>?T}gIy=Zo$3jy~ z?{K-vX3^w9ueQ}_U7sk$NO0GFc8OTtjm9CtnFU?3&Romkqc zh`K`*<~F;@Z%St`JKWsjVsHoly^b&*3Wt?AAqY}tMB5=o_)hOE&6?zl$it(WUltJ< zj@(&T5)m*Ek)?@X#{(1+LyAb&`m<*I4u0^Ev1oSRgwQ5e8GTcIQ9YPIB*+v6>5858 zCha0=q*pc>Lw|}$ol&|l_Yp}QqRUeW>+R0bX{CYtzbmI-i&go$;wshV=^4H^+Rs&? z*LxJ292l)wJ~q*O-H$Msi}hDZx4CJ%OS)4dNrIKozvKAJU=?020=ZA1M|Rg>|mvbvpPlv-~4Zb8GRUiu3S zdz{M|e#*aWF7_R1C3gmL1iN!hI7a|{aYHcEtGr_BM5-E2^43z#?L-2aa?Rr@=C;r=#-~tH3lo%j$JRe}Nxta?E=q zMpEGT$2(~yvX3Lp2=g9l`m7OR^)x21Thr=x+hQ%Qc4}=qdhbb|P-D6(baANYEtjC7 zjW^-Lh_%yX-&2636r=2*b;^lW_N2AC8mp?WX*W7+B$%2OcP?YjJ_#XAHOn))XxCGNUN?SyU<(}G}z>{zQ)1E zD<`D7-$_WJ{wzFi1%@7d*WOC!h>P7dk`$X#FO>a6lrnc1b8|4}$mqh+%hRob*xOyz zu^Ruda2yk?W938$Z&MVo*=W=c!>3X3l_+i|*CS|m#hsQWHC0Ecm%9MKn8bLV z;LyO>;t0yiNS~2oU@~R2UbGaF)C-xn3ANLv-rK=+0Ow!SP64eC2T{c7FSP(fXdhEL zCC#Qt)Cz3qYBvTN=efq)Bl-=66a>UU;rKYl%A6WRgiR(qsMTxj^Hlv_C<{%u%3`BY zYuDfkbLz7kd7P*03e1q3^B-lnSc7ssdKQ!tyEVnx)Cz9jlab8{2`y$M>UxZ!jv*VIc9 z_{>V>VE5!48&AO3@_7RrI5=a-ZmF1ZKk9)$<4rPW)u#}rUP!ggB^jZwcFyX^j{ZHB z&j|QF@55JulS^%x8Iicx1hRs3I_WjCxGvx9N<{d}rqsh5D5vP?7v&Ir8(2l39s zg6f1nUGZr;(9wc-0L8;G5k*P8biL6yP-5m|y)Qu;St6z8%!wj>IXan?Oc{Q~=4g^6 z8Fw9z(jUtlK0j#2)nv056kg$JvxaF8Of8&YM-@7c!~%UB#;haLep2<4dieohy@{zD zS_0Iw&4w;D6-;Wi8XJkR2|AqPX?4!fK;WIi$T@CLch2BF1?Pi1a1K5ymyDw)RkqJL z6#7~Uz2+SHIBExXR@e3`#m!Q~my#{YWG+)enedLrNSkR2!_Jy7Jy$eXgJydJt4Xj1 z%3Pd*FL+XP(u{#99Mz6Hyfgy}pjE{dIx}9Ovfkw;ICLRp)U;jP!#to`qZsjA?9gd| zPlv(>%Z|FxviEfy#+QjQ`Ai9tt{5 z^uP*a-*|!XoX(1!h+85GR}?!|L<3MTcU2H|h zc+t?6ZY2YFsfL|4Jxh=xWk6zjXf}>9(HA9o!wOM`FLy+%O=t#1isz{p`W`muPgPpB zuEq_tj}!)s>k`!UX7kKoBMsKY|n`V1wFIZ<=-R-nardKeT)ISZ!ZY8YbHt`R3J2roT>qi86X!zX0AaO=`(6?K}=fODxK{ zSzOy3I#c#&hT8JZgS|AZQdne|3PKOY3vAvSaFiTF&vR&w9VFOiGO$X?UOD^@_M+-Z zqxN;XDd5BSz7p0s$~r_4Pd0|)i{2OJu$khF8pn2B5UE`>?M{Xht6n4=LW0ydg5ARO zY8+yzksD)5w#YC|E<5&n{G`0`_{lnSFcpz<5kweq>A@!8@T9a?>LtKem3{f7cGOP9 z9)UAE2q1#~V)z{OTU7nZFkR()+)jhn4jGXGn%4w-bvkba|ULQ$rMM%J;Hp-XZ_ zhN|=S7Bw+B!WHS63zUiS7U9nhZ10|L$x+rqdh28O~(DdOl8?6~Ew$$EG zFbZo(1`mGck&u}yb+*ZY3QB-p3Q__ey>WEGeAh^|NGE7zS!6g5q^41-13}@1SLGTTaq(O#7QoVDQ9_yMpFT8(J zt-DpOU`&IT3_hieUp$HI2Ed-#z6{ic4R$ezJ@he?f2ydd7q&zz7g!OeECSnkcr*vy zXXHN~5xidCEwvIA=_x#jTso#U%qbGoa!chxF*I=mB;VPx%NR1l>TNmYkW#Ifw}$*2 z23s2YK;66ylx9UInw0K%-I)Z;h1Cg!P=sKt@tNsZO?29LO25seu$P}jXKiEYUk>m6 z6yD5H3d4|1vB6e5U53<)8o4Vs#MYy?X-jjVYvR+8O+|*;x2h#T7}T)2aDhS6_S3pF z{l;ZIj?E*ZRBPJ;6o^+z>T=5h$nY!pjIq`9R(J+4!ZD-(cud_( zano2*Eim=+G5`wpoS;{Q02%S@o>tn54r`rLjg`VL-|2t1gSMne+b*qefi8_c7{~ZQ z?sc+%7fk^_c=+ZPfzVtjV}cCp5YPEl@6$}3HAFuJ#+EhX8RM(+U=vuQgI#Z2n;asR z+Z}W-m~mR)UR59}%Y#nKWI*ohD9@Gq>M-mf(r92bU077aKpZl66DJfQ^Gj$bo|HLQ*w z(XUi0di|oRfgrWxzV9Yvc4=JcHNq6Z6kv)HiPo3TP4jd zC{H6B&*$)GDVLpC&*jxdk{vr;=mp^NE|aD$w!E0^{l@_Sp1uD#OU9T{?5eS_jYkD= z@Bfm6R)b`95}|F_G?=P=7g#G#VE5q}a&ZrpN_qu03Fv+JfMJ&&p`qVy1pvpxj*cf5 zkmyBhqYYkH(JHGT6C|_DoEGgEobWGTOyzKbseAP|(|OM@pikZ)RI@vVnS`G=RHe>5 zpyqsYudr_5n0yv+JR3pO&ufS-pv$ERjp0`mSM`X)Y{&FuXW-{(mE&R2FS+R5 zs%aW5ZvP}%47Wz`GJf$`LF1kEI)<|OHaw_Jnk*PlxY#F|*dN44u97!9U^FPl*y&ky zGn&(%U&4>=l3<~vzR{%6@}gnMTC+^S_#F=Q2a=7YU}}Yqjv?8}GKJvLnTyRXh+~h8 zF}xllaw_haM`a!e1Fi_q+*fD+l18HH(TKkoD>7(y$q4P<1z>bOV`m+h_O0i;04@a2TV@;NVLV@_~X&^(u6 zV;7%Ava#@aPSR{F5t1Zm&a$x!VB9p%OPY;BA4n1b1ouTz$HM1(l4ie#@bg)S_PA+Y z5H!yVfe^uL)pu2dDC$`FyeMfFycKSCTr15SW^Wa`6|`TVvvp5K3!<|%Ar+hq zUryO2L`w~QPq0@6{X~me89S$?inbHVT@vdjT9is?k@1L!)X~-f(N+7RnF%!_C>6o+ z5G|FookARnXg~eqAzEtb1iI}@DF@{|S4#>&Pg!&lT0rJ7z8l!~ArTCi6Dh}iLP zTIy+gEI@DZ5IhwPaqL7diOywF&?Fe?=d>VNz>bI0%aUlpGC_!+XhR-rMI^gcqB-c= zeYjyrV_UKAw%)QKcx%XHI(vR6(;1Re&F$*iA!&A7?upl)(Zak1bs{Ka#{^YbvwiqA z(M&HzqgX7I@ry@gE@<+LMwWh6SP^}_jMhY#B+>TZf!@-QEtMiVYj|7}rzstc2anej zpB1C$MQanh%TgpnmqAgIYj1<&^k=<*vg!FYOoqx+Y1AyDXWI=Ec)eEiopN* z1&?y{l{Va>Asu}=2iJ>0QtV)5Ar|13+xSTqOnm}h)J`^2BD+|_uG{|@zV~*GQCN86 zJbv&H)}S$q{ji zh>Tij3IAL$K#uD2eqk4_;R7fEa)dZ1Y#vQXypp!OZb~CzL9=gdXkmT_HF|OK^AA!@N-anX#mgpi!Z8N0KCt2zo~s`{^tF{ zFB-fk{`s;u;_j&azyKlwW{jAb~#TVn^8>;?!JMfWB?Ec1i7pOpWy z;!mEHieFyC4<6S3z(Jp>a>Cw_;ggj9lC`gN-|Aai4_Wrq?n@TVU-v>dZm90BYRGF# zzo`nZrV*A!aZ$GeEB~fN|0)_jieJ{1&(e!_J+Sl!K(@b8 z!>I!BWeB6^DjR;Il+2H_^!@>lV1!`L4M~1d`V{Lf(CaZtqhx2=i7nZLOjd~IE`p-v z-?Z#YQM+LA$2o2Paq#pCx1pG+>}yy7@ByFd*BxHU#l0+=y^wX$*0W;ICL;900A-MB zt5R@fI0eL)A#~yBW&n*{oXULgqoR0bJ%C}2G=rB-!MZep=pzMd1V2RZ##xi%YhO(< zm^acnx+EL~LTvoJ5q$ih@TMPD^^>%VmA}7}OOKT6(vBJXn2mt1muwfnl-3d}#-<8_ZT#<@oQ1I~KM};k<*K{5%T8Vcnk_O{!E!@6 zZTq{T?96Gk7SG@Z4~v}Lh|N`yDb85*?tq;a0K&DE;wUo2;34QRTfhZd-LViZ#5R%~ zw8NKUdz!L?aUscXf2@QMHNs%FZ?VoG;|ilWghjD9lNtd${skB=U$U=+!~T*}{F%!W zrqm}5`nQu4$loYU`(({IC&l=P65Wo_DuyVsN;rCE>^)A6a4uCHR(N zR^n+HA5~)hUhvIOrI>})K6bn_KC*;^Rq#!SyK}~mk0@iYyXMS>DOjaC4pE_Jyi*1s zcwCy^`rN@tmDC-!*H<}c7rIW>NIG(+a4ts|y7s( zVib&Hg9}kEDG}RyiU@UcWlxF7%`ABg1c$6!3akmCh!E;Tv>PFqRCwcU!-_7UP{d$Y zG!U#Lv=hqXX~l{xqfk^h+ryI(xx#Ubgc-3`gc&Xg4Wmqt!dLAIgfY@(gi6sRT4A~( z?TXMb(q)87(UtA#4ZrL#Xnb_p6_JWA(Kgfk8h?AVtCbX8qHU(>vP0@I^2^ASqRZdg zzr43qc3M=ZcBdg-hx6b1gy<>kHAjq9Yq$cdsLtHfzEyu4TZ@^w;R zBtlV;Ro9w=)g4Viw{SQ%yATnLSPeom+eVaCfYwvkO~atE7YE11X1#OT7;*T;g4lJg zD%?{yTmSBONfYWhpH~WGdFXnQrBJ+@DM+aji&1iS353A=qq*?7TR?>tiZnd0;G^=&TN}90I zJx5_CO4rutSUYUH30_rcpB}-sgz*w*dIZ;dcU9?FtciKU7Dt5(UAHx14{1=&`)&7ijci@6GlEw`FBp^ELA%g(TL=rnCZ895-54vu9;BiwM9fPW63Bt%;FWIa^d5cUxR;R& zR_`|c@DQ(m*$D|*Fq+xnav>`i*FM3yAoQ^9T!nzL)4J{!vXHT%9Urb;Hic5P{r9+w zFSMuatOW_WgHBejjIAHE$NZ`$BV4$q$x!T-g=)uy<0DL%&h|nX!LNj{3p#DQ?fD5x zi>H5kw&!Lmj){S4H^=Nib!;57r;sbc#1O5UFgrvWA7O?9MVJ_#brW_$cg-cLQW(wm zvRzgpV|Ky7_&6riwe8b=!f%&;jE^v3Hrozg5H?Cb;`4*M9)Ysb)7V-R>fHAEH$a8Z z=(dx;0V;&;YkT<{pu)5sSAi~c-JSbV#^@F0w-(lpQ8sKT*J64v3G>&QI)aWdwTva9 zY8SGd)3~S*dew6p__(N$N;KQ;jEf4XF|&QlxTp{s(;6^0Ae};ET5IJ7s1O>{b2Z1f zYQ)F_J37y`71UFu)zlpGLG7>>BO1@OF4VExaTR6RA%UUHdU^OdHp+yKUCcV|3}tde zFgD7B-nG_=kZePl91@I;vOeyI>+YGCjr2HMK=5R2J+s}_sN^|2E;4l^2Ondr8>yAE zJ(QtLP8E-hGO4Mvy_TU&It9i?nb6+W@)J^}DSKO2Q#F7RSv($ z!8A7VG}cCTgCvP@7jAq4Z+lUE%6oZh%?7R`Y1LZouu+Zh z0gu;jODPc7QE*G@cC#H6o`$u0cu+S<{lYL@T0-S#?gjh!#WQ>&Y*V>Nq7ifA)yten z2+GxyQykD8?8UX?W9%s1Xe2u=hohO8P|z#Dr1G1|k5>BI$bNHwwOm=N%#{$vGaTJa zSSnv2Aq$K%bj~0tO5h&WaO-wlJvk4yn}LWE=EtsZa&(Z-f7g&gUzCW{RmRp<9ND0y@*dpaMylziC(~$qPm;C zcoJgQa)OPt?S|ENa1nG8_ff<>=-_&5QEu#VMl2q-n9bS&_yKMtvGkLDvsBp1ua^ll zHAUX%7O;&Q|Glna^qFs>^A(ny^qrWYJTWd}8~0+)Bxgi^Hn@|Y6%mVzfLIg}IYi`a z1jU2^;(LlnR>HGp{0@Hbkg>Q>{+p<(`}nJ%cG}-mUzEHdYnwCGrkGnY1?h@40Hi@A zz0B*!fXdLHB2v?sF3f#IqA+*}S_$jz&e3TFSFlHw)33#k3@D7TpvK7*m< z;A$|mT?#<)8VoJ%kHOG#$TApO4!s6LOOtyrv@|vcLra(aU})(_?+MMCb$1F5WOim< zOE==26q3|E_CDMRG|5OWFzQB}NFNP3E(h<&=oDUeDPCU^EM7S>=_VqA&0VZF&7GiaCE~!2$kJN>6WTifn4*@*1=Iv6D1lY1} zC}3N_p@3}xhXS^hG8C|_l%asFG!F%ArLG?^M@Xr4A-#A^B;6-p41yh|Iw%z4Mx1`M z8qg824pYSj>o65;K!>Sb13FCQ8qi^?)_@LEu?E!k1w-)Fb_D%El@y2ze1?G?roO1y z(?`(sk}cg6*`u`k<*#wt^H=*pl2_uO+QFd7dB%f4OYYq3VL*lFrdRn@y{;z5Yw~r) zImcOMXMq`5TLHts+7>emtZg#Gz}hx546JQ5!@$~BGYqV4Hhp2q!L(tVJ|v5M&4aKp zjru_d53z}3uvpFO_2xlX=SO{Ms(z>YrrC+BQGoNU4)s?KT+P?bS|btz%Q=p!)I%@N zX0h`2y~>mM%C>O*8xJ!FrbUjhgQ(#gJnSFsA_Cc0%5ai}@+2{jh02qBW!oU;XXD$y zcpm@wz4zV&9z3=j^OYyL%Cmhdp1ia*^dT z^&mi)V!gQeWi!sDXz0ggV=vZbHg=)b#j`PEtbdo3#&T><)rxTb&KYm(Cy{UYyiuJA zs`V(0-w5oZ$APET zeItwWc9My$AG_F)Y_O*fUA%sb$R_NXvf0>qewB?W(#5ke%pO@0(Mxg>P4kCYwwaQ$ zJht^*VGHSx%Cv&-W~nlak(JpW)kqcAAC^#6u+0mC16wk z*Aw@zJaJ@H_p_2jxIA1a`8f3*559t@yuaju`^AI@4&U#4;EvqqB;@EzqNYMmT0|K> zJ2)!R?#BoBzdUI-l7udWYYfT9Dc5XWoJ-;2-uCe7S3LRtnkR1B6Zan+>Llc(Gl`n= z(c-Jif9a_sU)s7uorHcd3Aw=ENN9Fgelm%3aPI5qaevvv(|_YBN8nH=p&$3qQt{yP z)wwUur#C+3$>TpI6u7{=2kx`(a}p|f;Leh-_-I;yPuASmB!O3U{~*EQ;CyL+%LC_Y z3qR$l4B{@qL(9(&Ny}F~Y43P=^`BjKNyx`PpKN%tnEKZV z78m#tPrg6rJ}04758S7duP)&0Q;fanfDrmysW<&HY<)cP(DDl&e8261^YtnJ!&7hH z@ZkHXCyry2?&m*x^8GZ2PwH`{bRqj~%Bze2iif^o(~bk~0WxtV=q{!E;*)zHeIN1Q z`<6qKgnVgzaUUNNpRa8Np0vN3P~ZZ-e0_1ZJovur&?F(B4OjLw>R<54hOdwE=_X%! z4?TJOj3+6{zvA3h)dB2OMa z?ZJoQW@z!*NI&Z#@JBqn`gsrB{w3i4z6alq2j4&UzOS(`2 z>}*>X=}Y@JJ+yd=;gvNXegDjZ&u1U}j3X-veaw^gH8AJ>-5(?S?k=A=`rS3Tq!A>dhO!O+@i^xc6KIb zw-aztUAc`liQq=nLF|Y1PNZL1l)Yv@+6>;pj#^QhH0ld}O@@?|jmFa`ZbL%TLS%fWLDSBov5q+f z{G+HqlbpOWvk?00<2CDf-eO|a$f@c zR;S*sozowoeeo!x@d0uocxg!B>c*P{pb?#l`un#XNQd2yQ(i-|jqqG~%pZQ3QxFCuns83~q_czx5n zyM2jOZ>D6bVh!{Qh@R(dP2HD0u~s(=E11o53#N%Z$Ge^8@K)KkR|57NvU7 z&&&8hRZl;w9Y#U9cH9W-X(r`n=H^WChhq{oY7RXYM91Wj{P4~$^C>l}`a20TDXBR# zeXQn^by`;nioOMK^UID&AIA5*&fUnAoAgQdTeh&;=sE0?jQsLQg(iWfw{R0}j5I0^ zA@eY@pSV~!-GLc#Nkt-GXF*nVfImbaA;%CF$G^Al}x`7(zI_@xyX^ZJ*XWC*K(zHc~Q!>|qrVX=ITA2-~ zc(pjSt5N1=E-s*s43?swfNECpe?l4_rrFg`pQV!<9;TD`<6)YyhKG(@ejZ8zb@{7U z)9M@nD%OYF6`PqYP_f>!*}Ef{+y4gu0RR7Z0aTJZ zO9Md=$LGvb6Z67o6hR9S1q*{Am`2>oZDP#bCAnOD#Do(ptgIBYuoASh(cUkhjR+z( zRw?`j3KrT}_yM%AadvMvl42Nk_c#BYR{|7>0YEdI+d&5*G)q$*i&iU^&2FF%%?FDL zz*4I=>%r3~8iVQ$!ywkCV-r=UPDNd%c{ikqKnP+Oa=y3y%R&+&q(~Y?S{JMmhGo3Z z-abB|gA^K*6^uq~;!vF$)O1y&_}}x2=*{?4oi0@$vJ)A*yvd<)Wf~#SioNUH0s>S+CENu diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.cmp0.ddb b/CPLD-old/MAX/MAXV/db/RAM2GS.cmp0.ddb deleted file mode 100644 index 62186e1a9d115af9a1934eb3436119715ad638c8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 79054 zcmV)2K+L}p000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*E&O3;+NC000d#0RR91 z005H(00000007Q30RR91004La%)NDV6<5?ZJQI@O?(T92*@IhgcP&MO6p96RO|ak| zTnhwuDee>q5`q*c*5Yn0P$0m3`?pWdy*Fu}=Uv}g?;r21m6LmCpR;}MBXj0l$8j9< z8y8RF7<>g+z+YbegnR$5{yhP!d}T9CE=?)%-!NDh0KD#afA*34s=g|}de#4*+Ir*n z#^(K5VODq4=Wkw^)n|p@|9{}k8=Lp%8-hpikV)D;^tz*Ps{8llejG3S8RYY0FHX|S zohNcfwM{2?p2?lhQYviE*=S!~Nv`7tyxjSxKZyB~b#YqdZwzUrC{K zqO_{~R@G5B6?J9V)Ph09i{eM&RGL&Zy}d|TP(j~`9#j;ln#$JR8df$^7}RG)MQK>^ z;B8ZHU-ITw-B(l;9@SQ1Qy9EyDGewL-c(c_U-ogw`4asX#sAs3hChn`xKi`IS#16W z{2%%FGuMB}?)HfP>+gR?~qiB59=I z4$9yTD%g1b<|j%%wvS!t%?zu!O8bVfnlT8kX5I;BX;7`Y_SNA#tYz>;Tn)j&Q!bqI z%P!HSUaf5V*mJ9u^nDDD!)ToA{J|W(EtUPHT!{Vmn|{{d_fAmUTYPsZ{}~%0$1B}YP zT&Ks3RQB1eA$H$uHLU_#EnxtMvQrq9cbuX`s|yQjS(#x`%U@vP02k(#)pw{?&2;wf zueZ5Ewa&NjD8ncO+D-HJqHYV<)Az;pSefI3CB9w&`5aWv(DO2#`@X7u{MbAz=$#Yf z2eq{0KVuqDEZq2<>eOf_v=b*Vf}^08n^4Q2U%1f7zlAinhA`*SIvJE23AOl@IYZeh z+0wd#PjqYcImg@&pEzCd2HlEEW#7*>%2huRXz~>d{S4&5Fd9xBOIiJ<5$-np%Lz&d z)-nQ86Sw|ea*$eNSXc<3|6%uj57r_fvP6z45TrxoXPvq`EKiC3dS-88{<%9zH^8&Hdr8nmDYmo0p4LKf*!o3Yjq0S2NIXH z;&Xk`d28srFss8)66!gs}Dt6;GU2o=rRnYuMyKl;UB3t#NT!)Zj+csf)e zLH?)OQ+>e|t1j3`TtBxx-gmlvVscL_|A%OMpJKTA7g*c9?;&NcTT!$oMgYp zu+lPZNgii8dm6vOQ%`U9v#HHkL5`IA4K(L7#GKCG4D+m?{bMHucC+RSyj@|@HCg@b z9YYk@oq(rtfS5g@y6s2(>>C{v_>Sg$Eb!d*R!fdww_DoPRE(_w?XPQJ(*2OOcD&CU zt-M;Pa7D8gXpcA)N!1%Rwx_0iW3^_Ia$V*R4(rA+=I%>v?@tk8ADA)CI;IoXU&wfK z8JGF)&1*W6s+Gvi(ke~2f;)@^f74IArj&^k7`=_CMe1?(GR%F0-q4s?D&^+m`2K<* z8pvFk5o}QB;U7=w&WgtNiyM`!3p&1Eg32`3f-$K387dbym(b3^iV%Y~0hs|z+ti-A zzTiZQ@2TwY7LIZeaz|WSLVL=p7;|&pi#az_aBZh(&ZX3&iUOm$T=Sq7_8r6cCEil{ zuA%~`=SD(1C6hJcgSPhbV#RB^rfO#j0-ljT5wFe&Ds*~0oz6PWdIlfDm7b{@{g@Ra zex-1_wx*n&sAdOit!}*nrb`d-=#QcF=a`%}l1EW6gDXFZ<|y7xT2IS+Cl|H2lSep^ zZ$h#7Qdpth=gv#`cV(?f@+gM9)(48$oR{pr$u*gIm1`Wdwj8air0+@J9bHHH(yq6D z(yZ-+)_j;hr_Sjo6nU?%-TPQ`>yGx8>g>bLa3F74I>ROP~0A0hpJXxOFLF~ur_GgsuIdYY3I=7wMs1|v|0kWGc_}U!uj#* z>HM5nYKaSPX@u5=E{7GGGe@ZUi%^_h5<>0P zhKo{pc%&Q|jn$>Qn#~=rx~^-^UvNERBeQ0}{JNP=Ja22Y)H=5y!Yr=rG%OTO zwYKN9Z)7^;nx(DijWG6DbFxd)DjoG;#$vW%#|?78UH0zvP3MkochFgrJ~=VutFCzD7D1ZYUvNW zJy7W6vAIcSP4DT?2s$W|lb7;{Pt$BPN*3`%3{ zpjm5Gu&KqpV6b$tCEyN^pd0_OlWAtBhl1SVMlukshxoqxnUQPOW)H0Aiqbm&jQGCe z$93}b9!L!vj-i@Y$6Fy#1g@MY>a$?ZiTr0MQJnI2)q&HjFx|Q-WD>!`Hf*J1TPjG( zEdo8^stmzKpr~P8ND|Gg?$}ODbmE0b%mV5WLGSX2=5EXz@7owYU z)`rlz-#xiSd`-0Q2qw|KR%Yf(S69t>I(ULFGwOWzsXMJ2yp}SBEVJTkU#o#`x8eW-wwLGfC!oCjCA=4xM?2ybbEC>o!$Cw0xdHm0xr0>Sp8wRP+e z-3Xpn2>42A){a7^2Pm}8_k=aDSXW-G#RqF^R!yR*tIi2>&R2@H;_$V9;A@(-)rG6M zc0tv+GB8;uIafBjO&dx*KaQvNT{~K%v`I38wK_1#kn3ydSwV8VM zNgC5zf|x6Xp0qk#$3!Yq ze~k6JaKlADe-`-_EY{=HR%#Vs+kf<4Zza~8Pez~@W~Dk4e!5JFvemUivYfNp=V1$9IG5wXxMcncX=&0RO0MGYwb*!DhBKEJ9sc_qP6!_F}^R~#?_o5Duw;$RB=7< zhN1ADD!RSpIou%wbKuVB{oAZ|b>r!rTry1LL7U@zXx^2oXIV{uQV(mB9?pFO>j`0? zoncos@!AFIA3C*PHc2W!nREf`&Mey`Rk*Andx&!CYL zK=JFIVRSg}COSQ|wAD%bT4rb@6_mR6-9`%PlSTUPPz^o=r`rS+{Rhsa=~?5%jFQ3- z{2p3w3=Jz(wSvlu$yrhnYyt#Zz-u1v)Pu?WOq~_c*jUAt&HtR-3%E6-aJ(n?B{lMM zkZBQGYq?u&TjdJU$csTEozOaYXiqZ!Sraqm3UVnzP9LHZ!&J&0r;&TW8ad)SxmHUg z(>Ak#0Uh6O>vgcQX`S0l+xZg=v?#Zh!q=;$nb0>H>pZ4n%s{aq&s;j#K33A~3a#}< z@vw1Nq1GE!(wwMSTa2W+6|FUEZw|F_9niFAv!fWbL1}f4(e&N+i82s74A&etZfEsyHRM?e%cu9 zDy3<6LgGRgnq}(Oru2sw(b$(`tSy@Jp1`#iexByj4l2Dcha|2$|2RRdZ!=`6FE`|6 zi6En276uBF|}ntE*GaC&yZ^AvGJ|}+EdFT z`W^z_VtyOS)nzeVYPre!tOesGMaQ^_B163=w6g@^NQDS}587obFQF`FJ*zdc&Qy@enspetvp2^Q3X2BU*Q})k=Lgtb-Gjl8 z;h{3w6XcB6acU!gH-A`84d3}o!iFeQ%RRwOqW|nU5K6JhX9kd=R7AjuQbzZxJ#==X{r#n!>fRVI& z_cZISn>WCF^1Q^Xd;T=V%T(A-Rj#>JkljI+L@+Tk2C|&a;8o$|zfifuADZ@7=)4mD zxknyH=4^M#UEmEy5rEeDYjmI-MK;p9CcCWzXoPDg`cO`}j=4MXF^$^U(jK$fC9w|#1lv=h8PZ*|kFwT-!+d6~gZ#E(zt{J=naOv^D=DyFSGl^Z)Z z*sA8sEfKVTf?D$I&D3NTD=V#)m~*ElC#`}RgY14|PFig=@<|LaE5K9lGPq+iB`irSp_=qmfqv=WF@TsAIk+t5`d`L?xg6Bed4Ou(zm{qD4`; z?0$Ks%3@9%t+mOJsT=&Uy?L6Zg($5xV_Qjt7G#e$GNaIb{_4*L7SmEQsu%F{<0!Vq znM}>A|A&APMh7La=u5u4G$-Fm3$i<*RPD&MX4iM^)x+4U zXboR-Hn$r>52}r(K?lFLa+b_>>U z)I^V9-(y-(7z|wfZ86Pl=}A4p>t;C97cg);`x0u?UV(Ygtg>Qbgdk7KJR{9+CHA?l z=ol-F*5|phd2VqZ>YaEa?OSlmvb4o^fU7#-FC!IA^4{+3-*L5 zI4=f0qN79AQ^mdP4if&w+#R%Tz4hF9E2&2AQT6go=jn5!iZ%xScrGXj+XLR(JCeft zD|cwijx6qQ5T@n@Z=QHWbDnJZDXWBTRRyN*&77MZ`#z;S5drqvKI^TzI==l7ecj>B z5k;O;#^z1zdTFm(?jhAPMq|#0))u|@w;zn@V_&)3$LhhXNsPT@M|NDG{o&|C>YiQ6 z{aVM^P`FfCgz37}Pigvw0Q*6a1J-V>^YuuGi=nlDI$xwx8JpO6s@Oq$iNPnWCon9gF}@&(JK?ad3&Jm-Kvu4?XQmq^#g{<^1%l~LQ9kq4;+nC#dNYL-~#7M_Ru~E`cZc`W;O1)S&mSgfg`(z*rIdHQ z>c=$Wcr+hu+(MK@&Rt4_rYLYvR*NXLCRa3bAx!m9^4N&Et`pkc`=F7u2(*!N+Ed>z z%PG^l0ajd%eF}756bvV<6Tt(b9FlV9XkR;z);uY5jFs7TP@mtFS`M=V2<<(PJ|mMd zHS#V=w^(I0@-&bsCE~DL=8KfhDsK z1Fn{U_HV1h=_W5)jv3R|`c6NST+iAyPWp14YP(L;&}k|R60@F!wUQ9oAEdNeFzIoJ z!D4Vei3>+hdalj5Wi8X37e&@N4&7`Yo5CIy)yw`de6E#^)gqpn2KA00fPXyohStw* zEKveoNr*o{5Bw*8Gb7i&roU?_a8uTrB#&y4J3nj9Svx$X{VKAzeWuU}>$8?S064Ii zW6b>am;!%lZy!io*&3s1^RUJ-HVc2lBk0^aTWBBDQ8E-Ro&ucfD0$OvzAL9jz5}s) zmRWO--e^m;zs;g%bq-s@wMl+q0G`$G0{KTj`&f=Z`$m}qRsroyzp{u%1qk1)%_Z8s zzMUPJzp9m&O(JTM*zE#bzn|VlpZDYz)+V#K62L!!wO3G!4ug#)27AK49*baRV%$#Y z-Sg>Ai^nomgq_;(pK+KQI{kn2H$!q!8B+`$yhp+8J>Uqa2{||pqCV8m)v1JIN>Il>4 z&U30UyRF?a(=qGGzmaz>|C;)yYcFG_u`IVBuLHS-fmhQ`Q@?GhljJO~{CpSW7O=KA zHdF8ouT^LP*KQg@tG=m)L*SD!lR*Bn5RzC(H{!V&h_1+wo|V17!W}+>0UZXx6}!0l z>o6FP)|FB83>`_g+fSjI^+s9zR7&w%j!Bq@fZ|1xa7y{Ww)ZCe<{6lL=XQsVIda;a z=S;O5kLqsa)b1d>>|*npgEl>&(Z!WoIN2zBH{=gydn60(ihmYKZtc=K-wLL0vGbS> z_RXbs{6ur#;Jwx_h&)`Cz;q(Cz7YDF_LXmAH*0ppdZSw(2Gc$$2E2JeyU8afOtC2@(_EZU?6!1bHXm;J< zlQjKe5lJ4+*;6G-9zyO3K%rU7`}n-Y=+tFGVS|BUTwWi0T;q0j-OKkqL#jSdUv9MS zx-YK%JWa4&xMdHkv$ohekiCi4WlLP5c$L)NnjpOsD-&oR$DnOj!Ibu=S|PGiW2A2V z03w%z@Z%?Kqow!NPL0y6M&TTjLL(8}L5D$%w08-z{-f>m$a-$tGLt8VT9=PRK-gtcq#!_Y!2HS?Yq z)<*^l=LXB|@USM>C|)<5Qhg~VoNH&1?Sg6(@s@Pql=X%J!v?~12{?QJRQI+RJMs$! z_SFJOy_M*J2bVle59=y0+I&U(Yf%jO4dA{BPE+x63Y?!Mm0oZmQtm&HPHSy`k=w4X zI)F71!`QZUIJHf?mC9F|Z=KcQ&vU0}Qy+bKjwbNXqGQ`@RvxYMBuv{m49%825y!5u zbf|sj=f)O-L#_=dS3o>v+v(JH)4Nk7{N0PSmr*YdbXRW01v=5J ziT!huHr9{Y-ab%^%?y}hHl3tB_f^vD$ss8AX7Yy7h#MOR$G@cvQA6w-%X(WQbO`bY zCD`OebjO*P?GlZ9t6Jl`G`s>wX${WHce_Mid{o-wjb|!Ce+TCW;VoLJr7Lc;cw(w9 z-h=6w)u5Xnjzv)E_l@i`ZFA+vNJXwyD8B*u;eg6^kEEOIgTbqmoXDRaBz%9jNHkV^(AF`);6Z6&IMRTWlzq(pw|~;fiXfcTO$rH zO?*M6_bc$*_|d-h5^@WZnzaIcb1hR34zjbOnAZ@3#d|)ZGV|2H1X`1&zxepH6bzhN z^^8tzhz2K)Mvj$JQ*?B@@H4W46*w+CQ(8FFe7K@K?kVA$gZ30q&Qz5R`V`KT0QyV- z_A@7qpln+k+4ssdvG7bwt}@UkE0v$z_t{Cs(ko9*q>)F0^M+i<=}}!bcDm?m4_GzQ4%(Q}Vq+^GDGOt^f?E81LaE4|WcIBD`>hb2nSN$#n8~?t zW_@>wQXgn*?`_b?a_^nvZozQ)QLDcVIf?QYDofsI;5kEJ%f4_CAyiL@>QG~AK$7I80d0DGz!Gz$IWBGA?uIYr7z z7H`N=*G9Oae5@PqDWxq?MFh*HY4hV0Ma7uVq9pl8%gwwvZ*hMud{|mf{_upV=T@zG z$E+GccShc51L<`Cz4WJtt{J-jUcgDy!Mc%Ilcv(#MVvS%e6rGUd`mC52pahr&NC`r z8v9|`5@Ai-)3_YPtceN_=1y(T`9Xo*YC$}hJD{~v=Th5GXDBcuQ~ctCQ=26>$G=K# z-&?G}5KP2j&JDu{O6rj=jooI00>>2?7reaX7=JJWPStd&?RSk8_%=V=k#s+h0p@1Z z(Sl8xu)=z#?ak{rj&U13#=WJFscA?PSsEy)<*wmYYSQW#sdQ=;z-jbCvF3pvL`S#2 zQ7K{m_$;_U#v^`syGF3NDNinYM%pEIfjHHy1-ca$@y#Wm@VUoo8nrsJy?L$Ie&lJ) zwDS=tE-imb3;$_k=SqCRDyYLh2U^Di=W|!Tp^=Gu$UwBa?#I%@&xKNxBWI~b^s-}u zgwY+9=D{#Nj(bXrIB{X(ffZ31LN{TijSMjQ_p2|_yZ5RWOrVFco0w^1I*VzBq$q4( z>M_@DRb#a5caYT6oZZYE!z7$aK9}is!g}H@TII$e{^UG_NnRbhM4i8?rTrIdF&Wl8 zLx6PS)^1k}Y!GRE)ysnO6Iw=*y5dnYLd&lq=SqPXkG5<4oZ{ott?Auxs2Cn&HGh?jeM(j z&@Z>&2$(aI3gF~u&5wN@Q@;@ej?lZQaVowg!w?@I^mURONf?X-SJ^;8vxa?K{CrH= zn|DJQCa*K`!r`+?)Hhr`b;!c17QvpO_umbx>g3UL$Xl0tjZ3K2f>Kv)pGiT3Pt%so zC#^<0Jp_REO_;4w?N_wyd4PTD%_IvJ6K~0m=3=pc6|ZPottR3vSOgJw7zKspLPx4c zxykb0a|P(6c>`RH=Z@4^GGG|_GHq<|2*ob`8!Gr@|=BxGeUZxta637s&U( zvY2+7jgu6!$L(Klhu2+W#ns`D^=6|51baOFE&cLy4`B^yP>^GCWS)Xxx!1p?`WqBD zRQnpgkQ3x^Zx!Tb?fD;})4<157|aCgMPqrJG^k{(fOer zb6jtA!X6H#HeoiLH`O{*#-hvUYtji;9L;$NI7)AD`S8z|6m&+#n6`-Fi-yP~X1+2P zX;Z7pLK}-9;w`nobbm0Qb$)AIJZqzF{yp=kIPW@t9!(56N5@%pk0wM814F;rGqsJ+uKw9Y^~F-n2Vw2K-Aek+Q;6V zP`y6}inwPag(Lez`21ac?Dij2+B?L9U@=J?7_>i%(c2zU;?L#nHVfuk@wL5?vy3fV znCt7kpzrIc*1n8a<_0Z5f%BAOaF5DC6h5HVA%EB;tO=Jbp^X%?qyb}c4U6@BuG`v@h+Se#II{V zjyvCa&uWog5S-!twqSGV`PUTpx>Acf{ExEf%q(2RIXv_Yb&S_uf=C<8r~s{XK=#`4 z?KQ=DtXkJ#jFM9~!drOm;>pOP+boyPLb75yHk~;Yf-T7~pIS~-odrTx2z)0I0OWHX z?4T~?;tFkTZX*w@F%z!1diPFx=%~JgRi&ieh>ElEtz*zmDmzrQ=5-3C)WuL=TXeD7 z70cGD=E8JDu2~@aJKS}}ogw6FO`r}sA>yJA&TfW@dW0FZ|z0!I^h3^g(x!op_)&tRccwry= zukVKm*e!Q$G?Y3~WqjM;>C5?5LO=J#d~He)jcdf%f6HE36;2R=GBl~?w}@b~(E2P4y6nb9O0Zbvwbc44@>6K7ID%-;S6}-t3bgySnqu|U z$Uh=y32Q0KMbg0d2I==4m@tP z*O{px7@J2AXzFR-X|922yX!X>a&_ie2%{4^|ouqBK}gNy=!u3WKVsTlfk~=zZe8tknRQb?KR9!+HWX{x{MVo<^poFa%9Cxs=M_%iHrgYDyj_vP?o&af9^Kfmp>rN+IL_1@e)fez zfia^5nHxK69b;AlKf7E_1xD`$fbkn*U?o0fZJg2$ITWPqE@#({6eAZ%c=mv@?7W zyf4XF);24w4l$+Z-b6}FiP5kV< z)u)MCqO{I$@dpz-v5Yy7eC=CV6c}>JplA-ufzgJXXBux){$=K*-+(dqM z?m`O8P_H!j26MK_>HO?gMHTpko|mvvgUwECAfx}VIQF)^W9`5%6Rd8mHR1dX@bIe| zhOzt4hcvL3>c`x!$oo@y9O%n->9GDGy~wM;X*F^dli!Gk{cc~5V}E`SBGdB&8hIol z{|$r)&AgKmzEsomV$6&rY+g9z?dhx~_sC=Q%>Y*hJ;LV69xqt%pEGIe7+RWkB31F( zXzkR<#cdig*!cMAC9Te)qQtGSK+sMM_FwgRMe)nWicqvO5K`vCl&|P&9tCEKUVX_U zC{=foQ^HT;5vEE##lU%SXm;S0^;FGN{TO;+F{o^tlkCv&z(&f?XIp@po3-cA=U=e* ziLo1L&nN|EovRL-*ioDWa3)Q==bJn5RJGk{7aD#*e4p8Sn&wnc8}pzmxypmJ3MgiM zK25*gS5NMNQoy{8-7#4=e0oLN)8zLhyRZhZuy+lv_&v1NJTjaTY%e5W_wt*d;QeU) zEVf`c?Q|4!mRs#dMrSG++08lD9k{i6a(@-gCDHW%IEg|os)U`78%c!n!n-=;!9C&H zJYb^hzE<0QFntZ|Zww2jcGYLo9hz>H(AnWW)N&8(7v8^}PV%0|}w#T=!z&d?{XR0kXL%KF$NQ>dY28O=G?unk^6_sr%N zuKL9_SN9e-5c%?^-SDz~Dd*kw)c=n~Rsx+oGCYk90MU7Nz3liJWG7(F zL$}VBc9O+U#nqJ@tp{V$vt-C+`t6u4=eKBoTtM zHRr#AHWIQs1iv+yBIi5EAh;I$thpGRr|}QhPk{FyHhuO4X znAN1zTKgMXlaZ00)vR@$w61Dr;uI8Y6oVYAE4-pXeFLNy#A8FXsDb*J0NhE!ZOw8ObB<)o%1lqfL~c{5os3?%w5*Mgg{v zdA&jeee|nmzN$`HCJH9;z)$fN^{;j>$T?^&`J2}_T^ucND#jW;u zUN7^2YuWrW>w8T_=`-UIGX1cmqI?t%Fot{@`< zg?6TWzxQ+9)SP?TtQ$Us-Phhr7emI^Vir zzGrmb(>=QPZP1CT*I7>c0;gCz*w15)6^nLxO}!qg712OWxv|_qw=VPDXzMk`Ik-#= z6XjEdDv>l{AK7;NFpKx=sLOG`AU5&G%XFhsJ$v(w?D-cWXW*&~weU(`usI>Nvo>3_rD0SPZaH{Twhwx;H2q{0c%?4*c*FB zJF)sAhUnMPsTp3+>Nm&okhgChOdOH?*osil;jy?ekKd71WqTiE9(kTFoVd>Rpz z#y;13s$J86jOT^G5m2{)_r=*vfBbFB$^ix@5`rG&SjRzF%-&xC|797NeS!=N2 zoqCF~mL>IMg93P~NX(00M^lUQX>osW6g!+YXy~ENp4FP(Fji-->{Of;N|7~GFX+a` zuRI2ub71d;n?vcw_X^C|)Y~b&xV6(AC^T}sF~BNUL+GTihVT`5HI#g=seE3a(Md;z zqdc5?P@VR3bX4x1C@E7hc0hHyqgwy-7psCc=s_zRji#*5i)d$&WDEtFn(17=qu4m9Eu+vn zHE5?T{D{g1my-?}FZsyG11l!xbI|@zUt14rq~+G`h=;t1bZZg*DPl~shJ&Zv@1_b^ z3VrZYwq0L6yWiGM)E{5e`D#mu` zMhgaS*{Qwd4xF?;IM?1{lN_;<<;9)6jMPEor&6KLA}etfhi?7L;ro%wTwHXkLY zX#WXM5dL&N$DueK_27RnTKj=DeJIX}rg8Ik)3hg`bre{;9m@0HOH=FwlSW%vS*g;0 zS8>y>T_=({{h+o(fEo@NG7h43U)1pii9C#&SwJZh_@Kl$4h|`BXT#V$tK_bo*X8NglRNJv_w^HittC!>XU7Ieu!fw!Th1 zCd=fEMQe>5D@9kew;=DEgVDNhlV|kPdNpPOFT!+Qw4Q+0_ohChlSdSI5Z8}2bpUT!>Fw+yl}1~aSun||6&YeoM2xka za*^V{SH~~nyId)mwowJe8{U!hYNVP$^VaX!<5cY}k%iZ}p6ejeSPY(C^q|oFYh{~u zUu{qUbEnADwLOuPW{6ra1#+=iO^kARmCA81$9#M`$(2T{g&mo9&4SZ=y+WvJpBZ%W z%6qG?R!bE0*@~UrNWUS6onq${yKeQ%)*7uA4{KS9#Ichv4z#C~Y;Hv|Yq3T>2Qy!y zt3j%(dC06ul-y@WQJG#_4AYh)T5Y@_8Er8=ZRytLlV`;w0%?z5)d)6kUG%sA7!=I= z6;E2c!lEu-j&aPrES$4sfxms>V|Ur^REk-X_)dvh^2Soj3eRcRdllcPF3tVmElVMM zc(dnprJ7ReS=OZtEjWt=n-{@A@=9^--#U)9?{_)w+3s{7>bryRZ@$RiE_JrMyeRcZ z)9wff;pfJWop#WRPby(| z!=2M3tHmhVe<^i-<^g|zXf4218r1f_+(C5%BEu%iF41*jd@+7?=iO+qyS02T=gyqb z;F!dY$8L@BxqmLM`y71S}oRhZpC(6UVdk7vr^f zp?wumd@}81`u2lbC~mAx;$cmPf1J=AuJk%HdB9J;b)&rb))U^~o~Y&afE!dlL-B^# z@>WjFx3K;}KO06Tsm&C{Ikup9bj}ZM`S`_Xj{_?qz%wO^pXcbS^R_ZH3$PFh%14<#$_ zt1kPRCpZ=_a5 zPgyx)B1F1Whw&f3weH0Pc**4V*&@LyQB_Hgd~X$G4xR%@*_yhY8ND%$bjh0<`rkR{Zq zLaeu_JsB+O$P%KaZm>emn_r_Zjr{zN!#tZnmm$Wa!k*0w>HFqtMN~VBZp!}~He5(Q z6jtEW%(+;M-?k1mlA+MZBU&|(H4o7_rxnMz2qbfVnN0q#&dNeDN59f}ITq2#%I@Iy z7QA!MZIs-+Yk&`S_vK<=-^rA^hI;3oSyO_&MPgVCm(jFwN+Dy59p4f6#!(>erve{* zc|}P&XjZqj?l!QZxV2|t;R)=$HOExiHb{BuKiX3pL*&#bbjrotppqUdhOuC#>;lts zgZ$%~S*LW2@rG>1%W|5|iHnqIvl=UYX5`|E!lmrm_eNe(BNy-jm`x+c>qaLTxp*oo zj5|rN=3I%S5eG?T7I?xXzP1?geG977aywbPHr@(yDbie@Ozrn8xev3SOK!=C@Di}M zpI6p?-kV|0axmb1EoQ-lb{aO0I)qrqH{Rf0rn91K*yJjFo=5Z>+)q;;uyUgjt}<+D z<2cyQ80Blvo)=(eY<|u4qi&5zHooV=+`OSbJ!lcfPDb;r0a`6`5Xf;1UY=KcOb7e7 z6}2E;3G!>u$P>1{bL-_Js!?Boku3zgnbV$82^5;Ah}AF?bA>d1Jlj=;rjB$?C4<#$?yw&3lINro(jV zn=P5$cfe??yY{tofXGY#?~Y%f`8m{J&@J~HhMK%%!7++G;~!7xsla1d5#oyT5MD+3 zo7-EKZw&IBu#|wk3h^Cm`lp^xkIt)2fq2F$f_*?kf>O03KWkLWwO-_!rVFF3Ei5cMm<_9e4np+sME8`6KXbGfjMkcX5uZ? zyx9=^Y1%vgS>tM}JBzNccT4cs^tWzw^!s&$pPZPkvGbmyU^5?^*C=}?rM>>}ID6QZ zQPxpC>ftpc-mVOTZkc_NIvPrAy0HOM8vG(QFPoH#q#B#l0vbOLP(joRK3oT!Yu0c~ z*%m!062YXx zrJ6UX)(v4$=fP@P8=l)fUGBKWnM_?nz*>E%rFv3d`^9#(w`L$alP4=m&BdM1QB*C} z`ybFck4D1(bbJ@C+Re33d#VRKph*yY7(azp8oyXsG;I&~RoEL!5YWiZwz-)V$h1A< zwX%rs+65x$eRV~f8!4p@gfq=V_Rx84Qsd5^d>#&){OrrsZ`gAw-mqAUwMQX0Z##6% zG9Tv9od5+s$POUgTpb{P2^8M(jhAsG<)#HBYi#?ur>W;5*)w#7+TQ3a&ggueof{jN z0hl_UV?fqP2k<+9Wy~}q&l9RRQu%ol?&6ZQu1EMbW6TrUyikEzP}P_4fGo)1lPf&| zyeVmSPZv89j*<_dQuw=2nvh;SqCTMW+GwcdI$Hmy+`_=W3hWY;@b^HpJ0RVc*6wfv zw0)6Sb-I7m;+(~MN0eZBK>H~RW;XDNXAce!v=0rNWEIdGJzQvw^%iFpA9g)#W?^|S z&{2D8L1={MM2_(x?m24xO^x>O>|G4Xn_&2T9Wba(g5}n_nl)Y|a*SSx6}{YAFleuZ z-R>e&P6S9lu49&&6vyt>p^crjQz|P^w=NIXvT;r4T;P0~QzMQza#!uhd}=eFC<~?T zKXHcsZmC$~>dKKvp!F~m+L2)~7DxHo7_=UT;!WOAnvqIbERqEiou_~;t079XV5`Gs zx?1WO+YS-#gSERO>d?x{Yw6IkR8|Mg`F9ZPI*@0-HJ%1sODd~#!?aQ(m`9^7D6DSD zKg{A%6OWxbN{XQM&i|j@azS&>OmRNPijMY{-oGqzxi>otcn6+a&OF?XX5O4lKesDv zwbYzXV1=1k!CI9DODOArq~Z>PHRt>kC&;-A)~e@;q@53y&havvxPu^@j_5}n@CbCXJ7@%em-eqWFab1)xqIxqS_`;*L?oEr-_Gmt9WYh0T<%yXU5w6CD`DCG0zC&m)>UPJw= z|7@+&;F_4WBw;0)|13H~Hv=o!HB%I}dT7>`fa#&|iQAiJ(}As-Vg!bf&`I-e7m@1)webd4sd_%7Jj+8B7byO>J$^o?mTiI(QT;uOiUD>D28}sEP@Q+{0lM`} zG-OxgK>IJ+!(eKDSv+Rz-C8Z<(E2>$TZjL?4l`XJ6M3{5{i)S=Z7FKY44KWjf7QuT z>u}M_RPFFa*~_+12T?F+4?>}lFKzgf>%B&v4$XNi%R}gi!E|ThxR}WK+$ZinQCNu% zQPLz~g8Y<5&M7L`n8JlSam85bU4n-Fxp4GSFOGLvtt|$s>e{sK1?AY>!^V$gyw>3U zK)#7d8TVF?r+~Xj#op|{>Y4)NO^|mNzg$B!QQ6y_TehI#K%mIiG^|kI8#M>Mp*gPy zD!G73yCMdnyqiy5zC6A$jvxoV+QJ$?>Qh%UJU8f%r0$HGN`LoM zrwqH5x*h7~ckb++V{>Lvkbt9!ZQGjIHYXF?wr$%sC$??dcJjuyv#Hg8*sA^Bea@|0 z=k{%#r(fFuN3}vzcht*V-!ql6WvgS#lEdb6V2eHF{(TSa?zFo1ipaSEU>-c`1$oD8xgjVn8 zvZOj8+9tQ&K=xoX4^^46U)ASzn7N(T;R$@-m5#~Ffj?yz*fDFPD*7asdu(^a#Y74% zrv7P|$XCKJ7eJQ?f{%)v=KRgZ0n=H$;#vhzn@u!|Yr@I$9jpf~i7Ve0pXjqn#UnZ` zpY%C_UkfU+WQz~tSfIXfbOj4`vtWFk1qUlvVUAlZ zk4_{faW?+6H6Ei9_%2gLA8XFGtN5A`6dHSjvUJn7kj|?<;y$IIG z%d`h#b$JeR3Xqf;F)IO9 zMUZdwGOwI5TB*r`mAdIW42Vlvt`l2x4Y%lSx9?_xVtYR{0>_B;nV`&lI;8K87F-lt zd+CzFK7_P#MTys!LqZZ93>)!o`|6xo^yzQG^H{U4vJ293-M>}l1~r{__yko4`Ay5% z#mA@4CfH+-QI2L+CC40%Oo8ynGI+j}N(6g|Z&-ObPrxlnXHIm?x z15R5syNr#)+;(sc#ES_neWdNGTIO(C(gV7CGAsG$w$r!jHiN-3ue0koohmR#ZDj|Z z$M@m%J`)PsxoSrDjH`0KglQhmS+Z>w7tgp$c&TvLMG(R8?zwTgX!dL1(n91WHM6z3Yl2JBkoG((5!=)0XKPGQ|t5JPwg15u4Aw8W+-sM~>=&QM8nu zzgsp_XK#QSi{T>B=2+JAf@f=~F=BL(hLDEMogqE`;;-RKM($Gv?pyz|H{w&`TXhQ& zfZ^Li%M?Bbs%rB-?Q|FqQzOUfT+9eIuCz+w+9Kn9;5I< zwQc08sz=(7$MAmGY$`OcY~;Y*pNI8u*+LMqdl6=6ZuKP>UNEa}R(j)|!K??+pS8y& zoeLw~Bd4KKneX~kpq25(R zOWQclcw~+zQF1b`(?r^TtT%Wi{k1?!w$=TV)e=V!8s)s&M6H%x%(5v!RDmfQ z1^14>_5WTTzlcV-Fn(gF3Ew9r>1Mo z9bT3)j#!?A8>Nm4lUMk#t!*g2iW@C9VKn?>`dj|Am;mRh_WS#?j7m|r2wo9qg3Y>9 z49jvcvEhIo+eszbdGDMcJ*j>mKB z1^VgH`XhG6L7M$JnICgP-}aL3pt{+9YB}K6Yl-2OXK~EQ2GzKoJ^qe2s`lt?4*%K{ zkR5pfy^{0Pa(w}pBr4s>KQ9nWKx-kVy$B5KwDpTQ7^{olD`C!iG zZFQ)FncPdt$S78?rKtf@%UxG%WXLg4&3?X<`?j`O>`F0{T=*nmV4E%ToEc6Mj{&}e zki@{2y7xP+Th2-gI+Kr4$B4AbDfp=0yIdK%$5BHSxM$Et-II%m7lP2f9ru+$9dl27 z%Vuh4-|h2H*Ds%{M+;#I+4r3Hxj+@D%klooeI$8(?W#)emS7P~x#juS~-8J8}bx#e`N zVKME}lG$kM#N;rj9~;<~4$1(X9yPE1Y1$~&hx^t@oW~}hImdMO7lJ_2aem7KeKDQ= z!n2sG;le9-TBZJ{e&2e%w%GktBnT|@Ug@K=4{RF+SyE4v+~wUkU59V{F_hKn{Kcb- zk@~JL1I*SL54N^Lj;76!d%ti%CC?qA?7bI*t7ecR{dZs^u!UB{8ehfh-b znV^wQAPm!=M}!iD%ky>iGv3-}v+0H2ypKemPWuuiiw^rmi4Mgjy|pi54NvgEuhFXP zlF(d*8;{3}Pq}5qO3K%asTsa|>#(h+bFK3#9krX$F5+wVyS=VD--k2friClQ-N&d2 z8P6LKS?qiVY6@Wh{PFH+^p6ROSZ(T2A{p@%kNN$w(D8)P4Ab&bxxK0y?)3Gv^}2Og z^~FouLL>E0Ft6)j<5&5-7+}#RquGywIMB>hKvyW^iLr&`yxZt_*p4Q=SPLII@%Mo4 zU|ow0bYmS+JbRA2ww%AgpU!)y%AmJC=;t>LBDXzASe=kVF45x_nq^{joP-tnJ{XJu z*6YfXZI;2F;R$a_=xa+V81gETS?r3EE5KtY?{M4ZRV}90USF_d>%5vqiziohdG?$| z3BYF*$a(h%U6gqGn8x8!`Yi+_$MVk;Az-tqmB9kwCdg7#^+0yda=g^5jKi_%>wq6L zs{~6d?hzw27*O(oH zjr+Sd_fOj@_sHO4D;X!v(v7HBOFK`@ThCuAj4QfqQZ}jGV{*f*WZH33(XvixRHMew z1{xLR@D{|uyqwH!Dd(0azb^hgH$ihepeiVl5w%bKLanXFl4`$9s8;P+GwfwEuI%f} zJ6yUz&LDe;AzNpRzsVDPPpiWnbo^Uf2@NIbpldYyhmINd`SH<^&eza){{1giCwh)+ z4*c(SGx_yGMTy5{UMRWH`^HBz_VXTd_ND-1Yzj&W(j_@x}CF!4Fzp52y ze4fo}ZU7P%j2ctnFTiE%rGU9)wv+wkrYgJ7#r#xFOJ-ZSqH*J;0x|VZwuIj-?Z{%f z^Zyu}PYbuj46fzNJ0U4}Lu|M8utNQ-VS7jR64}*UMZ^**Z8)f0&cSY~wKj`U7kPu5 zey}f3O8h4p<({B<%BkvkILQaO-jiCxbt>mCN4lLyy7Umoq0OW%i79x$$vLMrP!dT+ z70p&R9WGI~A{06dV{UeJW#$oqai>2xp|&YnxY-D7e?w;*{aY@~v8g5dR=_6!-##Q> z(%q7%2enei@8Q4m@?cQ(XL~ z88igH>kJSkji&pIx@xgG&XFJTcqGeqOmO+G{_tWFPd-f9G(fhi)~*u^#Hy!IlW{4!?x?TsmJ@IKF3L4r;{Wgid0LRnG3YGLqTwvP zv?y<2i~+Mlp-baH{_e!>F+cvb+yN4WW>?%JxPc?n`8m`!W?jyp?ud4A3Fk6`C>Qer zWQ4k{*HUt4?k31VG{?}@H{LV{sj7myz1wghV?y6OHe(eS&HB-I3vRklxfQ^gLQL7& z=iy`R1?V0u9aT1%HIY+#;)C)$fH*cJ90A3*>3^?foZ&|AXmU|13s~7VoHu!|SO!V8 z8Ov-+bD$6*v#q_#jYjzE>Jl=nH?@WG2~5p%Z*Ks3R2)r*Z^AC~)EU2Wv`8Y=HC&Y) z62^#^pYLC&4cf~2!e}vo*3yp`Vr?VqoZ3_uC`U1!J2r)LhHCOP3Elv+5ne-^l1nfc zB~?GbW*3tzXsVu}{gAY{*!M{y@L_;EL+UTo(ye-yHZ-9!hvB4y0$Zv3p41I*X*+^r zH^McAr(CIA?#*9R|NK_|g#(_vV?8GUTj+VW=+e7Zh78U~NAAo=AY*RYQ@R~Ee6z6d zm(RLAVLV6UFOl4gMk418A!TKA%knt6_Yrn+6L}5lGf;II%1>Luf#L;1viIHfoCXe< z_qfIU`$F3VET{02uy_?KktdFDZsEp^!nt>O#jF2-?p+4LXo?uE*`Y8u=~V1NUXea1 z{S?zp)ie_4WqzNu6>XZyk`y3O8#mN-lykV3NzUB*QN>|?7OrYh=|s3K7qCKx&sV@G zNc~u3oWO+03mrJTXM1!6+IGoYrm~hViLXY}q(alHrW-FfkMAM-+pIN<>zxLM90sO8 z7nNIF^zRZts#PEQ?o=w2^x84@J$H7cA1?DV-Ur6l zw}Ra99lxBy+r*1N}Y zj)2;h-{}UvlV_>lj#0}y!gRt_xGwF@`A_@InzTNG{V;8L)N7x(!K5};sVBa}_X~%( z^nJsKC!JaM34gk;=qHegDp}*-sUyukfVAx8ct~?&q-+AurGuVC?{-z2VTB#}Hho)^ z8Ne^2D!E2XFAXg|D&w=Ak1@}ECX=F;*^hDy{KSGU=dYO$394DSP@6XqI+U=LCg~GQ zlW9qf_uNZqM}quZSXaqLH$@-MOWjYX*vu-4l>5igE=@>O$K)u`c9-k!G=})66JJ%D zt}5xCC=yvch1u5)l#v_ly^lH}T;OsPxa#x!)b98&UQKC@2;4nZEJzr8cT+L(Q-4`H zYJEKoj0$u}16p4j%=^HCQDq&Enn!+T(f6>k$2ijmYz2(I_x6Sde`q#!k_NQPt?`T0 zYV~Sn!~+yk!!e%i)oM#2Z{aB%&TAZrJcW z#QpjwrMnO88+C3PE6uB8#%#mK=UR3u{Bh%n657b!}KH5k%KgaB!p7*#W6@;>&O4L+%QH4w? z-U|@buST{{%`z3g8gHIk22a;o-LF5`xIxT2jId=jb16$a z{W@-eFU!jk7M^a9mIaM>SVe-nWsQNh5NAIMBjPH48Eq^|DP1!e_5H`@x+_A#W7thF*hU!FhFxQ^}$P9PvOYl?TKhRLoUa z?Thx%s_N=yOJkmjX2;@y(d$<13{SGpADu~?Hm5pV@Sq(dbpB_*3R8YLcfQiigecS5 z9H5xc$s*0`y+W~RJ4-qCXQb@}!&k?QY;l0&v)fc)r)(k2gLNDThF-Sx{+(Y_3Av@+ z+M~`$3&e-z*g8w6C+NFVv z@h88HSWj7fT@XXAdI+AnQA??NHGhf*%DPU`2JrPOk|^FPO{ESAI7imz+stzsbvon# z68$rXzY`%e+@+Qe+nliAWMK9@nek8-bLf`Z7OCfv&8s-DhtXor{M{bSYH`7rxpeAv|9u_4tpl3kWVO4Ve zcD7*2j0VGU>L3DVF9K^`tH`&UQgTNVKsi+Br-KdYphaRm_Z!A@;^+`l1_} zL+>d{rrDT~3mRGpFb+b9)$5D0y4P6w2QXGV*umLAT~+sO1%QPLAZLNOx}Mnbca&Y3jJ|j8XrFI8e=F6nvU+ zzkHV*e(P)C{i62PlnRRHW-28?E5-0X9vjVT=Y6{VyyS9$(vG&h`YavMx{5kE8~8>z z*aQ@71Fzpb{C?7luHc46sed#3m@9BGjG|$C%SF@e6BcUurY%9c`6)*)q8%d?GzR4*we4 z`xfo;l-?zVQto|F%)abc(PJTyO_#l{tAzDosIH#ni$twVfasP&El6R@MyIP8&+xbo zoTWEJNboPiuUae%|Dwk|wN0zyra(C$k4Becf<=&dEA_DIExJ@_Xj<;965L+w?YVh8 zvb+#mv1$)+=*gS>H+3Uc|JoHO10^zlGcDnN91!)^3Ei&vUe~p>q7Z zB-#bf4BBZ8@1dPBjRn`Y)%C4^E?LD?FjBdwZ>%J!8{xoDuqnTigeo^NiPDp0K`<(i zBCf&onRU_r$d%K;`d3+&?6e0){A^a&6qw5+KID#8Y7M3KVYEatOn;ISFf8X%0qPt4emPp)h85xaY*Gwf z`aK@4)Py0vEPH*)*%!nQ#c*2T#lO6z0a(Sln_M%zf!V3RtpMJ7MwIud?_Ye0`P(o< zV)S9OQU{!u6iDL3#4iAx=RHdCPJEV;d+|1v=6FES(f^%&p)&Ma{*Py&?#Jd@(oSSv z%8uJpA`VTDDv>aE!XANo_h0)Jfshzt7{0rXo_&)A9kCd6SEn_$fbhf8JD)SCgF->ql{A8Wf&8|zoBw0pkHj-fBqQ@3!2p+z|N>@E3$~NXW!!xLrRyxK31?mmVYc(^`ol1lL6v+?o)siJW zM&Ohd;6b{3f|d{rryM4_0{Xqa0!SH8KNjWwnOrwS%zyp*bWDx#uwiSg>1lXRg$!(4 zFta<}ToBe4v)tsATEX-o5n_Shcbdd=e0aa^<#N*xd=Jam*fxOjC4-dv#LaU}MEy4k@f50|XsSx_g_j`*4 zxgC)gLUXuZ$lV)U`*F$c54}KeQmkVkdUhn)@<_#+VY4L;&v=n_yYN+ z?%{Etnm8KoEG>uI2t}=}62&RXCaCtYh@^B?94MUMYo@;O*;x3+P8_|s!Wd9um!n`f^B>>Ah})O|)T zWuvo;dnGHD3_`uq3drUgkM!>p3a%@RKNya>kgwcT*^h`Ut&u`K*+eINS33s+KUb+o z`p2jZNwFVAm^TIn^&h-vrUjQ$x_g1AmUaJ`eLS=H_wc=IiJr;7h%lEzlFTg^sytOq z)0j*x8JS`CF|(QB<;KXSWgKv$`#KJ(J%{)tJSzz+)JeZ^zaKMONz z%M}!E*%2N*V+f6sL~=Ewfz*ZEqxPR8yH^5fA#-Wq)05Xu=(L-!t+J>^tOlfJ9wNnV z%b+L^gn|`Rl#jNzrTadFDJSVvUNa29PJAQ1QrC{9F;%GL9E)Ei@GGY>7-E~1G9IQi zITkIDO(IShH7#KaSson1{!LtcBPZbAB1MK>b1q6?Pd!>P8yX zVKI$P5jCP`pl$ffp$cd z)fnO6U50eeGE?w3}?VNoXIlY|czT3)^ zoU4Ke+T|24eej0`HT1d8+37t@D#%zlq(#q5#dmqVAsVC33C3=w;~b*NWAYhPh^#ua5QJ`Y6m=VrHW%+CbNOjjId(R6r1F!WBQX$@MZzJK$2m|E z>URU7zFrr!sM--OI|4#;e-0Uy0C8Ys=F{56X4utZ{G;McOHszD9}Z9v9E%97x*4wxYv;26*a5_cuR z(1JduD?BO*fBmZqgmu2(;JRU})#y_|q^GB-|Fke9m*4$# z%Zb}NCvdAh34YgDh^>2=mp>oL`eWTDc;!&ZE5wmRUS8J9uF8#)XZw1Cw=r8WLeAf~ zetkyA)dU0g{_>Bg+wr1#lGtjORK9ios#l4X@zzMG+ zZKjSXI-1uI>1|g-{00>^`{6pk;!b>o$t+D&X36bzOb{%i=En zMxxRR3pcYqVh7^^1iw7{X38Mu0=9c(>{TG7irad?0siU zvUB2Wa%Sta(3`w_K<+E`??a;aUyd~Oq)oZjp0DfvttoCfKV1$qh#lB>l)dkP#@PBD z`CW`FjT~hAFB0dtJ&IJtlpTRAS5g1l#A}+KQ`r>AdrXm+bL^^o+zARj3$gF|1-tY0 zCHhlP<|MWE>JS8*kC2U4&|C6n$r!W0rL)P`o%Z9KZBL2ITq`8E3&Im)G!-e8y zmWrnUM0kkjjSU_zi|35ocXyj(TONmazz3^w>KLRa?&Py(w~G2UB3lgkX> zW&t@lR-P*%pEoOUf45JO5LB#Vnmm zVnsgjgzY8Av@}&@4`J)&AF%8;^A%E>FGz}M?GeHaOp&3f?kB*<<~uTei(Q{m%wC`) zL zAPB2}I@{RRwaE4S?_RIB3Ad&=!?&>KJKt6SZT>WYoyEs7)v6zJNFxb=N&20C`5dd< z(Whjv*3m1wM7GWAY-)`DI`K{Eu)T?v*Ao@)VTfh$mdE=YNQT~z*!P0sKNnOTS(vq_Z@Az;&HV!M84m~ z`%JBI_`%v+lp-tvl;YR2&zai6X-TE7hYHddIPAlhA?~MK3}hh{patt`mz~BkYyUz` z2Y~s!31@LR>y%iPyCLEbHJ18=Cy$;$Ka5Ejwx_~3dbgYvn(qilV$1$ z&83Cuu}AQmk+4jfCA}`0xxB16TvLuwfam z>liBx!V{h9y~WVu3_r@ORAAxZ(FyuWAr{b`M0};(aEUV3cBcKlRlHl{y{}Sn|DyX; zpG~CuiE^pVJ{qEM#~tK?>v8LjRE%OP4P>h&kN`M1*4^K%BR}OUC-cjD?lD;9b>fe9h4}r< zX?mCztc7Y`&k}+DQFb?xc!gZ(E(Zp;n!lBFWe3?4*a=N9afzT=8HCEC&!y;@+dIg^ zH!?|LXcND2)mKLu$I^*e@8=cf%v}%HX5E{(litL0JV3Y4;abhvr%d@Z#_(1D&J>&W z8Qz$)+sUH?WQnBWclIAO7iYh(De=QX=>!y&_kgCsiFB&|6HlD1LUN$Uh~m#Oo&w?L zHmh|Vrm9~unozyld1LYJWlg);D93IAyknnFCoF@I{GYu9Ye*lZ=5v3wQ=gTe#Quo=$m;U^*K-IWB>Zgqze`Ff)R6xFlK=lM z|MT2F{2w?(-$c0e3y&{((NK)UQB>fpzY$8HmU$UujtVQ9WvA|3A1tF~Wot8$aNYj3 zT?nhnzA-A~mx=QiJJTpLjMxer!L?uWwxVyiw9Z9gznjFeD#*}M?Fa{X%8+RvnQ~{2 zS9uEo(1=Ar%~2=K_ahkDS{zfOqM5G1s>;|08!uAgdXu0PQf+ezc1Q)iP+N8IMf z4p`!o={rN3$?T{YorY)<)s_jEq-#6$PCz`jg;Xy{ECJi$$%mF&efDU>{6Z*~OB(5? zUSv?!h;etqdI~I`!~F4OFwcq4;gQ<(;BU;MK;|EvkYjv%7K*DLm{aACa)!Eesp8Z>Z+7 zjP9O$(A}~VKwYl#hQTl-a8CJLJc;Eetxg`I5adRm+%u%WHgLi&q_oWwU+fIWBDQdG zOi!CjMQ-twb7_-C5Y(6;v^@cs1*NG6gYkF6R<{)Pq9a2|C$WuYZ={-}zwzP58QRC& zyFs(t=h<+EwMjaLDXC^CwGS0qZ_}_l)N}0Xx3aC6Qujd;?KAzDYOE#bEHSbvd{ni{ z@{18a&Q{%;cp56B8c|nRAxWd)96y5=@7C_y_KWrn{KLx>FJPIuU?Sj%PUkmbKim1( z!!LHMayb5IfnkVC>kw$ODWy$d+|(uUF!cZOi(r<#@uw(yh`AN<_c~+CE_1d8u2@t* zN&quJvQSTL#NDw2ow3p85p1M+*ED6V)3#>`5pY;t^#`CJmbP-CfQC-Vj1nUdN5Qb~ zkJp~w4`J@_*J8n`m&|TbwrkO!=`1n_a?x*cgoq`hif|EdN~WY^fZ(veFPFr;@jjau6UlH!^mvv=KDk1zFXIZumY1m7n@E8a4>iR4S*>6iLhMl$96j=o_q0tQt15mok->LMpeJ zRp@-_;_8l*7q#I~Y#fUY$B5!+fgTJyxQ!R7ZR)FF&VYBVJtNqcNVGeTX}jL*xuYAT z-uy2H-6DebS$m2{Js}dUEg5gUkMLn%yON8bj3u*0;Oe%kZ0CGS$>0zs3nbx!k)NbW zT~ezR>iwZIhTShc1q!zbQ%sQAWV`g&>iz)go4n)K?D}HuaBY*k(&lv+`Wx$3Y~;x| zSFjmiwP_PfcCgRnYvYLBbmE1>U+t*_!wqEjIS}>Y&)0{%#C5%ki^(xqy6AuUMGJEg!&=a@*M$f>!DC{@Pv;O(Yudf=76J@SU)iVC{qQvkZGJL&ThC%DLd%#ya`IPQe*5 zx5*1I*)g#2`|lB}Wk-hi1JA**b-#U0@}(D=qn&~bscr!4h!!NAL0ZLDph%W~GAO3# zrT$|ENE;KqPgP3$Od-Q|u__t_8Q-(Fe>R?J6Te;(F#iI{WCqY4;jl!xX+t4heS$kj zn0na~*WDWLIw-VmQh$TCksTl;fOAt!we$nH?c9a)P46P>_K=5t1(53PQKho!U&?2U zBm>hO8^wH`1RX7elXkP!K>@%cP6IDcL|o9aQxime2jbuie`y&yaPG?$ORuf2P_r<9 zjFS}Tw@J@*pE34Mzc%u)WQ{Sld!?Xj?wDrk*5VNjig%S6vND`3 z8(uhL#=d0sKj7+>>tgXC>ZSQ@rTRahiyIXWEPks(xBS-ndC_-b+KeYIUPoR9(u~b@ z6Oh)}bB-+0zoJa9YPclGFT)xn29jhL|)j>{6qhK!3VgoPbW8CV_8md@=$Fce( zL;2R|B`60K8UF&|aI8|jPoPVLiJWfGX$doE*#qzj9^-Ql_;6wJ$l)`(Dx&okQN0i8 z_YF!a7Jj4Tmf9pinPk0Jv;>7q)2{{h_1LMU@7C7wA*ab^NQB~$K_o-j;GD&A*zNea zVBGxvcx%{wqk$pg8lv(LVH_?{XC{=cl^+S#5{<6_hmf?+O4iLF3tJbwM=nt`BV~$m zcrZ-m{?>@$y02pQ@7uK?H+_RZmeJGZ$#GcvW_r_1uh16fjFUhhOdx^A(#w+T(O4c+ zh!=-o`$E#P2s(0alyxvjm8z#N>un!hg-f+FNYaXDUnO3r&hfbqj#*sS_j|4xcm~7= z@bbXK3Ze%qw@UZB^=ah_=0R1@ps*x|a$_f$Y_UX^6jPwe*M#6W8@k3-G8BeTe5<4mEGQ~_j7@`BIUZ8g!E)0$R!zq|aRwyi+(|nT4>c>H}^+&~F)ML5=if+3(|C5T`2jgp-kk-YdloqrGAC0a_v8ntFdCXSHZpGSHR;TUWT5uncAn5kU#dKL zRFz+qtpLIn3vZ;87ezmL;KqD$OC^q{MRkl~cPxc|DIRz!oIxH}TM@s{if$_}#FJFP zRIkWxz6tz=mwAiUeulupnLrUwVLF8lY3%Y!1y)VwZ*qCW%?Y2082+gNfg)so&|#L} zH^&I!IXpr#cI3&i!qhCRA)ubwgu(_J`?uo+BaU`;Q1-V8%-tKVQ|7f=&&F zu!ET3lyT8G9pnf7nCjg}1SYacZEr>#8tBvv9{a>rq@~m!LOXZyikwWq!2m13>z^f# z$187^^n6*ml`czr3!XeSdOv4_YGtW|e6?VJA#8t201*=I>3ngFjMsknsu;m)6jsi;O-s*jDn|MJKV?86n`R&t zsbir4DG5^AcNcV6?zss^`s!q7l%xcjd`#eMI9OEf=GVqhy2Rl#z-2@)Dhc%50&gu`i;rlty8*lekDFVq%qUl=*Qi9-g`{(UcxaH?~B@f(# z^WIj%3`Q!&1%V!|U7ewqz31r}#R(XcR2VBR(Fnb`3vQaBM7g$F{raY3YC-0YQ4r+} z!$AW#6r!dA-~max#EH5p^m_WMH1|Q9?jz{gHo+UEMCLns?KXsXNQn;gk9A&3-CEC$ zN93gxIx9F9H?0^43Q-30DJq_&l6O4%1{^IPCBo{cXM1wRk~xj z#ze)7!iRUM5cXh3zwNe)@SE%@NE*Kf^gy}}-TGByr-`pRiYlnHoc;!J&WXKXAOX$r zeIrl&1z&~XXwMvT&+tWvU71QjzDcMBvWSR?_p!8%N@exv~f1+!*c%RNHu zS(4RW%Av~Ud^vC(k?AtNpdC4}6Hb%ROHBj^sDaoCn~hc520e(HIhr6ocC??o_sX$_ z65KT2kBBWy3qbB^)#nlgN_0tm&P%O(pes>j zDX2r=un96V9shCva)%1v)bAnh51=vAv2j_R0D}HBZ4AtKFpIcur6nk_B6g(FCgdJT zPR*}ZtrQF>6x3!Z(8JBcOq#<1H~Ooc1I9{&C|Vw2(37fd6YeQB7t8s6i_S?RQITn#%Q1XuSZiyZXHRkjYgfY?M9#Po7Ou)FJ>(fU>g^hDf>X|7>$?B z)5^aiw{69lMa#0&JL%;374O`_4)KRYV15pvy#ORyqzirYSOwlzY!L&NefHx<*lW#q z2XgN!?GZv)ibdLVIJLqy8u5yw6}p{pEzFs>8&~C4{1!od)c7B`6Qj8Y8i^atlWRSM zx5tUr{`sO+O*~av%QuT8>-z0ED{WW+(BGRs`5PQoW}(5&>L-b9A2Xy5^W|+ITC`B3 znQ*6xRT8a}gQ^^bYmFFIt-XEdLqj*ptKghgR_f`|5>_AdX-Ig5#N=oV1<6OULOK~} z5QsIkA>JF7-C6IN^g09e0!%SSk_`CFGi+vmC$?}F3p z%InzQJp`XOx(G>pr={v`|Md*&wIf3_MxT~*qMByE*CZuUsP5MGWeubSReOOS;3RJl zqEuIp(s*2rU)(GUp^SE`9aZHf9>hhDh|G1uX^V%hBx)Y;-B0GddbE0jf@sQCP+UWX z4w@AORO?YhE2NK}HPH9~>-g2ho0Y&dKrLarrOH(-fMro8fk zJx%239C0-Su{*ET@B&#NPL^u$cSoVsg-Om3)iW`SgJ>@H_22lF@A~2I=FG;;g`y~ ziG#=6^lhZvcn(bs-PQQN0&JK9odGV+cY3>?96r(KW)&_l*$km?J3FSZT#N zJgjOLr1n34(yVfz-tgDk`QbFP`|9t*273%ML?E3EPilc|HT8508 znBjQFi5axk=;#s$qk~gASrBtof>>GmX|2X~D0XzvgS%Ul`(hg>V$r)Q!RFR_vvMMy zA%kjSyE2BXcVl5~_hwI2da6nqP%#k=Rc!Dj3aopI&_S(xU|lZC!5rZRHAl)lJ|`)< z)h$c~v=DnD5C~OPkwIT# zRc(vYDbI%90Nq(i?UGYATl}Gq2S4fMa;&4%Gx!6GUclJ3$~bi70BN(%nlUz_HI5}Y z^U$Q3gG4|+&ko^dh#=a=?pT#Puc!B`_I)TZc=hfmG)#nop{<05t_tN-T*=@hVAIb< zxEyd7dL7}0fI*%3i<~#VP{>(79XG2xOf_Xia9KrSYg-6x0Z(@{yB|c_FP|r)80Bc9 z9@KFxfHcb!>tF9-P;M%D4iA=f8b*QLL^s^w!C(x<@dtBKt`svy&4tOXlD)(e?r02M!FZjMW>H~(=WjQxu=&HFQqO^SQF%_9cf|1D%OP8mNQ6?VKR-K> z!3=kZInBH^obXR6I|g&IS-mfs=a~smGVGf~Z+7N%14?Z?iD?MypCmzZP-SvvfwAGi znz87O)^HXb^2#o>v~f9}kdH8!74LgYaAEN%y5U`Iuod_e4@uOUUG+49CSc84)NLi6 z^G@Kvov=pYI*D9|eU8w$m2`!I4JEFXG&Pd(NZeG4a0kNJt6%mbn}3wGM7WE3{31&- z;_wNr9PGLoJ0j>8N6D+x!zT+%Utgg}S5ac;DR5erp+Y?pvCCWdx0uJ~Su zD{{h#b076kU@Jb4J0lp}91Q@b%_ccbd0&N$U)&Yp>pbs{-5 zdRp^&KqUwdlN8{MM_AOgeNdknc6d&Sv|l3Iwv9HSkl`AsPPs6At5ljjW3S<09LxO( z2GZ!jwtpjk(aQ)0X9u)Cq0iPiZ}~P++=?C3n}~HLW{^oeVQqUJwjn z@3a5K8T&35nVB;gGa0F>=Y1=W1Azqw*(59GVRgS)6qG9N5NlXzGl~>~>&hPkVHnU3 zw2uXS`VD@03EtxU!#NM=HgUv`?oqgbcml5N-k6?Nh_t`Idl&PEEQTw?o#w)zM?^rl z(Bi4DM$#x`Ys3~zD(vy}ZMsmTeuH5l14JO(F}EjXF@ttCkgM$q3R>ZfbBJ1(9ROte zLt#>c(^-VmAMf{2bu;)J9$__JO`An+v;iF7)+O)`JX%x2vZU&Fb z`6o6*_CYsUyCF`LGT^LM_!+Q*$@`&9IKMuOxe0>Jq)3V0^uNXzxw?NgCfej%r(M|I z1zg#Wjy?Bca{kCWWLIh}FN#W{;P*^sfnQ z^Q42Tf0fZodZU2Sl13I2`{V2$lR-MQpqf%LV&>LY1@IMBu-nsPK7I_)Q#%IinmUWr z(y;J?e91$?>PVd5t>J8=pFqO&bctqIhp@L?P{tpNJK9EK;bLH%j(oc!p?-uA-c7aE zA`S=7hf~&Kdf%1<*n)tD*d*IdqaL#Ng8Q8nhu%7z&Q*1OL}y_)+x@wmj;YmPZSTZp z+iNV9dbzKIMqB_biD-uKeQc}NHP-1R&VQ@eo1)=2?HK{0{hwo z_SXi4a=l@_B?+;E#fx!T4f|Fqq#Eo!80VqmDjtra-M>B16Ep3B3M9Z~e!kJ4J40C` z_>2NJB8tus+L78Jt4SiGn48#qVWm&x0rLNd3;{oYbte!E-}_5dXztjm$fVotN%aR0 zbCP&;AjBA?=iG!6X=E2135GBcdCVJhp8*6e|C$ly4C>kuzVcJ6I^o(l(}=I2b=6KQ zx3}meC|4@JnbMy>*I#~V=_uVEP^+=x#@@bO0KW$39KSb-FJ+K4GWa_*BKPB796-x%h}nn6dsk;$TUIR6+L%%s5qP-bl zl=a3pMVw(J{!wh0KCrk9(JOZD#QqZBnrakfu$M9>!>Gk@?_XVAp#2AI%1HRc5MltV zp~Djhzeb?A2ghy{+_Z7yRl;A0Z-ygL@qq-zf>UynAbBK{{PoF7I*In1D=s3JlVVRs zgNK}IIR=i%FJ1i?7B{&M11XX=?Y%P~)##D|V(V{?Vb8)kk?`H=BhN!Rq*Jg=!Dc9v zUDZDXvw(fZ9m!1IwbQf>uWi6-$GqvxP>?=7=Cy-9w!{i$xNNOBacFY-3%pyzKE>Qd+dyxr*uJg!2n29m}JJKB8%D& z!1Rb?%J7wvJL0US8viZGxkDWdhE(%M7x-u@n_B;%CqYi6QIky9z$>YEroN}T;?Xg_ z7sEr@MwvgKo|{gxxeTGpMNJGK8{RB|;O}DEZ=dG)tJl*3VwCU5WJQL!9K@_C>iEg? zcAh6Ib%DS)FosNofXP+Xm%AJ718$XvJymY7_%``T%XkU=Hg8{mJ=fFq`G8@_r2rAg z+F6`i3rrF8n zLM94y)$?WYiRP~+F3gcM5t*!us~w;2p0b!m`1JLk zTZEZ(NiKx%N$y2Ff2VNU0B4qp-y|hs-lZn_E+$OQg})x$VheIwd%rm8lU}0v-mqJE z%Teg-GyIO{6jLP@?A}@oZ~0AX9M9zuQjvuf_a8R)H9tGp66dd_=qK?#K%T*1Zw4R# zQIK!n*^C9M6w5>KKftG71>I{QY(p<5t#=3Tp+wrIHmd&ZJ1kdd<&~B06{mcu8(ktg z^C+s-DsOKs2V{ONkZ>m+54OvL&5h7%`Lpz!>}`ygxQZ*U)vMW|?YtU*c{D|9reB>= zPN-2_h^As%`S5p0@rUNI$M`Bj=lxVMxwY6gT>k+b6ZV0=+&*W;mBe|jqVgjPJk~f@ z>jQ=VH+~}quj>Eruzn3y>k(JwcIq7X6K$Ewi6bfpq#9Ax0qmlO3%@Q0;cT^u)3kPM z510X3JNEIA-ZG+AZ}$-}n~Hu#qSPEHSk@pI^u?B^HxiL#DJY#?uJuOQzWxj)82$*f4`|V#I!ZZw1I-@RJ7z7G?I}aAOnU?S zvF0ST5>cZ=Z6*^Dzs4hh_y*JFAZ8G2`f>j|~*n)7n3QjE*a0H+T; zayo?wQJ8EmbEy?O8M%)J{J$N!;c5APC55@fr@&$@r2(qxobnf z4ktCO_V?9v@`3@yJE}2F=UZzv_%{k z;^c0w!!b^GkLDSHV%LyGSo(SJlCJDAU$>{fR(VY@pe*U7va}O1u^p0|lPdG5G+mKOplX&(STWSG{{c*{~5HuJM1R| zjs~TT{VDg~aj%Aa1;5v01ZM?v;%Jqd4HpqC$cqfb0_MQcB6G6875smb?eE3{Mu&(9 z&R}n%&z|iH@RR;`5*wIPC%e4ap+5NF|BmAYW5UFQ<#x3D-{SuFtkA_M>bdLjIO zc<1-jxrhiwP**^H#-2->r*aZ8M1taRqSKgj*w`rxl4m~c4uC$p^%kNrOt_G;e#rlt zgm~dEUbG+isF}I8TXW8}nV6UTOYAaxO|ws3WM_@Ip+<0oj;b%{+b-1dxx&d|XEbFZ5ei z52~7EgAi67wdE8IOxbtZq#Ys>UI&S?2uZl&oDoi;-El@_Po8+-$9xP~PvDdsdr^K4 zdo)7t_(YdzQ7|lC$D+7pIiufeRVac zB0{}(^U>?3s846iN=npT%TPmu_QL!?xwqr1C3mJ9eYiEY%I{X;VqqB-4lF=7lO7)I zm)}HPtI(QpzF|zTLnQ$QLZ z?y2Ib2PfTO5nOmOL7$VLzUG01>A_$D3X0capwMQb*|QuWHJw2b@xqVd2|`dZYKS~x z>}IM|(hW&4btO4V3L}FGfZ&JIwO990-G8mB1#$n(>WT_L7L3px*qN!TI~@`9`3WFo z1#_x*b;zr~wU6q@$0PYoy<*p+Dj1qjdB}5heipHnOrlEyMQ@%SB}3Ch5V@|09sZi* zy6kA4m+K;`)OFVoWnlB*p9Xy4aum-hJN~+H70!5GK1@OcSF)TY+qx{pgVSF9nLEvl zwLeT<1B~;dw7K%$&#$KgveCNhs1z7zg=U7H`}DYtM(3p!FjD0su$qjRRsKy_-!-a8 z&V?!6+DFJ(-EYv1OT`kbz}`@}RP5iPFBw6N(c$4$lXDL85R77hw}qUJO zs$@0{92JsrC|L|S4KJKfLKM!)s`Lti(*f;aczG3_l+;;ms3lT2BgyBy(%}6f5=ciz zZHraEjWQ4dpb25X{40ud^k%G z7^#)cV)QhCg7G*+eRwQCpCM{1SeBN4JYPjJgCXNA1cBz|zb}}#gFvYL{PQCRWK)(* zMqdsc<>R$Z!NQ^pcL?-gHZ1A5RjBAWJ8)67)Aew$;L_JA%w>h5i8jD1-mU9MePgKb z&+(%)baSxyS6S^}H$oc#vLgqJglQU8f2!(L3IK?}TREkS=9N+?9suHX*t3?UpdQ(ki)9q-fwlP&F`f*KzpAr94vbxQa7HeyUdhxR?pxr{o3$A(=QJU6U8bk>5f*PVyt$mo|HvhSM^+f zNuICkZ$jHYkoBCC7}6PD3)=jkdS$1y_g)=9|3pe_lm*AfyC_z93tGPOkMzX0p6x$8 z*jD1F`R>T0ln3GwhM%xbM`B?)^Do(CjDKs~s-sG@U|FZT2%u5!GnVK$uu#4DGev4j zE2_x7d!9n(ig(*V4_sM)#ysAx>x3IHMxu5Q@`LGAC>Tc%A#iQ(3n=L;7<8pOh|`-V zNP(OfW&f4WA5L*Sq=Qf}Zj-_27DVtwOE@LfJX%Yt1?~~YOqxL@+__BEtb|<>IYz!k zRKQ)D9Q2Sq2u)gP%Yy9j#wgHr!>8x8Tps|=W!bW^=Hj#&*N5TKX5~Viy&lCU=@$0+ zvy%FyM-c4xUV83pOm${XU}K@KRs-swU|A;xlg~!VkCA;i^Pqty-5u$@m@uKc(SLoT9XPt?P`w+=(k6VH9+=-cAG2JlQuTV8&b!NVo6_(z zdYOJ2C@=w(MdcJ47@~W$u@GV@Bcp6&;Z=v;W%NER%!aEh3c^Vfzy1T=U_H8uE*h zkW%m!`Irxp80$+aSSYFqw-Q;A8jEnK*(G8Q-ztl_U(hZ~NvD||Dkxb@4(8M#Gj4zj zX&LK_5@Y(+a|(HmG>i{ZJPiYHvkuzmxMNV1v4uH6y-kTdT+BL?-AUdV+_Vse-~VFW zno%agnchG&9dj9=^QG)OPHC?YOh3U{@Kyesw&36(9yH>PODLn zk$$#mY!aVo0lEOag_>Whu0lpI!SUC_(loZ#3RY^(4qg~zR>2++8)iC3h&j+S^(JIS<3Szx<}+7T zO`=zn!=^^LI~BZ*1;mI)hb^faTL6Xi4a`BBi2j`@qsT{YBlic{A|e%=BgK zTmKp&vW!DIjaMR&DAnSTX`h6VgFw2c4D63HdER?ACKDn^k0-4N!+GbQH%hRI;tv#9 zv?{JaXadB{nq|t~N6yzDk1{6{s^~46TrGEsE`ejA;O7u?eNb-1ErqfJsxM6q#S)*E zMybg!K}}4)vzoF~TFU_wN~fYP1LrtuK9l-Kceekn0m#UbD}Rfs)ZQ&(&nJYcpPCh&}Nlw#UJAkE~TY)7Q?*A`yZ}>1y4#W0Rihm59hxSkWbH|h1 z8D^mq^H3^a9+;CpPmjb|dNh5Yct#{GN*~PHkn#KydbdWa7n&TG0g+@>VbD(!}+89wps-I;P<-+LFJz{$qzSO42K0yU!q6?bDll1a3LEbl{+bHU}%ZU$r+IbT9=Sju0cYu?LiXAHmZY&f#iZC{|&03IO8*8 zA);O&;7gJ!)NjdxEq7CYACVi z@1#)>w(As_N73>Qj?NcO!$F$dJz&{0SP|+sD0V%|7|-W${sRyA12B+`UbtB5D&$Hf(5M`-rc5={6KOas0p%DJii+p{JJM zvx)exhED|~on*IN#j(m**VfE>Xo_taX_id4+5Kk)VLh{qMu8k!bsvP0`{3k~z`?Zr zIX@9IMbeOn3};r%sUtng!>gtg<6xjw3jtMSpiukl9f`uOF_3RPu~z!-1##}LH0Yel zmE98GZZ=_p_jw&TZPTX=F@bhxd*Ay6k0iI@FO)3*Y}KUdM0nQOzNcN@Zj|@+I7ct< zWNbXA2ARpPIz=b6c>NWk)mYUrS`7jwmeK|A)pS%de}CCbO=$0A+h&9wsb?ADR=mkr z9C}(X>n7H9S$PU9zdxSS@PZbNf=Q(3syQh0k(liMQ;VxVot6-pKP?t1GDI-uVsh;I z>*CSs?&EKIT*@7t%LNJ4L5BI$S9FHz@!(iKMRSC!ofe5%kX*=rF73Cqg*Ez_BX#?#uScRpk%1_`MO-&A?`S6{p zc~CIX$%w0DU_p-In?$@xwVR5BccNL>D!=-0abg!vA%e0S=~Td!>-k7L*2|k5RJJ!V!WnwG{_~am7qZ)P1{8V^ZFOs3!XykM6TpX(zoy)8Ll{9Hg?vAY zDy6+Kp7$Qfatz~$VQ?bclZupaTQ){}O^bwnjhrHNLOI4V<)XiB$rN-?;djG&KOZfn zbp&rIk9MC(2}h}2Oa^~K>=E5ghX>0&Uw130!nQ#*2Vg76w1unnr-LB{VVsXEB$95L z$Rm-un?ys4jD{m_0!9h6eQYwoNxosctGtJ0VB-+N>lEYVAw|XMuRSr{Byiw?l?KiF zdfT873YKWEaH7YC*=$~=m9uZxDu32UJ4=5DemHA#FQJ4U!|E66LKm}nOZWg56jZu5 z$Ms0a-30*h)Ho=&9)i45iBbsYLLPJnH$3VxYEFeIwj@F;sX*SX8N2vLG9k^|j0v)z zr0q6BO1g480S7E9TuI1WuaHM^JsmuQ7yRe&KpHK@KQxR{(_jAZ+snGG{l;ECbapq08oGx936muxBTOoJ$nr?_&u?`(c=c=gQ52q@ z?<}8SgGD8`?cEvi*)k(ydmExps8&wc*5vOk+TjcV!+=k%6@lv!Jk<7+6QbwDCVkTtkHxJv~OZy3R_L=0|0TD)|zrWOe(aKI3H4? zH7j~mO4vfG)MKITWVX55%d72NL9#A(sN$Fv{l_GeKvw4bZyZ28+~PnZyJqAJgibZq z6e3ne{4p}x{c`rzetP)>BjV~&9L+)ny2C(r_Wp4RP#!d>3;x>>5aj^vvd5PU3VY8m z96!o@_<-|mr1{a@sA zgsWl!Cx}*wygY4h9f<{+HbYf;Bug7`%I0#oe^b<(eJ_qJl(d^+l#xFVfuoh}30E4T z+D7}~=UZOjpnsbebeT^Ftzw9kQS`FcW+pzV@^?B#odPsn*~ zsY!7us&VLOK&0!;LNayRvcxLlOjT^YB~-OZ(z`YFDi5LTB0>NjnA$bY#)}0Sc|-`) zrLl(hVnPNt5S^9!=L1XZ27;L zP5_0-WJQ3AD1z-FT>kR@cLXL`1fpn#*E0%`IJ4>xClpoV)(sesnLpMNDO!PSUNNH^3FL*JeQVv;Jfkt3b zJ-Da<_>>@KmB;V1#$+Ul{-@iU=9_FqtyJcCMHdD*FNOER5e{p2Y#60?so3xELIO^e zOt&QDCM_`jbY$bVKl>nTn^HIMP8zGz6O)*si!Rzy!wQ=IA!IBZZ-G~rA9y)Xr=oZv z2-YzCj&)v%q;{ns#gk2&c5-F1xN%$kXfd5vaES@0-!f}T{^+dzRS;x`J(Vyc+AwRS zr{*Bpdly7Oi+VzK3ADRi6AP7AqIqR5d#H1eN|-Cd!V(f)H3O!Vz2RbHl|n4;-(T@& zDShNp43Q%#2H7TTwPGtNFrB$YCu#xX3o5m;Z*cDsVc~4&ov1p-!#L`k|NJjsvWNl3 zy-^qKTq8(KO(}$z3I9};zl~pWllqf^gs`POmeIy^CMz zdwVaYRIV~HtN;WzG?+QehA98mZ}X~Vgl!^JM!qomkm14ts)Ib=OfVR?$x3s1(^JbC z61_`kt5ohXulK^#7S}s$n~W^jYW5-A(2S>NK;UfeG)wY&y+$rf3F$~aZ62ULzkvJ? z7vZx^<=_JDwZsT#W7AF2zo~rOBh~t%D=ewp5O&>S8`XO*!MLVZ=6c6Rw*AuLVAyNUgXNIks^r-L9 zrzyRdYS~Qu7J~>QIFDe5qsnHBIEu;{3^Dpc-XvkxNGzBv2^q+=8&NLBj%&x9zFA{R zMO&L8?1#0&Ee=nFQM9`TgRT5Gp=hQKoKabah70d)zHnT+sLDvJ171pw7(kbx;F?=FRuSB(Bt_?B zmfnKG1pmbtWbY5VAW}B_s`nK}pGk+OVAM7YF0`#1WyV8gg;01L?y(?BO4t2Mv>!He z7^+NS&HJqD~qsHGqPsOc2^)T=Oz>V6vSPdZ!l^G1*C68vq z1)kFOhEh|Xd^HSgH|KZ&VQ90W8o*)(q6|P9jua4y3%e#`k>H}_V~Pu^iKJ(d*0gT! zF>JrSF3Rc{_8bg~fv-H(ZRWduMw?`u_zc(|9R`RN>^*{X2Ek$K5Gij9>>={Evf~6m zSwtAX`TI?Va{AdvMOv96gKvesSNBY$^z*|?TO`|)ov3y7_C_>mUt5&Qtc~&mFpP?L zHp`n?KKPW13S9sgI(z&6^1}A$leq9&_ch6M3&-bY);pvXu}cw`G4jDS;ns_%{ny)i z2%|9uvSm)!EfDnxv>7ASD&s@nYyMR^jfsC~n2ZiNAb30~hn&R?HX}sKMM}c`mnrnt zBC2%%>zw>qZ|*oea2qc%fd`;utzZGpNY%K0Vs0TUk2;TWbun7HY9WBM>GcOGx1i4EGGI5ra0yi>kF-JK0 zN#QGmNxZYYl1WY9wAaYShP#p7d5Kx&;y85EpIQ>_MpOQk3iulHAw?R~fC{k0ZAh)6Nr*TaL-;d>Zz_cUNca#Qd8z{UKqAN-33C;J^gqH-_W#Ja{+Ur zUm=>!?5@QZXe|k9FT?ZO)MGIA4pxjr$Wh!dEG;SQJrII8?;YFMY z20q<@bp241l)*U={*Q45b~WgVJQY(hPX&B1O5Y1`da4_w%eRgd{5=dN#JFy7WDm<+Mil^gGYUw z*jjBZ$|(gu@SZDxq{4NNo(Kqth(!7M;Q8on>J4N-P*6}|VF6rEeZWd_#C=qmfR5Ab z{_K=9VTePSe_r1}2E)_?0FArfU2UCTq;B>cHgQN7KYchmHWu>Uca)cwmh@Rzm+L7b zS>$G|K4z~ETiiF6Eb)kE<8iSqak-_qD??f^D0;v=2%|#NrBBgcOovI9s`Bw5#hPw_ zeFw4Nv5|gcsw%^KK+1Fk3-lm4OxRcU){1QXhT-%sw@bQlSKK=Uxe^$oa?zMDOtI-% zaXF0myeLgu+p2)5Tc=^&UzkO`3WAkT|E-n|=-eb(x@}w9oWBVkx56|t8v!nB7WU6bG9=rl&X@v;@AHbPx*3u zffUSJ}Nl12{qM!cRFsBz>%P z=J6@UdQ?+7{^iPxyyInQUg>=?YKjK4x(x=bhXL6+SYek8p2sbdui1Ez?EF~ys7(c@ zic}_1vt+SmRgHUjGh*yasJ4iaB+76Tr|h`$?PS$SL;u{4j$9)%R-o>8*!0wXsG@VU z^y;}^%APS>u%2t|iNO2G>-@OLsPcGzp%uW50hND#S?;ib&CG?{j~#r)eNLCU6h}L$ zN@MWmf%O!@M^cQovFU8}iC8p`vwu!zLcK|6`TQy^JF=LJ4oe5!FAI(N$MP&bDdkM* zfj_Ew?fCQEu=6NC>xl!riuIXws(Oko(&1hR9vIKyW(i$_M(k&g9!EMJ$cTY>a-X+;S~&lLFX4CLtZMvdzPbH;7{ zLg>R>FFdfjOcT#o8OgV**%(JFeK4)%_$k-~rv?Q6iban?j7#$%NNHI#BB+p+@=xOH z`NH}L@$0b%z^$_o>}-d*e%6!xLy zw@i^} z<-eE5WzIH$@;tZ~TDhB(Y=+ow!;TgjGHfxuilqZE50pMV0YSyrKl2Mn8b!t4bL^pi z1F6O%mPMl#J(o$M%3P=T zHWd3b&f*eXa3>BA|&PSQD1d)++kjQWe;MUA79JFF1iS|Ow472n<~NZ zt5^6>?$~bAu-4uEnQj&KY9jaZaK3bDqkq5+B^p`i`T@^vJ?JH(KHdoI$MIX%38jrN zr%b;NcPcnFCe)y?P2*uKX7>;s2GV=+_1Y9EP zz2@2@mZI~X;}JqnXxtwath-J3`nf?jOp`HK!#M6|wz49~qC0^3awM)@#VtshOwCp? z2h_#8*Oh7%+sBN?b=)y_cxtuifhd9<+E7hKn9<-^1Gp?EkU-mISKa5hI>ny|Au4l)w&-%q$E2!{t*8n)5i>%S; zprR6ykT${I4-tU`Zsa7A6D1rLaJM@df+Xj$Zlh+DH4MkV1imwDE>~+uTUPiFlClQ` z5a-vUsD!81R?j2L^?IYH3$#V@>3sO%V$oJ4sU4+a4XaH2h=-QLQF4~UN#>^$R|J&H zKV1CAd*g7tK%W=zMZJQR$%tT0@?;j9nWADP%Y5#&(%So?hk4fR4kzS4H@Lq|oFbnz z2Z5_tT4v}lK%%p7XU|3!gU_GjGY~M+;NnFejYQL9298T~xP3ylJDZswLVScOOeZeE ziqxeDu8JrYCeD$fM9h)e9>qgmbW87(0gz(sHIUES<+Jn^0fhe?wEc1MCH@X~aJ`*w zj*_K!&8Ko&VL_H|qqec~*~kuCZj4p5-5=%&{V{~n?owIv6d+$?i4k})n_P?Bx8HG! zQY9^0-1MZ1zz}OGwOROjs!VI5m=U30nV<3y4ilCxM-|~hfK37{ zmt&h$jq@ws%u;ILk?>5evNSE5C1=#%_PIfn)krBil;h3$9#{Z1i2Sr0K7b$0tWXa1 zYdFr)^mIz}K_GhK*67Eq11povNTfy7sFS*SecjPFmM+W77K{tpQF0r#XdTGh4ENL< zXV0W`vnhKVR^;roe)F_RR;QuXNIr*w36C!UKY7~5aLSg92NOm z&pLMFHrGf78IK!0J^f{kkQ~o&pOG?lqX{y*A8T@4)Ez!hG>h^_z-c{)U*GmC(T-i$ z3X#3ppnh5UwNmDMD4pWohrvR?=6H1KE%C*9y{BlC--?aBDh^2m$nkl6T5#MCUB)V0 z6M>GOuxnV}a=6%japTI8TTmY6$5s>OYxxw`?1@e_)X}nzUN)pJ`TIIdu!e3QN<$2` z7ZbRTDUJa+8=LDpmga0aY+Bvk#du<7DWdMgz8;Au4`-r$YbTM69bA}6z;EV32va7N z+(vpXqHbpVjaVex4uo_~Sv9Pa<)8u&jHGgG-6kIc2&x;1;o7$6edSm>;^hWMrUpL= z(O|lcRx`*OUuc$_4JJ|}IFT>g2lcbPnN&sJxrHL&E51VG5hQjTkKq?Pq;_M$Wh&kl zImQN#{sfxVS@bJvu=19hgl+9Jj^HM84il&fvk7GQfwzf9bD7kBod08w_iy~U6zx|t z-&|?AcIgiGifMeY z@G?h4-rDF?@W@y0DQ|3owGo{i(r>0LmM;p}vA9jmljX4TBv4SQ+Pf;1r>u*IASk@E z$F8UriDitLgzGMBhI~8LbvL7Hg_D99j5%;T7;r8&&U4PwRKTMWaL;1wEx|`lsHna& z!rECA-EW2g`JM@uSZo1!_)2_t#dilDd*ZYKN{_Ou7U!ZssR z&Ve6zWJ#Ce%%w2r0Ikql#TzlAHA}Re>No$T%Bs1HYT3L{;)4IeZ0`wCHh$|hxAbvT zJBsCKy&f4nV&Tg4S9Q%s$1`&_46f)|V)kv`uUd&d_>d=`**b4uz4cSBnxy)#LRPR+s@wbHROr4T zd3HmA6LE7G?q1y+S1&8%X2Sba3{_|CneYH`t=N47T^?Kys_x~0at(xCU(OL>}GO8!RXKU+U{;bu}e7*?dtm{^zisMz6GAf zBiPZ{Lke0Dk*sixrSX}nyp)6OX=M|_q;@l{s!dx#+2|b-28J&7V;einMKqz1%gdv837;D56Tyb&&7`g?fMaZ^n!eOTNwC}MEwN|d1w)-EIr zjb2s3=pM+>@c zn$RlYyot$>4_S{RDH5SXl>BS6`&_o95jnWo7yhYO|y34&vC#kl1TRvj20-! zSYlr?Y?ipn`Q(?SZ8m1$Sfj{VuNAb(qEFnPfV7hagJ42Sc|uKTVqloeGxk|KCFlY% zKu;02WHTpXjf!A#{laXqbdlrAat~}!Jg;eTSs~id?6-$1>A#Mh#JAiY?hr{WTcEg+GfS>f-oPrC{f{ zzJ>GXj5^Z`C6K<48qhJ%+GEb#V=)`I1UOvgT^_?>xXE?n!TD1H`pnIAv>B({P z7T_r6(jxt~ZfX7GajBlv@vkPFSVr7%T-kQ4uQjaPcvy&5Ax~bDjC-;~Pg2HLKoCpP zvmmvj*J=}VRmDYl_Oi*UQcNApT^8Dl=6;&TYYU|VY=pSAyb37#JQBeO?4a$)un z+}g6-+jzqbCtr?8mb2EZ4b&!+AX!g@m3TPZ1Khk0({XfqBd>-Dy*baKG`ftOdPY%8 zkv1Kc_{Gw?U0)$9|-zY{=u%2*yw{Vknq+zKWShU;1z-t3OdlR!0XpX`Zw z{bKCJcd>)P*Er_fpQ0)d3v2#rt+_2ZEcWpnqFGqiAiD(A^B@7dltz9eEB$DC>-PE; zeq630nnto__z-5pKIDCF$uEa7Qv$;x1{2`T0+4})TQ72x^`{N%+dXjDIj%+)0I~q^ z$4g|R7qaJ@(f$+WF7MwFZ0~hDU-wm?SJ>?#D)Q*2Ck|rGT1fN#+#>;I^wEXLGD`;^ z%BU=kg({$+c zCavg&VphXD(c-gZxv|60*2j@uEgHY|xW_-G2#Z*)IuIZrPo7BJwXV0P{B(-;HHsI0 zG0E~4oD2Qi$_g*4BW(SZ)Td(H8HpSB!u+Ac>(thQRvmPJzp((jc<0_l@w^f4hTK6m z{2&z_*BgSi!lC5_bmwK#H6i26@N8PGP* z+J^BHriTX~K5n*IL_4cGxqCB5 z3&O55ln31zd)sd6A@TEkc^jJza#p(@RU8a6xGo%ptjGRskD@a7Ipo$#oyV8JIByV56<&wMSQ-qQ!%W7z17g@4xwo0QPb2eikMUkX$DsnhEap zj&H{IsAU4Be+vK|0^KgD8w4$9g=0(~>EP$+bAA|!p@kx;Vgr-yZ(TMG^>;B3SIQ^aeqd9!oYIxn_ z@3k29>A-R7cyLAp{ql7dL}KF_yeuo2!|vwb_qNZ73=3nI|BBrl*x z%1Z1HC=}a5`s>Vgr~3L+^MmF2MY+*o?I_B*V9Q?XpY{P%X)8VE@=tK>6|eiH*`bZA z;Dm?D6OJ8W&9Dk8Yr!;*-hgT=q{n#zA7FF=xAWlf( z&IYtHY>>M;06mQ>m0a{0%;;pV!FnfRa*w5kN%S@mAG!MJ|Itx|*p+Ybi$MOB;Au1S z=$sMHI^dtQnhAV80Yp}bT97I+Y)*_n7urO3CtSn##Z;J^{AP>%+z{#4AFH;!{D8BsdyCvJC`mkp&3Ovu^r6%#*#I--a;)q zRf^5mc%v?kgTSYy7uoxben1`G=ZaE+*B<>ipLlk7r1sW8gc|8bTP3Au!G&>0kZ~oQ zNE`UTyo8uy(GB;yf751p-F1bljLusHys%$kU2H|} zguLeIJ^=%oqyc#7U73%e6rL*;BTu_IWASLa{kh$IY_)9)Yu3LC&QzjE0 zajPWkcvmQe?U=^)^zR)Q(Fn}JqPbK!gUZ{XbGZe8tfcZnY%8bvS~WwpP{? ze*sa3kR3ptbA*xLGCv`P=};q>l6G{+Fl#f=-{18=fI_aLDb?6pxJMMRqUGTDx>O!L z%)4UGAB)|8p2~ngV-yGO_y=siiXS1z(1g0*fvpJBR7Xf3(%bm zLvb@iPnFebQ1lAztI1H@>MPWM8agS4wqh z(j_J)j9oO*avx3O8)6!70gFyt`SX{nZn6IFN3!xxs;;s2*@WfrkB+1BQfV<>ZiWND zO47j&`_>0S{??y{SEE)Vu) zmZ$3j-fu_kY`H}^j|=$Ae<}hEW?nFS0FV}sF2Y6cuz{M!Z8I!#F7y^z%8O*}=NscS zbO$hdT`)pMG~Hn>LXbTRc8N5-h9ETeRBQC`DCwp4dHrS{vd5CuVK$qdu0G%RyBx(*z6QEemamF#9x&Ab;GXd%cm0p)D9xRBVMa>Kju|*|aB>ByNw-oT)Xl=hFQMusz)DQ>1Wi zAY@G}qJGCH^A#zd*m~3F`_$!WNMDmtZiQ7^6rm9>fU)+kLzn1^QQ>3L&Jnv((eR9> z@>?u;9Bzx=>IQ5D_*(CgB`^l(X-CMjEB~zhJvy*&ld)b3E&FaO$sT>&l(pdbYZy+l z3AL$`q5$S@SajixK2gkNylMNKAzYm1qQ(c#ey^0r=TU-W5E&GeUt4j`v)yw9@13k`NrvU-c_WKh{ zXS(F6@NB7^ONZi?!QcePW-+}KGfKvoP}3n%)SfSJs=UKA%2PH(qyyZo5Q9WwgQ91o z>R|(&dc2l^Li4HG0UpcfJU0DimnC7)_Xd)%ih`~Hqhf}G9&~YmDYB_nr_yj68Xn31 zU(rpXA!oUImEGOu=D1jwd>8|5UD-mK&QuYrcap4^H~NWrwl$BV_AVx)%~OGscnl(h zTXVLN=S|~_v?qTlzlQj(NGm->)w`^t9#hO*?0#GkCUe%3lN9|P2C2s>{o`k8u@>-b z)_UWDWQ~Vt4<}5OLie;s$CcUtgnFiz65)3t?WubA&Zi*Ai043f5{febnY+WZk%|Pz z2J6N3R{YE)RB+yQ^{?&K)NQb_aQ!-ufiE9kM0Ly=NafFwFB~RKWzlVj|Ju|6k?^VU zSqAaoQg;aWiYN5@6KZ4fCgSC@@*u)|tRQFx#G^)aHKEdGVr$OsS}f5%e!=`l#e=-6 z6FYEgi;$aI(2c}henrxB+?iO--0W`R>12=ID69UjG{hnn%l?jA`ic5Op|l$I6`c-t zh^R`8aaiX^R^eqi{H?RjyMo`JUmuaS6$)L>SU=(wodtuOz;(w52bdx)$O5==kbHr( z2K77nO=^iy?a=s)+yCF^d{68H)l=O~icHDR~jjiLw|&&84M5;c8AF`=p=bh~1-)TNcPN~#p!HXIZ`5sG#|(GM@)$Kw1`##t5r;0s zMiFen=JnO}4(8E0sk2RO0k>n0&_QLTs45~Ls`1BX4G#72QtZl{SIk6v%wDOT5Z{(% z!2XEkf>kw1kYOWY*cJc7P-@7Zo42T8J9MkjW6!&-ww#eJ>>RO&@q1C78Z1rKW+CPQ zhk;Ur3cxD#m*g&^*-z}O@RULwGrmWo*Vq;wQ(6s3MGzPEc!&EfamtWfdR& zaO&gH)v?eNK}b=o40zb;7pk;Z%G-npl}87tq*~9H@i&yEf=GH!1+kkOZ=7h9NC33d z=o?<^OLP$ZEdUYght_=3S5?@GS~+-!JYf#z8~^w_1$(rQNc$BsVt5M}7b@1LdrVt& zx_Al0>k<@@y!9Zx8#Bk!2C*GX2JtC7_C${f6Q#WfkbY{wE9fw0oEqd)u0ow6$oS=W z+K0OTT&}qViijk|cz{!gD+RV@CM;ww z*z<|xXH3mW)3zrH_PAxV_=!JW@s-r%{#%+b4|U?!ACM^KEB}-f!&A)yW~k`7KqZP5 z;b1Z$bEOYf!V?5UU$ML089sOC2Vu=7M1H=@3E48DO&JeSf^LhFl%EL+%(5>$slNr- zV9!eNxI`)^WUT@*^`fQBBTX64_xknZCOCNd>!{$4d^xE-NC+oQPbADmtTZJI!^6Az z8z+a`)fw&k8|XoTVuF;VTCP@kiy^SLl88@yXutCH_l-XAs(P6v6mkmWhq&tx51xOQ zmo4ErN1${)Lz&n!xT>XZA&dIJ+VWYuf}zwXcZI853$8AcuNHS=q4%O>>_C9)ey&$F zGA>(lK%A;)mkn&SF;1Hn!LeKT+da%HB ze{n-tOV;8%dnXggGtP}4eLRVlEe?0ce&_eoJYY`dqoVflTe|dJ*w1~{{vQIVf zcc0GofaB@e#}Qz%K*Emn9IH6*Y3TK_%pjS? zv=7w}1+Q-?NteJTidzWj)T%F*w0G27mmR*PN_rQX5~< znsW35III8qOKZj)C=!Twi1ApA*kUpJsJh8}K3>tfENc8qxL~)p)6K3u4U^*lma@@lL- z`Y>`ULX5Xfa95A0I(Z=PP#GElf$_29^xJ&`ca=Z%$%Ib^vLvL1DCk%!pCYZ*Z~%Xc zx()H^A5;Ngc(M5C%eS(NpELy15LdNK>NxsngDSdJ5#FWsu6KmN)g=~j^AUtcx7NCo zet;Lzc^iuP%eqVEe(difG@KT{tR9Udg@Dzl;04%saFe}Wg08IFdDAIDuRQ~{^X?pj zypaa89otClG9P5;m zK<6c+5HF##{L=G2N} zhR;VAKQwfK|1bTqGAq^_ZP0?h3T;qklS>^IBXbMbVKq${N$KyRqsE)+QAjD>$F}xI zrllHNku4Byt<5BQs)L@Uur=s|nvohig^bSWK#EZ? zDA4`ZO*~B>-5}^I#zH}ATa_KBx(Xcufy&2 zbJF&ax6g5e{_Gp=PG%(}uoT#9)zxp3$b{oO$Nt-_D3&5HRR0uCX`&nqP%nnOoNA#A z)VnKtu%US_rKU-X!RDB?X^5=|62U882 z86~|?j=s?JPodgPXeFVF1~R(}nzcTXApFR+szMTTqA~#>=dVH+bP<6-HF@@~&Zb{QF2$eBn`bMES@4`FG<0(UINCer1PXWOhtp zrd6vcV(&VLscfgY;`h<3);r6|Kva+0lYrNuR`!cS)Z<(U4jHWz!f}ruVu?->o|r(! zd>e1qt6{pf^OWUr>!w=cX6xpN0~#nSS(%o4Kmnly0%$IzP~#(Huy@v|pl-B^Jn7<> z^i#i#mkg0{Zj2z!so6*wh#kkQ9gRRx6N0%h;`-p3rDuGv)$ayemJJBcY}TMV8OoKM zOO&kn+yxwZwV4m|HN~6ZD3T@FpN*5`iZ@@rB~K*TsD6{!)zNb0WVX&0fBBobnKAN` z22^G@<37boD54!Z2mvhFy~NFsn?3$;#kN|!>aL$SH<6;9gwZ^E=1r_4P=JxhuVvAY zb>thx893{s>I<)8>v5tulB_?R41G%zCwN^&C0MLSDh7tAa?U~oO)>Nk$UVgBne#Cs zUAW3#f1b4(_qD|k;;m=(ycA25z-2ErY#Bf75X&c{WalgNHe3`<@gD2IkA z^2Qq>qxI~%hAPa5N0>W#L08ij{@vrIblpqgVxi#TZk^4?zzrdkjC;{5t9*cGHMvOwJ}~d@zcBD&`Zy*IpC+IJk?P>Y4p} zF~B8|1yGyG_pa14}NJlk}h|$q{ng3hB8{1R<2BNAx18PU+Fz zVRPdHDHFv`wu~hLS~)FMoF@S9P?u&n4xLT9!XN3&fHoNMBNxq8kYvkCex$X9CowwW z%244F8rE&&RkiD)E9lJBy0RK)ld0uvhjs4;yrz2D=HP+Nf`q6!xyG(^%%v-xv<4tJ zaY&}W*zv9>dDA(KVQ2M2fi>+&SF^$O<7f8WnSFE~9>d9zy3dv}k-g|kT7&azT$$l_ zz~Na|i4n3908XiD+#O#W&JVG-2a6QC(Sx(JVE%?G(90o?Fa~)xMqL51_Zn7Bp|T8TP0cr_18T&RWUvN@!`tFEAV2mjE~W zO8uH?#v$YI-z*#)a+kuI8K~>WD$51U8d+8LuG_LMCC4A0?Rjqn%{inZnRbE3Bd;t9 z`efhN#00BCRepQt{+t)2&^5j_P8qh|s<$nBTrSEnG{TZ|=Mkdt`t6s>y@6+LWa9qW z4e52bgng~j$q!SxWWzw8BIYGtzx;fWy==?OOR-CO{``X5rY_H`+|xnB>Pnm=i%2Vg z3xozr9cn31XP&C6QS%I3_3_T;`(%4VG5(s#{}Zil%;3(smA z>B<{ZL*l(;y@p6&!8>{`3?g~>pYs7oJ2X7))^l03cG@Et?z6iy3K54|TTKojKGD>m z0F3Gj+Gy{eb*{TR<~8f$2SbTt2c8Xw%zj?OT4kl4>B)G1eS+o}z_5c=R91@T(7$na<0?R+xrTub=|%Pp@5>7_s?Sd#(O9QQHuZRCup|Oc|HQ`yL~$ zDO7m_5+0(eXM*x5Hxqb3y7$Bam1iYqGA;v28Y9zEhCD)L`g8OxC8spt7(#c4p@J>q zWNNDD0H4ERXGiA&cf9`+2Oq2IXsNz1Zb;dZ6rnF~g_GxMTg4-V`poLvNP8FFX(P7uI@qNtV<%NEN)QZ~u-V@o)=zSuG3h4jR_-$D0*)>qJR zc+T2KPW>aIz2r8~rxO^_NUpk?Jl$A9NsL%f>NvJ^&m(NXV?-AaN4wn_G> zn&B6;4RH@CQJO*RUCB>A$sji3A#0+9i;*V8P^-RpD4PI+1L1!uke@wIiO zKkjG3+=+h?jDg9qIihn|Fss**+toTAT2gMKyb~IX^+vkrz42Tx*RPs;q&^hQNLtIc zXYG`E?Zy0{^@~RPkV#~sYqy~77YVngg=rH~;?%Xy4;TNL6?vu*<2;H`;UcDxC)SV> zVHv$s>*gV*UL!*KkOE2NWT-16mdbmHNRk3dFc(y&H3$o4g(GH#60m|4e@xC;v2W{2 z3xzLaI;=$fsyT-$E8F6k*lnKd1Bmn0MeB{}#2Re-lYyVk7$GP-oC)2vvLSWV^dDFD zzZNfLmE{Mh8!cP<{yl8@CBZ1QeJ}O!OpvNp2zZ$_HEnc=_S;yjw*>z0jQqEMabCs* zyW3<6RDORt;STP43cRu2;Vh-caB1%X`|nz&cL%~TWko~iXQdowzv_}4!AEmj2$QHE zC7+BM{%l2LQ*~{EGx`l&bL_@sJHuu5|3b0+GjrNj2apf1k%YNRQkdYf$73Amr%lUD z%BOa}A9Qs_K0>>Zvw7MQe27299Q?wIo`Wk}8O%=^O6S>%5^qB* zyJis0ym*IMwuZOjg)Xy)=kMAkx$L#N*TJSzCtZwlS{kof{A_Fu3Y&=nZGLu3(fL5z zj_s%?Xo7q#`N}f|!&@Nt>kC$Ix0QSqn94ac>p5 zAyOh6^`>|*=^vvkyF=pybKic5q(2w>!+Z4G_R8P+QG#tv<9j4A@&jRIx^ee+)<%qa zOzhZ{b+y(g4(k|f0|3rE^C;~ic4qE+{w;RpVf0jr2zxM5+%G;KG@VDcPZ^;Gj3|BbaRA!1Dv;zmmA_LGmeXJ72tZCO>lq_J|PeH1}36^+WR1owf~j(5j&9B z*LbnZF#MVMsr9gk_j(C#Fu81|!-!u}d^s46cc@UkY>9k2003RQ$Smg#I+m6;0dgfN zpP-t(T4;EQ2uN>o9QfJ2V4n!mEbpu)@2}WdKYi|D6%dsqM$6{h) zBkh5<;ZZolftGt_-xUUaz(NS1geu4g*EKYiYIl)9};I*w{7}4cwWfK-uMuvCP zzM@O}@|{ROW@i7Uep+>#YhXk(vR%T5L!18TF|S}>%y7sv=Uw}KkCyWEPq~_?w($CK z;k~cB&o3ciQ%kqOP)sU@GYcbX^{CGW_pA1`7fY<`<5@dJQ=5)n{?~aa6mHH5o*m`2 ztvmom@~VZ1QCj{`_JPtzk=y0D{5Fu^3Gg9A`)+y0QvZ9SOahUTQj2+6+4Hrq^wRoa z)NrxY6i2v?D!Xi z!wzHQkBeRQo*H4zg~(Z%-dk2O*;G-4i`UlJ7a#U6)O|3ui6c^wENDp?7qhGQx4pcS zJzi*!s$}heej<{I%w33$@xQZDKpE?a1v$Ir8AF-|f)+=t(Pa+<@yTXCulW;)&DxF^ zWA!_$q&DgjG@9J$)jZqF3r@Mnx9jUi1eG?FajliP%0Qo_KRj7`5+k{gAPP@`zvToG zp4&r*c6Ci!0bGbzw^_{2`*R#RHENRAJPEd~GwrWQ^Ui9jk6LG0Z3l}2?9H^TX2c>| zuROGK|sU(4!Ti8O0Qe^a4CNN{uN8r3V5(k#gk+qQgh#%-y==Q3^Js^oQ?#^WCjEXo(npw7JiJp8VUddEB;NC+d(|;k90?zP>r;2?4yI)yY*&c@tu?!*ULSV~BDMksEZcVO)(2Kz8Ot}pp-->>@ zWFKd9R}7S5-xe`%;COuC3Hr`kY;T05bmA0j zZdx(x(xsTDP#l!yF=jGEK}5T9U*-^t2z+^zEH(;Q(IpkJYyZ|>mzO_{A9%IvkPO{Q zQ7Lv6Q@(OhiQQ;X_s%b|Xo|Ifrt%J}kBU2O`6TL?r*~$yCQ&bJZ$BAfj)J`qh$4=2 zJ}~@~O$7oc_sxvz7ZGmtHTJ%Vc;zH#?O=~rFHf5+ZWemY9AetmUgwrq05ciC@_r`zFNp zFxemy$H04%cjrMY!%1`gVHO_Ssl0tsF(&G__<5N=C-)!rbzaO;|2i3Zh0IU2kQA_E z0(OrnSIB|&Vc2t1?dmd|H@X-=aQZmg%>7TeCTk$W`#u$$V(VtqbEm{(l;woyV}Hfd z^ zf%Eji(Oq_Paqx#{`VKDn3P_90X=Rr7S(f6rUOinp+dG)jvaEb+r%)bslpMdEfGt=6 zguk7~Z*kJ!lwj?huUvH$m0!rQHC%QjQjE)lW_fNWG5K*>f1&cv<2Z*ON+DHgWMXxM z=}AvDBgjw0`F>?J!cAkTKu((MEBp{)`WodQ6+`9{&zupmjY54zz-GZrMtXREeH>@) z@M9Gsk&9~Z-08}7&L{H$?rA13Jx(Uu76u+Vx4F@pdn?ST&+O*(MVx1cQWDu<*$ zn($Z-A~7|vRVB`n$F7ByF2ik&$n1YBte{idx-ZkUzDE6BhLrFTo++5Q%EH@EY85DE z$^<`fXk`2eTjx*NFrJ8sed+`96vELf|5jbK{Z*v@K603LwY>ByOJoRSSR8*{tu@BA zP*?r)dG?IQYwa~add0H2voqaR^5nysJ+6!Qs6@R+q+iUR&Mk21C$0I57a=-^2XWY1 z-5_kW=;3&(^T^(-4Lftmfqy0}6Q3>dt#WXIn!S7xidTHrsFywsywT(^Y9c zmh>?3bGX6TQrhnL$eM4C&Fze=Wk>?KQ_DEC%)*z{Yj@V|oU(p^0*i#lHERRiMSLR` zXdmm@d|bKxqZKBpsBIarr*g84d3cI!W-6aba}#FUZAw4mvIee zEojsQe4eg`B)j?SNIuZyw-cB|ft$+w*=6r@tPL~kr^U98%?yQWkX_^c=_^6-t3NzA z5hU!r(`57hF`id#RA4Yi=OEVPI@4B2*a$Uk6QqBuHgP)0&2M6t(3gD9@NfYr7}q=1 zLsc6vHYk{T+ixL?%qrDue_iN?y0V~s2RJPHE67+*z1rv3JF-A|sF5p~YP#S|&Z4V9 zXDC^^QkLYJWR9)FasZl6S6mLR{2G=9FFH>cO?k;EDdE;;T>GiMfVxvs+d{Z6m*zuCpYn zK2P0b7Rd=|rN<)Dt9Nsjuxj`Nhki8C@#&$`jiL0nt@jZ`9BQ6EF;U{j;DvL8%L`p4 z7M{#KraB(IK0fBYP=hS&SulOkwVmj@1!LTeomC?=CA_|)?3KG$b&73y(uw|eT1Eli zb7*zOk7Hvi93OIm7#gkEvm*I_0j6>i7pAUK8=;;Ar!81nC|MNQ>ye0CWBmPt^M5K3 zBk`DT5Vz`!dxqTsQ*1xC0J!fX_s@SJF7|kubTvMgyRHCu`#KU8_>cz8HJrAgdM-u$ z0cDA2P5wM7$B55ffu5WHIFqJd{WkQxK(~Ax_C32`e1{*xIEeWLyn`BsYBfetujT^WEt=_5pR0P!bFk3!kp$mEd;aDyKdFRQOlZFZpgS7`XA`Bj+~xZ(NwtZ@aDpy&MY;2x>(_ z1@!<2X`_6c14vZTmK&`kfwcKu+I60n$jZLl9&n5`tl!wr=){yB14?W9x_UHt^^qQR z_9X!8sfQIuxBzU)?fPgEqDNN7#)ySpGY; zsf4!zIRSH4msOQDVnJ@#*gqazLAD`;zzR<`JG3o2?XV% zJkqaXmQon-kR&fGzfKa;W(7=%oXC66ipiK>jx6vi-Y>pAEPdo9;7qEC9uVknZMv~w z_l>bT@TZNcI4idM8Pb7;)?(k?Y%6v!;Xu|XX8%FdwEk73#i9%$tw;+moxpa3UZID5 zHp8?eZCDv>SVK|r97paLnowicpUi~MgX_Bm@kPAzpxME(XmAR2( zKb@&`AX^E9btu=Uy~(YcgL(L{5>Yw zhg7}`v9^F%d=1CvYZ8$VB3_FX6pBFcqER6{W75F}b0 zS!U|B-gpYK*JylQmUnxCIls~CPl==`LT>w=YKj3!M0_UuA4S+@LZ_#`L`-$$pMrK*dtzU&fRbb-dXXR^A0e``JWfV}CBvIp`MnM_kLyZpd6jFBG zh@KG+;j`d2wPWKD?yF2lQ&sSC-m7#te_~$D)tS^h>b5&yHoJ`-3yBymz!S(N!{3AI zkA_x-(~V#qILh@FhuMXoH)<18hBadONK_p!IW43beuN;>oXon^Bp5@+;IoIpmtz7T z30a|gv{D+WR=tRQe&e-7Xdh|~B@(+d75f3zoX>bHRKEU*gxuqC*gvN#>Ikrmydn@t z7B5l#_I%*m>9xJ+a21CXK!$l9Zld+c2RfhCQNnWx3^9L*BMSQlhA9602t~rTo*>_E<$%YzC-ZoE=GdOC@?zVE zQ7%L&(ty(z(Wbl7=mCFo9SlU_ap)@985mgM$ z?av#H85;LR&jD4{gGj&>PmK8IMbjA2J>qTyoLFOAjQL0w!cftjgqzl=5mP=whE0E) zux|A4xp&f--Z6bN_a96}r-I}@iC>4W77iJYaRucu@jxneG4Xbfdm{Y-(2-NSslq6= z+3D&q$sM>fcxAb=A~-n7n_<4rTQ!BsPmcr<8g@Y@YftTUDV3QJieYCml5LSy$nlH@ zq`$w@o30<{QrM79i{a*7*9)PbcX3+3D4UT|(Y^ZC`~-~edFh-yKzUYN_X+uqkaY1g zK4EdAa+B`Pg7Md7x0L|5echDj#knR56qy1P^v|hKBY%9aY(*HQKE$DK2z^yj41u+x z3Z>jK2JKcJ&qW-*#K&qqs<=x0dG`@AnqR4=KUeZqi@`iyffdkbCoM4gH?FV$+^}D* z1RWhWc2YJ28!uI7{D%7YLManID*D3^Vzq$;5L5x)#eomI;l+4~m$vIcT@<}kjHfE$ zWaH7nky4DT5)232O1XvIwMwQn!1amCZ+UdtZuXLb`em=|-&LOpb@PK~;%rGsMW+Fc zthbmhP&3Z_*|1HrrQjS{NsuRL@ z=XQ({8iUl53`)TMg*sU*?NDhoJB&8W7pRh~J#%W&W2#tVs#t7LHWX&tpK>Twd2M=l z6J|iI@ehS+*;NCRubyr^vAg8fM5q>>&hi`@dAun@Y?wAy0$iq#CD#9bA6&FA&zIs= zIXSqKK9Z$~sD`hLR0B5I2n_}4S#FQ!ej=i~lFtK;4q}22)JPu{*+@SC&m<`^Uw4}2(;pY#ie7q$xh#ArQ4E)BuNf}X^o*4$W#ChCd5xzE*mr96z(zW#*38MzR@T5c_l@v_@j|*df zAx60f z8NJ=|1g|Nc7j2isx&!u_Q*;cwv4|3M!XK`$x0J`_?PZDveJnIv2^jL449t-}3Ir@# z1g7XVAwx@@<;p6Z6tvm(Mi|vGk7Y3|T}NJs|%_U|x!5_tm3KLH>8BSD=vJk=h*=WnW)>e!XW2U&akq=zC^q&UHf zXoU!B>tjxXZ5kDncZ7dly{OZeC^{li+){@vjP>BQbE_8mmUw?~B}mUQ>CkoVIt6^| zPPIBJEttWlP_M_Vr0o2&E9xJni1K?}O$+e{`*-Wsilh$sA;H%#ju&i3O$!jtKUHxD zj9%wCbmv|aj4{qTfW@t|#ZoK{y6smn3vMp;FOB)``~Ybm59I+BS~RTtm(_L(Z@7U) zfKpFWm{M8dns=nlbg-pdN;Si;`Cm!Y8p;BT`6eZZCn`+~&%e47Oo({!mgS2UhMm=t zh!V&iKthhPffbg41ZI8qKmKpE?iJqthfVqcShQ->SF-waWF!avsRZLz zGyh$^zxDrB>e}054p47n`}8JsP^~)4?q3|nX<2D&tzGPAl8^k36X%zT`5+}I`S&)N z6u^juwalhuZSoH^B1huxCS0Xv@?EU(vhy&9$<6qBN3$I3@tKx=Ab)|wqY>XoK&~{? zbCK7yDUnXy#+Fv9eOe=NcQNIQEi=45?@P(*a(fz|`azFLo~-d2?83Sfv-S^P(i~cpS`J4x&z%&?<;|L$uqmas5XASsrlJOuXkN%J8d&PD&ITl9 zmkGhPB?fUpw|5Z8NPKCq0xhR`({GW+WgZ-ctvxz66OYd*h%dhH>Nh_9`E}hg zpK8wg`r;x2K;LCvB+}Fx$G|P_W|3B!*^Rw}WZ~)5r`KiHDqBYRK|_!HBC~NU{h7lP zB;5RSD=rf*hVIcUZ$p4Eb!Dz#b*9r|5=NP;KIc@jY97ESiIu2@YSquolV7fk_YQx= zf=X2&HX3dfuyeG?dO`8>j9-+vvwt8{M)xIpS}oJSCX;P}noqKQ zg>+)H%bajXCQmYeZp5x5Kf$*cjW}K$PlzOcxp|^9XOQt6brN4)yg|t38X-Pwu z;&w7tyaE+YT!_8?m9Z#)Vb}^*3@vUzj5X1-S$WLSTa|IQuU&ySP z)O`QwXyc{zzrqObfVpkdz;``HGM}P(Jn3+k-C1O>U+2uM)hg1JaFkd^y3ZeF8d&_e zM!JPA#Tr<0c402NG7%bBmQo(cpRdnhEtJtA&m-Qlq>rg0BInHdN`v2HB74zoPJI8C z_aQ6B-GyO*}!R5~x=@_v$IRa(Q&C_dlb+?NeY!%RUg`!P&sSzxj2hoHF+ z5eUnU(xlD*PAX3l0KsB0+f$*mgPxL`Q7?kePS6xOUE<-{YUS=R8V`m?G<&T0%Ng|V zbQhtKQ(qh`$Y=chfg92~!NnZ=RKsqTw~p2N#RMFD5}$vD2fB$vE*w?n)lp zkqxb0uC|(Yy{7|RGR;;<-IKY@o&}))Gp8E_kY%S^x4cn&L#;WFZ*yp0{S15HJZ&nX zJ`a)<&w9J#ow#;ibzg=T&jY9h5r?#PLxS}TJzvw+T8!f!BUXBY1dN6Z8uJ6B>OJG` zdRWs%%lgvLjDpOFMEba+L#`YCy6qzDA`q^I`?@-k_(U*b&AF9)V|pM39f-$|+y8$A z#AwN??3*EK7e%w!PNky*fOoA?^pWcT@pJ{wjJDVvH?6zGCI6ou2H5GcRP{|iwX%M(5(1Pz>s2x0dd;u(peBaU;##*#PZajBJ3 zAev=U5LdaDA)2Q;^zpQG>>jkm&3{Ts4cp;UvuLvzR|K-y4IcXTdE;gIZ+BxBwXn=R zPax%S3PO55Ty@<tdr1dySodmw1E^la^3IURYEaoK^i^tO(5r*G~#ed=(Ibke;zNg z@#}*6w9;Knru)llwV=;mbq+}#uUi!Uma6#n+fcUZ`xmP7{QhqFdp>VjC)zq`!Rub- z6X1E$az?#aaQ9%E0T5`a+V+)J6w)##MK{ersU#W!9C3x{d{cdj%{f4(QnXgP`_H2m zOVUmGByxAV?}NX8K3|8$DYBztlwWDU6FdIQ#oG=O8_?TVpavY)JboF$Hx)EdMD?Ud zHyc)rZzmr;dXB;(mZ}~%4!eb)lD%g2ON(25PwAE&4Hcp(3qDg!OlW4JBg3a~Byj|x zMwXq(3IoEBV*OW)B)F-OGnSU)=JcN}s3<;y|DxmQ7kY?@SMuA1J@w|1@W(Y4hEYm% zTGh6TF?`A~WV4QNo>-U-j#FRjvWhIh{0slWQTuzPu3oN}a(XxH$4q?|?I(~L3}^_o z_L#rq+{}_aG?SF)p$1XEJLr zbS+kDpNM;EaixA7=KrYJZnV*R{?JN(!SOkXUsN)=@ySDpZl9uVTTRYQMISzd~wAOf&biW&82^@rrw>NDfm8_0d`7;@db* zl;OXEti1-eG?+a^?nCaW=SoaI6j^eN8Vz60#Ki2vwvf9>JO^6RBhuul&ERR9OJh$X z_F1vE;`oa?`Ttw(cR5GsF{c~eqB6@QeGG{$U%~4x#@Nze*$P_-V~6)m&G8@BOFYlf zBvO^x)aN9i1UmeZI(MRae}wZ}B2zN2N}84!M}_ymYQ7H1;H`eSEm{~FY_~i~?wYHH zP;cy-vjjY{XQ^Z(?)p7*><4rMbW>q8fiq;O#WY}eIxfNJEg+!tdu)P3?KsPCEmZWXPsnGNi0m)m?ut&-~G` zv+taxl;=bBEt?-kGn%{~viarRr0g`fdq)6gXm6hzu|Lb?K6Ik|DvKYkXj#6(yu&{= zalb;YXZBts?i+R**lsD_$=(~--j$<#tL5Hmi(mXiyX$WcXZ9(_Y&^B4J+og;d1^8k z2htD%+s;>CxJ3t99bypo-q8>4a(1eaB_LnCvvVkpxE*MSM@z6hU17GYdOYIf4LucD zmT9HBi&Xges{-q_PPHB+TuUg)Gb@^t23yzv)42H)2ykde5t#?2$67&(== ze};4;0JaaUYg@g$;g3(gXA$c2=E5D9PLN=IruuKK#?K1RBTsmdpAL?7R45<&cj7BK z(qD(?$-*DG*Rj{Jb@79r%$R8>PD8$WomZb3Pcj6W` z5ntvvA2HW65rYEf9?DjtGLI>BZq-jPALi}!iW{tQhwo7k$CWulAoGe$v(F<_*_ztPUMURdL2VF7pKqmSu`5EGzqX2K7 zu}{WmT&})KB4YytyUAznbv^gXmLUPBueUTWCx9kH#AeCZ$)vma$s-9VW8mEc4u6!& zX79|G5sL4pc%pH;i!b8)J6PoP-525Lbd}Bx-;{I`@(giYQGHxap^!oi^^1z6B~zxl zDE}tQ9dAHv%^4;|!1w1>xJL2L{Y^^oI(cM?)HS*Z*}eCs&W*No~=?Cz_@ ziSF<@sPNm2(f3Tqg?M3kHrOWGBVL%*Y4Y`VKIt+gh^I_SO_6F5o6-n{Ooz7%^G?GA z#kJkIxJG%o^-4<@nBijG_Hu-a@B@=%!ZrGOwm`4<;~?EO;E8!g3ikV@uesv;nSB69 zG#{AR`sm{|!((WqEs$ttq&LD|qhOKZv;N|cbjo%HK3-t_)A@hc<)61AVOhT-LM~>E zaupI>9jey#)etDtM}&jBNj#U*zb_{fg9*W{^|xuKjDeVPE{79|E*K#kFB3s*ux49( z%=m#LdYn~%leLPFDP`~E=UW*vY0?uBR+pAi_HE=yPQ1Gvf{#8fEqa_YKLWh7ul?B{ zUfH<%Zk%ul9RK~C=6*?o4N6DGO*h+vo`PfzjNLgeAnDxw{{)J69{a3x5o>4X(*0ij+)XJ z*p=Y=fpZy|soC?ONcPMdUaY`<<N^L_>TkWQ+6h?_Yp;|u-v ztCEY=sQzDJT(V$lU6ASj>guYa+6tO|DMd=LqNPYE?v&zQ+}$0DdvOi!P_#gCFB;q_ zP`t(62~Kbg5G=g(``#b#yz@JIch8;d&fK|kSF*daPQ62=^?P(-NB){^&Un?KY}hjR zsRJILA_%LQ!EUaOR&-SAj+`F`e3T{sD+NLkPDvb938*Wtg#%4D4OyS|{AySnqWltl)mnlDo{vTjWrf<^AGGmKE^_)=qaW-*)~`y3I= zq|}Y_;g{Dl=;Zp+8JsE(_jpuaTsY=O=Z0 zziM#h;cU4i`$+`E&y(C(UXx_ue`sHv>3pj_|7bh5&{=Bj&zRIJI6J97-l47-sE_!$ zPG3l~aZ)d-&onpd;Hie@eMC10@qrn!d|U zEKMJ|bTjjHz0)M4mtz}^Z1p|SlN9NgtG#)z-nai2&arA;yz+P>5f%6| zx!qI43Xk%hq8?VuNCerlF3%1$^%;y0L&<^uN&nj>W)Gh*?lL(28}Xe7_K+Qzlk|;q z*fQmIMg%u(HEaDO94&%^U0!6zl>JY?&qwqO{IEur9}Q<$noQ}pGxfLX<3RcCs|mi_ z=q&I#Y7N&0PwI>k(4Wc!HRl+^0T-h`x!C0+FGoRCTeKr1P#~`+v@IGE^CL?)ivgh+ z0}<JrN}U$}akjj^OTj08?5;ISn6*hEzf!`6`A0l|gc>?OT<(s9Bc2qi zRBNdk-~ScB+*$Vd)Jso?`D6^l2)8X+FOBc3PORRf<+%=IHzFxKfL zcV_oZ^3|rUBdJP75?JZ&ooum6VUD^AVZIW_0(p?i$!hBL^7Df80`)oJuKWd5ALFM4 zx;Pt+=c3zXDgppBy=gYue!bkH&I-M&zfLHE3t@Z;FW|g)@Z-5y?@><-9&&ezL%gO4qzI) z#D$e=E^rmfGjtokuN6y!^qTa^>shf&Sir=jdFe_+!{tC23+1b9vUmYowaK=uumZA} zXjovDb7%}e8(&<$oj(Rs_*;nDy2?!bb>^KZPycSOYd{LIh3hF{TucqN^Z@lGS!_RD z>W+C3mkqiI;;$uyQBs+Ryywjjy=S|ZfksS_7V`RYRcJUH_RDO&MUrM>wsO`?TT|D& zdnW|c?07Xlu4GK3achA506C)63N@8lPVI4m7{&f*Imeiw?$%MgSL=!UV=ShZFzdyi zp^y1y%YkHet39YUHY9N~_+)`EAF^s{a@uN&b0-k|d{5-%HoH#b?; z*atrRd$t-B6>RmU>U6LkXckLs4F_HPSK*~)_=g9SsO#6u;;_jLt7Qe)B&-ngeQzXU zEH46`!UgUZsmu`Wv{Rn!ZOH4vUoQ6NwsI#c#u>=6? zAHgmY`0n0ue&iRY3gdEb#zl-Gmbm5yHO3RqBc1q$Syc^AzjIMWU%nZve^n_8=IGDW zAGlxi0glLi<#+L6n*9ML+kIT5cJ;7Zk)^Ukz271DsuVixA2Y#*rUhnkbL-4izeYz&?rK zk|2z;ohm^i3AI#zl6Trlx^Q&wZ19|rre9*e_hl_TMC@7OP5 z=z5B5MI(#L!pdv7&ri+x)Nl9b3m>-@r!3k(_;7?UqIxmqL2Ncc(;xo!CvS zf4vwcIzd7Ot(in;e%{KZ9ZcFhUc_ZROU=WYA*Z$`1+%Cx{Y1~_I)rm309%=Lg_$qh zN)gw3&eZGtk#c;w=lzebH;(#Wr}bAh+*>O{XEw(fw%l9)iYa;FfCHD9^K0u_7X3o% z87Lbx{JewAIC4vlLVcg!X2;#S;aXn>QP_H!0OUI!Y@&WW*bun&DvqV!A|o5!>V3`Z zzg#{ApnB9)rOev}bj0S~TIxZ6B@A9Noqbuj1F_uvN{}A#1V7^ahq5j>%GsW zb77RpcgDB2!KEh~3NMv1dK+h%cEVR(Z)Mfzc}5VoovCAE9T~Tcc|=nEM#U@rq9Y+8 zZXL)~9C$lb)(-EKnk06>+i$n5gj9~_uCq*D5eoVo-eSJghI!|GP@9m-16Fx_1(K6t+Zb{ zIo+pFQ@Fi67U_@{BHe0=X;7D<3y=29fu40Wmsef$5T@Mjn!`K4JUv`;JR8?}nuzSJ zGtZw+cR$>h9zHe}LV(%npxhc|A7W9^`sr5b^%SlsC?Nu73*|# zf1m6QB8DQSp7yZ--zsKEH?dVo@bCUCG;&Ot^$fdBkp}q9adp<2UP-X|2f!PfBcz)j z{M@^`92y{{%}=?S*G%r$YloQAl3P(6ZFPO`4g z!zS*MF^#cXi81KG^#i(kNsMNlevLk!xx#Ud(}+FD*Ma6j0|;$QqU{+%C;$=uj{>m% z%}C6Z^Da(*+-0-`MNZd~ftXh*>4X%!gQ(D7i%o2h%D3E$Vq1{s5hD={33wKyjgpmat(V5!sIh%r9mbFPiBpvI`-6 zF%PU@uEw}0q8mAMjux%gYMcW&z$FH(PdEhzYK%*z(s;PfKE4>ty9PPbhoO_)`=RTk zs%3ZKfl(o?XEQ_LPD%ydc5IJZf%zq{c9&shhHhGg=tY>rXI_anm-@U3Y{yLIZz;#^ zpi$eT@@nf8J_JsMt!xC^#IA*r6c_~gC+z_B0{gw~t#W3waXgLw#wXb}1*2jk|8abZ zH?+DtDEFxP^3WgZg()9!VvjB)jU|nPf)+mx=tWVnZx9}s{JRlH_NO&@h^Cg$G7j_U zPiI)%ZEzTj&4Pu_oMU_7d)+&(-YwNKpJrNymvb{$6gyqel>->vJZCJQf=FoZHAv>} zcNY8&kaXnb9WaHxoE@l;ff~GJUHZykvtU<7WGW42D&XLg@g{^Nt3BVUX`i<%HvMb= z;LzyIWw+pYpD*LRYPC?Qtrgrf;fWK%WuQKWDcv4Z_7eo@(Na~R^a*)5f9=O1{se z#X9d}0&v^@;iC{GAIlrEjVHfQ5tviW+}mZO=iCo9%hTahf;%5`Tt9jAb*Cv1Vm$X{ z`UK`>ZHn6<-v{0F9c(A|JHyD>>$$$JLdi%XiBgd5I8sCuBF8x;)qWsbGXHps8a~BH z#`J@=tslcGB%>zP8c!l@xvcgVt6bT6#y>2+ABhD@*Az`@ub@Fs9F{?s%MD)&5SzgY zADPB!9Xi>Juz}IoJLf7HkSF1A5?^+IL{FgwulUQ+e&WQjzrt0q^b=n-AT7hzP~wiFRq^{5Nr(x5%5XlDbON@>g2=xyOBqUm(;UZc|zeJ8{=!n4&&gBGH~Ho_>VBVjE#lKr{Z?y3O^eU=0f zrRf@qUY@w%$EZoSOBME_XF3XHf?nTose67nND4r`&9IT_KYRt{6+b7D0POIB_d)}S zC#hvjs4-mHL%9D0XDW}=k3&vbScNt<@E|{3pW|b`wk@da#@ByF;tCO@j*6LX63?xc zZn~0{%RuGapj%|36?&zNtLKs_4o%!QIM9)#uO*gsz^}vaSJqW?w9}P&b7hW(I2AVF zF>F!*I&u9SO+9KV!+WqxulQ@}usp_0&AfY6{smyTg>^9YRPw%}2@7ra4ztL~IwAgp z-ER#-au0L&(QV)}&88QFh6ZO?n%WYqD{t7-8b35JRtb4lb-n}|?0hOHZ*Lbo>2X!y z^!RNu0xH&yrQy;&99iuw@fM9ZP!Qqokm5v#%?hz21f~%L+}(>OyRenyO%a8g{ro#; z?=fMbM)4dhUR!kON z5b=)PKnZchGRB^rpayYF?tC-0wTj9J1{}aItm5`z$uShIO|7(7=O!G}48Jh`mOFI4 z)kM)c0Lj+6cVpY)lD=SwvP9`jr0Af0wJ7UMd&A@{CXGaxjx(q-s6ELLd-a1Qdj73u z@Mp`FKOq(Q@&P0#B}jN*kS*4KGl?)?ykcwfk1{6gWb&Q!rYJL*YIyyLc*9D`A_65X zVqYsi@n-nLD?moeON>-*Ujog_LQx_F^9-{O+_ao5^~2)6%;GPuP`N#%aNW6mt8DL@ zG&?4p>D$;LI}YdBYQtuQ|u9ZFM}T02@tssV@K{Lie83uZ|_f8E!`T znd*qJzoVbXYq@iSHn6er0!)&*S9&>nIi;_Ny6pm`Hc7ssip=qA^e(bJyB+gA4C_&r zwKSj>KBmOngsr-UduQgYMF84?7k#NXBPG14IHV}{4`UVXVZ+M-l)K}6FX+q<`egY@ zYnT$nZB2CSZ6P~t`6Q`_huTXNPHEn=II{A#glpeE$bx0bj`*6k9`s+yiA|qRZF!2m zPDe)|e?6^h#gtMwJ_+s1YiG--eYvTWLV78c_Y^O%|HXv~8@Xcs7=r-}RuQQj_*n`L zY&V`k^j~`dt+cl>(xb*`dD0gRs}qa_vfgk4I1fUd8UtSL#DQwOrVP%_y#8;CB&b1J?86XjCqP9X$@qkdOmW@}Da zVW!czY4LEfOm*lms&+79cg7=5W5H0quC7yt^Gwcfw4Fz1PfqN61{$^eWpQ?_WWUmF zaa-2x)osvKE70z-J|;$r%7B$JV88ZBdZU|o2{@6x{^WDa(hyT-a`k{F74i4C95V%>)mJ-bp}-XKnEcY$C(6=wF`o6$() z>~Ez7IiXtlcIdsGUG%9KYCb&U8#rqim^{(>94W%*sO;BR@rF4$&S?YUQM4G<{CRpUz%*wqhnGnx=6d4IU3qLU5VuAvd$Fztlo*4hPJ6;N35)PZixqPIMAiEY?fh9?+?GPdduYO{zJi zOE1UX+aB+Q8$U<_YiLs+bPG@497ac1q-G1;_6b+GrrCbvu6UT|etyfzSM-CM>Ah=$ zQA0dByg;p>S8#e+(?`U!%#(?nu=O3$v{q?#M{mG>*{^3EXPkZQeoz(if~%h z4k+Pd(R$z>Q^{YQ%J4N5jujg7DyDz)6BTfs^cWV?)AU~Pb>Jf7X8!5&%b%lvxrwy* z%fRUC^cH0I`ozcIcTj)Qg#V4UnR`#W#rVbDKhKZAO6<=?KCPA&hb_6oVP}tbR?<0)GfO$LI7aV|6Sb{rpYvr3By5w&67Ry~$7>!IWMyeNYKd;|b7DXov zto%r)I}{cZ=2UwonyulUoz8o7^R@0WRw5Xhb#3=<5e?eP#O$HSRh4Mndm^X zQ^)Hx^Mw2yY&2tl24R?Yk%t*X4Q|Rknk&ls6-xD;v&z$$nKya@Rc#Sv#|^XHe$)OY zki=q&CNvI*yK?XXORDcvIr~#vSw!BVn|5bPSFCyu0)z4F&U#%A{qb zidvENVE|7V4*g-97?4Tr+Cy@#cJ&B2 zUQET$;+5@D;=HkNUBwJU?q)MNUtt~p?cBnL+`X~Tn+WbGHrg~>KBNuU*Jh6bv^O1X z5I-t67@>6|V!N=Y3(kcw7PKw2tw?y%LG|G4_6p+1c>Hd`>1@f2a@A2azuskj*&Ce) z4y{A_kY$m;I-$ckhimy1j0e%tF)Tk(ln=@WSSd9VxQviMedeN7mg8Hk`KN5V+nE74 zjnT0m)zULnu2=`0ll>4~3j2@Pva74jn}7N&kWShUKSFl>jQ!NfeEx#scc_r1-}4ur zIQD(`5a;+ON0-CKO{BYaAmFjBldMR*iuBa#3FOKUw(K3`jCPjfe_op(>MPC=km>yQq*))iKhnhy3>UNjxY>eWk>_)2^okWLnR zqZ=`ykn7O(n~`0Q|1R*=bq7Ocdkr zUh+vyHDS(HDd+y;a0SldBoBe}jT>c^Eue8Pe6^p=|M7F)&oKKzzmS4lb8P4Vc;s|# zWtSuMoFj|H1}z#!IEmSl_O;&2RxoOea7s|w6+UIsT;6vbPafglL8mAY+*fRt)KhT9 z&JnYoBzg4s77^vg0y~tlTa-DbzIpo)1tdWI9t9vlw)yy*FZ^Y=aq&l+yrY=J?VWFF zop?o-c6v>LDIeo`eOQ_2FK+2L0p0ktL(0C)C0n!*u6qFhJM~f7o}z93v>CE}fqdoO zv##G<8a1m=PGJmlg;S}zl$tNIS^|0n_+tgcm|_+beSeY<&MV`6)kLM_xM&M0BL_5T z9?f}qNj=FH!UfBQ=ns#?K%X=^cj1?vAkO&1&hg<{`U~44#i1(}bi+S_2TOB)BmB4w zK0&{GSTWF{Ei9FwUes}GM~hJAnq_M}Q(Oi{0(RQU@4~4J^4?~8WO9X6j1cLwncCpR z-vL4)pBKi$=d}s!WmNh>BEm@lSi?k}S*=K~_Rx`Eg?zs6g-Y6~XcMWY@vXd8`@SDN ziT_soJL*~Ic6fv5W;m8|=NupkdE>7w)X=X|bYy|$hr zCh205B#Ig-q+m+iR}{;Bw*A5V!P|2rxacp%Os8IIm&xPFLnSfj+%?a*{&D$%Z>DT< zAfQn@xcqK@P&V%!j)jma4-&f}OHsV{W*a|PGZ|k%=oE)rfMra^z%Bp*Tjd4E`sXqB`O}cWSdN=Z$P04?raVH;hNZ!Vqu!I za&~H2!ay5@_AnH54QDEAT*$+{^xzLdJEtTK2Y#KXTRo|%&2L=4VRRc)jR#Ie)rN%% z4K5pnmF(XzDYRPw(?4r^aza}#bJi86W?Bc+n)gZSc=Hwh-lDPqOMq5WiQ}t=0V;$C zJJ+VNL)PdPDq&c~+YjmU!e=xj#oM3W`44FyV`qk2{f)=;W4GXXGbY|J$&#>66FF7I z+;?i~RV%nieysdlR&xGv+$g_xSl6=ZlQv0ZoK|jH4gY4txgLzgpGf3QUiidiM)L>= zz~9N-d9m;9E+jxfo)9lHRJ1+y&@Ch!yIw>G{_y`WwWt;UT2d|ZDaR`s^(qBIPTCR` zlYkIVqDt|9A;er)4_*uGPma%P*;xjyO4K#<6H6VRBtuK{jg!i}P_DD0ePvYB{W>kX z%h%`ZDVm-Did;Y7rci#kb z43NN1h^!HHvcBwmY-&euU*nv@<0Qx!SJd5pp@$uoW7UBjfQaYN-)>u1zt+R<$LYs; zqMkAOhVQP$4kJek5VgJ03 ztZuRbu_tm>+wR)Y6S_1-2E~J!o~AkVEiG}lN>T*}lJiPd*BDzq?P}T!Yg8KBDO=jJ zT`dns|13@`H?q$})bF?+AkKuoLka67*0g2VJ_)YoMN%=B5??z^Wm z-FqxgHZj+(rHj6~!$sLN#bJgqG`|&+UioU$1m`d$|IiZr0Mcpy`xtuap$o5=eQ@>W zm@@#bYy{L+`i{7b!#&df2m>nYagsH{^hW01iKnt_Lh6+Ga|+()a>`X4Kd_L`f5+FF z`d)Se6YnbQT2C%}wlAsv6mOyj5h3UPl{+px%vZ5Vqi}6F04(gv81EcqDD<5*SoU*P zWtW2x*$sp)=fBh46|pHdKIs-4bM!~1?~ zDDs|!cD&VZy=!GCZ=awZjbdN}bO16#eUBuvoV5En&`snQ%h>d=T_x&$7L@nE`IB-7 z-d;jMMVlT6+H$xRfJgvF^y4%WB+L9i&owZYu9sQ0#NdvJh3E(s0?Y(#kvfD)z5fo4}^netP1}^`~e;yDtV#d`GjhFZKf5D+V z!UysL5BNV3c1N%GJ8$t`WWkgk_X_TmzPVS`1`3EQt%!-Y&84}oW|Vb_F0HO^NBi1k zD`3g0|3JctN8Sk{8@77`?cL@?q^#HjRL|^D{G7NSnmDYsIlPIFU|CT@g^r#(*K41M zmkl(`Lz9=*m3TdhBgJvT(ZkR!Zm4iou`0y4w^L5nlaO8a0X{DgT~h@iu5G}4_x)#R zL)VwhD5~T!rLM2+n5U&79e*#uI>u&@0RGm}>r~Jxc~9rexaL^fF@Db)*{WmtUhf)M z-&5!N8o?^4++ow{b@gdkvpmqh$x7mDON* z+~|5ZmYh|iY5n=4>0AgomHpe2NE}DpEdOPbB^41p>6S*q4XJ)#2+^qJ_9Dv9Cmu( z1(M>G+oVF3STw!Szs5Jmj^zSr+&AMaGU;zGCh?z?NyB}tNmz%pT`y)@Z!bu zvp16acLjR_G2EfbqA7=DB_0m;s{r&EO6es7A-<&prNLvNch-2JvVM3%Hd|r?L9ox0 zDSrpN}l=v+{G4-X8{Cz`T}sZInNLM@*BJn1-Nv1f0T7iuctYjZRBG&YhC)V0vnlSpTUwZ6{g%cEj>M?pW!YX>PJeC&2VL6~Xy77(c@1L2=CYLCR(XA!C zia*AM(#@fgq#=e4{@9!{OX^aGMc=nG)l;n`tg#!xK~L?+3tfNL7vqhaHA5szm|~D6 zZ&7xeS7p%2pV@UyUNJ>NeVf5v;LpdpJU4kvJqX8Byoh6u;XU?i&Bf4z`Y&M^ichUi zB==?ESd|yFp89cirI0{xZ2I5A)&yY51|dH)kA}%nbDeMKq{%Q(It{&FQ{(615^@kLl1&X#Klsp>=@7B*PWV zB$pc{!1EIyn?0e*JOZa(8QpR#(P=N%x%={W!5_@lH1-lE9Ybo>pKsp@DY);_sFnT% zJ>DF87|U8`HfKi>Zu@={Ji7-_SFnyzrt?+Zx*Uw*i4-|t!!DwK7aUzreR?L<=jdAB zaS6gY{56xCi49c9ya>`5RxV@|aqG;W)GUAF+DB<;tP;^L7cVy=S4y<@8WvRGot_`s zLt`Wes^k5ou?GA@?G(XB4vkKu`HSyaVDxoG`>z)@Kj?K(K=b^IZUUc`PsWDW=n0tw z?`HhH-BaUV3HIo1F)`*dBc0z33hyB2eYt#{qNdKQCi^R0U0`Ha_IFrU|DZ9so@Hs5 le1V2Q0f@-I?`j83zLbw|v{t5_=R%&Xknc+pi1g2Z{|AcWmLLEC diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.db_info b/CPLD-old/MAX/MAXV/db/RAM2GS.db_info deleted file mode 100644 index 816de1e..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -Version_Index = 302049280 -Creation_Time = Mon Aug 16 18:36:34 2021 diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.fit.qmsg b/CPLD-old/MAX/MAXV/db/RAM2GS.fit.qmsg deleted file mode 100644 index 5f9e7a9..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.fit.qmsg +++ /dev/null @@ -1,46 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1629153618530 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2GS 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1629153618530 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1629153618577 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1629153618577 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1629153618639 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1629153618639 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1629153618748 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "1 63 " "No exact pin location assignment(s) for 1 pins of 63 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "LED " "Pin LED not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { LED } } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 11 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { LED } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/" { { 0 { 0 ""} 0 336 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1629153618764 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1629153618764 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1629153618842 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1629153618842 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1629153618857 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1629153618857 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 38 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI2 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 7 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/" { { 0 { 0 ""} 0 332 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 18 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { nCRAS } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 14 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { nCRAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/" { { 0 { 0 ""} 0 334 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 21 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~3 " "Destination \"comb~3\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 19 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { nCCAS } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 14 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { nCCAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/" { { 0 { 0 ""} 0 333 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1629153618873 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1629153618889 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1629153618889 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1629153618904 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1629153618904 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1629153618904 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1629153618904 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "1 unused 3.3V 0 1 0 " "Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1629153618920 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1629153618920 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1629153618920 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 38 0 " "I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1629153618920 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 24 17 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 24 total pin(s) used -- 17 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1629153618920 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1629153618920 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1629153618920 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1629153618951 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1629153619045 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1629153619232 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1629153619247 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1629153619871 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1629153619871 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1629153619903 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "20 " "Router estimated average interconnect usage is 20% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1629153620137 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1629153620137 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1629153621129 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1629153621129 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1629153621139 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1629153621169 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1629153621219 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "548 " "Peak virtual memory: 548 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1629153621239 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Aug 16 18:40:21 2021 " "Processing ended: Mon Aug 16 18:40:21 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1629153621239 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1629153621239 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1629153621239 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1629153621239 ""} diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.hier_info b/CPLD-old/MAX/MAXV/db/RAM2GS.hier_info deleted file mode 100644 index d04c06b..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.hier_info +++ /dev/null @@ -1,280 +0,0 @@ -|RAM2GS -PHI2 => Bank[0].CLK -PHI2 => Bank[1].CLK -PHI2 => Bank[2].CLK -PHI2 => Bank[3].CLK -PHI2 => Bank[4].CLK -PHI2 => Bank[5].CLK -PHI2 => Bank[6].CLK -PHI2 => Bank[7].CLK -PHI2 => RA11.CLK -PHI2 => PHI2r.DATAIN -PHI2 => CmdDRDIn.CLK -PHI2 => CmdDRCLK.CLK -PHI2 => CmdUFMPrgm.CLK -PHI2 => CmdUFMErase.CLK -PHI2 => CmdSubmitted.CLK -PHI2 => Cmdn8MEGEN.CLK -PHI2 => XOR8MEG.CLK -PHI2 => ADSubmitted.CLK -PHI2 => C1Submitted.CLK -PHI2 => UFMOscEN.CLK -PHI2 => CmdEnable.CLK -MAin[0] => RA.DATAA -MAin[0] => RowA.DATAB -MAin[0] => Equal0.IN7 -MAin[0] => Equal1.IN7 -MAin[0] => Equal3.IN6 -MAin[1] => RA.DATAA -MAin[1] => RowA.DATAB -MAin[1] => Equal0.IN6 -MAin[1] => Equal1.IN6 -MAin[1] => Equal3.IN7 -MAin[2] => RA.DATAA -MAin[2] => RowA.DATAB -MAin[2] => Equal0.IN5 -MAin[2] => Equal1.IN5 -MAin[2] => Equal3.IN5 -MAin[3] => RA.DATAA -MAin[3] => RowA.DATAB -MAin[3] => Equal0.IN4 -MAin[3] => Equal1.IN4 -MAin[3] => Equal3.IN4 -MAin[4] => RA.DATAA -MAin[4] => RowA.DATAB -MAin[4] => Equal0.IN3 -MAin[4] => Equal1.IN3 -MAin[4] => Equal3.IN3 -MAin[5] => RA.DATAA -MAin[5] => RowA.DATAB -MAin[5] => Equal0.IN2 -MAin[5] => Equal1.IN2 -MAin[5] => Equal3.IN2 -MAin[6] => RA.DATAA -MAin[6] => RowA.DATAB -MAin[6] => Equal0.IN1 -MAin[6] => Equal1.IN1 -MAin[6] => Equal3.IN1 -MAin[7] => RA.DATAA -MAin[7] => RowA.DATAB -MAin[7] => Equal0.IN0 -MAin[7] => Equal1.IN0 -MAin[7] => Equal3.IN0 -MAin[8] => RA.DATAA -MAin[8] => RowA.DATAB -MAin[9] => RA.DATAA -MAin[9] => comb.DATAA -MAin[9] => RowA.DATAB -MAin[9] => comb.DATAA -CROW[0] => RBA.DATAB -CROW[1] => RBA.DATAB -Din[0] => CmdDRDIn.DATAB -Din[0] => XOR8MEG.DATAB -Din[0] => WRD[0].DATAIN -Din[0] => Bank[0].DATAIN -Din[0] => Equal14.IN2 -Din[0] => Equal15.IN4 -Din[0] => Cmdn8MEGEN.DATAB -Din[1] => CmdDRCLK.DATAB -Din[1] => WRD[1].DATAIN -Din[1] => Bank[1].DATAIN -Din[1] => Equal14.IN7 -Din[1] => Equal15.IN7 -Din[2] => CmdUFMPrgm.DATAB -Din[2] => WRD[2].DATAIN -Din[2] => Bank[2].DATAIN -Din[2] => Equal14.IN6 -Din[2] => Equal15.IN3 -Din[3] => CmdUFMErase.DATAB -Din[3] => WRD[3].DATAIN -Din[3] => Bank[3].DATAIN -Din[3] => Equal14.IN5 -Din[3] => Equal15.IN2 -Din[4] => WRD[4].DATAIN -Din[4] => Bank[4].DATAIN -Din[4] => Equal14.IN4 -Din[4] => Equal15.IN6 -Din[4] => Equal16.IN3 -Din[4] => Equal17.IN0 -Din[4] => Equal18.IN3 -Din[5] => WRD[5].DATAIN -Din[5] => Bank[5].DATAIN -Din[5] => Equal14.IN3 -Din[5] => Equal15.IN1 -Din[5] => Equal16.IN2 -Din[5] => Equal17.IN3 -Din[5] => Equal18.IN0 -Din[6] => RA11.IN1 -Din[6] => WRD[6].DATAIN -Din[6] => Bank[6].DATAIN -Din[6] => Equal14.IN1 -Din[6] => Equal15.IN5 -Din[6] => Equal16.IN1 -Din[6] => Equal17.IN2 -Din[6] => Equal18.IN2 -Din[7] => WRD[7].DATAIN -Din[7] => Bank[7].DATAIN -Din[7] => Equal14.IN0 -Din[7] => Equal15.IN0 -Din[7] => Equal16.IN0 -Din[7] => Equal17.IN1 -Din[7] => Equal18.IN1 -Dout[0] <= Dout[0].DB_MAX_OUTPUT_PORT_TYPE -Dout[1] <= Dout[1].DB_MAX_OUTPUT_PORT_TYPE -Dout[2] <= Dout[2].DB_MAX_OUTPUT_PORT_TYPE -Dout[3] <= Dout[3].DB_MAX_OUTPUT_PORT_TYPE -Dout[4] <= Dout[4].DB_MAX_OUTPUT_PORT_TYPE -Dout[5] <= Dout[5].DB_MAX_OUTPUT_PORT_TYPE -Dout[6] <= Dout[6].DB_MAX_OUTPUT_PORT_TYPE -Dout[7] <= Dout[7].DB_MAX_OUTPUT_PORT_TYPE -nCCAS => WRD[0].CLK -nCCAS => WRD[1].CLK -nCCAS => WRD[2].CLK -nCCAS => WRD[3].CLK -nCCAS => WRD[4].CLK -nCCAS => WRD[5].CLK -nCCAS => WRD[6].CLK -nCCAS => WRD[7].CLK -nCCAS => comb.IN0 -nCCAS => CBR.DATAIN -nCCAS => CASr.DATAIN -nCRAS => CBR.CLK -nCRAS => FWEr.CLK -nCRAS => RowA[0].CLK -nCRAS => RowA[1].CLK -nCRAS => RowA[2].CLK -nCRAS => RowA[3].CLK -nCRAS => RowA[4].CLK -nCRAS => RowA[5].CLK -nCRAS => RowA[6].CLK -nCRAS => RowA[7].CLK -nCRAS => RowA[8].CLK -nCRAS => RowA[9].CLK -nCRAS => RBA[0]~reg0.CLK -nCRAS => RBA[1]~reg0.CLK -nCRAS => comb.IN1 -nCRAS => RASr.DATAIN -nFWE => comb.IN1 -nFWE => CMDWR.IN1 -nFWE => ADWR.IN1 -nFWE => C1WR.IN1 -nFWE => FWEr.DATAIN -LED <= comb.DB_MAX_OUTPUT_PORT_TYPE -RBA[0] <= RBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -RBA[1] <= RBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -RA[0] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[1] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[2] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[3] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[4] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[5] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[6] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[7] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[8] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[9] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[10] <= RA10.DB_MAX_OUTPUT_PORT_TYPE -RA[11] <= RA11.DB_MAX_OUTPUT_PORT_TYPE -RD[0] <> RD[0] -RD[1] <> RD[1] -RD[2] <> RD[2] -RD[3] <> RD[3] -RD[4] <> RD[4] -RD[5] <> RD[5] -RD[6] <> RD[6] -RD[7] <> RD[7] -nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE -RCLK => UFMProgram.CLK -RCLK => UFMErase.CLK -RCLK => UFMReqErase.CLK -RCLK => LEDEN.CLK -RCLK => UFMInitDone.CLK -RCLK => n8MEGEN.CLK -RCLK => UFMD[15].CLK -RCLK => DRShift.CLK -RCLK => DRDIn.CLK -RCLK => DRCLK.CLK -RCLK => ARShift.CLK -RCLK => ARCLK.CLK -RCLK => Ready.CLK -RCLK => IS[0].CLK -RCLK => IS[1].CLK -RCLK => IS[2].CLK -RCLK => IS[3].CLK -RCLK => nRowColSel.CLK -RCLK => RCKEEN.CLK -RCLK => RA10.CLK -RCLK => nRWE~reg0.CLK -RCLK => nRCAS~reg0.CLK -RCLK => nRRAS~reg0.CLK -RCLK => nRCS~reg0.CLK -RCLK => RCKE~reg0.CLK -RCLK => InitReady.CLK -RCLK => FS[0].CLK -RCLK => FS[1].CLK -RCLK => FS[2].CLK -RCLK => FS[3].CLK -RCLK => FS[4].CLK -RCLK => FS[5].CLK -RCLK => FS[6].CLK -RCLK => FS[7].CLK -RCLK => FS[8].CLK -RCLK => FS[9].CLK -RCLK => FS[10].CLK -RCLK => FS[11].CLK -RCLK => FS[12].CLK -RCLK => FS[13].CLK -RCLK => FS[14].CLK -RCLK => FS[15].CLK -RCLK => FS[16].CLK -RCLK => FS[17].CLK -RCLK => S[0].CLK -RCLK => S[1].CLK -RCLK => CASr3.CLK -RCLK => CASr2.CLK -RCLK => CASr.CLK -RCLK => RASr3.CLK -RCLK => RASr2.CLK -RCLK => RASr.CLK -RCLK => PHI2r3.CLK -RCLK => PHI2r2.CLK -RCLK => PHI2r.CLK -RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRWE <= nRWE~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRRAS <= nRRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRCAS <= nRCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -RDQMH <= comb.DB_MAX_OUTPUT_PORT_TYPE -RDQML <= comb.DB_MAX_OUTPUT_PORT_TYPE - - -|RAM2GS|UFM:UFM_inst -arclk => arclk.IN1 -ardin => ardin.IN1 -arshft => arshft.IN1 -drclk => drclk.IN1 -drdin => drdin.IN1 -drshft => drshft.IN1 -erase => erase.IN1 -oscena => oscena.IN1 -program => program.IN1 -busy <= UFM_altufm_none_mjr:UFM_altufm_none_mjr_component.busy -drdout <= UFM_altufm_none_mjr:UFM_altufm_none_mjr_component.drdout -osc <= UFM_altufm_none_mjr:UFM_altufm_none_mjr_component.osc -rtpbusy <= UFM_altufm_none_mjr:UFM_altufm_none_mjr_component.rtpbusy - - -|RAM2GS|UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component -arclk => maxii_ufm_block1.ARCLK -ardin => maxii_ufm_block1.ARDIN -arshft => maxii_ufm_block1.ARSHFT -busy <= maxii_ufm_block1.BUSY -drclk => maxii_ufm_block1.DRCLK -drdin => maxii_ufm_block1.DRDIN -drdout <= maxii_ufm_block1.DRDOUT -drshft => maxii_ufm_block1.DRSHFT -erase => maxii_ufm_block1.ERASE -osc <= maxii_ufm_block1.OSC -oscena => maxii_ufm_block1.OSCENA -program => maxii_ufm_block1.PROGRAM -rtpbusy <= maxii_ufm_block1.BGPBUSY - - diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.hif b/CPLD-old/MAX/MAXV/db/RAM2GS.hif deleted file mode 100644 index 6fb7059b3f10346fe7560225c337fa832382b096..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 587 zcmV-R0<`_C4*>uG0001Zob8m$j+-zPhWD2G4wh!qE|LLrNtj(GB?(mmQy}TmGR8^V za!J8N6AgX$8b~LRg4!DY00u%P2bVl5NO6+E#J+@sL1VGAxL5B^Y!>gAXESHl zM{W&wkY$346YwW1G!Nvy6nQ~*48&30X~Vb5yCKcCJ<1(S@*Sr*KQx8I7cg0e9+pnn zx2N9RbwZ~r{F`*8q-3~cSt+}8WKE>JDk#HSo-j~XrR;kh=Q}xQmCCHjddJ1Sit2)- zXjj(ski>OLp3nP%Tn>-GGF57rghmwU3L+NOG($D@F)_DDGc^m0Wh9;nR%9f(Bq|$$ zohHA@63-^Cw+`{rSvg^gsH?&bytO~Iaj=;9jyu1y+}79W-i_|eUC+1i#`c}1H-C*d z_1qxz*V8a)zxu=6$`emIld ZJsPHYdXVev=QpPDN|<2a&3FFn9^M9oB60u# diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.ipinfo b/CPLD-old/MAX/MAXV/db/RAM2GS.ipinfo deleted file mode 100644 index fa2304dd52e67aba538ff1d8d0aba1434af3953a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 177 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.lpc.html b/CPLD-old/MAX/MAXV/db/RAM2GS.lpc.html deleted file mode 100644 index 707f37e..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.lpc.html +++ /dev/null @@ -1,50 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
    UFM_inst|UFM_altufm_none_mjr_component9000400000000
    UFM_inst9101411100000
    diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.lpc.rdb b/CPLD-old/MAX/MAXV/db/RAM2GS.lpc.rdb deleted file mode 100644 index 8216994aeb44be36d9eb61f771fd5be8ab8a6518..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 509 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRu3-k60tD?KQ-NS1gkty$>!XUBElW^_TuGxRiPg)t!`bk;EMP8#LR2ECY_mf_DR5p?b7#6w#wRX*eZMD%<1aQ z`DxqMz24R8G3lD>rRLeU?%3QsF|Ss^c*B&RXEtdseNipb=p{l%A^ zCwHx!^|k7(mjBUJk)pq?L-_tT$pb^@|Njccq{M`zfP{pEj=LW}Oy!#}jpdPo`0^hD z?&|W_e>|9=;BWuEB3wQ^K77Bx0uknBQvqXR4~CPoCaXSG5L8rf%V=Dcnv!CWkdnZW ty!i`j5Kogv)}Oh1V&*CyGh|eakg#aTQoCz&ius8_f%8-+5kn@htpLo#)K&lh diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.lpc.txt b/CPLD-old/MAX/MAXV/db/RAM2GS.lpc.txt deleted file mode 100644 index 17b369e..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.lpc.txt +++ /dev/null @@ -1,8 +0,0 @@ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; UFM_inst|UFM_altufm_none_mjr_component ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; UFM_inst ; 9 ; 1 ; 0 ; 1 ; 4 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -+----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.map.cdb b/CPLD-old/MAX/MAXV/db/RAM2GS.map.cdb deleted file mode 100644 index e4871a7e489cf02a26baaf719ccae998556344cb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16089 zcmeIZg;QHyv_1@lLMcv*6pFhPcL~LcyL)jbP>O`$Uff+uTio5DxLff6!JQBwxc+$W z{r-t>=1yj^&YbMM_StK%=UI{j9|;Mm0u%Y=-R`36eiBG%eN2#W4X{%`0BCmggtSGCPU9dte2 z7h1q;0MydXDo=OaM{tF~vq3|t_aeBeqN2hnQ;9)0^g-d<+sdg4OBs<=cHM3(h+hRv z!^u;tze>{Y$G6@&8&w8@>aAhvlo`u{nOCrj6!oTel!TOZ-&J9q&I?OtE6^)p2n1Wg znqL%)9?Q;*e~y_Q&D)m{dik?$^{CQQeEnw|wD-NJT0zy^02dQ0N6Q;^7SZ<|{N4bD1B6TX9hYQ3HGJeXRsX3gr%QZU6V#|JmjL zeB%G_co;Zhm@|Rg_Cp|$FTkd?zaBo`PEOjc#R^WuB~IeRvcxGBE~nyC=+1oWYs_8G zd1?Sb4`ct(^dhCy5}%kL7z69fIjr6@e`Ja2&+aQ&ovV_8VOtEKOAF3duveyImjY2( zJ`n!8mYAGBbx@PZFgXp8`y5GMZ{JnB^{at1n!{+hefS}--JzX7x_zqqVdysUOG1oC z@S<14mSDJ9UTcGiY`ku>+etHv1!F@0l<4hgft!JN}vRp`c&lCDqlS$*YX`(k~O zif^unZ}y^eh@ja;1c@xd)p1p(@u_Bs?AfslaOU{QfNY{^HCvN}9cv4vaf##_^i=NWbY7Sx961mbq3blHG?-(jxU~fFoNI^C zY!;C9oT5aV>}+wh?|ZG9aU-IS%65#5v7Jb~jh(KNc_(a~HcJsil>!qESFD7S#`l5C zh$Mp;F_>bTYHUjj&TiHE-!v@}CM+W`NprrEZ(lxc%krcD^8~KY#k41Ao+q!fOc<} zeEeBQ*SR!@Km>}@d$SNoyZzi|G5=WXCwPy#>}?4$o#aAn5ORSU@3=XzI?{+n1N!wF zDR6Prj)H0fE2l+-;!g==AmR)RR0O&Xk#@KVA<`RjW&*3RGqB zd`6x6+Q%3DhHdLLq)^k~EO7IaPSMBxxzIP5*}Ris5j&N`m(KRK>7SIZ0RuVw>-e8x zmPSwC1bDSf+FFxz-~&=`jN+%UV({n=^IhpsEao{FM$r{`=D+n`?38>Kau0x%CS^w; z)3B?l-Be;G1HP1pzu}dfA|}T`zlsl-Zq#>h`ymGk9=0g>%{Te=_GAII1v-Q8mE=s6RGUw=`v!1qN_^FRGU=X;elmun zI3ge(io!K3lrzIeNjiCviomPHoYv;8XySI!L?PW{%Y}RIU;*nDn&w)Q7lEgn?r*=< z>RlqWEN>#U{j&k!e(Odx_7xhieXVI?epZHyH<18W|?MBQTsRJCgANgwk{Z+?3` zX3fFJCMkky4!2Q3ApK{1BE`{WX0U1HS_;*sg;6~dAAQ|q`WsqmtDFA@%k(MX>yYoN zkWsxVW|WMng7cJ?FVNmmY>|I3;SGwInJ59JH*oMe8RB!*eJq>sFy?$Yf2((AJf4B> zNx7J8@YjC+)=J(SJNR`x)rTH+z4>HypVO54Mt+k%di7}+IsTDlJ7ofXkg~WY8;`gF z0F{|rDoZ>EKaiRr{!SsaI>s$N4}HKl6(Yo5l@2)Xo`wq${L90Nd6Iq9yJTbh8W1tV zhx=3n4l3ZUf-DhetA=|x3e_n_|E^^BJTu!ziyp4K`8p^CqQIE%kRzi)j_Bs^dOsD!i6J4&kiEWj!Isl)aJX2CNnj3&mXgN3qMMg~FNGv5qsZLV7SSTlYy2}HNWu75Cgb^#7(fpg= zjHRe~Z5d$b_j|CkqnFRe924=$KWyZbwh8)Z-vZv?nv&v!e>Z<1O#%YN@Q3uOWL;E} zs+CsxU_r<<0i-~@eE7vYdlk1gXo?n#*mCwp)6+gPNcLlY7QKS<@G;LI?PrcJ(ze
    C8Qo#LW*nTg0*Wdm=O*0L8`OlOT+WC*^)TfXFTz3d>q$RgH~M8J zAMFU2xq95^i!#$*o4VLV*q2|j{~ePzjdg+H9{!V0T|v!Zu!@^>-z;s<(r2NJPjmMs z`1i)mQvs2!Nvh5hRC<7unmaXn1?#*~I-0Aw(n?{efxnZEXG7D2Cgb>X^R~W8XZ|H4ut)nRBErj7R3+x2vF%~NHph7B3+~{s zXbWT9$^sW%S)Gp|C>VlI02Y6%qy9dDlbncJty3y~`S7C(&z7K5!sm=5 zY-0+%NgQJm$R3JSnl3NVxUC(2V7#|p^>5VKMk~C)G1}PmI(Z?UFtgz_MbszTf3$JM zDf&6zevUG1elu=JP@9oOy`0}l)l5w~-|U4>(9_Ut-kf^z-GI&LGGzCUD`IiM9TmGj zV{x;xR_*fA0|5XMS41h0x2 z@;823WnYIORn-~Mgmna_wl7W#uVaVHK-Xh_TSY`^GL`v&T#7U6X;&-mXS|(PJ+Xs# z@Fk)ExvDSmLE7npJ3?^I*p|FuJv5bZ8IB7^)yc5R7Fx%rP*LRwjxdV*3ED+AhD!Ld z?DU8GyBFc&btg{x12x0leefhMqZ3F;xo~2>R#9rbqtvTSlAPv6oS8X93?afe%6=4n z3kc~VnD7VAq|RpJ;QP|40R`I?N(S-{J7d3V?OyYXeTXT3niQqE=zTlG`(M10Ud{Rv z@t-DWH6|sNPo=t@Dmrr`vRun zM8wkojQdhkCw&_vh73L`;DUxC=S%i zUYEwgJ2S)n;g%-I=7ClE1N*@bcetSt8Wa9H^oW{fk_?a}ZrHAly*Q4INrvE6>Tk%Z zgTI4PVPD3Oj&zTMq;AH4c$chm8ByMN9PWdCuDF6oRrQnEPyLt@~+SEU<4&vQ4LleTwtvAA7H6hu(Who^V}HSuG7JXc&s`>%RP z*k5Lkf~@e)`aSvXs5k$vslGF-Fyg@YZ^?OAfkOvU8FAM$WP0IjZy7yabx~!VT~{rQ z%H>D*AXJcY&VL8KK=hszU-R!@mH)W?4cRFg724n%EKIA8UYY?{oV}`02WPp(_Y}Ko zdr1jjt#m~Q%FSx?uh5R%SsAab!s@>9;1S#)z9fpL`SzACcqP@1i%B@;R^q82VmE%7 z6{Fa|0(XL~To!T}SJq=tN0pz{I>+TBe)~s;kjVCS`$4h8gT2F~(l(N@@jr{v#kW#! zsP`g?L*&UKFs=!o3aOd&aA^lLB2njb!>Dm-SWa66tc50rs?K98KrtvYwT&G3tKT?6b!{ArpA~%Mie@k?P@wmH;lJlz&ri7Ndmy zIAxFw5LbZ}MWp9MtE0EgphqCtvq^?PY7Qqa zj{O;{Gnxvt)0VI}_I_E6hMerL*H#)FV|UATgsOWzo~kIll1keo&b{wuHuQJyIbv0* z#$iBdV`o6RZ!k>xwOknxO{go>n*IeijEbHZZ0W8ZEJ9YUZ>>@|aB1ersXDN(EPA&r zdiU`04Yc!Kz^fK~P2zNS)Q-9LquPXu-_iU;J@eg9lrE1`cjjKPuosAVcot#fADb7lovVJyoR=|!b zS#6NPE!3x$k?yO)7MG}a4#kY;XQRuPlpLWoH=5#ax!JUiTdc0lHVZ`RvI2_zR>dhjqlW5wcIe?(PFI}anVSuC5( zasd0>dRQv#(unN zWqT7<#!ph#98%BA$(wF>yGFLIei8v!|GAPC7G_&E&JLlK>tRn|zK;W3Y#qBMclNBB zF@UoyzE*``vxHMdGFpmoab?u`lpl+&h>a0D2??1@uE)~NV!%6GD)uaFX_v0r881AA zSqUT=je^U6jn3blg|4TfuL9Yn>vPVfp&pp_s?J(cCpC}=;@bN3KRP}u^1BEw@{!Xq z4b3z2v*nVfs!IOA=*A>EMRi|g;>s_(W=5F2qckM%4NUH=4G9ntwnNdR>-vEp3*`Mm zaD@6^?-!d&e);*1gtUzDiL(BL05{t;_x)A))~ip#%Rfk{f=p4`^vGRy@+c`Y4r|TgCU^HgDLt%WS8H=(fEjb>W&sg2&_Mn>}Q~ z{xI{x_e%tC@4{9vxYtjKx%1lojzLISsQJIX7)-M#2|vs~vbr z)?C-Sp+k>Oy{|v`FMstON;|@ak6(xRC!QYx;{_b=%{H>lnD~+S1UrOwtC^%DPF+vr z42c!Z&fdsTVWW<4^O9yB-h9Q;GMA>>$&~GjBfJlGm6Af)uN9-Y7SZK*xM>Jp8w|Nw z96K*l@b9;40}6=E!!A&DR`EA8aAznj4p$~f-0c3gw+ zOpty_6;FG7kI$2>Z$(euO~CDV_SNMZZO~zbuSKd`*B$(q^pD4w$NbeIa~(N+{I+5* zOl^m8I7D0>`>DmyBuiFKvL8*zmAeN^$~k?767m`j7U4rxs+l>tAePGOs4q>=_Rw}C zN+UHmeV_<@=*8WulP5dECsUF>i*S);U7$Vv5cMt!iE?YW@+}XVIJ6;nVx!)w58ZP6X*%ww8}zTM^9Uj= z7o1!pAgTd%2}Pj6=8w?vHK&=dqTX3?O>lx?bH{VI9=h~K!-s5ZI*Rtfb@InK$#87= zdmnI4ublSh8^gyOKdXR=U(Lroga4>;$guTI&2G_oyP0db1q}@i1yNi#@Fl6r zeSRoBkm@xzjOV1qzSp%5m%66-Oxo@+R>jC4u-g9N$3_B_10H z(f`KSQMqUYKwusxQK6v>5xVC`_v&?C3kY+j`J8da984w;n-m3}KH%cHHon@zmXxBV zF~L+LDq{agGBPP#CLz^B4o7+oqAL%N_>Z<{$3IXZM|X44ob0^E;KC95Dp2=3nT&Xh zEVJ$$&?JNr<=qpz!KW|Rd;z&DqZkY){6P1yi6_|XcUZ9Ulb7y*3+D}YSTOb{x$5^K zpc5?oJexrfPlzveULBg;`lK-X+key&F{WN&LyuBsvj$3fs$aL;+nc|NkNy3CB7GWX z(^kA3AldiJ)eK=xXH2dWYnsBWY=|b0WPNId^hV#^DPu5aVF&v;ZeP@#96BGKUL;} z7lFq&e{_)R{POorhf^!ulq&(~)TaR9&4i>)5~BR#xQ8JlkBf)H*>tV32D!Sh|vtN+b>y zb0(YX^DDey@D`IUuI~TmvMUSVzhoHyQ-{h`6XFr@$Z-mO>DvgTg-{CDe4xu_juNCLF>0x+7$eqS`iO(HSG9L%CMJb+n|dx+UAa?^MWEzGEurM7 zNB}L4%?D~Mw-IKW=6}+LkLEE7F`^z*+UAWW|4zyKlZ~#J#%wd*BlPXuV3()i83}e> zpo-~Mj?_2gTMx>Sx)&fcNg5&j_z&#~=@MCDfIZXQv$HlA#7d}KIVhVXfvIe-a8qvG zCRZMZckF+o6)Ie1KEu8o!XG~N%x$Y_z^9MUx11-}zR4DT?e`tsX%aenUO=oO(WtqE z(}JKkE%&uNBrKiV(ly}zu#4Cqp}ZRPX&IO{T;?udS4a^*NdwheJ}E9=g<4WDEQ{Az zX5c1|;eOKJ?WIF>D5iq`SOUHc`(6Mpr=`-^5WR_ZK=-zr7PT(cCtY;g-uqN~#BHWH z0MeLa=K|1vs$ScpWLOTZoOFR%8eB@g>WRFwGH;7_e8=cU4Orsz$Hu^&H5!5&x-FyQW{(-xjFdaEBjM40k1;T4*v< zZAUGagdAwWN49&ziMKb6W1$dGjb-j^>Ts>s|2)c?*gsg^m`Aw1#}3Y8Wx(qtynns_ zio~qON9;Q-z5Dt{FMbk#2Qth4=XrGO%%^c-Vbv%&girrO%eR#Vku6a*74i=5cT^Kpxe1giM4ksYDpm{3{}F?Y{8!XxAzanwARSlnaWZJ70sgOE^pu+^0_$Q zXz|ZqoJ`V)ETLTbct;j99(yg`C5O%&E`slJtc^3DPe%pORU|_;B9h$ZzC^yLt1xd~-!@`oc{@!+-=(mtzLyXzK0H7crK4d+M0+ zoc;-+zQlbQ(peTKeDh$s#Qn@}xT{%f)k$wym}-^s5a9GT?TN*3x313W@D**6s*jek zB?9L2~HHAZwyg}SP%)Hwe!W#evWE*EB1IbC@uC=f}0?%}*PtYx?3P~XMv zAFTvzD@!@&^CY1)#sZ}VAIQEj|5K)&qRPdsm6WqswJLv*-jKkGnx!hyP8di)o)Wv{ z6Ito~d3W@|CLc&-t;d+W2Rp$75!(UoV%WBKBu$!Pj!#>`7`HY~+nCD`N;*@>zeX_w zj*{V&CrV%8Sa!SUX6f@|^syjqy+2Jex?Kd8CSA;oBJ~xIRMcJb^Ob7kb>9W38=^~t zNJni0VFI86PbOAat+J5_urRenTEvufS)3yNgn2-;`f9sPv5i7n>&=@vOYy{IbzVh6 zPoeL6>f7~e-X_JdEG-L%HYopUE_xfsP!VlSR)=lga}JVNuH0SAsi5d6>dG8&gVhKj z%cF*|le)N~tm1A>_fgQt+TXkPax!zS=aZgc9%yf08;D@fTVv39dOa~v<%|(GSpmlN zd6T$qy~utTB^|^|w5J4kH(EaBej70od7k4`#yNkK%w24J97T);`&2sm8-pF8?Vk`N z)Y=B>TlH)9o%ur#HH~|ymc{huDQEqrZaO>uGzFFl=U4ncWP@Cl8|YR}F~NZjZr#-FTdeFWMZtT-)LR%g%eDiJ%#N zMRL|dL`cYEMxi&H0x@XY6<%+s^&NJ6dMko)3u_z$1>5glK-motE#)@OK156+>%?Oi^*p9$LmDVmF5#vC!?Y zFcE8W7p?rrFB{4-Xmb&Z3b{No)qKtdri+wjtkp~#-F|~jlJUyeYpc^5i@^CN2_Wud zWeyDtKlk2kQ^)YtT#YUdRmm4Z2x;>czR)zGv~-Oay-N99DVXj7jwdHt9kTQE-F{AD z8=CF^J4;R6puq&DuUZ07$u+_~gvJkJ z>f)oXyCx+8{D!+69dcM7%Ut#CY@iW7%j6Z`xU8wYY!*%iy8U}o{P_IL<5;IW z|DSb3pw*?$X=O?-U}^Gr5e|$p^{T8E($bM-W-Ri^@mn#?o~4lt4OW@+WCMDoAt2oL zWe~hv#+SYz?+9?$`#vrOkYxRt18H<9A(J(z9Qouel1Y=QrTJrn8Q~lYJ{L{S-6p+i zm1t{&`W*RCQX_C%tpEj|G`kW^#W;tK^8_g4#Rd=doJyC`G9+j-p2_>e5)btlXO^Ct zJw)(3V=5=^7;CCu#;BDld|p-8 zj{4EM=4so67%a|ocbww zA5Zc|n<1c`hVcE(Qi7myMxhwhMZf2;%gA%8h_UB8B~8r(N#Bv8ED@T>cu;!MkCpf! zFHgNmS>eiZwr%nuRY4feYTyL`9#8H=QRx9?u*D4;dVsU+tI5o%&dJ`R{;6AiKK=aJ zPj*P8@zdR5I|ntwOaEeQ9Z{Kcm2;tnsuB2YL=;<#rVRv6J<~0$%-NgWQxcB{%Ufx!j3ZC3aEhW_D>=bty6(>8ZNtGOo3pt*GIj{gjxxx#OQ1GKg)cFUK?40iF&B z(9i9I>uY;htm?BB7aw_4=elL-(7#9+uaF#}wy-&(q}RhA{hx&Y{#8Si5GYJ8!ZpNO9i?j^|xQ#hQd)mH7rkc_g6)#2;0e1s6`P|F{&P`)+hf z{WGz=y)bl!n!ZHdXziYdj})ED1kku8tej`&Px5 zhszhhW^by`9M(qUhc!W=Y(7y&R|{nK?Ap#D3Fp6|DT zXFZx|y#-!1N6FMCCd?-9#f#20V52)barBrb$IICerNQeSS9p8acx#p~c3JasH# zjpdmzeeYER4C5GyG7&cRJtY9u-0<{`D$6vY{dD9W0d*C9`=S&Q}CyiSy1Xw$Qg9LB)FztVd=MlaGg{ z;#6@UG@(H>pAi#IfcsMZU8LVu3&9cjX_N_v3;AUpB%*9cbMkOrs?A>&>+Wy7M*At& z+4Ec0=kmV=cTNIxJT`tdNHz`$j9Hu8+X{M^C{Rp^mq8x#-vq`VY0 zmNEbR9_#wmrE#9g<10hs$p$UTi6cvKD~^xiTFWprFYAY=CKoWO(FoKqVxo~ZIjZsNpx^L}E~T-*xon%LCz-Oc~CkpjgvZE+XVHZ%Aj+|Z$}IVCY%oHp+I zd00~ryQ1|eC_r^1enWG_WOL+G19nVP7tWoM^@vHOc6T=46kr~OrSxS={|pD3;Vcv*OLei^^H=qjK!bYP z=1xWa6SjoO2#zKHH⪻4-ysH@@RK zR~!LWnk*UN*dd-~jGN9$je^?ua=W8BD|w|>nPhvAaO~4))jYgv=GYA&gwp%A*h#pwg~(iyW;I9dmDu3eJqCa5CHW?pEFZ)5y+ zTX+v9u|`j=dgj=k?)=|One29>N3#V@=8xz5rVgfWiHBA1cphApZMRTNPtN!Bpe>tK zN1facAkg{Dg!`rl3XtZvq(`LqrHwIeSaNL|ILBMtsX?N^^_1~L%IdYsFpp4b(I)Z( zjJd-CaUK14lpW=0;vRLSf86j){;8QVs^ZFrwm<5Y=VOrS#>;mY{giO|n$+~OrbRbw zM3p74uCrw!zdV8)uYuX;);s|K_d0-hyTFUfA0oc4DcD9?O*G*BHm#RZ7+1Z` zxf~{i0u%Y7mmBCKR?k6LwIA1JTW89v&;aKM{^(%y)-%!)MrW8ue#)FZT+=>kTAg;* z{YcyfOh~-$3I>4v;%gT!^!+k)*uBH@CtI|}$qzoec0BRz7q{2C+U+DZF{g%;d!}Ny zIouoB+LZcEzP-Hiop>L64+P8QuG%P{^{liNHNs!FFt1=rbrctG*}t{%FvvX=sQd&h z8iz_L58wCxydH`#I_C3D<$wSIh15KAz^Wu{kz%Jc%=SMJnY6#h1sW+*4UTP1LeOhh#pHe?oI1ZKX~| z$4eK`g2VH&z#+%s^@wFc(ZfzkZ7|p19gfk6>62>wU|X%P;GvJfEDqTk1CJFtg2iIt zgy+xk6lJ{bQ0-B``-uzv&SLU;cE+k1cuUc%UFG~7=B`0>O6Fs6RHL*!1*L{xF!i3C z%s_Cq3w*yjAEDi2^y@YeEL_jCbGx zLG~ts%=K%V1)I8i_b&U75ne)K`wPOQ2;b&C1*jin3_6Rb5DU(J#sMAPrp}HPu!|Qs z^=Up{Y#c@fx4-A`c!m|1Kp7aum@(Wt*$S??{@oKf5s7QSt7_OES)Dvg9o4~k?;&1) zzRyf_`XM}Q+m#l7X_?MwSf3R~iF@rX5dnacLYiA!1w8=u=B7}O!hyk*9>*f5PwTB0 zrTW^d?BR+__)Bx3)nIat1h!naOmgOq#qP_36FaRTm8I^>suLTfwLGO^DS=LVgw`K&TE|t(YfnwTQ~iVXVE&}6f8c7%bEEOyxc4}#cRcmbd*!eEm?#_~4wS3L9>vXf zJWkKlD><*&`*|kiRctVuweC;Lzz!l7NH$wnJSc*Y6HS)f6Z)LzKZ1RiNH<#d(4Tkc zZymTbuM9eNN(50kisW~DgP_TjQ;bIMD2QB2t~MrZY}>Apa6nRmoHyNF_I1~V8h)p> zKY>JyZCgB(2`$$MLll@rc1^68=iiD5xke`KX;*INhZ0NIDtFt5PSO2k_U)1<`o^zO z9g~@dxEIrdQfF@~_2HzG%%GO5ryrJPaQ5!lVa^q799IZ##?x6!bH?-0Y!q)1*ZDo;o_{fhTn(7 zEYXHsEm^I*;j!)~uWyCqL}|AbsfE7d9|t=XQB2jqCAc{=KowgPFHxGf;$HX9^)U&ZFs4GgSI<-1BmZ>pSi6@aQAT?K_>ww zyLK*vIO`GU;&>A$S?)^`%gs=8XsdjD+Mxhg#rh>3#eTw)f)?oZ6Y{sKW|?*Wm=V;z zc@)c0a`BGsB0?_PZ+6RJQo<~{Z9s^{xy|zX>{4uGrwkV=*M3s@1Zwrn_IX~TxsHM`g3kbWk_k zMKAdVxT9GF$9(7elg7kBzVrCCqlY{1XYQRLrI^vt0z_J*f7cApgu6HWTJglt-x|P8 zs!JNHEHI6{KIZ5=Dg;I9#gOx4*rkTKhlgwQ45_BSu*)Haz+*#6IIAUm>E9^Abwu+T zWBQ(>r;}=3=RytOZ~G^G;vDb=%V7&nvpLeWY0ra}D8iX?@2EXh)UtcndIL(aT`++DcuHp`&i^y*65?CmVS&{2 z>uwP9x{=}|YzshKV-Xji*bsL!DB1yz6IEARQ?)c%B`5fcCy$VYT=DQH^kse}m2SR~HR&9ykr~-#w0upsUfbsKz)F z>17-YC#42BTIq8L|0=xXtmJ0w4tFI9g`LoJ>Ogbh4i~_lRQ^04W^~GO6rD6?I7W$U zl4z29>nkF~@g(^S@y(QhiJ8`VrFHLaBzHv9&bM8)+o*RKrFB;ogT#WQIBVkdWU%01l)SxI3edD_3(!oz(9+` zjmob$Ra|G7@_v^Wu&}+_9b*+?oqkGxD#q3%sZNh8Z<`eXnpjN)DFCI+&$sYYk*+&&t6`$taIe8b*AVTu*mv@cZ z9#+Tc82C%feE%gkAi_!N>Ra9=0&?6r`|GMU*C%`%`#}5HXs5Gyb??Oo?o`I66xV)T z7xZwvAko<;c)LSzNb#2NDCXXw&a1V!Biap0e1j-~T90KshqT{G77tRAg3l^+N{@W( z4uzji{tf#A+no1F_YyUIx%PR)<&1UlFw?;>Zw~s&rkKZB-{Jc7Mj;3Kgbr%^!i&S;5=qLMr1Gf zi{ECIuSRo~;{0KN==Xn}ZuR`P^6A7Hh{LCzfBX{|AhGajxW=W*a9b8Xf}_E+XZIbq z3H=zjUjMo9gvW<(f0=gM;7DGy)(!}or<7|1p9nu5$ME3h(nWq! z@;Nwa6uUITVqI8)P%w+NWX9Fz<8#6BgI_gYsMNf1$cD)q_>Vxv@q0tb$0=`=5C1)2 zxezTVXELeVtZr3T{t|v2M7}a3;rw>by|$~EoWE1qc?9<~?b;3?U}WDu?Rkyi23Sm{ z+>REobX9iEoyqccemlRmrThX}Yme_6#d~-Al_Nl6$Ag*smu{Jg*h1GoZP$)xXB*kH z7cf(vRrUbH&TT2l*1+5%8b_>%4!2PBGBDTWKqtNB8Fbg$iSf13Apm88oYKWxbAe}2 zk;rYsEvP-YL;UUKq7qo6IZF2D)9M=c;r6}mybfLI)_K4E$T4&F#9+X}cR;p)1y8ub==}?D( zE4K#pT2MNyFUolO7Yh1 z>CS~Wp0$m-=#T&PevUjPabBM0>`@ePY3_@T@hdJoP2!6&NtD* z(fS)PGb<=gH^lW)hW{iUTM~1{25%ijCX3G4fDXlI{eHtXBn0%%W^X&UBER?Il4vNv z8AEFH;(Ms~J|A3caqko@zXkwaIyM*QSbNtQ|C5hVUBWtM@-EUs(8PcxEXgjmw|Hr-CJw|PGm`4rO45|TJJj&Gs^xF?lbS9 z-8$O^5KkVnuJXP7uX4ucT1KO7V6R3QxaGNLZeWemDVDR~xqC3;94dzm(cEPyW2^2bO|s^u(eDjk%(Iau3S8NHkN4J; zJ7J2e3&F(TCX(Y{XRj$wua7kl=xe_bXMldpM)SC(>CiG5p|$A(7;-BCAI36-RL61uVlYb=w8}0;>y)MonB+uEC2)IKGnvVQc+dAY&NH zp!FmR7TMm{{W{DXC`s?xGddE|^RpLnh?cVLJ9WHVrx^o>XTy6Ne2E$jTCD;##bW2g zwDGd6m-36=P6ao=;~*cMD~;(*kQQF|Z!gw2msD5V-MQY$zq|+zy31%JQS&2+Yzmhi z;sY>~K)~HBpdS>R5rm)qIzZ&`k(Wg2=8zu zLp!|DELl&q)IvUEzBu%Edl1p6f>*5FQAkFjhs55WA%_fw<@c|gm}E2h4Gc}`6&;8U z9^wj6te25#;-sYdxWoP#DM~>o^uDOwcb|BoTw2moQ>exme>oN<5N=N|MYhEo0cY=UDO>}qri*O^EPEnJ)>6Cwde1IO3KC^sxf1gp-ob{PnL$igMqFl#sz8jpsvk|?QP>GA=Z}ZqMwnNal22hr)1Z#Sc{=QUrcKsafcCTe)#PRtWpH?U$+gq%2P>?AGiigZwJ-CPInRRPwR_MC zS=3{h{H2Zeyt@3zdsFp1t>c4~^{US7W9i%AU5^k?=62}hB?;q=H|&w+iNPQD?ewyK k3isoYN!#RUfFtyHJ(eFZzX#bbLnLj`OKWDs48vp^=+cxj9ZQHhO+k4;d`?1L;o5@qvN%wShcY0DaQ$2_P z007m{fd8b^zf0(!RyA>SvaquyU}UCapkpKua+8yx92Qpjt zkH>44KSBOg6v1R2lnrbKE9T}!#*|EAg2n)M5=b~kBVd9tQUgR8Nw^LFUC~N4c8jl0 z)pz8IPq~Y*cD<^V&1KD6WCKM$K_$ue@2umkQ<~GP$8N@W;O+ag&n)NcqhIc0_9@Nl zmQ&h#x7X{94u>TK_C&X+Z*yqcN@$catjtbPHhd6%U35vsa=-WrRQM6q0?__{lZFW9e#rJqE58pVXB>Gcg7s56mKVOk9}2=E)zc z8tv8=o8?s6${Jp`!H;nl8(f`J#jLnZo#AWi+9OvLhfb==>x=5d>zjFP*C^UcIzNb$ z*$+_PGZs9v_<%d7IlLhQ$LifK@Uy&fyd_CorkOP4B>Kifz_VjS;=Ix1^QeODxQAR@ zaGx=*c6Yz(JZt`z*2&2hHx_iYdz_JBq{*hDPL5eOj8$>mnc>*mhv-Rj6K{>wybHfN116L7Uh{_ry}GP!tEl~l8Ulv z(dWU^cJ%tZgxakw)NC~^D{I2c8feozOMLV742?Anbv8v!+Q*Kr-D7sfmvZyG)A26W zHx{Fs@gf|)uCLNN+T5q=~1LHYn-KcYR0a%GA)M+Ho9^3jUG{ew4oLrP?HA z>#?`G30#VQ*V$+Zjt50vrQ`GSQEgSD-s+IDgS{7{-z{t(r(PhFR$Jy&0dC{a(%y#o zIdPRv$7|A2XkLo68Hrr z!*C)%n2Q0M_m3Ni9!Dkgev>^Af;_-hJXNph?EgY(+U+6|NPw6udSB`BeaKr-tdEbL z#qeF&6FxIt9`EcrYGYH6)Mn}^H!*+i1TM-2&)ZQaFAWu|>APW6D%=_8`r@zwFD zSL~O&iDXQ8R>BJ4lH4|nPxB={e<>a;Yj~ITZ`&(J^=~@-D#I^X%HXE$Yjo!`r=(cj z?oD*ScRs>6LmMy@#;9PyVwumFn(2H-BDj2Azit z`a${Fi3b{Yu}1IAw4s>aL88VCk2O0~`ZL>(nzbfyd9v_+#aF&Q?1xwOnAe*U5F%$K6 zc_tv&RmYB*Wp9^NeJG*NjgzSF=RIjB_u}`XlKSPE@vDd0weuN{5>nGLK8r}{QchkK zU0+-3&A$@l7}%wDJ;&O|ixxF@F?tWKj>d0CEYhD$m$TPVmaBTk#e9{Ux$PtrCEC;& z<5gdaA+tWciy9f)envaXZ?dFDgOVIFihR#`s(I#%oX<~b>~6FkI{f98*`84AWgm}- z&f&K|WiR|rk_s~I%z+u5QxtU&(`FG}ww^g;Xp^Vfvp$VBn*URsFfb_b@ovh4_MYqo z((#K>zgU=5_@jUOlStQVo~LETD-PYBJwx%MM3f?>OhAqEc94C)E34@@?RwbNCJbEX5oCH6=z4b}4css#<+?EYSO)j`Y@A7{9m7G~ZkT_Pl#B%(WZTG&d zsN9p`BWS4b<$$t6IGuo8o3}^zL$cv4cAtiJ&yc4;wIL4mncDuUc(iEyuwwBkLmO|R z@Kpe^dGkD#+(iFgzQO|9Fi_y}G;1&gLBcelxJF&M5q5Z6xzXeJP01=N`9Fg1+X*Y? zeocI)wN!ymw<47O;jwXuB$J z-mZ!OSMWXz#dq~pRmYbzPo=1Rj65d~``u^=t~g1xx6!cc&sPkg7+oiaTo79`P4utQ%E;q#EIOdO?Q$x4P+QaEBbOJjX`iR8-%w#*xwEX4h`*wC-_`rpRFOf=?yYXu3n@jtMyJ;f@@b(`sCs?!CY)3|W$P0{JeLp0` zdZ?c$DAwC9GM_Ki9*FlV*{wC5Ot|skkCI0QtQF|?kJ?DuJipPrm3YXz9IsQGF`!7l z+w%|Gb#W_O?S`MV4Mb2_vX7SQEG{!KK%WdeSXK3UIE_J#UG|o8+y-OsL4l2=$t$eu z7MdR?hDOn%;aAe0X)*AwurbQuW8+rA(LJ7;HAHJHIJnTNGP{^ub{{Ppr&cJ@>zy3p z|M1*}+~(-Fjy{dKj(c_@l!*vOEpv8)W-Q zqd092)MChl41yjb&FIfaL60(9#;v6H4%ww_aNv2DHgP>B{WZB4UOzY=(7c{?_$x|K zEYU339;|#=A}y8fU&6#q`5WL}F_s30D!{({$#mf{9)gZ)AH2*hQ-;wgHJ%KNPUMX> zYHb^&;)-l@A|ST))I%?vee^(F^195flT+R6a4X&=Wnxg41~m6?5H;WGqt^5c%|FU> zd4t0~Ce`a79J0ojHraP(-h~1Iw}Fn3YAiZEUAZZ&e4ohn9N(#*Fu&`BMoT&Ng$c~0 z4AY36hC9X08{?s=KU8Fd^_vMu?(lmfuA@R#>2x7(>|nQ+FgxoQT}6!UUPgEAx*Xky z8%eQ8?FOPa+30`Fe2W!PuMExfMLT_uaDr&Ix4n}*fN_Mzy} zM%cNlH6r$vZT^0TjB968WYjCmytmb(a?K;29GFgJ$y00i&!{vQwjc>KrHKc4^b z0&?@}RZe_pS|!ryH*+v;v|53KzBXBDt^$y#IONhHc-zPCInYc9I}I|N&9aNScMFzm zf6@lDL0?TH5Lq|inQ%ZaxRKO%$ep=@w>!_m(^F*O?k&D}_ZC~S{}W5L3&@=1hsrC+ z)&i>bnLRzE-@lB5m& zKW6{2irlt)+b~IXcM-AFYyni8D?ysQsRP?o5&Efx+UV>kIjMp2xsxz~yHTt4F1u6KN9{Dhw)0!w;tPd*XNV8-17|2I0Gj~ zob)ITh7Nn+7dlaaoNQal$uW)BW%*eFyEBYBjFUM@dbI*8TeHjNpT%+h?Gfm#z$Pb> z2xNaqW(}va!_QRLmFpD|tcmJ}k#+NlI)g#oR=d^{7WRww+bzxYHD?I3qUSI&9P@cK<+8`J65Yd)Psh zmyk7tYVcbEdw9u~t_Y}vl`PHWhdt=v#j;}mR8r;;&thyBZOR*$9%{h!pMk9PL~naS zcisaK&OJ4oHqYf|t<%wH@r&EtHdlMcU%V0wb-}A=acE;)}}~tgQx1D-}eP zb36Rq9?!!Mo6VK#m~t@D53pbf_p${=&kC#2MX{K^)8W2#?)_Eci<}s5GKw@O+G?wA z2ilWGbMbW{f(GNsV{D@-!^{4v0MFk$P}qI&P%@)CZw|B%Y}@dtkqaKlKdAd(DX#X> zEp=Kpe$9Na@)MG+XJ?U$vt)BWyUy>af6N2x1T6p+zhjj}@+@jNwtb%OsaN#So@Ibt z#N9wQc<0J)#%u>Q%o}=mcqZHXg<3D26zp}Ur1T%+QW?aaN1^{c!KdaeD$j;ya5{0L zUMOi+$&S7pAdO)`w&funA<8vu@>pMQ@aJPv%RQ0*%?f)t(=mTau z|LF)q{*3{}!AXG*oeIX1)^m#Qd7omt(Jg1K)3-teD0WKfrCcafW6KHRrTJ(DGiD*3 zX$Ti~;-$stxh~V-lYb>G%ArwBV=dBe@Wc4iMHz(*945#OZkRYEB5jv@U6u~Mrbn4f z$Vkpdq=EvczW{MHaRGmNB$9OUpr%FT>^yEuRpkun)W0#>Ff@-ZDb^claaO!m(;qUF zwX~*)wtOhm>~u32UnCw038Ys5j;CDj_a(w((EM_80E}ve7om+O>^aN=CywIB$K7L+ z$QCs|EYQ&uca|)AS#TrJR~%CGTMtJ-=8t1j{z%N}4w7bu%CEGO(Bue`CR?;L^ypWe zIVq7bj&K`F&F?&_Qf_!{P!-m9iSfQ`8<3UBz+oPu(F9?Ih;#eo$6f--!5A1FDMUOF zSFf(J{D`GIM&Wj-(>D#?AM?ue_!C`6SW;3VEUQ=f_v}5Y3ACgy-RdMu0A8$mRXBVo zyE{K(T`N|nX!7&VWE&%h&ENy!oA?FV#Wjkn5>xc>N)8M5+T<y?o)8^qt&9^>J1qq%msn({?+sI4- zy9}5)uADYRNzpSouNI?4l4UKZr=q9>8~wXv5y*!Puc~Qv$wEit zx{D2MZHHOKRY@vdM*C>oR!v8xdh%OMw?kpuS1SX`DSyWFNvF$oBtkdOILajLxW|-Y zsyexru|0f{y!Hgbbis8`4vQt_uCzgJh_zgR|}-|{$C5A|-tp+%2J z;-VrrCrubyIBQ;B8JmDzOuH8>Gf+;TU0kJ9c!Z}^bP6hOGlD)-5C@CN5m9n}DD$%6YBAAHr(-hn!W}=GO`pYQ&P7273zcyY>;OMH8 zyo74#t-O|>G<|w$%sl_FS580c>nNw2fgv}O;>3c2A))$dE70|~l}~|JZxjM~pv%${ z?SiG8ry3HbW5;YEIS777(3rIl6RVyKE`=7_CS>!+GXW<)LXIOwXTu)_O5RMFv_>vj zg@857nARj8@A(>Sko>~H0nbl(XRSh1OnJv=ENFXKX6RwG{rtfU!!cO4OxFwO;?2nc zW6s|E+p=g}@I8R{EcrIUxNJD?k#}SagG%xEyMhcl!`uPo4o&i$6=WXE?}W)pV4g0G zV)B(8eIb$FC0{udqEVw3A$^tEv5Hl0a|n*FGxs~hIZlFh$K^hg{doBSHu)(2L6`Xm zrCV|^GL@}Hpla4vZg#){m;t|!5qd-rdzdg%&2cU9!RuGInHv!V>VpIRegzH>d38CT zNZRgtbgqR+FDlciN+cV7>rTy(4T0b> z=y~U+fy)zcfTnp(P4PrIgaZ>M*p3G-;Ro16IMnnBsUIuA1N~kbI1}dS7yc$Sw_Uoa z8ua@cuNU-Y6HYJiWiV7q+=F~S9)2t24RwG|$Om}e9nMbdOMFm?&&vxA5@8TLUUCctE;Hg;*jy=qP&Bfo*MsFRrL ze2(3|lHzIH&P2c&)#uu20}g{qpVS z&r;996u>n*=n%?x*yy&e5N=t+Qj_Y&G#I1|$L~-xDUV<_rVA-Tef5c_f9P-^ba^2+ zFg^sznJ}^v+~L0ON)sz=e+P6zgTsS~6L5%vvn6pX=^v%yib+?ccL4P~v~_-pzK8!=X8VR5r!rSot|A2`zV(3ycYQTm-vV2fSvdx;>&)> zFVvkAjwH#R24)X35Z#IgDO6%B_Q_{2MvKqx&KC##bN4)7k)0g}Gk$5``l~^{(RZuq zpTm8K;*dk&Fm`#P|N05d_k(|Dw%K{EWfnM$i1EA?o?=>G<&0H5aOZ{#y%b=kd|M#3 z?gHjHeXP!ZCX)aD8$%dZhU$p-2Jlb=J8^(__Y2nJ6Y?? z1J0gJhR_Sm_`Dt27W-Cf{{?b>x-Y;4Ia(l)IxZU4{*Wgw z!^?x$(h)0m;+w@ccaYcQk$cn|9E44=J5UdD-g=n-wLium{H8KA_4p=_rtNKM|DAG)AZ$ITEoN)cfG~^fJ&U(;Fs2lb6 z8O|SZ$n>{2>k*a@pl*J*9_xyXqG@0+Yj7AB%}^AnO%LSHr~}`f$=OT-+^W) z^35Had&=}EqolVdip9ng;hY#TFEqW2J3(v->c0d<9lX0gb_vh#qc;KYXhj!2v4<(i z-XqF6@%D6M?C35Qdbn@V{nnFn@Iq~4LIn^LD zZqKJ})1I6BsfWo>-;UAEy8&-|61L6N?$*}PGwN*2qKAM9QZ{H6=M58)Qo|dF88Y0= zBtYNeuQ&XXl*5bj<26ksJfbQbx8y1Mka9(j)^f2V~yD0R)O*LbM+-!ML>qoY#9?e>7_*7eOh= zSO(I)22%Op{4{#INC4VwD-S6iM5UQWK#fnIe9{pp3^4vFh0)n>&bblHDJD%Gy6=V( z!}4ax^aa_dhU2Vc<=7rizX!eB;AhoFRgmc=AtCuP8-SPB#;Wscm1d(S?9SM^`f_JU zV`FD`snt(K?j#qN7ncWb@t{{+@1+fe4E@zxwpxpS%q2ZH^!8=>_No7H5mj9zPw5@p z*PlyvVT64duQQpO(Lb?)&ec6G@b$p(V=Cv|47IRVGw+X{UaGY@tMl8P9acYI?Uk#p zF16R^*XK9pdYvWRuS^2YV`>)HmY?x`*{qed8BWt9uoMS#rG=^GO;`3f2ZX@aNNnO` zxJ}2ltFcq=0|EKUc`jK3lxV*;)dxn64A{3cr??XpWsZ2Or+hn#sE#!2?5`}t`7{At5vfUvuqE|%F#bmy`f(RjnpYcpc+}@ zkL%p1xwSE6i%*<4b|^NCJcW@w%DuZZPvV7@6U@(@MJlE8vAI&4hB^gPG`;3_F#Jnu z6>l{4726eGXGulVe@sH(2(!G8N5;36=6%!9H^}A46<7aq?lrEM4;YpKWP;VtmH zI|#Cl(^_w3mKvqZ{`A%+THTk_em5MNeLN{8S?HxID1X%p4kv3Yvsbv6*qwE=9z%&_ zQ#YC`TiUh5Pi?V>QK9--mt~`ukF%R4vN!uqc`b=*4REysD~)i-Hc48%K0t0WT=Pc zmWNV6e{yHyX)%p5!(Ducx}mS;+XsUh1shejs%rWWsgyT|ze>5+UlBX@snf{iv}3DT ztRlxsvs#%+-TJw{tngJGuC#omYB%-hxg2xu*4lxaP9;CCyV^UobnSSo-rpW%e?gJ@ zBZ^nO@yW9&cHGkBe(cNmNS+5u-;&p*I@$W!GsOJ;2)Lu5>cI_L+jY|`$HP_!>p87| z@ngX^T#Ex-zlWLE?7jg^+pBWbo8H6H7Ij$L)q7?(!_zhLsj<8>wX^RNxlFe-yVj7u z?=#S?9QNS4w%9VpnIYFK7}rasRdHk*$d25ia&CQ(b(_aLf5Tj`*hI^pt&}Y+ z%=2Q*1&tG{e~daJ*^yo4WKRXN9g}n1oHHBOmYh>(gXF<;_FH`NLpN?0e^|ahAiBX5 z>|QJQ>^5#^EUNXEbKM)iMQ>h@E>~%0-;S3SIRKd+@qU=~|1WX6`2PuGtRHsus9{M=^Fcsbd)rXGKxJKT4q5A3iX1KG|oVBL3y4 zG4%Y}mgvQ7eL}Q7^59!{3nL#QXG7;d#mM!*?wh?lurs(&W*wTcxng#(ps-acA|Iv08=d$)eGC#SvnS{dRL_>RMlCf`5hKYy*tf0aHvrM{CMCNKH_ z{DvF}AD13J)=FQdJ?O10y~!R&d7-V}`*>Nrmw(e+PV|<4O}+8|^{e<=@;iPRz5G?^ z>m6i1*meIb(d>16KY!F~|LNB0Rd_G>XnnKwQQGOb|Ji>HwT$FvqnNQP|3WQ3q&}8! z{yI4l{w5!S&++r!ekc6p-F{cT-j#Rz{rh}qt(eWPxPkLji_mFKW4yZh~*@Sm#K1A=2x#_CQ2 zLsa>>&XN=&PkvP*C=egha*?xd-3SVGPt-P;i1V*HTwx)K@N9hm%0h*-Is?$5sA?sh zCW+Ew4(^h`xmyNdK_$)~aLmx)z3i%pOcOt(A?LOI3jP!&In))(1i8yXHL+CXSo>yL z6*@wkgCj+oYT;feSi?a3pLC7DN@=ADP>;bbSA;SeP4Ngga-oU^n$N~Ggb>3)5Ui@I zWiG1l_zITE9~1;-!l@bR<+!)NHU9NJ$j+K9LA8Na&xb_NYbMTT!}CB(#u^H0)Hw9Qp$Gvl9G z@KBL*?3BzhjTdr36qrv>JVo=Xz;It52*U`apCs0QljFTZXP*M=PVUI)LjS&J6~0{IPj40WZH;HmHqH$0o+Povb2S z!0UOOYlJzx$@yH!jYH0j{(RnqqW#a*i*cN5%>4bu3QT+)hz(`V4Z!^CiFwnYP`|?T zgd9+;pL4NLRbU$;aW8alKlRub>ABd+`5WNtHyqgCE=68yaW9M}vr}{U09W_e7wEaz zv3Xy(jRek(pnTkvB3^)vdCraReB7j>Zi}&`@q%b@rzT>-Pmfqv5cA%#c{uRv0-WpheBSILUg##h z6Z5cu7l~L`3Ug^Ewq9g5`pJ1)P#cff7x?^~oFX6arbfr6+dx;{*caHjneq7^Sgey8 zW81C~Ib%q{S#Kmo0vsdC2#I}`Qu$y%>aP4+H%`#|I?{_}!BqdrT$+6yj{&8we4T1w z)QOAqH1a*YNMn~S@;D0h$Z>vZB>@x&z455MuY~1*VSyDT-&}5GPPSBH6xEe4_HG!+ z1DGUvNQ7lV!8_=47{~(uH)-H90Thu5$kxb}-2i#2Rj6wv^D8o(CS`XRGp=tt=zn{! z!ato9<*JXouTdA?4gBkMy-EVPM33D04u5h9D%CI`g|&OHUh6SDFc!!_1?7`5ecJCS zp0=2vq1OEh+>m9ek((sqyiPL=tzV|DG3zPJ`Wu+T77;0L#!jhxY2K3JdT6M0aUY%= zV<9vXuGmXVyz#rKp6*ZEO>TnW?F@VzCMJEz2;G(NonJqrj1~SS^R@v$orze-lJ8Yq z;CV=_*1tK2T<6y%?OK2Q{H(pZ9Pb4gZ{?cfCL%z=S*8+5zjO9j|H-B!8TfX40lTvQ zZKXkkw0jJ@9lo$4uvp8wqeqD_5eswZ=417tzP ztpS%66>odiDb13iTr?=>-jy4UwYPc2AF?TIq~;O@U(0(s^7Y~(6t<}OmPFWhJx6jp z=6b=8yXH>~-8A_vpIjVYQ0+WBC&=K>m9yEbkZ!RVJl$L|AM?CgtpvaS&FaY44!rl} z;J%{f_28X_Xt&q- z*Wl8e(3#vkADlObhmA-~ps|SXDlRIhxNUS8!g?k4js|rn1bXuxyx3))udnIu*4rDb z0JZgN?gHEt$DXDsHWgmeCx03I|B8wae|7!V#Q#0@)#rN{u-(W$U4uK;J=0t{tJnPe zFs{McPP_lYX6qTr+Oe*BY1JlRiK~IHzS>ln4UR@QJ59zHzoCb()nj;5JY_0zofcRq zc@++PNe(!n9GM;qRMIGLPNmQRotz6~qAOIe44lQN%)Ng-&*2qgYa-lZDbMjm+eF;ct~rri$U}S2Q$h1v{Zbs78Z( z*`N!^PIUQe|7g0JYtoo4fRI-Uc6_4h3=}Gag$^xIbvfzZ=ceGM)YSNud87p_O(9Kw zX$h;+7`?uWd^E}0nxMoDDfp#NdW@qOOx3lcF#5jD#tlHt^ZyPHc#H-Eh+~LpA}C0x ziXkD1bp!w;SYGkx}*$?vZsAeAxCexVh6^xI~RAwtqDg_pC;izXgKYcCi z^1>mPQZrMA#C@OI4w=&7S)_2&TrYLm`Q#_}x2FQB^F%CV4KwH>w!+9QS*^3s*~R1| zxG|yL`Z|`v&qeI~BJx2*O@-T4yjj6b1$sJaft6lLO+NYgv2a8|KKb@k)Wbzr1=>Y? zeHqV0&C5jZSbHLavZB&eq`ik3Y8g?@u=w6q^E%w}Dz@xq8LzmKcjDt^!eY6-2kEF{ zO?TZcyP^$FalJjeLMpzog1w?|r%dcB=7R09D6^Cu4pnWm%ytobIkH=EyM)`4>^x#& z`OmsgO??rUTJaw_WfSE`q~IjkPi;G`$4+kTI9csBdq^T&+tGn4*R1wmxq3N>m38~rK1PiYo4>Yh?E1pQo_UM@ z-LF&eus6WnGs))<8pOV9==HzW;_GW=kI}i9+F zRs!}UBvw+iF%xZ#$GyPzFyTc;&j~&IEkE@Mq{4$f$inTIA{LzALGo&$8#sC+G$9b= z2SMc~wsKMB`^=RaPge(`7(%uhr^v-q4+0{LrRrmMYmS1`B=VpnESz~@Ftz;A_{;}H zi)byUG6HvM(kq2R+T^p4m+APSPe4iw)1n6S5Y+EB0Z>V5#jD-O1iN;}0&3ybJA|^K1Fy4I-zYi5=*HYN`$) z{`mOc5gwEJ93Bc!f^Cj~UkdxIzf0$%15B$;eSDA)?EQqQz_S#3))6j4V++w+4k7FS zguCJMMQ#CLkBoeZ%8!xU6Q0Dgd8cuL zl!p->8jOJukT*odw#Lxrs5dwl+T`;!MFZO@`dFWrxyjJNR_cODJgYR|gomC)L}8(} zwJ8QjW1*_gm-R^#*jL@Z%~9a;DdKzbmDEKD);8*Gw2e)OO?zq-0aaqLTe=jPR0Rke zo`z5ppr()N;e~2LO1QjkBZyeTpfh8tG_Y0~Yhg6-s?SjSL(rPlw50#^eCV6XA!k_m z@0Sj{Pjdy57P;Ydp$W7of8yL0o}~Dzun$!FhpU%Ced^joRjUw<$M7Cf=^j+P36vpvE z5$zz*A4eFDWDUmf!wBu5g@3qUJj5{`Uj6O0jE(K}&C9)^xfYjtVW>Sp5WfC6hlmeK z;Qk*s|GEbg<289RMa|!hyi~h4}bl*$gsU8?<*z zkBWIKsE_ySlG;u_We5bX%!Y~R!^QORPy;<)K}_uPjR0+E%#l zQ?>vh=#vt8yS(}B-|0hKd{|-L$q2k@EG|QvscYAIHYjIDcY&gruPd%M+5YU~!KAqp z&6tA={_z^kzG-|sZu@cqtZ+RZ7nr-7uWtzv9t@IWz|RB1u&&4qoP|quky9Uk9Ejfq z2}YCG?lLah?IrQ{oy+D#o8R~8xcnk8q(cDgeF918BCI-!L1S9&C4N2i&j#wf>*2j| zdRhe+2NNg%2vrl?BI+Tv?$_c)aCm$<#0wAn!{!YXe*yPC?ifsQ;=N^NI*WhaS!R== zTy=v>YN*THK)Fr*b^~)Xw%lY9_m#f zOe`(FL6!=GVHqiYkz|3XMWCbeEZl!G=yTITDRv|xmNjNAz%_R-7fAjrif9_%(imb* z=D|J%Me$*Q;b@2PK(iEkqOxo6*Iuei#rolVIreh(Ao&!}Jb_pqx$!K36Ze(a^8>w6 zrx>NR*M%Jm+uZNj@*Of~`Bz%gUJyzn$T)*J#KwvjA;q#CNHVu`MW@kYG1Z28wPA|| zLg!MDmYNQ9l`Ns8hI*xHs8TAc^|7FA!5*~?P^T6sn0@T+sjqOq9@PEI*xTL^=#`|K zt`pPVd2l1J=Uz~xcwbc^uAgy-y)aJ-LxU^YW;*Kg+pNe9NM`{-=(960+z*Cm2UnyE zJlucwziomY>Wv(K0p&+sbu`0p6lO5S>pz#gbp|{f;+0S)wgnFIy^_G!cO9(r6&|9p z1?|ZKoX_D1vkb;r(2t9kE%G4hoW@!V|}bjWDG>3@u)I=#Q``pd}HZ zmlGQVxSvkD#i~fKVIM9Ylb)S>9Y9eEJj)I+pgeFJZJVi0F1JGfDQX5Zym-LGv%t*L zVovHQ`y!VPv>4hLaO~V_ecyLC@v^fcH#%3Z@X-m7s;UIh1(BXerc5c4LaZbZL+=gd zIRa!Q^{V}Af7WWr^_A8GET{!Gi8w$FJ&9Pz7v&G}Zd0Zmb+-vBknACv&V-}Fbf8F7 zh5IZZ!fAvTKG#43)6M`>4vh4$#lb+zE4RjkNN28r(ZHiVBPuvFEI34JAgub&TPod3 zy^{Ldza6d*1{8n0Q<>HA5#c0CK4igPsi9uzVue=02vVMl18M|9$F=-L`c&+A1{6Qxvx%fSO}^~^M7k9F|?IhlZf?WR*=%TUo;I&lh3 z!KrD8eEeup*(hnz6f%DfwfNh>61_nJ!g={oZqgF@SZSc=FBs}7#v-`1V?og2HH1Q; zuBxM+SN3RjD>zjDnNLa0lI>oo7_&i(64Lz>i(sj%6N_M~trm+=d_^H1u4D^G^urMD z?t^eIE)Y!i&HlzvIATXbFS+zKEdU}{ZU;&AZWM>K-^zb45M191HtAueKJLYXpm_Z1 zNieVh|3Bit*d=T*K2BX?_~4t_UnOs=_l#0}>0KHxylk%5ouE+Y_aq=Zum=ue^<*$; z5-hAyIaJ{ZHebDz^Ay_9^!Z0eUJ>Y*S3oG}2pmmWoupD_K8H^Rs{DH{Q+ZyFzac(R znxyoM{GU5K-o_&>%(($1QMu0ZSPIwN#V`&09P^sp*8%yg1OdG5Fiu zpL?FU8G?fkf&(!E_ap~n2s_aS)U6bwq93!LUH#|xTlQTfn)_v_T7N%LP=Z5QS*d4&5Sf&)4NeTU^iNPakBUnH8- z8-jyef`c7`gC2r|Qo*6w!0^wYaPYuz@SyNKaKv5+B0M0Xxej>nlrN@mcam^-7V9x1 zK~i}TM0qg8UMQkmAfmlSbVK=CPjg*=!ePOk9Zoab&9}rW-ZY)_AlR*#6zShR>4^T($g)IQK*IG5*7T>{+>Oh^!a+X&k z5>6)*^qzyQdt?TU>-U{7U%J8N2)A8T!KF}ouNp%f{QIcEcpd+g=46KVyuivv^up|m zmZK>1*@1a>o_go~v5EQmdBLNFd`nih&$zhRqR%r^c|fvb20L*!tvvvW3I8WM#Dw1^ zCB%N^au`vNiwwxcfHu$@UL?(Xjs)NMQa_9CSnyJ9&Z?3wY)t>g$MN{SYa=1f>vt&7 zJr8`cJI75=ez0 zAnM&%(&MAe8W1D{sh*h3MI=Q9ya$L289Oraj1s`{sXRLzH4&gOc6-1(g_}WZP=P_Q z8v)e!Xj41+(XSbg>ua4yPp;FZSLde|H@BCj*Qx6pS^mRMkK~{4Y|ujPRI>Gyw@4-H zF}Lpct)AGp`rAIeV(Byt9-l-W+YN=lPkWBdnRd%>(*)yE59imLoKZqktZ$VZk_DQ9 zc@HsX3%Y>xkpd36j1ekp@?B0hiyPK9mRSqB>CsE=%-v`E^Ffghnk+E+oS3H=j-H3g zZSIV`nV@Hvu*J&H?oZW)?#4LLt zj{t`bH-RK8elFB(b33@D96#)mU$V&G!xP7}Yx`g_df;3-*-PxG^$n`;AGaHBhr2Z~ zTmMw{WrwjGS=otZGNvNR4!d&;UDiLs)ejC_<=4bhw1O8q2 z%QbtU7f1d;m zZg$$eTDN@aI?*W@Yor1KB?I)0Bo#0Xx`kx9g7Das+|IiM$$QXF~L~*l^wBMv=w_jdlT0BLa4l?Gl zvLBBxC(q*2M&bC>uA_CYJL~de6vk;6cy^e)R6nDz=^0pWdzeu}jEaRJ(JeAdrf^H{ z?vbqq2YFr5nHN_9hg$7UzhjoIk(j$= z#i@|71DKW2?9K%u+k5eO!F>40dcE#j!>|k)+6(Nh56D0%FNZ{BJuM^MDvt!x$cYOG zcimK27~El;23!$b^?2^j7BDbSg1WIX(}7-SjG_ttj_yG_SN=ueth(gvNgK1^NQwde zI<~rX8R#1kBJLFFLd-kD8`Wt6+R&-O`50urV4PdCH~KV$VPS8zzV4rEIqDc4+f zL87JO?6jD?2ZAnac^|1MMJPAN*9xW~G2?Aut65kTST~#txYXfDNe!Y{>NahV00_d9 z!|Pi+cR>c7U_iuziqa@9J&iacS+nQi2J7A3(C|TvP{1IPx@=Jr%T0foY&Em5;w*jjXhkE6aurOu=Q2I5|6C>EbhleHk=`Y$NhXKuNd!sw(%Af*kHY^4sO92Si{Z+BSvRxtl47 zO4$!?RApbS1t>>RKeZfkC{bx!=MJ4yKAftL!hBIq3Lo6N}Tlx{yvD2+yv{7bjB|R zlOYZsv}IWT9F%sUrLe_hS)y^)Q$d_N^c|35BZl-TQs<05w2I3W;<7-rO&aSraS90< zj@v^h*4KMlX4jgMZ6gtl++}_843rJ}+5S$eA(E@WWDk)-Z!NngHKgZ7A5`EJhkJ@C zQ1Q4_pUzv`UX)t6Dj{&fjG#ih4GfrqO;f{D97?z)(sbrNPnVn71LEvy^ zE5Q_W)V9_OV?@L(z}}GG+#0lp?*Uq{pB|3m6IeHioSH{~%Gi}h^X+>3Cex-02}LVm zB9L}fh=>e2Ng*-HF?(b2!ql{Ow!6Gpzelz zDkBUBjI^2#ua*!{5dn?>7pYRhf_oMsQiQmCteUQYye>9(Aai-bQ8cRc@468q+?+_SkitXHU%{Eo&bf&4J%|_K;U<*?omd~;rJlzX zY41SM5UVtizAYtBbRb6hpMuX7+)>m1Vv$Q;#l%rxS$PgUC{>~NWHNya=o`Y0z__}s zYdb0|eaIb$H`CjbKv+tLvKOFl*fIB!!aQKc(sY%8%3?~gCtBCvKpI)z@jN9%#}6ys zuo1TgLqn@N+|+`^iK_WiAQbI`J+{@K|Fq8x!F-O$QClu5?4{6!YUTaPF`o;fke J{SN>D|NrrAF600J diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.map.logdb b/CPLD-old/MAX/MAXV/db/RAM2GS.map.logdb deleted file mode 100644 index 626799f..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.map.qmsg b/CPLD-old/MAX/MAXV/db/RAM2GS.map.qmsg deleted file mode 100644 index 92e65f5..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.map.qmsg +++ /dev/null @@ -1,27 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1629153616333 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1629153616333 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Aug 16 18:40:16 2021 " "Processing started: Mon Aug 16 18:40:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1629153616333 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1629153616333 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1629153616333 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1629153616598 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2GS-MAX.v(56) " "Verilog HDL warning at RAM2GS-MAX.v(56): extended using \"x\" or \"z\"" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1629153616661 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/users/dog/documents/github/ram2gs/cpld/max/ram2gs-max.v 1 1 " "Found 1 design units, including 1 entities, in source file /users/dog/documents/github/ram2gs/cpld/max/ram2gs-max.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2GS " "Found entity 1: RAM2GS" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1629153616661 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1629153616661 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1629153616707 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1629153616707 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_mjr " "Found entity 1: UFM_altufm_none_mjr" { } { { "UFM.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1629153616707 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1629153616707 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1629153616707 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2GS " "Elaborating entity \"RAM2GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1629153616739 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM2GS-MAX.v(158) " "Verilog HDL assignment warning at RAM2GS-MAX.v(158): truncated value with size 32 to match size of target (2)" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 158 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1629153616754 "|RAM2GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM2GS-MAX.v(163) " "Verilog HDL assignment warning at RAM2GS-MAX.v(163): truncated value with size 32 to match size of target (18)" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 163 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1629153616754 "|RAM2GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2GS-MAX.v(290) " "Verilog HDL assignment warning at RAM2GS-MAX.v(290): truncated value with size 32 to match size of target (4)" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 290 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1629153616754 "|RAM2GS"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "../RAM2GS-MAX.v" "UFM_inst" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 87 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1629153616770 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_mjr UFM:UFM_inst\|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component " "Elaborating entity \"UFM_altufm_none_mjr\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component\"" { } { { "UFM.v" "UFM_altufm_none_mjr_component" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1629153616770 ""} -{ "Critical Warning" "WCDB_CDB_FILE_NOT_FOUND" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/RAM2GS-MAX.mif " "Can't find Memory Initialization File or Hexadecimal (Intel-Format) File C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/RAM2GS-MAX.mif -- setting all initial values to 0" { } { } 1 127003 "Can't find Memory Initialization File or Hexadecimal (Intel-Format) File %1!s! -- setting all initial values to 0" 0 0 "Quartus II" 0 -1 1629153616785 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "241 " "Implemented 241 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1629153617319 ""} { "Info" "ICUT_CUT_TM_OPINS" "30 " "Implemented 30 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1629153617319 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1629153617319 ""} { "Info" "ICUT_CUT_TM_LCELLS" "177 " "Implemented 177 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1629153617319 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1629153617319 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1629153617319 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1629153617350 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 13 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 13 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "421 " "Peak virtual memory: 421 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1629153617380 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Aug 16 18:40:17 2021 " "Processing ended: Mon Aug 16 18:40:17 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1629153617380 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1629153617380 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1629153617380 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1629153617380 ""} diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.map.rdb b/CPLD-old/MAX/MAXV/db/RAM2GS.map.rdb deleted file mode 100644 index c7c78006967e7e031382be6d37d16427695f065a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1255 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G?e00000007bh00000 z006K700000008F%00000004La>{iWg97Pb`4TvZcgdzeQA`W%I*wWhGSx0u_6Kl)1 zg0j&jYeG0hy|X>z4n5tY{;`={k|*F@cm!UF8{)@&Jw5vqNOI(ojMVDt>Z~0Xt#*Uke=jQR4(0{{+M-w@#>nPM9yaox8U>cVA-lJ6v2Hs{-%o zcLITLP%$4P()+w%k{)O$GXA>2yT74F4s}%=M94z)pt zF(V720b z6wcCcG#*pMj8hPdHj;&rUZcTOP1?K4JIaORmU5#@?0}mS4xn5cUg*#*$+}RP^s_+E z_&JcE%ILzdvJ5N@UqtC;6JRv9u{9=Q4)GW;gm8iLn!>G%5ZCC zP1aAJ@yt=;bmI+xEN$a>rqxVDG+Iwvn8(p4x(^2x5Kbn3YBM9MAWXx;a2^it&2b+g zwMY%8;}+hf=87=9jCixEk|JYM$q93F0$bW#Ak?GeLbx+P3$aqfSbK5;_v3D+v^RV=a%&$Qv=zn)SqN&u`k5Ru1Rv7K~=JyED`}W8+ z2v2;Lp|)}_X_H2wB78yVE~R(&Z}<1pJsR`}X*7BZ(?gH;@s{r0qutw^2g1=~ZY(M( ziu5t1J6rv&ln%TA_=BAR^>??^!FJR7lQE?<`If%tQ#y+E8WqDc^axE9Owj{YwztaF zz#fhUCzB}l1*TJ7ZAyO5B^@azT>TdDpnl9sA=~#iyn3VI6M7u2eco7mQ?fq9Zo0P@ zMXxVJm)ZCLyxpC+e{-?_->ik-g#Zk`VCG+m>)Ze@rELJSPHDeSU(v=t00030|9Ao7 zW?*CxU|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDcW(EsJ1_ryBKusXUAPK}EMZuxI zzK%hW@ga^*KCbcpPF}9g5G|}g1#AogK()QO3BN&FgrHj79Yft+gQ02}*%=&w;$|Cn z?FUi}5)em7RD}w@1RqT$3uRtck90GAba7d7+pF4^ckdYi< zgKJKflmj_%hd{JIjc4R!FaWwTjLp&)q(%^kK@LIo7b6RU1W*Z|qvK?de_%>{T-_ag zVBX;ZD>?e@nIp&)P_Tkcag7LpsbFHz0O~gOaf=2S0t*y3e;*guAeb6%1`eR)q?<>1 RK&HSV1QNQ800000|NlCYKDht@ diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.pplq.rdb b/CPLD-old/MAX/MAXV/db/RAM2GS.pplq.rdb deleted file mode 100644 index 723fcabc84c5d28d091f3cfd28fe2c17e6cd2021..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 246 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5-&%fdycafuR6Oe}mE$jKBT^LA}En z2G*V)o<9z04r%k89Q=~v;<6?-Rj*L@5u2IDQV3H0|9=HzQd&ZaKte)7htU=vwjiE6 z4l++3iO4^ZdLS>tB$SxIl+5eK#+EFNKWZ29yoGenkWd~#|y&02avM3ms`+!b? z+jfVWa)q6aZl6c}P0URoa8a~J{b#?B%F&X*Xd)fJ*Y|n#_4)aA#W@ShnC$!`&xz`z z#`jHSP4`-m}@JmiZ7=@IS=J1fcMJIP%O2ptEe<%IiXc5;w_Ag+K?&W1c26aj{ZgZIaq zQKul&ytmb6R3}pT^9Z@>@BUWZi7e6z){t$P3Qo#sJI_Aad zYeqM&`+A8>Xd4+Kw#lWQyG{3wnQCyXQ8|bmh{vGn03f4o6)&5v!nikYaFY*c6Okbc)*f zje=G|ZMH#u;32Toki5XuarT^Uz8k~$BNXC?>p+-|G`g`ppc*wtEscG?&p~aQurgc! zPu~Ai7$YX_ZRWT|EkbXsttN2>UM7}~;U(;0TuV%|tlj+6D;3Hz65#3m49Ole<{7pk zd-%u+7JJ}IvpwIIz=i7o&lg+O-x2N7MHTC^d|L|dZWlc|P9(^Io0bT`o-)X}s*wmW z#uwxqPksLd^e|i4Ck_{8R)wi*ujVYw+=TedO>Kw;iyor1(=SO!WJ=ir3IX^f61F=2 zFMU0t+Ic{e@oTg{IzM;pGhLb+Imop!X>(LkdU{#j99!tt6JEBLgqDX>i-0xtc9mN@ zQ&>A^zCZt@>nlI(u=;}_46GG=GpqaoeR9L?rjXN|I+OAaD0y0t=DgFX&51fyp)M%^ z!&Jq!3|FPRUMhRyHMg0|k$>5)y_vy|lx{R{?f&97|CyBs{|JkZH@F(Z(a1p~o)O>1 z@z{cO7F=G!ln}f*<4glR@AGw!KV!&KZY=wv>A2}F=_wV@5gzG=MG?cqJ>a9uYeriL}&OV04Ju(&Wq7QWsW+|)LbYF*x!@6y?p3AB19fQ? zS7#6)sON)$Ytj~%aH1kZke5ffW|~zx(Qx)R-D0_qLZt#F4RiOf=Al7_?~(QO0buJ@ zlFRXFr{S8@1M}P5pO!;%>e+XJz0Ls4M%cVtKVZ71$?!KU^agU;c>H^3O49gA!zlZ! zHbFAg*V(bl<)Z0itFIh;a`DF~QMd)zgO>%NeuEWpaZl$%3~>1er%cJQ9{);86Za&~ zC)-wLHu~q({fOLL8$X{vy_QmWHac~a`mhE0w8BGaR<~ppZZ7&Q6reJjNL>Pj=y1-B zNnmFOsTShZsphwe3*S!T%`@Bkb^OIx-vmYQlgT&h6>6i!X1AIDBBb(X-i_{`I6upy zv)hKMW847WSjF<&E~RbSFipg$g@}GBd*X~ zf_N&Mdb&Wu z45q<-an19=t0cEV1@`(7t-=iKJV2wlLq9i@vXMK(tItWEXLVhnU7tPAUZDZOdrMBw zycnTA!IrPe%^^XdrbEAH9NW`CP4YCUjynm`IrrD$I+4Zi`Bq}?Y*L-|{dMsTjkE+i zT%*KHjSy+(d!`ebcDVnx!`r5AZ2jpI9sTZy9s6xtz%odU`lzD#9F z=ggI@ckx`W>bj~*85+r~$xW^d4>u5=QZtAP_C^$RR_ew17AN;C)@&A#+qYhFO`-%9@4xKvmeT}3S<$I@%#M1@<4beWmrz{eN^ zl;i`nJ-A>2ZmxYB_j@Zk1|RL`7t>j+pjmLCo4sqxE-Q&Y`H9iw@SJ()BEn&x8A@*v$&Uh)oG2UP~ zN&s}%sK}ap1yNt{9Fl#SQ*>klp_a%Om^ZP(l|YjRwb;bq_;U^FhyxoJxKzr%a|yY6VfDrSBL%Gl3*x`li{Z>tm zJ(qHo{@TLdc-03gKB&(?tKbW@95dkO83-1Iqf-7lQ)Pi*=q~xbWO)8uyoY>2BnAJ+ z^S9&RQvadjz3=u%QrTae$)sN!;AB8;obvd1! zk3S=x9haZRPw`g6!Qo7IqJqCV`==niH`^4y_U)#M5)Vb|BFF+lMtsJ{YU)9HiZ&)W}-J4~teyS&B(^+dT1JfZP#_|o$GU2&2=r&#)io$>5?S9X1|DV7+-o8em z%IbBjy81l-8roL%Kd*TfSgD^7<7e#r+HQ<5g`vh!kxyH(y(Cz54!=}!UA8o}jz`RX z9LSY8hDW>wFPbeV5X3C1{lVml{aXJ)EohSj7Y+oR$GCK>&W0B`GAf@ z$uLEW1~p_BdU}i(*%t>lH|AMu6hu5ZV!VPqD8DC>u8DNjT=9e^5h4Gw*D48I#qYvI zbL`>o2{?yM<~sCmHW4vQ)!)FRYPBg)+588&jItbWE5&9VH7*1)H$hmnYXXb5#mo3lCCnD=1w*1MNl%n*Vfq7q|9Mj~Ek zByrgt)>@%ez8A~LfRcrpzLYrIEq!6W3r!gj-&=-RoXuXW15B&IW=afarmBa-@3Yy# zYT$JDn5P`icI&T$*hJV>!Z%Zs8&)CH;Fr&BDkr>cmaeb8?Hp_Mb@mcU|Cm?9AvJ8B z`9W3)Z+WV}nZj~l98src-O14uS#q**qaWz9iQHyY z1t(J7stJ{aRxnYTOxz*ppeqg;egS|L0gsPU17IS*<{}Fl^?eoUUkpj^_45z*6Qea7 z24{&mn`9SBHOoGfgcImh6*^#`N0{`rxQ2SFoO4|ouN_br}N zC{JC3`Rw}b0P~Ek84%u7I<);N*D%~>ID}b(73l&Cq=rgWwD0An=prh6{094UeC-K| z2CY-H8k$-jQRUFO>4o}^!R$l^byU)3S~$Eom)h<%zY#)EI9_8P$Rnlr6TAWrOsI*J zVIDv9M~3`uLpXDQu#eF3(tHxBj|T|R6_NR{X4iqDvkR-U3ahgTtFsuZvklAFi9UN- z=}Jljuo(y-Jl$dl z!P(=+-1|y5lSAK*GZAwNSKBiU^nL;7*gb6y4m^DWjlKJUF$r|?ybH%D0hxp8qlsF)K8RauO|?^?XU@0mZW{eaE) z6aOKTFZg7GZy~{-RGo}3U~>OUW2xd2GlN_Q2R*P1( z&piur7Rt7z6}Wk018AelF7T?Ez1Lj^*HD0G0rUuiMnFcwQ9$Phn;(3Bi1{Jwhf0ZC z-;Rp|6|fe>q6#_ERzISpy}f0-joOUhlNM_6v(u!sLd)8Mb%|EtlZoZ4zq9@bg|q%& zKl1vA?H{K9&c1dh;lSEih;0Qr(+*DaU5Eu+zaU*&T>fzJ!}$+qKb#_EJDk8RygrU9 zI{OC{nX)At`ao__+3^jFp0pUP>ac2urhVmHFT6No`Y1b*1>ti z*-zxFUH4Rr*1M{&Ni$Vw{#`!G#yQ*!%h?d7Nn+RNgsYZRt|??nI?E9AY5UE(CRg(- zR4Sf%H?36$D?7S5j?2JShq=?;TW5}9+3_zW+wpUs-w+lSZZ}<2xna64xErLl)h$3y z6g$3I`q`Q;+S~wNF-759^7pR=_o{dG2JO1(Yd1h^4FoS=+$wQ9$CI9l0@ZkM zrmt9FW75vTTdVb&EL9rl00G@#e{<`&h0`SL$UpA5pk(h^5gb8j{{!h^n}M~{?Pt4K zieg&sj%gf~3cwNgsU{L>pi4Ve1Vp>47fk}k${5WB`NkXy7I@;k{JyhUYK|jc-J`40 zBUOP#^yQkMrpt9x9O^Y}zzNrZskNNPG~Z zMv4A%Z2Jqz=75cQ*zuw@l|5FYwA4jaRj!HO^Q(2I zL~;D-S|w#mPqo?N`(Vr%1rgLUuVYo(`CKCbR0Y!^POzLl0Ad>KHhVZ_#!+y=svW;LDxT_;$mAuF-z`Xzgjd}!t*;w%nX=& zWCFsz&c(shEX0)5dwd*ImHR$u!akVI%3kZNj>)p8rhmQYf=I17?nVh{n!@F`Y~hij z*y--SKJ!WJg#tKXza^ffKCbXP-1Ui$TRBx~4W^#?UBS<7zwn7GBkzRqYL-$h?$;`4 zL}R`K39P|XhLjo7a3T5yGy4$Cp%s)WVR!!&+&>0jD&Dk`!(f;#2?8$gK z8!W^NFCa$O)pDB$_5E-D-vaew)FV_#D^OHdv5A(wS4r4=)I1`Hz^>-t2=FBTMm)by z7*%It_DYg9R&=G2K%iIBt%Y$AJv(`5wl>Ll- zGl>y}GMp7BnCS zo$=j&hF#=_=!0_9VVc?HG7HSqB;UI=@VAFDSrc5Il59`gq9#-SmOU!PRWidr6L-%dl+koG7fh z>&iRw@aY$CUjrNfW1eeV2;LW`(k|0GM|#oPs^oR`9?$PatvLS=e?v|Xlsrt%CKHVU zU4V1%K`d=MTfEds9ep}00BJ(=Xs#+1Z>SQ0=7)|p7SJY2D|5HD?@`ccmLSn_+A7DX zA9a&D>OY zT@;{%;I>pelOlc&P5?n1aG*JF$WSc*2j{(Yq75$acP*g$O#NKte<#?iGy`4IU}i+1 zf>$JYM;zrvxO+OAk73vrbS-yzldPuY79aU*+K|CkFvIWEI=z^@s9^uLsws@CXdd7B z_6l~jJ6(KmNRm?B%7Fp0v-58QRs9NRpbe|L_j9M0R`llEJbCO~bLCI!)z^ZK!riBV z7}M2%uQ0Dc$mvM1+vDKc;bi{7X*(>MI!HE=qq9>A8yn!^bgt8;7dyFYr1b2?0K-+V zaS5iZ&qysL?;AkJz_#VJJ9}&O;zm7k1n(VN*iPInI2q>E#q75!1)+M`S{SjuXXv8T zTpf_!kgwJ*qXByQXK>(2(WB&+sEAX0tSK!@_OS2vYXN3DRknBPn*nHPTwVb&H&loO zu63p%*|ob@at^Dom@KEJckYZad~-i0edW{?4j(1g7qI~k4J;O$Ulp~X_t+@hH;`gl zQ2IIvkswWK-im@3Yk;5(T*!HUs@HkUm9oQ6&xgSwKeovILIh+GoP56+wB1q+F}G$8 z2JX&xQF{awd0Z>~uMF-jp|fl_5oo--nb~L$*Vx1<8;jkM5sF833FT;Q9t&HsTJqv!v-b_(B=&bsmmJI=yz!0ZW~CO9=}JADR2p;p1r+ z;KaUv^QYmr^1Xj!aq0D4?*@+#s5=mRSx>-l8NL1o}JJOW(d-)dQGH= z&i%mo_pC^NTl~>dAl>G4*1X}T~|k39(-Ts zJ~}g($ZOr4G6j;|Mvr07pK(=*Yy3ydn?-t`bzpaxsQ7Ub-9{@%T<^68c-NXc&(bai zzEu{pb`0%xkXd!Hz+TtHy{-;Pcg!_(wldR8H6|tClek$J6n4%I*VY+sxwi4;E>I5Z z4qf#XdrnF|n5qrf4HSE_n9YvQq-2Kdn9`_OGV|zHD0hx%ntjtOZ8);PTKtA+A1L^eg;6Oi@zPGFRd?zgH>eJI6j+Ove${&2*%9$Zm90e&SS+ z-&yz{8!8|FWC)( zq$ilzZMRBh{@CQT!Z$(cm)Or>uDc4q4J4sDRR7aNH?QCBmUazKyQ=Fm-Dvv(D(?8n@4veQI8jxW$2QS9Pv6(7 z{wBIc*xyYw0v_j9tdPQA*WyQ#fos+xQs~v^)zCU=$gh-Lqr@lE{c2~EmA3}4y|O>B zVP(h&FyB~DTe0-wCcL=6AF1L8cV4#ND0sbP2NjMZI?;_g6gw-1xlha})Z~!85(dKI z_H6Q)B?jb$d<#~j?ONDC*m;0^>>nP2+aZ*}tZS+tQxy`?Uy-~E*`==`8Ve-*91qH_ zO*S_-dH}k|D~iuCPwQ!y1gz4#3N74wa%9?1Ptb7K;OgUljB+<6zHNJIoUC<>{=Btj z`Mj2Z-AMOENn!#AJ$|6x@$kZo+b*kcEF6=2=B4QCKWeX&Mc@3DZ&0aNz&Oe@m}Ws! zGsmmr9oDo z{H)r`ReFW}@~Co%6TNoLo`>jrc8pz>XD7FF)v;z*RHKpk_+#DLiMdQSL_qxssu017 z{yabO;TWWs@)ydX*~(8EaV9fvlh&<2x{lYH7kf7mgO7vvY<%3rzmSgj&y=Y@CJpEq zJ>8rA>3RY>Jd^32y_68lS17A*_nGd{FT-@cYy3C%J?LqGd7q6{<{(-A$)uI*wJXd; zV!yb;;}q=CE?PB-<7AFqg$ermQU}7PynIAIhNbF8hwMDNfViVR&u&h(xCDV|k2{N# znY^d(8QJ%tw-&Q?%ITTvZ`Z4neUDVa=b3=9e+Jp#_2F+0lX?0Ll211mEEDmM0)@oy z!ygSM0qGxS$0JV@%R2^NsR-{+)6ssPk6HGg^{*Dw{%e3|_fOrUj&pzVAV26Ih?*9eI_!{02~G$b+H7CBuyqMKjUA zF3CkJG&zhYR6Wv@;uUl8h!<^?NrRc4`GamEG=$2)4$xxAw2|R!Tf^ib6LErCq1h zqVPzuM`@`Ptv!WM$+d?F3X-K&DvVc7yj7Bd4++xnNFqY(dFYB}iOYm0VFYPJK6Ll2_dLu^iG~lZAM|8U=6~MT9yg^7-H!dEnQL^0$m;a}n0BAg&x| z?O7}(0-Hx?Um<5-RYRWqW-)LZZ-SO>XS-pwV}#xrX0_qh1A>>$XS+eQJA~fZE%70) zbn@w#EIES0zolhYz4$CA;MO$+FW4;eK&xi*{V*EoL)_slw;-;pXRRUNZw}EX;MdcI zbc|-Z!Pccip2TLo;npz)c^2~h2pZKOuB2ykjb`n^uYQF*@yzO*&wepjYG5@s<@+t> zR{>v{K-fVwqQb2+2=dJ3f8sSJ!>!K<@~q{5qBWjiHRc6A>4rR+%;s9m`h%@gL0m=T z`>p18K{du>HM$7CdxW^NTjIj6Lkeyf&hkU8Q-nO(&x*sZe?!2VXt1*D;~|(L3&r_z zlZbJW6^YW8FJ$u~e+gfl0ld>ika~=woQa90dd(D)91Ep5;Th0tCwl*gDMO6Qqon;| zwr57Bl4E+|iI%I=HLEwA&{Bq=XHSmivm{y7tY|LJWcyA|A{NF&#Tx;u9Y-QwG}aZB zB<44)FG9)<)oEPrL92-_D_SRCT7}0tHJ&nlR$-Bq{yRQa5tu<%^x&C8AyVJEwFgTf z16pBFHs;ARA!qfcLT22UBO_I2OcHs$(RlA~$4TPQ*Uu>Yn(O8MTbB!$A7TJnTOmAP z#59=gxNnzkWYb(?^RaG_pXo=t(pZH&17h~L8sMOt&U3K4e0HWQH|6=%vzlaoaLCZYv`NIHW|s#U)03_7;)Nb9gOSuae%kH#w9+YMS1l_ zV!ecQqbxCwCZ1f?MM-GMv|zc-LQ2g&VJ8*|s(-lf!}&ML$}03=NB^>($Z7pE+<9Nbx|>nboaCV%E53ghh$#?FSc0mFVtzj zP(Z$~Tq+*``GZs(Jye>J-VpKVBB@FUy8={BA{>x^HtN{Y?c9Er!i@T-;{M9@!Ewd? zi>P`jTW|Ikv*7n=a!3CHG)w3td;m#khu%7Cw=MSli1EFIibuy}Ui+oYpR_BIh!D$< z)%5k|e=_xUTPGX!9AtFO0bWSoKAPYKD8EnkP8l_Ly(p^XH#IQU2kYuNbmXh_Bun?= zVG<#y22;~IG}^EBmKXKLbMKg%E`?QK%oMzU;K}={%5nFaGjziL6TageUBlbQv~|r^ zok>^GnX;4}jIL}wd1mel(Kfm!B74jOth7MKmTZSjaAL#+~DGZ>qp8pUu4=GLf%kRI6 zEq^}6>Yyy89gPXMmvkvJ{eRb11lk8dVt(ECj|1yo;B7gck2c>^V5&ZtK{qu!?G~DP ztBk(#!MPrB*oDmUniXjog3s&P?uRc{zxB5NcsKh8z{+3A^CeO6>wJ7sh?0|r8;cmL zsHAnG=X3wM7X6YTfoZzlt~co@Q5214iq?`L{iT$H97Q^Lp2|lzj_k=&q8}E^RDDU2 zXb&XpG7m2qMT5y)4CSOJBC5NPfV9FwHrNCQ?SwBPtUK@%W%!9Ek29FKDaMReUgQt9 z&x1TxtFdio7=?u#Y^%kGLnH`y%$)0t@lb&M5@wjk0S+V6YGejUdkp7mU%`Dk)puAe z^yxB+zy9w9$}6U|B{lp-ww%if4an4ze;bDYH#RrfE{Y4B2(ZNtl5ikH>9P@&(cU^y zwH)=qvH}=GcAhF{27(39RFAtaqpk|)vERc=5zGy^tX5|B{JB_dp1!`GBWZJ(DJ1*S41iwDPU1j{UWyLq{od7bBNO3ma+NWV(=)N+f`fNkXPXjszVnszn? zI4@x^EVOtRXn#mIQrCnpVbl!U-#OQqGiXieuXE@`sF``wNUGJXopVU1N)XgS&gP8JDJS&^}t{$_u0z;CF47EL5WN{D>_Zva{QyC38FCrB(fDvn6S%dUiGj z1`>itEY0rC zN#zk4puMM_WwEN#e|G13Jgj7t+tfPoBfv*RcPXP954t4+uwaC9D*f$m5D@6caH&ux zxXal?SMZk%u}`&Uj{UStS5F|^Xmx9Q;169UX|BB;1t@*yJ0xydH>(U0UAFlKnIm}E zZ*$Q2UEVZAc!m`=;SecUEZx0y#{odW3gpW}XaIrv6lGR7cL4ACRf?c;D;$ieFIA$#H)XBe*>ozWwCVqez{rGtpAgpgUbD>iHHIRe)X9^u*yGvP_?sL?k zEq>;yq{dL&$bZ5jfzdm)7K3_uq>p}+{!x*(&(P1IyE9%GCnkDATzs$SlG6kAtMu`% z6ybX%9qrm3wRVxK>1iiM1TyBh-w86LoX+WFTqzg@;W#Wqn&+vNuM{HjVkN5Xd)4Z_ zeIu&2_-{u{WHmZUS0|FU7_ykB zOYe>^U)Zb#hHwpa=r#EI9=2cL?OSDOkA-DxX@I3+t;~I>X#EbIT`zoj2XTn~C=!vx ztQce##4=o!KFi{w-i_8oT+3hn+7f{lg({~Qeb`99Us{l&1y1{yf8kEh%siEp!XL%3 z_2I%rewK+nEbNLr=BhA;!Y?_;e$MaH4u~yzYbk{%?n~SK(jFFPL=#}hMx>W;@N2`Z zheqmnw|6I@*c_7O4zqQEUAqpybWC$0MyfuW6eBLF)UF{PX1&@qHhfuU(rdJT*ci>6-3J_>!zk%uFrTn?-yopgWWm{@0^DBA|-N`FZic(b;T#q z;7<~jqx`kY0sLFxYw(Tzko#>3-+oljel%}0;T@=sXV|_4N!n5MG%B!Gh1UMhp|+s8 zr*mW--TX%(jd{WJl8tBR~qj8VL0yw zJl8Nh*D^fUG(6WfJXaq)*Bm_87(5p?k}oYy=){(=dMmLF@E$eKan|u=sg>T$?0AV= z(|JY7k|r9rnVhT4(50IW5g1`i{YFkCzWiWTpyO`@2PYV>O4K`c4<-i>k?pk^s_<}<|Oyy{&WCn*JSP$*_223MyWJGPw;lJ2@hDwd=(zfMjlRt zB2v`yAVg<3N(Txrx|(*3?v5!p2>}QpZ;@Ld6=6UiH0-EGkAIo&(5X#$G3o3e$Z;wU z@u8Qu5A>c4aWa{Y@%uE$D;n~+oFF44@b0c4gwH9$b06VxkPn{I*EZJ`3vkx_nmdSj z$sLM!9gepbj(7GS3nPfOX=u!V1ul&VEbzldCI?C~AgaA*Ek7VuSGzsZ-jus>z(4(~ zh0B(+H_O96PjXmC-4d2}7s)*c?<7$r--d*OCF`#g7VY$+O^glE;6YCBKyMp|ZySbx zjm8D2aU5!M9C8a@b{%-PB-FGKbF>m0c!=184OU-qYzo_hgr-Z);pCn{p1dEW4ZKs`P0Gl!lgaE@`2WXghm& zFHAN%YZoI>f)@={;&2R0Wi+^JII(XaeDdKew@Y z1|W!TpgX!Dh?W^sr`r5{$%h*C$l6S$`}{0?JvH9$3+tM!%B3R`ASW6l@N}1NN-yq_ zhmWAwcFq5=R8_HKUQR(qeK8PxApWRpM5rUM?_HatUWYwBRGr!2Xm8;wn(!(cL``IX zv~-;-2(oiLmv5AuCW=5?t@oK1;m3K9(NZx)GYYff*W6JgwTRF~TNMN$w9+LH! zc>p}0+q4S>X`ATaItaZBbfWgtw3eMU)F-Kp!UuKNabUdu+z?*LycJ|o|uIa4DR^bWkKCA@cW3RB0&Y zgF`0Xg8Vj-RpDlx;9X-YhK{#4q&nPyO_I(e81sN5Z!O;Sa`ojkzWw#3tr|A-a%eY_ zpa%I25JlmbD6NVqsj*-fVhA^{iB_5P`~JuEEPAxnSkJ?i`?>OjxTU&QZtU!N0|-?5 zmUp(YI`Cetbd8E+o{nS>F7s~qKX;L7XOZ*3R$2}y+3*por;+cJ4%&zwUJs#Vu8F>Y za30n^fmj}-mjLHR%mA4EEwf7FdGm_oypxwh@toQyfuhR+e$OIiN)v?CDuY%D*@0fhx#!6J$ z0)qZ^(Ar^8-@8RUp6Z~O-ITZ`=sq|xiT@YfF@mxR@n5z!6P*w{7m^omVE6YAW%tm? zmvXZzpv=zMs8%Q_c>-tjE>1LLfpZ%SXQ^L*rzRCgYM{gp88CY0qdZel@6K&Q6}45V z)6IXZbtL!G)aFFCn3A%7d(j|O3w_%WWVBeM7cITy2%6?7vzcwD(uuN+cesRFCOo$i zYj94ymg$UAx)5on3Z#P0HGb+Mh&GI;av{l$pKK*Cgd$BGe%b`CDqQbOQb|T4N zhjr(G&QU+`aU4bjI@)jR=;&O?Az=UE766ZS({`<(;A8UnPVFc&0Np<)>w#x#mI6!> zWatRH(Ox~gBN^+HSS@wKC;Tp1#MyhoBmR?l4K%2BCD1msc}09oV95Nil=8}gWNZK4 z9skZd?mer8u``jvrfPN0i$O`<(H{@lPi)$R>zsxQu;Msmu^&AFuG2V$wI?=k7O4ga z^^jP8bVaPfo7N-lTBke15!AhYMMs>};A32AUuv5cnSAVBjs0Mw+=rmtzYyf)y%Fa) z#I+yg*h8Lgn;z5%d zdW+%R^KOOx=3yVH7#2&r6!Y$c{gz=L+k8_Z<($m;rFmL%!3dpN$BIWIXea#hbADQk z?8u40UAf_I0$%hn3C9S?xBKUh9=eqDfSy;sX_TECQD1J+!0h5lf39pz6ZgIt!f3 z+K5wTh6B%yIL$bOPfA^ zT8=x88@kH5v+;EhJ?nvH+jSY*YHH%sYuYdxJEkr$d<^C1d4{51uy23i^6{pPI? zmK*d|+vz94zE182Ace&xjE`TL!M@hkx;mp&uZB{8Uny!?OA6B7+uG1uy8gou)0xQW zD(?_G@0F&dkN-Pd8*e=Sq2^H|{ZZKUroyOmuWWgTywVSd@pztAQo0~ zcgdE2cZGum5!Mi~lZ)jdCCPu6eA;@xzYJm(Y;yI3n`5KJ5TG*hb9L*<3y=1xsMlIO zpk)hS$o{=bUuRe7S-#n z=5JR}514b6;({_cBBMK!RQ86V%jb!ZrlGW=%PDe2Nl$J}l~?ADnvhzTp`^+gJR-v{ zPEL_eIw9L*mST0-62OEU*QS9jYpWoL;75_uL2v&3tN?r3T`*q|FWRvDxxj2zmHMCR zolZ&TiqwoIJii+QuW_mI-y~Trwgl)@mbJA6U&DSf*Nf_{{IbQq$-|iS6DbxU)T;0u z>;%!ZMS4=0xDsem_b(VJh<81Hjoc$S+T*te-r`XG(}C7vyTRFM=WFb_vHEm%kbE+y z*D6)&Mb!}xa?a#2ZJ)F5yuCOo+Bg%27-`g^L;&m2W4{gaLauYvj74yecfoK-xI((- zxGT=lEx5*!fqS6q>wbV>$ zZV&x;O(gh;CAvT;Yr{C>J%(V1YIV4uLfBO1mr%y>;XQwm(>Cgk&?IkMOw8@l{THm) zrbQei1K4Mvrx*_f?-xBIB`N&Z_`_%EK4)GX4}1J2X@m%a70(4nd&q6LEv!qX0pIy?@VR~ z=*ufX&Y&IA>TM=!@6IjF1mKVHD%U%IV=tslB-c}zml!^RfzlWvXo6i}XE}0;yUxMA zd_T!LQhLx^IZTf3;=A98maNObRie9b2cBRv!EkTBecWKA@+P0F%ht@CM+4=>nM0s| zzNwjdZHs9`#MVaj@5rK6Z#rN5TXLfVOJOOy})yu1dN{vD&7Z>UTpNDCbuN@Oex7M9P1BiqO- zget0pLY|Q?NCx5iF?$;#3eT??86_Z_c*svxvSm8u{T+vg*-y3 zSM72RYBkvqiXJ)}+h{wbKjO)4Wfz^m$~bq$t%`REqU^~rquwg6$`Z5f1X{^TM{E3{ zV|5#y#dUkTIgZ~x7ej=_5uKMa+mVaJ1c}6sO{16sd(|bV$U`EHszS@;c2jPD1={ek zo@;Z6qpj+%J!QUR)wbjZczb6#&eoB)KCC`%qxQS4?7X08xzM0eC+^7>J_8QoY1!Kn z`&H~!_+08{IRBR{iiHzLPtw#e_})ya3692Hu+3_7n%%CRz0}VaHXI0JCQhPTTO*3F z^7H;7IdAL8XKWLH41tI~!OrUnE2CSyY0y>TRX)$N8Dd5zDsT@r77duihBUg+XTlJA zC3Pt(<9`=i-RY5R{|rrl10IKc>=^5q7)R-m9R?}eMx4^=BIe>#``DTu+8g%nT=!EU5CoD+g(}16ovS`C;#xI>7>zna604KaLyrY09dGG>}Xg=e7{?2u5 zT{zv)jsMhEC>u$0@PVWX4@a{eR>pj-K-U2fxFbI;->lza%O(o&EJ5i~qyn^fKD@i^ zrfUrIC6fgq25Wh-p6e|3{O%`+eGH>%jdbE7cE}dU+|m5I0=(||4@p&sD)9V~q{l&& zy}sU%zex(<8jE_ZcP-?$RKiteW#3MwR4kpaS?x)OH8L=;?xm6U{w>8ejQ~-G3^n2v z!q#RK1Me19=kY?_b7EPViC{tRxdtJS<_5GJ;S0AsC1jBG39EybeNjHM4WL1$npMQz zGE(ux@T44pmYw?E8-Y!9IxQFu5Jee(Y<|h6_J9b(cnaTe%PqImo2x_IfXBa@@Dc~7TY~a^0 zwHYd-TvYaggn$~`V0G*N+?$G^n`C|bheYV8CI3szn1L@nq#!N^?-YAVz1R03`6{m_ z*ozl#QN`t-EfMq5IAgDPVv7ZzOY=U?Zjrs^?^R-ARdR=hE?lX&MB)v}I3zW}>0g;| zpUF9~ZZxEzA-mY4;lRzURWINVF_U4{WaG5adBI!wsge{k@jRqZc-**^N=b*Uoo4vM zqNbtrmI8Jzhy#3{0LcNibb&V@Glt-x@E9wout`E9SOI;{f57SDqJomrYic3pL1Goz z^pXPh!kbvrWYX0{+^*vG((-Ux$M&Os!AFA{TakKz$JR+Dh2P=65J>PB!5sj^6Fr(~Z} z8=3S|VFgUXQ9|xLMpU;GCaSQz{u0Dnu|im*yEc?0y>Bs2J-zWpG^`l0?ci3toq!}9 z3*KmEpr&2Vg>WiD3xp-Dq!LWkmAbz4&&rM{iWh9}eF_A(zvW(dY%3cSg!a;2i8wkq z<{AlL;<|pE`a`;3^HM0ItUD{{O*;Zi37xKGZvtK0F$&%B^&q!wV5nE;Pu^~I8AI&1 zo#`mP8IQIG>2u>@t|idS8+F9R##XN3;b{C(LDol3XFU4FbQ7aXBjXXaUQ_FZ3xx{T zzuayPLJ^5(4^W)E)aVY-HjyP@WLl%?F#Kd`mDkFrP97;6`sH<0QiU6%qa+#+>U zemc);vO<>fb82)82kqF$-1ZFDTZnqxD>?6dXIX^TZ`mlyA4duvU49U(BDmPq$3DiH zClY#Or`=^-db@hc-yfGNe{q7y^k${0)RN}pR!0A3Oh(ST&`@HuegEtp|Nj0T3J8t! diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.pti_db_list.ddb b/CPLD-old/MAX/MAXV/db/RAM2GS.pti_db_list.ddb deleted file mode 100644 index 89aa9b430d177793e191e5a385085595d88cff2d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.root_partition.map.reg_db.cdb b/CPLD-old/MAX/MAXV/db/RAM2GS.root_partition.map.reg_db.cdb deleted file mode 100644 index dce5122b7e84c0bd75142979050d0dd87f1c5552..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 210 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRx&aLVfoTwm!5T_mg3=X?zyAOKU(cqI z&}_Kjg2GM(_ju*+2`MM}64}@U*no=u|F2+7N=ZnWaqz%_f`}bQWIYT|FmK%49bB_v mcXCH|&4ktK>u0Ryo>2Ckhp&*AtFOF}X=PFZQxeGh|NjB#q(3|W diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.routing.rdb b/CPLD-old/MAX/MAXV/db/RAM2GS.routing.rdb deleted file mode 100644 index 98f9da288692a4a775a73a44b93925c23267f662..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1410 zcmV-|1%3Jv000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G7&00000006-R00000 z000#M00000004po00000004La?3&MO6G0Tmn~UJVgQ6Y;G5QC{&X3(BH=(@2?VSvFLP9vGLo`QiM;dC~u8G>0f!vv&&oY2(r)CB4RUmh#N1qoN z!3`0tUGUxErwrh7Lja%DF6f6%{dhZD9G)J`XS0wFFFp10=`Ob`EedtTy#TEV-3;1Q zjcW^8Q!O3`GI-i5S~F(rVOBfZc8<=gz-s@lj`pYlEi`u7&02)`t%%j38Qg5u%Vo@n zRZCxD7M|)-SiCNZqWNn>x^fhgzVbraiIB-rTgu8H!51VZJLh7)ZenB7dm$b8j>>ql z(RYi9C`d7iar~|YBbCYnNldmPhJ{q##Ec*YWQG`atl&Uqpkc(mR#h-%wXqlu>VvPM zVO0#HDx{6#tYGCPqP|xb#VGvB8nHe`VFi#kBvQ;sLee^k1v?glI`ukRiF=!gOg3JC zh58`zE`X)UTuSEjQs4{HRN@<4O7(?Qm<$pcfiFlv$E6^Kq5*@F>|+!th*ML}mMrf&{>5$BkvVLg5C;%3l^t_{wfz3Pm7!5em?I$(4p+`a~N7Xg zGT%JL!1i*{v;*IeQJhc0+!*CSs2(Y&HR4iwM}Tu+kPLRcYr|-V82LUWDw;g2zuW)K z(ox#-eM;mx2s)A)W%)iOE+Xj!6-NI600960cmZN(U}O+rU|@(cv`hw44BS8*E|Br>f`F`>Iczs7^sMqK?10y=444ZkYW%5VvsEm zEl{6Wew++}Os(wr$(CZr<;^f82A=oL^V<^i=gs zpT?Q$859r@kV-hv@6_r05c-y?CXP-PcDBTf%=8TOjKo4N7S_hZOw3Hg3~av`nSL=b zFftP>nK-&y7?}_&7#LX*GZHIF5v!RP5{nvJIDcEVKmO+q1Vn)Se+0-F(*Mdm-v5>E zpy%FjnFONgSCaZFUKO{OmKLI?j;vKVd?Rj4(5*J{sQ-ZMKdmJ!BXxklN zH+!AN)nUQGaNOvh-Px+o{`o{aQ20nOGO;9b+;QZCndbMeG;#RBP^nK0z<)XTxSI_& zZ!deoXc?4Zp@VwdRKHP(273rVx4>8wp@`}csdyWm8Q>7Xq*iW>3qEENMJ#0@oVTe! z#h;5?3CB~+i*Oh&EpIXNl-+7EyvUl~hV^J)0^W-d3LB-kK-r zQOJY=r@%eZp6Lb?_rAfI_@bSWksWFI6&5ze)%kS~>Ozx)rgTTG(ZO|7CEN1a^+iN9 zJFT|iLM@pX-D@-4J^5$x{2OdPR-8|m)j$qxeq?-0&DneRA_hhJgO=eWcbsw_#SmO+ zZPg0HBcoTO8obz!QqL5+Bjzl&f={OGYL}G^pSa?Rj*EP@jgnZ8Q=jQeniyrg@g$p{X7q&7O>f#2(tqdH?`y$JbvUXp|JDc?`1gVZL;iq6 z$NWjFpq^XPt=%N>74QjJ7?|?|LwqrZkF9a1cjm^RmaQA|0#$AAYGA|40i7-FijN`H z)8Mbx|8M_)9UcZiR#E8$x`5ak_x`jePMa9FB99do+p`~-@Aqs+34>EwJ91AQ0 zBh(P5nnP19ZXhlJ+VMF9TBN%K0erTgPo`4dyoVP)_E?kY5l65*-383k5!80dwn9H;uRTpq;FmSh9=Pxc&InE8-TYKre9q(mWxA_j5 zQ8h6(cTpCNvJvuOhq+M9X-Dc9&UVVq*EQ!sj%O9wAX zUDiZAHD|fa+5)03Ounwh8ETK@9rv2XFa@J6=3Sfy^5rATSb_+9t$ zW{3#e{{GXvf74IoOUCJXctdEm6WxBvgfSI4nHDi=zbk4cN_Y+kT%hM3SoODK_KxZ} zKmlH*+eIC42`zHe?^CS+17sVl>Ua|AErA{cGEBkLf}Z zJ=f5um-6nCBXP%%d9SG@8?=|;E{cMq@`s~$P1rxNL)PNzB>cEEK}jNfTcT_uYPV@J z*YfN+M#UsXg9kKq>9qTyP%}Jz#Z%mG*V(eLupa}JdJq!(;u<8ZSGO%i74rt0C)LN3 z`xVF4di=I<)ej>#P9qVDCz%4JtR#GtuHJe48;_8y+IO@$L!@2fH%34TkZcY#C%&A? zEB5CKAD?-e{CVxErHeP6fllaMkGii~*shOdewVlB3!Fx~c%nytk*_26)FLAyO(561 zb@|I6(FSRf)VuAd*IW*p+7VGx$b=Y8@KpG^bw?W;N#@HPd$oOuAxXK-h z-aQ#-BjX&Od_P$&`OFheaD;IM`OGBgjkSXqpD(MBFzM2sxV;KttDISf9UaXP*1z0_ z?jt##0g{{{-!g3vddLc`_p&hjLKw5_XL<5aFB9&Z+1tEgl_84v!Rui9YfmIMv$~*) zz-&pe#wKgnmgVKZCg&oO5K%(iWud4DpvPX*g1$L8tO5y%bW+Z>{)po!yd9P zpiGI&?UuFujd2(BOMv@Lx!Pi~lkO{dM&MWZBb>AIwp6LcBp+H*a{Um5Hs_mkcXEC6 ze~Q$%Qv9DH`K_edH)-o(KDqnyX)b!HKIaa7S$e`9X{tIn9I&uKUn!d9pU*u^s<1W& zDw6)seefwgm1)cL{#kJHP-W|1$cl{I_E0f_En1e27c4g+7>)=SDzG%_`T^9U*mg8e zORB>?d0cRbc78i;6>If&(IMUFY^{p7)zdkezE~(_rQ@Gj(Ku1#^^Y!tlIJy8Jt{le zH_S;>?_|>xM-^STqy8CD=EkN_?jhV*re*08$|N2Z)j}fmN>weHPbMbio2L9b+oRW6 zcyHm;;E+Y>m_3GTa1PecI7%neS%gd4nxV07di$Y+WpR#lA1tmqjVtOEeJVEZ%bC{( zg<|wxDO*loFtdoAA#ML+r=A1iBr6$@OS~yIR*&m@$#!%$)GBpO({-p#6W^^4jG2^W zai;^CTO0mx{|dGbrm7W7r8V+*e^Ea$h-4UM%8q3joA%K&HSasTp?j{xp>EABK)?4sB))m#@Gk1u9$M_&0B}J%DB9Y3EX@(UmyX%(3atL@@ zbhj;nSG$NEN!ngUKW{l%Q1|s;2-(K)r_pZ3p+D18y}-j~NN3un&-ydC*<8`3s;8u} zs8g<7{-EjW+tJiZBF{FVjYDkOHrIxz+|qhe@i@UFT*R;3f@sYtMifIC0-8rFc8J znH@+Ka@&((B(TW9S422e{ULd_5$hSgw;$df394YEG#a}>(85s=_5HjxP^f!wndpP) zOUy$FwP<{QBY;TEE9@?ew5|~D;Qu3z>V_W{sX!k>9*)y|$ zEYEtN=2HDFA{iWwWIfCX;M+5QOd&L38MX1$Y3HA{f8RIocAD`k=IkKj=`LMpp;zG- zk^l}*dYo-H*I-9?^}`p$$IqL#zy$DG%DIG$%Ggrd_RUU|Pb@okVW{oi2IHcUrNzDW z&WX)feBJRmn-C)LC|1ZTI4`~$VulIANY+%v=lWoh&@Y}(@FBuMctAUWy`CGt@cYv< zKgMPX(&ite%><;)OeEb&XuV9>Vi#l2*|>H#{ba_mIO@z)7M3p3KiP|R-M9Yr%4GjW z%ByJ1no)e+Wp+8qkC|YL)y%i8;fnm~wJ0GoH*VKY^2%y?Vkbe`&fsg>CPB>3D%6m- z&$HveCT)Ar?Ol=NK%Jb4IWc*%3;j|LLESj~Prj^^&NuT;0W-EXKWA*Czp4CY;+uk*%QCX_$L3G@^9)xudUmPac6D%e-xU<$vElpLWKT%ZhUqdFoC($ZR%;IU*E`Dv$BZ0Y;A#XZffR{ zyKH!1dV+judiKr5H&@@>d~^5B19G;Fn~T?vokM@+CJPvLqylQ5u+Sfq5TG)z_0!y(~zr~5JzJ2GB%0U4At7sm%FfZ==k`Y?VewIyP3p}=la~u zJHF@rbwnxLi+!Kl{-Wb)tvky`$r2#_rg}$?ra8<#s^iG!P)7X{t3urcc zOuLsvq@En}&kgjY%Hixdy8j3^VlU%jfYZo+j`cUA=d^D2U@o$ZX$gjsBCQdS%Fe$o_>H1WPXZmGpk`hyf~bc>rB8MXXo@ukyw~( z9aJ)Aoeuin6BySX#Txh0A%aXJ>Txx9ZHPNnG&fs%1=UFxb7a{GEJ|$%Y5Qh~F`G-0 z{O|X2FgFmNZP(RcpV>ZCsqh>|k$nsYgV0_isZHN}{%gt?KL4B!qov6o{h7!n9_MOz z9Y{LSTxa~^!_{~`N&CE@x5CV`}C5wQVS2ec36Z^t@uhg(ayRZj~JhcJ@VYAE~lbd z>aoP`TJg(jT$l%#OXUA@)SmsXpz$OB0d=qHk8-C7={;%B7lxmoPoi#e@#d7eYcMSt4*N$IUabB~dnKZtL-Pgz0&2i=q#_FVzocFzzk$E;d>i*4RLBw969VYW`-RK3_)coe1 z*=p0ro74-~)(P+Tsrfer=NU|NuEqZhHi*v?^LW{>ZB&VG;C@R1>{Z2u^!I1! z?PFMy)5W)h67|J3m-$1vfJNCukO|^6jL`fAGzvKU%CJg?LqH04Ygb(O<5te(e)`zz zjxm{x-G7rQUp9-VVju{OK_=hwBbnzRUpl+^)=Me5$fs>dtEx9fRsNqob)Z{@4B&B6 zwU7#j{MXz56vDN!bjBE`dPP_}`=UB?`2*3sqp7NM{;aX@^-qw~mp(N4Ui?Q$C{*6> zHcx~dM9A`@N6tX+#v+q6xxR+rg4azG>WzFUVVYW;k9Pr75BV~=GxaR*^(Mh@zNsK! zN--H;{dgdw;93Ozr2y%raPZ>E3LPudySYF>}fThsD6;NR-J&w{o6L?om-Wvue>aH_qXr9%2gjMlXo@O?_dW>PIP3vt;-bS z{>?jOb(tCd(i-)#fx+kEaxg$m{Ii zA$4yb!0lNJy~ zKCEoE&uJ_s2gUmvSU;(p_ssl^uaknYO>Tux^F)i62Aq25JgAT8Tf@pJmsM(yN%}XD zZm!(1A4B8X;4N04@g$h}z=nb)h{Cb8G(-qbUVC(hOP5x{P%c^sp&6YA+4tOCy8FCl zIT0P7_eA`U&bPD^OcP03ibITGEIRNX-i5pEA;|b>>@A0?gj)6w{Dy}3oUO7GC!JL2 zE%pH^wd46((wqruhmx<!fn&t6uD>1d96C{l8izg6V)*Fv2Ffg4 ze{}cRQz)wWKXUi$NNs-gwTzlccVRuJT5{3$ab66?HxUde>T~quS1f7lJ9YkpOcMp& zRQ{a6=9`zfyigI+lRjB^S`^K}A2b zA~60!+Yzocm(gW$)VqdI>t^N9R7c4j;rqVlRsybi;mp zQk39T=hStcp}+FeIJXNn>p?YMI#mV`DV_5#In&%z{cm`Sg|?g1I(!KL5qoE%YZTKj z$c40N=Fpg6vFYqsn_w|1yWc@{)%890tq^zO+BFz~RB(BZ*H$#tF~D|028C)2_o!Ez zg7|y;ey|t0=-=)_Wv$03&dLE-iwc#^Ghl@46`#YAM>i^Kk5;S;h7zt}$div)SV{U>lnQvK! z+})e$0>X<~HHf(7#VhbP5j26Tt42Kc>tUf*5YPItzaYP!9$VJgB(H9;fHkLefmdt8 z+#rt?db?f}u{Es{5(w%}v^0R*`CXNtbEuOlblnfXx&keYi;Lf`kcm+sDqgOLvvPdm zSq9Ci1doP^xd?cYm7chhkHoxsx59A^?<>YWrog@Ire2`e zNiHQFdMd1of(=+renzl34|32OiQywv^4#TcbNUvUD zexRjd@exF0G4Q#5-q=^`7zUpd_>t2XVgO}#63!ND>GVamefi1djJ}Kyh9%}A8a4fp z_~$torW3B}uZ0c=hRy}We)`QmTFNlZ3_(jBhGdz>V z#7M719a$GM-4tCEBG#Y4cPxmR(E59Fy?kKN&8mGa15 z7xB-f95~dAJ&MGVg7FHU{#S9n`2FakE?$+UEqWzb@4fabiR-~TO1gKu`fEGs>v}c~ zZv!r%PevE{UnG-H^{9M1iG_h9Ppg1fSN-f9Uxxtp>>TU-llj8mZ_llh2s*fxeO^bA zPdVu}a9!RSLjP6iPH*zZt1s!OIaFQi&e%V!ktva@_K>3GbGbQ;g!dCJ0Nbj5P;`{# zKz7QlUz#pk8KRcyGGq0IxX%5%9eT`K>0g0Ur0a0*B7X{>UH;K9ZZ4m%NNlWF?vL#@ z9>|MRDslh0t#dAGP1%1 z2J5^#R9=VY@k4kEM#15K{K)y~WvKTS#Lq5e7b=+ub1zE>2l4@tMxf^|Rvv>;Fbd zn#QMYxtZl}mhUX8{5`&~y#;QiUZ%~wrZ3)KbY^Udoq8nec8T42wej#b zUi#?1di752txC^QzVqCW$oJ~Kx8J|MxN`ESnC7#+b@m*|GRb;|tIaAeOmy~1c8*}jK-pRoj z6URT=d{cZhmGk%&tNbSV+FZ0sIVxZM<$Phb*PKlBFy$*#sh9NiC*;+xKS#Sh?CB~) zaV++pzZ~!;_Fiw~oAG{fDE=tDw59Xei23F^72&<{kYW2V`(iffb8`FS@?m|jcB;2O z_9E%=*1C2CUiv|^+r`l6EBZ1=*Tv|Q@wI$wvy=nQyJgz-!Ry=l+0nH|Sw>_gOdk6c zusv`je)7S+Ye&1a$iHzQul6-j(S$XxQ?U2o>vsB#bCT+nE%NPR<&=NpU7~C7+-QzJ zA!qZmeu7`;h3zBKtA&q*56<|QNUiu0N$YL=>3!u9DZ|^e?fqnQYw2}uG{^SS`NC)~ z_8x6Z@9tU2-t+y+D3uKgi-vC;jX(*$hxm{ zkgI{oLzw}I)H!xW4hN|FXfyq_kciWJhlh1Vlj?V7NoI0H=SHjC5~y{GCSN-pVuAy# z6%{}G!2q&TB@B@xe`<;CMvUu08o+26SEGx>W4yzmz-IX9iId@S_mKFKQlXFPF&n_Z z?vi6B6IEh;Pf>Ca%3FqlnI$x+RfK}O3qTc30+0XqXO)g3QZ@+{6*aM34PrGo zEGTMx)TF+Bnp!#O)~ZnF^Q@NFv$HmL-$h?FO6FIb!Yh~guLbkw0M`()Hpqrli-rtA z&la&aTyrzah7192>HG~CT;0;BlRC792(dS6bKh=j-GvthFUkEU+zb0@pW>MqkPDya zN9*a!Idky<*J?3OMDr)}hJ1lGt$YH6RT9~wE5V~V)>St_t%{jWa7~STZ@dQ6=*OJg zM)QU*kV=(&Z@31w=ttSYhTzN#50!M7kWJdg{r=tt&hxkYn+$P4u7N3?0V1#^C=3sKw) z@!Sse{14=YRPzQRKi5BEZ+zxoc{948t3xcSz}$~=F>b5|1l)_h+{z_$B2b%rF;60M zQrrvQz>r!Re7s+ zO0FIFb`e4&Ntlhl^||N&rVCr6)|^FzenR<5*TSa!I-^}SL{Ih4nD}QHdkVvrQek6g zI(iRK=_&?W7CJ1n#UMg#TF?l_9B$=m*7_sZ;N~1^e%1kg& z!O~Ecff;7TP4791hUnL}^&PpSKq+@g#NSAzWK6UCP7NBcU8G5kizjZN9_ST)8YhkX zASz_%v0rxiQsBbkgX#k|WW#(#j6hh8Cw_9rwyj0|`$Eg$vlMIpU~gQ?K#}LX64zrT zt@?anx0NQTQf2AzK0vz48~l4(5)V>cR%uqy2G8OQ+z2x8M&%~enln^EWu`zveK zONhO1s(UQ+(=>IaBqy(vQzlJaI4#-mY9_-#^;J5r7R`zpyp{0L^e6J%r9l0z;+s+% z8UB)POaE-j#_&~o*W@p1==>n?wHg0lp@0p;7?{Q+{#_a)7R`2a41jL{Ym7uq3B(JY zBOvl4KKP@h?q7})hUe3sMOnEA*?BxR9a!*lpsRS_*_em4!&eBMA;&e%{)@n%edn=JChBR-c`bj9Pyz3#0C-J z@T<&nk9FL8C;&$sWnK-sMQ=-N9AQ#rX@fXh0Dn7WASGIq)3WeutG<;&$hp8=Jb>4n zi|M@bSC%bT;doHdtp_&&?|EYue^^#Ty(dcq%ryV~{^Yh}FTDId)R$0DhmIyjr!&so zkl~%Ul4sj^PV2e+AIuAqkU;zQ<($=~66toEi>0+Z_I^57eIT;0mFjnB9($^9#-Pgm zR%ShG`HD6v+{i+&DO0-*S+38QDTt5-%}w)B*P=O8N6C}Qb7*M44o>z&(lY|h{3nd7 z>;+8Qz&X?Tf9cXsPrDke@ylRWSv6`Kz#qZv&`#UtAuBQ@LwU&~9ET^lv6VB!<}@8K ze`LA1zQ9My$cLZ2xig#k%|pON#r;KgFua96KB*P8opXt5oQYF zq2|%>>s)9teVV$0Vk-Im>R@u)u(L|{iw?Z=KzxIsem03BZ4HMe9KI zu0@ox55~^`5%G!`S&#yygtSA5B62|sS%p>9q(=x7Nr}IU3lnS2R6l|XB=Y0XOVJZZ zvV;^1lqDh>en`e^0;sCgmMFPj}&n9D*xK)+i^uW`c=eSj`VqgovRA$fBC&QC>PL_Y!iZL~J$*jT?D_kirQaK*} zx>BzFa;Q5+EylETnk_#sP+K`J$2a3-;%u?3Pdw4eRG6?O$4yS{v#eJ#V&`sATai>< zk$kIjP){ym4=~0U6YGl4TgUG`)>ceHA!|Ifr>^NMMd8j|H!W4VbN=^t{q%UBjX}RoQqPHQzclwTYgR(= zq{P8FA-&&D>7TU7UZV0p&dyKHQq$^d;%K*w%041nl)wI|=a&CWIxN5usemG0mxHykVs5N+h#VENe zz^>*;%R2qOR5@~It4-<6p6l@6<3ESEL{K7{oPdHugD?*`q(aBq2VCP zBo+D7uYht0K5I=b{Qk{O5Bb8j()wyM7d1DNTpCXZATqv%4Lv#OXFb6!46!Nm7Y2;$ z?)V6t6I}#tjc^PC@rJimGH!pu6m&luM;8e8rthi2Ojvqc$A=I0dZ1QK3hs}V#TjAz>G7#1i2Rj>$v7+j!vP8|pqt!!= z#Q>^mNjbXi)^CvXu2<=~O5A`MEHu z6W+YjNA(21CXm}Hyy_4|XN=qfNPi!}6LG!c*Y?ut_;+eDST`AN1EFDn*#l(fLru+Y zsarwqNPNuRe}CYAQX^)>&3kf=_-*(a4W>U&%wR#&b<%8y)VMOPsY7(%)O7!y{{~AZKe*; zw#BOJMbrR?QCnf$nm6N@wQ7S>Wvi@IQDvO0#ET~Yl}W3b*B6L zwx_m##4ZQFV<6L$Z8ue_UQKl7_@Z-I>gcY|*DHRmE6EsT^FX0j@vbb*41sp%2p&0!!o4Zk(kvY(HG!ry-1!EErp%8t;U3{ns zg1TUTjOn&{CFl$%G6xTn&lQ6hWbhO1`T@(p4^I%&%sBKv7eVi2pvUX+8A8GKc7;PZ zcM;wC33kFf+3cU0fwS?$#cQUXsQfinD2_=4jtvBkQ3SWz0vyODn>xHmAgYvaQg2|y za-bwFJjy3FxaSvoI%nsan=0jO4{ntV@R_o%CVJS%2{x;V7eaE4!n($x?eq%dtI#lT z{k?c#A`L*=l;}iuO%%0NjF&nB$3TKx4DS7M*I_yCeKCRYjy;ODxat)E`x>Bm8PE)7 zxcGSH3TOuHT`RChkbeRP`n2gRHebZ$fb`l(DrJa;(64vu{#e?}0UL~Wb$@G`pjZ!l zM2#K9xR69vvDKY-&C`wH@ue3qRpLW}q263uYG^NSqriE1R`1SDw1DB8(Oi^t1yHU5 zenAD{B4swWmNR=wx&Kkg5(UlUbaGodQSCP*rggPw{sAo38Eyj`-aW@?K>~54J?}kw zbf28jsD7CDfTrFM)`$(`^$WZfY=a^i_4_$hRXyeBUYDkL0wpkx_>$}#q&R-!J}EPG zRhqfhK!F-PpFWcwdRBhmkLmg$;e{u1`w2S5mZ5epT^81HY)xa_2~zwWc`l~`r+Le` zcCLq=5^D2+^85p(s^)DOBz{Ws8z*s_P3@y}`0$YLWf`5u*3xAo|}9ByMvyT5G*kA+xu2_=>CF-*O<)YBQO(vCqNd@D_KaMRFPFL z86dUiLl_FstR%Ga9Z)}um$T?GK`{nTuozTscOwJe6;-4cNm#0yk#4fiUT0(JbCj{%r;eEFhi+xcAcp-xwSpA3fnVN_NzpiTJ#} zsF)(uI1;oNW@^~aU67CDGaKy}?60#89>y~5c0on4mOcMn69a7~%VYC+91_)_PJ96^@+mNi!jX1~qSTl$5b{oV%bO?@e`=e^`Zi%R z;v&ws#b(Qe!ju?=iaH*Gzw>60$ZTyc8if^Ly@tuQ<#B{3C{z%BS@^8h{EX5#C&eKyhSyGO8D-ZaiRZ*t=E&t*?_ zg7f~WOwA5)MhV)HE5Ye%_+4F_Q1}%Hz5{8@zu)5P zkli<~aMBSg$^WK*FJs&1p>5x|R2(EFE^+Czap_-wq_aRj$8cao&g3zs_K+kd@mNs%k$O|;AEqif zy|)BOQim0(XD8a;6X9;e)f?H+LQ8-GDy61@VpmVHnH{6>encR!4E5p0rN5c1o1nP& z1QPEmsgeY=YegRTc{PTdC$MQ3s%=AewC4)Q`5t&GpUzMsGmlO<$OF_%rUK|xODljJ zB;t^fw}@x7w3NZn_@N?PG@V;X zc-cI^f;&pS!1@;@eK7Q868E|B8Qh>wu~$#nK}EVm70bNYiK%2uS$cVKgwUBc;KC|b zyP6|k0t3^a{Um49ejmmP)U-^z@ew-yJo{~>@lLO_s!&*RN2Xme{(~P9CNgfpb(qt2 z*z7#c>OAfYgi-DI&K027AlwNLlM_>MQHEH7KdKGr*rflSLaZ-eFrdfP2pG68PS=l# z4=MD=#XkRFIMib}z#(H!wJjjNv=ZDRaPPBm@4IsEXZWRExT4ohgymO;8C8T)5!0r0 ztW)n#xB}Fc0avxce3qf_+{8F=+N1hUl9cnsnF=|sLf(V0F41VG^>QUsCi(5d=u4*g zNmgf6*C>%0+96)UOy|(62BPm-7!HugUc<|C!ObKuKQ0yY>>jhf+@Nmja@c*RHFrZR z!lod?&L3W^z(gJi(|>{a0|0MwH>TRy|C(mB`quIr&r@eU@i?5A-&Z4^qcI$?kf)a$ zICq*|hc^}-FKbCI8%h2f&CMh}-*eMu#B(6VjUUEMF2;>G&91y^e~y1m!=jgEF?SEEZb4zJG?012%)l4Y{ijw^@>edZq2bU6hpH ztn;H^5Thd+H}U&CA`si9h_x?Lrq9oQe+f?<69w!ZN)F_+!aI!)bhvWgq=e+j+_i!I zmyL!ZeKWm2;+SlFxL?ZWh!o8$ z3I3WpYin7_mJ`XMJQDaE)oo%Y?W45S_o2dca-wmsOCB_1t${b9<+BU)1wL$S zZwpMa(&_8r;r-+J`RM^8(lz>|hl^{-d=9cpn5b8l0&&XFVzJPs=;&C~(JWGJeN4f+ z5=KxXG^4eCvLO6+4Sk$sIt0-zh#5T~IzJW`R9wMq&e&oFa#0N+QG<*-raIw>JJyOFBB@qcThUX9*6Q^-_^ky}c`MH1QgNN9 z(ZJl&+RNP1d9A579PXHYy+BEK^pe!yay+YEi-KK`fs=>u(rE|IaM>nlpm(D>qY1?4 z1hbYdSL zkD)5L{6lebXZV2c~c ztlIX!lW<;ccfLgEGa4i+wd~>n)I`drk@y$3bZWUGlgt6Dv8mm$Jf-YEX54DE^d~3B zo^W0TW`gtCii_4m%SgDd)7Gi1OZ#@~jiLOyWT#)*!OV7{^rD}Qaa(Aad&LD=Ha<}9 z*LC%jMy1t+T{2YChX$&&qdjdmlLwNzOV9hc+A=bRCi>G@NA9WI1vv<`xhmE_= z;4~mU7S@i!-6tAw-7E&{7tbr`O@gbo{ilt-oG9*lArfE$8*M$r&`U*q7o0m*Ax=N_TA&71NrFYnhEB?#fNKl)x;P7 z_up(^U+$mjoT2=oXZ5h)_{!^gwNrggsM4REQba>ZPIMPdnB z*oYuvLm7zRTt-}(1{OiIfIKoO20?!b_~&QgJ|Z&9Ykwh`_dfJ+pRHzY&kdRea%(1L zcIK|Dj4NIz#EbzbhC#N^rUM#m{Xun#9#b+~&QAYW+=n0aF=j8YAB-$COcBt9;(J$*VDhdw>k?t- z#cKccXf=7PdLU!dGqB!(G{b`#5(`14pR1=z=BC=(rdSFJ^sFFi*r`AWIoq0e!6{jW zFn7l-?(W)lOQHn=tBg-a6{0+AA}dzbnHLv00Uu7C6ym8HS1+x?4;5EqOwzfFsP4Tl@@Hr8Zl zXKAgiY!dJrmW5>WxJgk^HSKk*+03DwW&MYvW~mWuE+0?Fg5N_s?#6ogJTo51$(Q3V zQe>AQaN+=$>XE5a$xv?D&WVS1JuKwY84Rs0(Fgz__)p{fK&Rya9gw{Z#PiI}_8C58 zI7i14f<94dpaR^dV}|cYjX|M6k{H}j9i?I9ob%Yui_@JQKAzlq?8aV>i8YQMZV~AV zOU)Rf5Td9hhuK9QM{I`SCZ}00kIIDQpDZ?qLAwzHjY&|wD*FNfWM&lDoMRN2KIa%n zx1=7o1IS?Q^t4w9Lqac=A0m0SZ!;Ewi0?z316hyIFcC}|nx~fjuy~|NSSwEAQQ%Q0?x4$d3Mz5XI;7zB7WJBB{oJxk{94euMzg>GRYFAZ2 zctYq&bOD?9lCn}6En%8HfLtG6%jukDRx;8)LjBtY2im2@9U7Ru(j(jc?!IlcB*vCkL7G6Yx{ns{7Kyq7?id znc1Eg)&r}R-l z!U?^?p<+kJl7nO|DX-~UMxX9Vn1)L>GtGx*+T$g-%m*nQ4?|YhBIAd5i>O9^5C}Rw z?hW140T@aJsYAiwc-rF~7g0?8!8B074VTntAzKFma!OG`z{NCWL$QQm{_%t1gVHM& z7C-_qNy=ax4%}6ai1h(;1BZyp^zs~15wiu2cUJS{)Wv`s>|5v17RZk{ej<7!dHJ&) za8QDo9P(VXn6GO__;~ysCo<~$l5UPi-1~*|;`E+n-5|}|QH!rYrdO@=Zi~lTs z+rn7?&HQN?|4X;9|F@n7kdXJM%HL*fb)on%VpoXQ1q=di1%ngeOOE#NP46&(Sl|lW zb-B;cx_E>^Qg=n+2{mXkJjO~Q-I*P6c>CrJk7)5;Xp-%6m=^fozbXW_mdd~F<5?5* zTvb%3hBSVxq9XN*JZ#X;FL{uKm6<0aFsqsOoGEvg$9U!uVu9OP_$0LD0ph>#YSY$# zw{+OBI!;Mkj)+}XR6Dw-Zk)ibq`j*XwvKEKys6jP>cNo~1yfD^oZpR^l2qWVNN*~} z78cjNg3|U<7KD)}F58fAPSOXSrjM$~)Ge)SJynZ%0KeAH;Ro{WZPvK0 zn1qZ()f#1D&rH+K{@FZTh6ZGuJ$}>_*!le1bD~Jtt@diY%bLr@Ssk`#-9j7Vpt&!JK#Re$Y?y^uJ5g{{ezb0Y(4- diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.rtlv_sg.cdb b/CPLD-old/MAX/MAXV/db/RAM2GS.rtlv_sg.cdb deleted file mode 100644 index 3d5277523a3d9a25fcea3bdf89571b5f00d6202b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18021 zcmXtf2UHW!7p{tcbiso3CZZy}g&H~t0tyJym0m=8heQM^(u;HmNRzH2y#%D!P?Qd# zhZaH$B&0ol|M%Y6bLQT?XJ^jrw{yR_Gjo^m+O=ynG}o_6pQ}stN}4!$`?z>~;1-h* z78MrbR`qjvZ_h0*A!ENN=?eAje!2R-_og23pw~-FFse>)IhP{jL zRZZW!H?Eqwc5Pnmf8yG&oBvljX#QUrc>N%Zs+~jQD{(9KOHZ@M>Wvh=yX?=eF}|u! zj&$Ju#=uC~mz8|;hM8#G`{B67@3c>=ZtZkE274}fnkq;4xwH2<{8hQzO&;LDPX3B< zg@mizGltY!pg*9ktXIBTpl0i;3?P?#c}C?KwY7Wlva+%*K_j0-7&Cj)%9$mHr| zdX5by8s`d#p#~rg3Kia`R%=%cB?B_BiNDdWhhB3q;^KWQB(H4-l9ZLb zZkM$r_IibPqcaB2B8@YO-Vk2$@Vb%3s|YrAbY8_}AFv1!TJJtjL|v$-SUjp*+b=p3zQe*)g>xN9}NgZosz+tFKE zyBGZR+b*sY8{2NQYxU*c=_}0)*)M&o&d0&Nf8CG3KZcA4o?n>wsqQ(~At;L#V!}^y z-YVM7$H4lXGAbs1!>EM(fahdmX{|D8&eaTFiaL`z4u>;vGbQ%e4^hw>>DD44VGC$P zr~*l3{8e_8}hp!QA0s^6y0HfeU9F0w%S zNxK0kF#@Mz8N7br47MXiuvRPsJ0|U394ZmokJXZP`bh6Dg$WD^Z{0u_hay8EqaC`4 z%%!%ou;(kK`?@E>iZ-bMyO>lSOpZ$18-8IWoBa=+5YM!aoAi~D(PdyVhI6X!R*i4^^a*JLSEE8%sfmMG7o zPj9ykbL$;EWvjfjU2I)nq}6ev9B=hv{WDv0*Ji_&P{&x2H-pVIrKyyrYI|xAz1-w; zm|%aikPQYi&#ue49#p>|e3+;pO}d!~$-3X?t+_wna4mk|`B1?1RPSHY=ifs(KL5>1 ztoRhgEk&so{4q!q{Pz5SVU&{)KHmWp^|>UIXakD2HFQsS_&OjW6Pv9LfJuFd`hkno zK<3&zA-d(g+Nl22;$F$mxk|ai3!5zdZf>ZjlR;8h#v3yUNfn#ELm}@YhTHS&;`*qB z?qsJX=;-)s`3s5H&A-t(dE``PXQIcj-9nSNrL7y^b76yd#vW%6N2Z_BhA0$On?-j*}PAW zhg_d>3ia1^ZAkqyKl(x!XL>k8UC(|-)83n(PWDRvr@PVngC+9%CjColPnmV)!h7n* zLiaaD3rryLq!_Qf-UDe@lAoLZCz2vPU`VCPv>F0+ME|1x{UycSTn{Mk5v-#Tq@xE5 z2v*E0=uyf6t-N=*G@;Qrj0;=+04VRhpX|v;9~nMU9}=tZxagB>x0fG-gIb*vcGTiA zn)gt#tkT$**HK9+;QW2O{)0Rl9z$+<>}&7s-p%StrU#i%$0Sg?41XpWBR;LVSMu@C z>y|b;W{1ozEMI3fe_<;jS`2;cDj*BLIZqYSa__;H3csE7oc87NGOL<|nY=mo?czO_M&<%W($gon$pZ_D{%d9PHZc;l?Sio20x# zrlniwi%VGQ#2vUEY@FtxjiM?xGeQqM9d@UdwSB_xvH*7_P*ctqMnbyf(w~Kxq?D>h z&Yd5XAhnDP!1ky9>Sz$1socb`1q1(Hze;u)DY_Bf2(DP5Uq~78tmieLoooEj*k^r^ zGnbRJ+{y<*k(%+7zT9|v28n5@FH1gqd*iN441F-ozLIc;+;4vEacW7Q7-v|2%y)*Y zbkl??Cb5}*5h@r75pQKu`pO>QW@PHU%-vEb{zNTeDLb8#qp~4|)QXCX>6tfH`k5eN z(SBzCsV`jUJI6+lT7c^-Djm0){&o;^!qc=x#D&*razOTq12{5W>bBbBl!7vj=&EOd z1uz@w54ZbPlT$nE3d}SORZ4Qp#*p>{5@jE_vP>u=;&7E58mK48mf64O_S0+TH`%g( zJ$a{8jfyiZXvS>%g><*EuzyO3+E2HCF_!bPiu1+Vm)|)C5`|P#Ih4fkZ!oC$f{xlNsO(`9`% zZ?j4k!0-H2gY6psTNq!Fkw~xWj2hQ4-iqr>z+bDgqCCCD$S~3Rvhys1#TuGjS&f1- zvY$$@d>!sxO1*2E8ki=NNWn?kIn!Xae6GzL^@D7;u)bMt1-->S`ck1=)4UZmVcKq{ z0N?BxVYeOe8mo~B2lKX%MI*oc|EXrWAPqkYPcNXU^rpO3KaTyi6gJTN{mKYSar!Pm zHUR&_&h(iLT*Gx+0UDS04NGA z9g(aXZRt8?wO1lql)=>Ve7WTxh-O!ym0PAr)}Mcozc#qJ!^HFh1{Ky9ijAv zs7K$XKe)|sbi7B~LYlL;edF%r)%krb@G;euuJ$o{n%-7%pgSwvw}-Ahar6t{vnnlJ zahrwQRu{Mxpe5mHIp}86_kr^ut2Vdd&3`0ikqm6Q^x_|0|GF>p%%;Iv>OSi;bH63? zHaY%pLVx7??s$UHA2X^IBE2iT^D8I8v;Y_L#V?ZY+jnGzg`V0nyI=vU=E=fL5_XFq z-~7gqBCEwohyKQ`9bTcDt%U?(ruyi!8VbWqf3c?SI;S_xYBn?BN?CgxL$fI1vga%B zw{Q!6l4I>Kt9Z$uwz$0Iq1l-}&*pDx!eZFGHCL+_X`5cm(+}M%UvFC~@}p50RY3y^l+bf~CI+6CMRc4_D83#BY0`sx$@-27b6z zcW4aw-O5+UQe#sRYV5ep+VLg8;$#R%$TzmSSBJvk1$HDHgv zh^K#dwr#2tfoN6-$T`G0>)M~+wNU9Qesm{L%G^Cw{4t#+%Wvn1=!Q~)(~(W)OqdvF zi1TtGhkxu5wt47=1xPG`ZffticE%13$XNaKTD5vbvTBHFYM(yd%08CtY*Ov;k4V=bHY;S^DUK!m77>X^IY70AD3=0 zFMs=4x*=sDsn3wt=sz#!>XAvd4HnlQFgdOq6J8jkn5Xg2i-|h)!e%>ruxPtaIi^9_ z>bGmmTi>GB`2~$e9Ob_9h<*Di@(^A1;0dSoK$1wvXRFzWs>ZH^vLqD`eR2Nb%}frr@U zPN(ZJoTy!J-P@@wMMk5IcbqZkU%1p#@ISq%yM@C)oIRKfF%uzC9RA}k<>VXIEY9`) zqVS<(AL(bnBHB&7Y+jT_H+zHUD@%=@c?hW-f17(dTh`8o#WTV?u7_KYZ6Fr?J-DM4zt=*v!|tFZkTaY_4!wYk1DAB+W`z&MEDDU2Onc zRAb)oM36=L3wB-TS>~(bKVMpnR!^>5N1JrLe%IJecyLmW;?ehS!niK|En3u4r+%+> z``rTl1Cye2*CRhU8 zRH$FTlr{J4x~N-hSS#ZHnpRTgrd$@HuZgdp_|D9_{v;EA^jcGTK?L{HV(Z6b%~*`j znjh5LR``8k3w=zp*N&+Y`>lGBUv&?VzJ@r|HMHInY9w`>Ta|a3DZ#L2I6*$BoKMC{ zyM33<*HHv5G)-)DaP_$$w(z(QW>B%{8H_~6mz6uHYDTzcr|Oe7GSq=B@Cm_gzFDG$MFH5&c6iL-x8h z?iRr~24(~U9u>+)$XE@!tTq|6EX znxa{G&slpT-*qLHi;NWzAA_g+XPYc2LCJ<;7uj?@MB4+ZJEsN-mY@IK;5G+s<06}h8S6)i{ zBd_`Mm%Z-#`l2?ND&JLy29^70JevfjNf_+NI+k0om}`9J?kxsVs>*`%c$a{$w6>Aq?fM;~kFHpbLY zHIKZU`gp1~_PX2e4JGo0H4Eq|tPXXazBm56vDHW^Qvb6isw}VtbtgavtY<^5Xklr5 zy(sN2cHMh-nWX4l(7^;oX~{TGL5-sc1K$ z;ky%g#4gG(EGP?|T^Ix}lUANWKM(90wJ9LB5z*KfQMA`(hmS_$R7|_!$1&)4^n>TSymh(zg09;DW zC4J@mI_&Q47W^7jqRb-0a+YmN3Z%#Qfh)euqik&llAfB6Q?3TsQCdC%` zb9H4X*8fSt%a~b_C#wNvR$sINpLh-9l;Cvv1+0wB|d~RL-X$ z-R|~1!=pXXm(wlB!u+!VizC>{)wf6`xl1!Z+0B-J1$OZ*>T}Y3A|qr@e7(th`NQ9i zg&o!ntBa4PZQE6-RJCIxF4&`JwX2^}uopFSMDy8;L>G#r3_7Y0P`U~9E?TY9#vl!hMJ@#m4H3Sr?A-WfPM7xyU0_`hDKtk6)K=J+nl% zQSpE4z1^*IkNxw_MY=+uB+?{Q_&ZRw)!p;3BQ%qja*&Hvk@U=d3ycu6JHW(W) zD;0P0sH5eC&3l<{af2Q3S@8F0Z+)@zi-4QL8OCcrJnyHXZu93K?y23|t2C+%s{Z)=7R+ve$!g&-xhfWw#b&3GJ86TK_x!j4-Hl)ERijR!rAARk4qJn z_Re%4%3I`z41Ep)fkvM{DZD3#`jX+@WaWS4WM4k&t$j(|VJ54bp)NdiHZkqe<14&% z-xWrFw-(npEsWf0ACYYJT@X@dORcYL_?w?5`$CX^DN(<+*_y`GMfm$}H|3M)5*uE| zeYG>EClwWbMZExCD^ci!N2P;2tGW+ueRegN8{c5~k8{$^ZH4^4x_KZiLt0dtL_>Hh zU$-28KwPSN^sa#z^0|SnZr0K)qsFTJ z1B*}P+GO0Z(PT-;zx?+%t3awb9TW_L>~UkH3_u#W#7l)0i#FoTSIiF=nJ7ySV51WM z)|_W2L`|b^Io8B#i9M~K`QTD-OZc?LctYjamzYGwxi>DE+u#_{YBn#r(EsX1`KbA{ zl(^eihqGRkTJjACG3;Z&zBNF2rQJb$Ah1xX<&GWt$nhxkPrMQ~b12r%Yg-DQa?DP7 zG_OoN<;Lj1xK4)}wt+PGK!U@j^GeUf7iyNmL+i5itdIom!`v(_n@*o>z$4>q#L)?s~)8NuV?iJ%Usm8$`9oi8o@BuT0l{*wo|`J>3VfDtP5 zW?S;$d<~FR^p~pyF0z#gs!4~axkniifl}2rlyVr`(7|_V6$&Vm69saD2ou|lK=yFH zp(vWWz;EF;hXYR63l;c?b8_9|jiI^+ry&j`t(ITn$nVH>L!9m~BlHb#7-xFeK_0`e zhf0Wi#;r*)Up|;A*PM7>_`~Mkc3zqmPr=g{q4JIc)H${5+o}qvjfG?itQ@(-cA%bs zCK%ky#q#A;=FQD^c$A+&mHhT_NTuz|v)c~8j+vE!`kG09I5>xtle*>3)MVyjm0`A7 zd)*ez#(5rJ$c$7p@uTsrc86VO0=0B5E!h#klSemvn(rC3uq{v9%=nP>gAV^ymah*; zu^SRd`NUL=o&Nn|fO0M6WFo#?nKNrLxksXLi|5)V@Ej!MlLGc09PeLggN#U6`XO$M^t-M5f`o-j@e80Z*^!+AeQy6nHE&N)e4xu;^ z{)k@;0>J>K1bC?S`#mrEp0T8o?l?b@{i+W#@JQpT z56jRbQmzfLRVy64f2My!%TOp8BJqwpXCYz7cGM>GMEB%>Fc&;IU%LcT44=Lm9p!Ni zM5^UNIZz(Sq~fe6?W3o49PzX1>8w>Njdz9i%p8$ zIhd$UA~RSehzZTS={tB-o~^=9%6%O+Dt^hf3Dr-C_r|xjk2`x9EWB@3ZD2t8)VDs0 z=9r9Ip#19CTNj@alX#FO`h}OSH0%&%K|2G_e+hj9L7Z2G7_p?fjE{G!!|YKb06E{Pbm{b9^UTM#0)RFBhE>?J2>%*oD!!4bWuowVk5*>_b1fQ{b_K8__z zT`2qsq1_y)u2)Idk{$#^<1vzsU9d+-GJ`haY2_m{tq&d|Qs^$R+BmRqctN)CTJ)0i zOCl3UUqUqfM7JgV#0{4@Sd29LQ-^G6?;`Sr=p!LEuQvW!iE8-8RY{yB*(dww5`QQr z<|=|yS=7gpni8YjO%gMG$4K@^;HK*&qb?GV4ij*l)WmiKq{EYzKazG=Nt%=(?8|G& zp|2vM*OT5-_WLD!^8gu^Hd5%sNc^Dohi8DbEP>l0V%ubJB-T!;WoD~EdyI?P3bQ$? zcocsJG7zoUxhWXxJ>zl1<{Cc9KN$kgy@yxpCW(zcr!v{YtM-vzNonja^2e1<$1XpX zw|{hn`-5YKaixVFS@87vEWQO(h_xxk>m?aHgy&fnGGlXffa|9H+UoKPlvVK-`_j+M zeAmA;jGQG!=fVqL4NL(RQ%b4z1^xmdc3PNJQJxfnQvN1DOKdJOaQD5B@!9QvvbNFS zTTyHmKZj*j<{79hIZSLg@wygdcI@lC)jLU;eM>UIf=eEoKb&=Ek+~_}*ElYHX*(Sivv)qTlVkMY>oLGaWlplSmK) z2WFkNe8cwVcJCt&de1&qM3am#GDV?WhsT7_im|qWKUS{_Y(BwZMNW`Rej)5Ga3%T| z-XfT4hygCD4O7DqtPCxk_ejos5sJrl!W56M0241!;3v)-7r>w51di&@qq{Ut9`{lF zA#rnz~GCbZvJro?S8*o#F73t-8Z#k-g!;W{VgohsY~Q0C%2Nm=X zu8aAf0eQN61LqbdGJp$+Z={R6?H65CLnIobMWU-NCb^rAnO0+!$S!+A**h6N6xE7p zpC9Tl4kop1Q6Y6|$DC{0u&^&3U=d#bq3aaBjwL`2@w?UHl@zX94rl9_(P|V5?(+&gbs250k?*JY5_=4?criSUphZ$d)`qI(JTaXB&FYVTEb^fsh7*a~kFvz3J1 zG(Tkmgs2Qy%)I zD8yUv+JBW75voTX(Q-o}s?18VG#pMo`FnMx9Cwst-9Urym9OakLW(HS&hHG1wpCht z>%kTD;R{`ZN<(x&YvW9^R=Jn1C$G>OvU!<%a2@) zM&SoXu4QRSJLAjF!`-5>X_geM;73OzwtNemZi{lm&@Mqu;_}2y!TR~b-)|S~CoWei z&*5ABi#enbBu;SgWCHK3_UOY263A>^`e_@^f`qMsLO(e{Hczka^u|mhI`~LQ@7NM! zpG2?ric@hooeDbXjzD_AHLy&)X#)y;w>dfC_8>!Uz%w`_=`XUUc9>Y+h%$9QjV%v} zm?FZvyKQJ~*DweZv4F>Q9+lXi0^d(gPGLst;1V9@M4 z(zIYO1^sz=(#66V;nyz``UeyeG13UB4^8~bEG;vUqBW!RrkLrV2TQr;lv8ozn5*K* zr~OAX-R8wFHUpi4=CZ`1bQ>sS9mnxd>IF(ISFwTgl9PCNJtzet*Fr-a;8bRNoWx1$ zdhb4G->x}dZx0HbIA0r9r5FZ>w1q9{q2Y@~b3|Lp^~{~cyU-`3*TY19)NH7{SvATx z!}NKWiz`Isl&kWVpd0#p&P6Y<8s;kHxO@wMkcy_<)G- zi5=}DREdS1H=o><)1I#mxO2`EaJbV)H;#2z7<4)}MO+w21`Uu<>m!#ec)H3aBaywH z6Kw0uf>*gb2IP;bF+t9I!#L+x2Rix)75yT|h%(F-NaFqX@7^y%dc~5q|}|Z z!~FO(2(0A+9+J7gXK27poZJi2nPKV2uhxg-7f4qj3WY&3G?MFpY%9|SP=x2|U$VA0 zV3f~mP>9C+d9Sm2fPbpJJ!2e$)~)~Nlf$1+p4}ulaM~O^3iZyo(Afk&z(Y>(S7bO6 z^5z774cysV2fi(92kPJ=sbd(gbL^ZI!S-Zm|7u3`+cr!;>%lAEtP z$%V0YqHL0$VWA{Fk51A*y4A~~4)qC}$#gLT} zYecZTjY&+8$9<0Q2v|KUA}ybx4Liw+i<%??S^kR$ z(R0ddp)VUu18&x@g^?YAX&1JWy6Mx>R(-p*Bb`Q{Xp1tfVb76dFB575d4pMjFs?&& zfUwQpXO9rQb_SRvs%{aM$c9D!1{+LZ_0cCc38jT2bxfCeLgORKV1rMjtwNH;r_P&a zad*y23!#GFDCzbYji9uq5?}OjMzh>j_kN=Eu9AYt?;VT2N)ba*zH8HCY-MMj=JGYw| z5rI1kdfIRi9+*@zrRvP4vH1S??fJ+v%b?fiHrBIR_6?^aB=WG&T@n-a1tV#Kc)>3D zDBQr}vFB%SWpdgWO8ND{L;z00Q34)t%1wz|t(92DLoG_lo*g;WznKGJyX3U1TI(R}7DU&*uwp zVx7zY?3%=1hc&;?z}mgZ@#|#^#lI;wS}hdhz0UiNDIn3D0mrjZ4E+kvI<1mU0tdXJq44be_Uz zfDm=SNO-;Us&6BVoYP4^(udX)kZI%!2?%8G6r2d=pvg0p?vjOX;MF4QZvX_Ox`NsU z=B56~(gVsRyViV!N8*r4GjXuKI@gaqSg!SJ#ZmQ4fbwvErGgFLwr<3_&()4yMB%=F z1ghSw5@DC<@@9dKz&`8&UJn0xu6tZyywC6F!L@@ZANyXm2Bea}%AP$;-#E|eY5pNx zA9U+XmVz=&|E$Yw;GV1=^P+KlZO7AriiMwWT)V+3Xj7~vFJ3}qc^rq5F>6eAdkC#% z7SCAAd8vq!ESpMS^=$8z&Si09R}`sdDfRo$AB14Sk25s}abaDpuf_(5411X+PrBEX zEQU`dEe!G%U8*#?vOkA7eS<^Q&>I$kVZhJm{+=C}En9&8qS^6-d$W^_%Vu^t$W{Cu zGqy(EyWwz$_4(+dQ5CNHyyFs?hZmTPVMxw}-2!O0P1sJRI{(9ar)41T&tthpUs5yQ zLN<6Ha1}l=E{VDzpBxMLNoQq0`_UN01bAO!gI->n-mdp#r^iA!FZ!|gYN#R&j9j+$ zpk@{i?7_Ti-BluC>}@|m3mAQorb1hp3w6RbInpfdgc|%^s`Dn^sb-olB7x8*@XlrS z29GmY9Weten@dv_2yzi7DicJ!5M)0=ZS5x3r9v*~Rp6b2<6^Ht#5P(bEPuUu%PmGH zE8!)X5ZQiKoC?%>c+2=}-SF^tz_+i~e!E@xnqp->1<*$KueH(~kWNp@gq;?M&nAAry*>p7cdBmed+}{` z2c+Up>o#~3w`YS1eVI6s?qV+tVN8n$Ubj{a51C>BhRarRnU8)n?lBEY*cpGoCr|sC zqY@Mj%U%3Ks^h}82)103Qh*Gffzhgh&+3LrYC89h30HYvXxsVk){jRbzf=7TwpR~0 z&sy{Uj)$B=EZO#E@)G)G{Q_!}1palfSa+&S;hOWlLMY?r2LFP_uR^fzcYM4GBIqunyr*_|1(r{sNXkm{a~8uOr0*{#LMm0cpBRZg8r5 zcb6%4{84Mh$kphuwU18W$RpSEe=x6NQ7A%8-nUWJeAN5Cw*m2<)WT4@AYr_wFmgee zx0o6PJOQ(X-GFg5614kZ=sRcyOOonJR-)UfAbTjZaF!?4bcSnO&GLkwl$eaDAM`0I zZ3`WO5fd?X8RJ=W+q(^Jp1jE+T{qWfeBWJ2w)@0 zr+?X85UaJQWnAuy;qrgmHvLx#H8giB2WN1#xC23{N{tPSNwE+K;&{l|5e#-@lfQ;Q zxs`3Ui1&csMnU!k?AZ54{Bp%OX1610p$8Z7Q(0Pnu>wI53?rn2-9&C>QPsD6w&MFN zJaJDNZHp5L`?#Yox5%RSh>3k=quZ?mW?tvBp~!Wk?sindIOvP`h-`+iQA3M<+;Bky@?a5v>b4kJVXTPRF6{(~7JZE?=EOgZ;DhHpGNGcM9W2>Q z@%Wgz?g{s$cG}OeR^jy#!f<_wz+7V2hgPA><&*v(OS^y=;zEKqaCLe-Nm@nEBDJ-y}Y`f`%zIiHBCi43#?0P7dv@^~vu|)qG+Jy}o z=Qzs!pzn)=pVOdj5TvLs`<1yGNW+ftIXmXUTE0%PJPm5EZAz5U*&8G=c2an-m-RB` z!P3;JGAXh&X)mskZTNbq!Wsv^#Lq{%+phcxlPX$V(-NX^KLqfF^sb+r<9F&7S-S_3LdQ=y1J!@asdo zzqnYVaqz16oZezZdhP5MlZEO8H3pw}n&f#@03z`dd;H>Fwa~m68^7#myqXQxjDyH; ztN&IY2G}Fr6YOU5ZwQ`4*vIb=C$dJPt{a^^y8(oD4_$!FIYA7%Cli2BhCr&sH=G%K z@QTxp@BLV1ifELK5kQMa5&roUC(DXZV`1;~&|5pQSPqTu;Q8aVKb!ApF49Tjr$_As zdvQ7&FrWfHsXO|Nz5{bl2ncZ>vW`<)@6MXGSssAZpbHci_Yz*|I{rhq{rC+&`S^p|&sG+P}zXr3S4~+gOzBxd%hr?5+?%RG!Ud2d3sDc{-h9<*M%(`nCJV0wWo;2sbdl* z-*6r>7h5kmm7yKDHRU$$hymc0!&*kdKHUZfh+kWU`rl+c6P zW<+R*IvIjzb8y6m3B;9U%?Xd5kaN=jblAxAaLKFLkx|k4_M}>+YU@a*zhhX|=LVsx z%}0jEg$AEMPYRgtYx!CT6r!wlm?eQ1TPKSvBYdFsJo3xSn*_f?NM0d?;&Pwq3|$Ce znfyvCSLs03VdGC@rjC)l{JW)#8$rqMgoP+e$gJNsUKT-Z?}ifT&7WA8O%m}uV3hRH z{`paHpytvoNXG+^^`}#X-(yYJBCz|xDmjA{`+f9XaB8Jr5}gwBc=_Z{Z^ACnndkDY zvLO_7FSN~)?oM$ijcj=j!aVFmY8Ph?ql0C9K|xX0FliEdn20C<;TIg)kZfM5EJE9oJ#HyB#7Z`Y@kVO#%dJ0u?YXWyAeMDLl;##t#tsr*-oUz4lW`G3_i z{|37JhSW0adJ@RkL+-ER1u@ODpKv8;{HWCt*CE=0^XXiW1&fa}mMiA6K~c28@sy7B z!PomIrsq&fO#8t3>k$%JNv0(K{#tec-V67G&(j{JOf`NHUQa&NyOD?bc&-Q0q8b-o zUH-RUh-N*N9A>R1%Q-0Jw#uyA=|dA)5x%QFoFe^emj+W0B;7|1R#i26>-P;;0*}*#&M@_7{a21}i?}OL}`$ z?d6SImz7SJ^e|>J@211cr=Nc#jOcB_hO+zTEeW8rj0aVQ$xf<`T?x$xaZtvK z1`_;p$R_w&e^aZq4PqBVm(4oPMF3<$H%h!PVZUX%0yg}&7w7>ZiJnBp*(C|C4a@24 zh~!&{x0a_()wX#CPM*X)^f!oWN6g6OgAGfRSF?w~Vs>MCq1QP%1>#Pi!6J)G!qL9} zwp}v8MiF`lu3*L{X~`u_*MY(>??6=cHE=#6`~&hotxE>ZhdQDO?eGuR8?Z4PJ?N%BkBZXk;K{x6^nTY{ma|ndIo2=BRtz;Hje$X-i9T)90B?37Gl1z#$a{lVvIE!{dwa) z$iimilzqbFktcvtZ|L-S(rLl1X!LsIO?^U};-@)rt_uLO2H*^m#sp~b>Z23~;6m89 zze8le^sU-OS0X<6l5OyWeRCNf()#Rn%ion0o+#%%%OgOO&_aprSxL3AB}x)H?mHd^=X zQs{X0_wxOh230TtWB0Ec2VlabVc9ZGK1~x%qe8sZ{h0FtYm$C2kiL0toSE-lzF$Eh2 z(+yloJkuYRC^{|6v} z3LJ60VZ+hm@8d)}1=i($*Ne%VmS~HNzk>}Z$|MO1IsWrc6;DHiprQ^)v>gTacl*!` zJ=K}jX`6C1$Y@J0@zLNR^T9VFwGbA^j^=)3rZX3jCPczFD1olUI&C7(UD?t)P{Mv> z-?+`@BfIniaASG)><7T^WBHLSW%iOCgu`Bl4@aqlhm4**Fj$FQlnc9l1A1jcJGckY3=+;B)%=mvW}mnqdCJr zi{R;{Sr>POL8jALtNkF_JbiK>9%U(VNNLc(wv-DPMaSW$je@%Ri8N&#~JlRe*T= z;q9B*T`%ARbg**?^988GEe5^bDYfTE(yk+sBTsl{;y{`mhy9)Rt)PH9ObXA;eu}lo z;p}9vm&dwO)EklC{~FstS8#gV26@Gx_s&-E*olw_i&zHxnb);i@+WuBhM*5Bi6aSI z0BCmyjz%m~lX_;PjjhlJJdZ1!{&8;Op%G;DvE${UIudVgX_rQv*h5S2pgUh$8(IpT zQtvc)?wom_Ofz8fn=%VIQ@#^jtpF252{=V~qH$t|7+F#_EJZZ;vtV}{-C`)+W0?1{w_G7BJF#Z1CkY=wfw>`6=e zx!{?BFk`GMQKX9^6w!MTo# zEkw1J1xQj8nQ*)LSCc8sUpN}cI6}7ktj|NZOMtT9>f5#9-BUi7Cg*kgX&Vfd<`lOD z!yp!!YD{w|b+3fM3!XHh{@GIk^`| z4OK5Y$Fbg<{S92K&KhsEo)pCHhspw}EUz{;>|NOx+&L5fUNp=_QDxMkaDuJ1D252d zA(HbFSH^KxEoz`R6mix~GK>0MH8&1no8?s&hnpT zHU0k*HgXAyodXka^42aK58{cg=NxP}fLw}xzhbWXW;8kmPTal_L8ET|1ZR3s?x1*p zG@(E>D0uTe8bo?H*wbR1nEhLUU9faBh<_*4NiD1)tTW1OA!**c$kfeLVQ1X2Sw zK^io>sg7!X;^)0AS&|Ah31K{mhiY9&PdvLr+=bgQvBDOV@cEgrcf@xeB!%UcHhzTu z4r?w$o0MeJ1t&8dZy2}LAbpyeu0yj+y*laH7a(xmNkE8$C-H_gNZ_e(U78Axj6Ts+ zYTUw0NX{(?lRtD<{}5-4I>lIAzlxwgxm~I~V}NG%1-u;}SNXwI3OrBI7s(VMvW?Xd5IRRwM1vbijj-#@`e6dd z{Kpp;pTI(glr^`VaNI%8?8B)~MLNsxfD(Kj9SuR558d)I$$+1W_mi#~4WxFOrKLyI z8=h33oeZ3`-^cfYMaNs)=ju!WD&Leh6s@d*PAExPc2e`dG?9?Gz4SCnk1;K$*bVTJ`-^1Z3*pH!6_X6MAFQt;Hi^1Hh$FT z4b{_4C1sWZbVCmQ5?E;B#y3*4zF5%?OyY+EIA7{q(C?irlulZ zuL{s33kgnbtolEac_25D(J~?N&4kHQdVVAW1YrbfHqzrv=^~g&puZ4~T2>7jfCmB( z{bChl95z+5pR%jG&$d!2SKPQ92T8xSHW*sX%Xtzo&QRi6kkc(I6w!mqF7xysS`B>| zQLjc3?SH%KCh9s;dyZ!-x_p&$>m4gHnw_h}v)LxqP5l1^v;|B0HsH|pOXkNeMLLZ` z|488ojc1L+v1G~*Iqs1(CH}+Tz)az|c?ySAg7dWuj-DUUcHSOx($2AcIG&+x;&xTf zr)V6$HzW@IOWTL-7}t)(ID6LYBbLH9`#sJJkVfOY2srV>#@P-y zTPJW>4^udlgL=_VH4eYuB4a5%o|nGMVFiiU2N`}7%F!q7iL^s-I9lz$VZU=e);^G` zpUVya#;Y(#@;yAO1a$CT1-&lE{y6e$Ib|;49Hh~Hegkk`1`P6RoQ1%7JoZ?Su5tM6 z`+8u+<@hz=yflHc060%f;Lv8oA-=ZTR}zsLIs)@~~zI_>sU;Bf7ZV+;Sy z|KOgC`Lx|W0XdI?oFByVoz^#`L`3V$@7(g;KksY13C@QLapXIY9A9W0>OgD;EzegV zC!Z7l9{068{H`gVNyx8p$j>>l7$^69#5RV!T)&`k=nsOg<;mf294YTp^z${bCFt=# zpMiwFbEUC48T2c#4uQWDq~#G_o;A*!l-)GWNx-Sv&qFYDBN#mE^5S?tC6DG0D8N2l;cIy~pOC^iTk&fgj)x@=jk7+3b72i9AQ{2nS?jet zgL6?s9PUG=UTlMI@#|`kyPfxty!AegbK##$F!%6!)PrgnPF07P2G6h(j6`_8eS7B* zh#K}Iq(yVVmPWb@X*XpOGF>-cV!M$gmk48899Bi1^gJ!w8q8?rWRw=Y9I z`VX5=rElP{k^bv-Nk*?nLNE3?eBN!YS5E$*jR`Ru>AzmT@qR*hU0#o3dBJaoRj*%X z^m_D=>-DRQUXK}ay?&X|>#<(1?S|-mu5J(IcOP8+s_O4~(CZ^;Hx9JzhOONEXF?2- z(sur8)KlW9v^oxQm9OH_(TG3Xs?oJxkS*NP{ny)}7oQDWyOG8z)r<4S#0e<%ILQ6i zi|;ceKdsmKL#~&$qt@#kL#~&euhx3;ecUknp*-8s7qwm&_E|6Sb$>SX>;Bg-{jN{z z^?vBZXYOJ8-HQJY00960cmZ@%y-yTT5T7R~pnM$PcR&I$h8QGj4=cyMhk6}L<<``1v>u#qQ7}~EVt+;^JZt} zH#2YM&GgezO4Sjq44H!P4TG)*1!a^RGcPmBaWit#%oxhgr30zOkpL7 zI4_KMG_WS>U$Fe(|NiVDXb9)I-77a)8UTgqJZZX)A9y7*P|^v!8HUgiO>vyfoAa+& z(+~Q$m*r$#MxachI;!B@xSyXw#nc4~o${9S(w>>$OTp-RT0l!?*VlePppF`}BLl9C z4LWj0)g2KqAYZudjWfY|HWDZ`^^BJYT7FqzmzBsp%0Iy9FcoyDa5BnBH zw^Ac6H2N_$4@SM9FiCmFx5_g)RdTM`qL<#lxVbv1z#>8a0!6dNbg#Ytz_;xLg~8k+ zdJ(vu=+q4}e*UWGKz)Zms_1$LU*-W2P5TwCLu#$@u}c+kjGjREsC;LFTisG)w_MRi zOHf^k?M^jEBkhvvwdlu%}44G_J9H$r>R9XJ=jwhw|nfWnX2eTwP=rpW5 z-*;BQBDFCpS{qMxgU)>F-qx8BNId;v)8J!>Mp}XL?)GQn@a=K+tn@@&_viW7{4$V{ z7`$zwoo>MJ^6{swLEJxv|1gP*;j!6|cpzdljlYM}7hi8QMUmD%vI!kZ=r+_po&C+e zNf5`(NkRc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Efw00000002S)00000 z003zL00000001Nd00000004La)Kj@m!$1^tIK%Y`bclwIk^-foqoks+Ozc1)KD2fU zDt?o{K;pia8L!u@EpG@Yk;0QEo1OP|-mz;brJQpdXA}6u|Lc}o7qHj1kAPeFK7b97 z2+0lG(lkd0^~^ob5vv7HZQ`*w%~&)34Lq?9o?1%>IjMiDPT|oVh)B5Ai43H2iV7`4)^nSab;v>$N(zXG5f*`4j>J3Ji<`_5Z54I ipa=s4ClIqRH~`6h2h06JKpIu0CtRfjP!0e90RR7ulwNcI diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.sgdiff.cdb b/CPLD-old/MAX/MAXV/db/RAM2GS.sgdiff.cdb deleted file mode 100644 index b5e30c4f5ec7bbc81f75a654fca27ca1799ec718..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15067 zcmeHu_g7O*)UJXQK?FslHvti80wPjF5osbSiqe}1Nbe9xLhl`{(||-Dl=`rkuHP>C&Yt#>*GJ&xO;xkR}e^KF%KQ z0y1(^52R!SH2s`i-wVjf$qGDpBrPK=E&D)5PQci~+uzyVLEwe0y^Da1fU&;7TL(J< z?f1^U7b%yMsV=g)bSYiof5as_+W#x(mHw{`yu1UtS|lR>>2x<{`JNJasOF)$LU>*I z5bQdO)1#Lf>dp$_`0dfcu$~0;9del_|6?!2CBMW6W5sbLIrfG z^|jyxn6Rkd{U2@3d!S|yAIQz}?5SVb89vX`jeiI?16?m3&5G&IYinIvC!?1ZN8*@&O2(~dkMc&@P}`&j<|IqN5w z**EB`E&ht*PxnDl`V`%7rqH2c`{5ys2d~uDNqKWRa}a|x@1XCtIN{ld$V1+GfXz&@ zds0qFxz=>QN~>^Th_IxL^Brc4Do4kxqb}fz^AGdDt5&G`yk({SHjCc|>oZqRWF@_R z8++P`4rrEG^(aV*22S{yd1~5|EMg3{?In;QHQeqxvrl{ElH;l}UgDn}y1h{F|7Bd` zS35NlqGzB8f=*6|Zrde^Sa)B|_qR9O!Efh%rOlH*4&y~2ypYWuE=aj=o_9iT4> z=q+O1FTC=d9Di*9A@KD5Sag-LXVimN_g9DfXc+&(0-p`hL|D$=+8CR84Dokk(?_zA zEYt;L=#W^h+axGGvb|gtlf!s>O{S?|#VPrX?_15b>JeU^6*JsZ%OBOtdN-;Ac(*<^ z-tvtKpp^`lX=W)QebKUG|9lNfAyYYJ-r@KwH-orQ~r+r%Rp zT%6}=o-tZcR$g7?3m6)E;`JvBj#ofBM{BW}Wx` z-FF;4UZ=vvZ@*MaUAw>Pcze~vH*H+6Wejm9vcRRisQ1%+WpA^2Mnh-;B>i=p(=+ua$ismx9ASa0MxKr|tE(f!!QxQD_fmzzBu zQH4ZL-df3h_U3Lw(~Xy^-;qjuH`TUHMW?Oq#(Dj%?bu&oRb>FCcU4-Q#1b|?7TM{w zTVXCjN_^yOlZve;IQ_Jk(^xl6?f17!9o0p6JfwntR=%<9j_XppCqHn%LHyRo-%&Q2 z(FNDM81!og^)?%EstjsbgYPP)z6hF3!~v^>9=6g~tUi`T7ALZb(Aidq9x#-uUPn>- zymX%_;_t=`Jpc(*v)r{|Rb3ExiDrD43XK%(uxN9cRWSPTa<%2(MD%&$zS3r3Q-z`{ zb7~0~V?XZi;n0`e^ZMOaerm5*aV~^^{tN!|_i!U!cPoAz-rOZL$ua%KSWv&3-b#a6 z=6=xX*sTkV^p7Ik=bQ5oS?Kr)e5vdeRE;!I*IPSD^rvwXB-?77#<)LmP~`R%ys5Oc zslNh^(|;s2v@|#MxcDT8UcoBbe_-VR$cSlL{%ytR9rUA&iycYR98?D=@#3`o{JF~S zMw12|fz0yA2zq2-kc8sbmjpiqYrJrp{y4t8`c}EWEw5C$3eENj8mAUE_RjT3_W%vI zPQkn#9WFqoZDK!`_xJHjNKWA6%0GoCGya`Bn#c~VyQg#jS-x8S-AQrKJ6q45OftFo z-HdT?zD|=Q??n9OPbuq$P9&RzWo{{Fg3IK?@5-&veI-!$L(eB&{#WAv zq~+c!EYOupXZa_ssb1OKqno^#txgp2-=}T|j=HP+iJz`|f)PLZzx&s-ngnHTcH{4< z2%!FcKAxCs7+wGi&0s5%#t|_ZQGm}oMV;_}1hj&!U z6tFaL8y2#?{3R&sFhAY?VvU8Z6bz-~MPo92l1PUjnZBmCry6hq)fpI{y*#`;9?`mZCFLv< z<$6e~+I}$eRom4@<9REoDrqk1UyWFAIA)D`_ud7r{M51#(HO$bm6yop&r&QZH8d!Z zUkdn6Br3JqX~q7ul-YFGm4%PkWL^=9(1Jc%e23)w2DxSUWlkQSG?!elTK=qEBraa46_|pC?p;#M+d=kQ6+1ci&%?Q|xDroAmw0 zvO$@?M3u}8K=cj}So z^(oDqn~-!0)puY}arMT#nswvsZg+l~^sx$0FKKpgV)K}SF3xOlf`(sSf=8xF7wXp+ z5`W*kYH}=fZfN(ZEP+(~@LtKEe1FN;O2eL#1$1Wd-x2Cylz67So6C@p+n%yoPtdL}ClVb_%blN1YVvR%(9{)6#au09 zhlk(NTvP2y-_%)Y)J1S9`~A*%(a5yk!{2t?Tm6mvk6_Rsd2=x_@4=;^PkvnXLRIwILB~V<&Kx%(b2|%L8P#U&#!}edOp`b# zviK_f3&7bhC(Yy&yqnO-@yDDujUr!tUuz=i~{nVY!k~? zC#?hV$0c$i|_97uZC&cxtxy7eH;B$#l#rYwG~YpFg*X4kx&-NT87+k?_SNSwHEO(rdN6`l(Lhm`)UE_6KIG~97`z&g%b|I37^ z&=6M`f44f{B;Yi%yqJ{c=%C@Q@ zZ3y0nxEYqFd7GoY}A7CAeiH191M@7-|8Eav9>t`us<}+nW ztY^4K#&hfYb??~$M5Kums0k^$5cIBe%%{<+%xv2g^rK?DO+l;W`{_0QcXrY_05c6| z^k>tT^gcCqnrjA|Q!L-uM8l=wuj0?}RV?4|JXY*SrrRqh`&^;7fEV~+*Sw@-=mw|{hs zOIWJfpCeN)Ed_RjXQp#ejF%O*s35HSU;M-1H}GPX%O01&^-}vtYIqPk9utcC%1AQl zvWk#>XyaUEVfuRBCwN&J7nqno>{(M*2NGq)Y?zkZT>O~7KA(@zutdp3F8wV6sMFd7 zP16!I_y`c{Ly6;`7>{wH-aSZLI$Awbah9Uxu|gv$V5vF!CY^HX;gkyis?7NAAh1tz zc{@O>ab>TmVUJQN3W7v-ojFX!fzC;2p@-Tav&KI zs1GsFs7@CgzZeU-&YqlX4*ANTY(_(9c8*0JD^Y}YIoAA4^G5^Sk{*KUMdcgINXD{; z2W{|gjb*nIHMl z%Yg&26lhPti1jq@Ppi?gt`S$(PHf)!=xds^m_VyH-ZcMo--pbN&Z)%^xaYk6ED%J( zgFVi4#X?f=)jmKtf%(Azl_dbzuj{q)T*pz%r15Q!^_eb{)lBref3@6Y z%WgpHzZE)hO(KH{X%AEX{Kle#Rr))YwVq`5e(0|fOW)&;lx_%u&^1LO9sIbw4IZRB zu|t3EKwuxG5jwR#7Grd-MIiqGSxzIn%!X`tRDHh3Bt8<}p^yexa)_P22$Dj+;5{BL z!|!s)vZTP=QrATUR}c{szlF&LOnB&q;tj|%YI3tUxr7NnqCosb5xVqGH(?2FrK}^EyVydVpIt?g*sZ=*$B5$2_k7&!tZF?F4R}E)arlx~ zxQaCF)jm7WVpBiLk#`6!Qe6GHNILXs*PGhBED;Z$sRwUc)rJp0X>9g+RPBGVVUb&E z^2!e~OZj=aID5jYRfA=2f^PQA6>J9g9sXqMO&y|6kAR4 zbNLos+D7(-Zv({dhjb5=g$QGo)+xav5YA6aHy~mm=!a-lbHCHf4EjFok29Zq)r}eI z1GG3KNB_llmK32^-GFSLN#)hrCY#jW3j0kONX|raHPwD&7>WO55i|rF7U&A(y7li3 z_lKY4u`ALmBJhT7K`1EGtFNoD|$8`l#EzImuKDfgGB+4FTn3flCR%glad7D@>5zu#X zb#3Hr6PDq(Z?|svLB~gw`$_+q_1p8H4NW~`;TRp1=Lbf&5jC%Bh}zdG@%guZ`BNmXDqseLu*yz~0mF!7&@HfjV!d2hZ;Pr$uC)EW@pM6)j~KFvPfy^Cq{ z3d@bB2zJ@Q^APw4M#%?f=elUGf}?*iJND`sesh&^=o2omT5ph$Z+dN+SNfxzd5(qs zj|Ywk(%&gFFEJlJwAl!EbL`9HA@uMDl*@fANHNzdkNU>QGEEtw_Y)e|bfIO`s6bHsWa!v3Aqk-jW%B;>CHXqpsf=jj|cZxT0g?bJ5> zl{(yv$3U5?-aT?O384-lhCyJzKR%^-KwvZvuGeStwSF7~+yVTR4~KSm{xGx^UZ zi};D7-TE#_R<@|^&Q))Lf(_Y`-mYj9iO@0RhKX_pL|PFzabp5#s{$=|G*v zb;*AKePt!6=Nq;XaA)i#evYSi4YOsBd!Kst$ zM@X#1p@76+{Gfr5@)m_S+d4e*MV+|v@^-vD*krbo~q$_c#?@o=|25_h>XDdAIiv66ARb@=x z@&hU=hw)oE`t$2O1?ohK%bD7}=@>i2 zJqSMM3o$3vR0frbSWE{<+sIq7`RV;gohciq94PZAT!5{*nvsDD5#cy;R9l*}#NWX} z41lE!xb}92uw;@Ht*kul>!RA`Xjj>LNCZJgKfoii zu{8+X`DUX9Zuk>av+-{%4CjZDYXewZB?5Ls?j$@AKHDZocS;^LU<<+E*pDOK5Q~9f z<8dp8nl_E}LBX6T~l> z`B1wKat5>KIdi85$xxPanlXOoV*wwEOU2U9Cj(}^Hi_U(Vu>1t_^x=}Vm#pR@$urd zGpj{M5P#vw*>kQK7`GM*L#)JlpzB~GiCWgxZ%_+Su$Z6d;C%SmqQ&30VCi1;_)03s znF8xYi%|`2yl7UtT0e_63-ALL zq$`|?J~d4ybqlRP10Q_`s94{6a8Y6MY1P_hVIG_yw~=%Vvg!oXfhr2h>KR1P@i0em z5c^>JA+dNUX021;}Atldwx!D!6JzzfKW%;17bPg~Wx` zhvEHf`4{&8gYG)AwDpc~0g*ir&~Rwb>7{M`;><3Qd;N%EcgXjR0EL(dd&4oYUZ2+o zom00aaETt66)2H4Maf~bhatb&1(vW;Tr1;Jclo}5mE>M)|72Y#U6r8?%hn4D)IOZ4 zEgM<-HplM5D8nc5Q;Ui6@j-c?!Ynp5#r!5*{;ancd_KvTJ_MLW>XPC(QVMZ+C5@u$ z4Gq%OF6_I1*a|Uy%y}JFtYcp+Rf?w2o|<4yrQ#ka&n5l#(PrTp>67(HGs%=r(~e)! z=y_0z1G4{!#q~;Vl)#KuDH2kt(#Yd<-$jnW&rM+xI3aDNvms*zmq7GVq=9e z!-M907@6nk<)`}d1ZP}c9pQ5|qf^_G&*ZUEh_cdY&c%|867nfn?1L}h!1EvHP87#w zL(krxiKu}<%>&(({mav7;Ohl|Hz(d|Kep`9E*Hmc%o&ckSLFr0{_=?4JLw!xL8Y|e zEEt9I**%1_8Ws#xpj+)gMX^DN@!JiJ#mF}~?QV>n3jpn>PsU9;x)%&Cz<@k^mUYdQ?H?)h-x%X#$XRFnHXlU0-$ZQx~EV`om*ze`*N z3XMNi0!dQTn(3ub(iOM-#_fd}h$GLu$sM)>S=fD3gnFZ*zMSZFZ;cwd+oV zFar<%-G?+G9diuBP(?jzj$&$aY6(E14YP~Wzg{4@{>#M3d}vzh%shI@8#a3dr^4Ll z^8!ddvW%L`EoVoK&zf8_gU*g! z6+RkDJ?*7&IR;(^cJczf76aeS87y$;abB##`qe1+(AH7Gy|@G~2&kxD-mTgaxzUCN5k5trCbWU)G7QX&fh z(4U)`Wku|VJB&&OMyE29^rx=d9-kzH>qZ>}A%gbznZ4%RQ!3Dn;Jv&nOVW3+=dmX$ zsbCxDsUzWI-U34U%ok-Rh+uL&|*T7EWr9jEY82UN(O>ey%3{&~RTN zbX3tW(~)=>v3%t5Q8g*#-iSz(p}xrPtZzz;$`(noJnYJ$2<|EJW8?vBb%WVytRRxq z6Qr#dTD<{hkG3mP!S*y}5@|mPwZZ>A9Fq@oUd2U8yz7cRO0@FG_U^+nkt!KT2p2p* z6-n5lr+4rvR6+k@KZ*TawJD?F{=E3-Q|1l7#TUaWH0@p<*bkZ9DUf$Pn0Sv;r`%`d zzwQdOUd%;aF2t%mvj2U%gqKWDaPZKBDob7sazChxGz)WtEUO7|Iw=L2e!l^_atse# z9H`$D?%+&`+^Ej#<~(~zo}X&Aa|NtS-Ra{AH-K3SAVA|d+D#QEhXxp#NS3TtcJAz> zlb`~B9@Q6iY6BqMiKKnmJRHbU!clVDSIbOOdraT^(~tSo%jN__r=frF!6@Rmfg5+l+a(`!52x! z&;gHHCnXR%Sl!+l>AT&|QO&fhHCTa_9&?N+xEvMoQA>h67*yeiH1pEZg^c0(U|#;Tc7LWP1Y`6vT4Uel&Qys3bBTD(AP9;8%47(=Nk{=rc*sK;OC{p?2Jf3uYm3nt(TXcka zH3r7sU#2NNCmeJgJj0yF#`I(3_;x%j0J*WPOWJ?cCKBDx-|LNKHDV{CK<}bA_cVW=S%1CF1J{vM<<|>k&oZQ_9g|tN}#&? z3D=NoTC@|&$Ylf$&vb_r6NV0YeK>~gAB=&SECJ4`d^d0<(we!p&H;;s0P7NV$|6m5 zu@B$H2l^H02x(zO(uc4iPPfQiDll#kqwgXtLql}5D3K4z*iD;I;?@e2mGB<}u9)Y3 z5Z!#p3Uun+H}rAIu5yKc`}c^0e}1!30qQ?d%S3PoN*$l^kW&6KV!2A>QWb(@k0;Jg zZwx(`G>URh1l9P6e^2_>OC|MAY1d2ccsk@XhS%ge2;OCcBUv8QcA*`Xkv%PsUrZao zgj!l|-&NLY+U*nvgfx6R?RR*g^SRkC$GE>|{w^8QvW)-a)tBP1qF%_J8 zUj@z6rON`jT3vR3pZ_v!pGh4^!i7OpmKlj5w*m_y8r3N=BzO!aER?zRgU)i0)Wa94 z0tG&i%J=t;FX)&GfxEuP)^|F4HL&2aFNu*5MSx^e;woEbb`iEY5b^U@i@K&^qvUH9+68Vix|F`GNfE zQSRLWwB%@i&iB!*o@=GKgMBDlI#vELBK@3uo{^8yfmtT^?RMwRHqnFAn|}CIO#eC1nR?Nx@Bmm98Tjt3c(Ypu$5Bm?;e$qzG<94H zYt66ZTN2YpL9(Rap%UiVj;|2+$PV+aHE#py{mKaRYY0o=tl3T=<-sN(8P5V|+(gk> zrm(98xayI{PbtDR30=5lR3@iBz%#xs!OC%oAGjFqKg-g@m*w}tnZIde4c56-GRH*d zMz9Lu-M1tXJT?;f5+9#6m$||hnT~x@r4eF>NTSOtX#&r+1-{s*fA+m6>y6`&&Ui!) zEPR01eMgPna8|G%1vRN79NoJ+mwn-j?7QtMXOeJhRTXe=lFN3GLbclnBIKv@t|zsx zw-WVMxMdlLeV;i><%=yk?aSMJQ-%&OSB-Y3{nDOC#CfEmom_YVu1;t4VR+E3z+s}h z@@Sq)N8$Q`oCN=1A>PT#B{y}{-;uX&>G!q671(?2+@ErHCF~H0eH8t<(zfM(nkFCl z)b6E6jA?&pvrEi;*Y%JXo&oUTW*rVNnhnnw<(Q5k~nf67hiu_9qNXs!(D8}1u zDV*ndy2!k;%bY|#XP`wA#&*VZayB|R6wI)Cup<|LDY4r)T(#Zn>UPKVL*%YpQ&xcI zcDtmMSf!noVi3izZ?3Qga)kP=LhHM0bT>@xvL9b!hwY9iG!T@}q?y1;GM6<$R=E<{ z!8UO>mp=7a3;9omIH6Ht%(&OzE5J`wkT>y_{R$oi|$#T+QHS^(bMnsG5ZNeDpu)ujhZ3go8mHTVgQEh^JSbq7wM7vCNa|x(>!Aa$) zg|43M?8!59NGPcPZ}KxcMdsY_#}mD$6$W7_!PR=6ns}&TIs)2-PO9N1mJBO+^q#+Q zLUAsO_zQufWS__90KyS-<;G;Dr-inBbRexf?vSOuFOTmNBoZ)7b&0gt^qBoWg(qmVnkG~dHh5?OY+~?Ij z`bT({Hv|oFT2bA^4}u8mZhQMoTVmqi^^gEt-iVqAaEcld8GQ42>?j7XM%Hb>I;H|7 zj_9h8wpP+}uQ4aun|&t@G)1VI&2Q(VuN!3j6Apc_5z+Ci7xKhGcMU7ClWUOZvSOq* z!CYpK$VudkrQF@O4*N6O_l=MukdmW1;um?&p5*{zX4>k1ypd~q7M?=`ZNp5H_nWOp?(4krytj{|&0b<`?*>4dJbvjabEt&B##R($z2<xQ>0e6>|}!Y^30XxIhr+foK+#@iknV8g4Ymh#)h&w6XeFb*?l4HtfADFr^lmpeKE z{`UOn4idJ7M}#Eb9@dn8@+R!V`OT4AnW=S0o@a@uuh-om!&H~1wLzh+wExz zO9zKVXx37__YylL50spblk~GU&w_AFQ$g>etm-uYw~!(&0~K%kn>+OnohX&>|Nf%q zue-nHYJOTM#DeE zuQMeSW;@Hze{=vq8I9g$I}u@~HX{g3INz%qh2#k_0CLhl4B>-ODr&L8sPekiD?HGA z;<|+nD};GA7tko zKx5Q&*){M^{GGGqfpQ&$)+UAUyyNolW)N1|mcIbA>~VEK4v=}0rBP`e$2)Tuxw2ZH zi^&+i-DDXkeI)Tc4u0cIwiB!!d^Nh3IgELP;l_bVu`%sg?yhGQWBy6Vmmqjw{}H1d zf7+4oSeZF+tZNt!*t7^pA?(n!X(j7jPLOsD&15`Xpx#oL=`5r|ppmzZwdln6`wqR2 zsSqdV9~+N^`T4^>jp_lJ%=qg3X#3PjLhDu758Iq~&e zhM@OGf$qnJZKrKl4oNW0f_y=Q=24d#DoRCVo)3p!6l8YZ@rh_a1f|BHxZ)s2oeJxU zi^TL+$rLNce=rrdF0Al_Q^B1t`=Gb6v6A_)!>1N)T9Gs=dvjSYj2k2C9u}^as!p|4a_(HvA48j3xIPPsJ#%1wLXSGod)RbUL}>B%T!d%UXk1jh@g!;>HK(oo zeYLe9wU3nVgN$gvv8al2PaEig5ZTIA(09l+$A7K7h8a1i2XT1_SGs=8?PcjU5@&{& z3PrObDgsWA^>T=?l^fo^=XvmXwp`7suDz>md0#BYy9vnsp!>}@DYX7FlyGI=eh5>AJv?U6P&vvY>8~t?hDtg)rbaRILPRAy8 zj$fC32P>4NV-A{``!ib@@~EdVBoJ`(ae-pdh|i1iK5Wz(nVt^_P9W_sK7z4-BrSCT zEZxOFe385Ld<}Q00F3uPW-Dezw0R|qDh3RmZg?oKdg;LVlN9Io)+tY-R8X{5(~cRH z8Hluu?n1|hZ@_p}a_bPQ(!nuvWj3ZnQ->#{^s8x*KV5S0(C6o**_Jz>`wLeI&+FFc z<=bqKk$4O9wuLNz3s&#%RrbGo&HqKD65s7F7o_su7%C>AE<<39mhLB#`xZW{fz~D~ zFZ(Q#6M{eK3O!aKgfQUWdR1fdQXMbfZEJqAy4F<3S(H+Kc-BcYo#wmnuU!1#wywf* z5V+JHM1J4)(|rA)Hs$|q9Hd&!RL!=2evyz%uL{2mYJDX7dX7~gTJ5cq+P}Q_negDG zEfsWE0E+*P5kUHTC?XCFyVXFmtK1S91GcJhFUDYB?~|53Y+{!R*Jg29k@j zmp3FqbYqZJ%&CebNPNuWN0A5pnI+rtv<9Rl97eSXDKC4Q%50(g!x6%hO8VFUJFGdyQt2u6iTSZv@@vY#|OCV_MsNz3DQ9HKi_Tj~0Vs6!r zBuNbM<;8Oxnm24s@yb6M%%yr^Cr81%mN+yth+GQTM%PXyz@Z^sYbPp{pnb&~$8S8D zMpO#hWL`iWJ=w?$;|EAE9OpC>zV@!X?+B-J0n|Y<2b4a!losrr6d7vg5H)YBTCur_ zn~VI)9>H>fgV=~Zidkcrw7m&c3U8)FX$Ixma=^febLksK^Pn8aox_GagRoQOvC*bL zZ?6z36I}8~${Yj`U^ztAp8|M5yAycdnGs{oJ9gNM^G=y%AxiX0%q5V}&mZoDpd-D% zM4^c|TV*%8teUxzjp{)Mcqs=m}j$Xp9g-Mw(c?&X0n{8!hb?pYOL%g)+ipuwQ|srC=UI_MDW z4l%wvC1EFl*5hagQwJS^N%02EvVVK@>p#toI4wY`PMWLZPyK%yVw>-RgLUSd@PC>* zC*<^LA=zb5_PPV@g^ zSoEBuC|8dK zVJUyyrJY6jG>0lrY%e{UV0>6z-rMUvRrGy>9sQV*UvbAjS(HVr;KOP-=&Og>;9G?k z_juNe=@YzWeziI8;_BDeK%>FbM#yFBonq69NTzkOwXL}YIIBDo^=9C{mnr@=>T+D-%8w66x4b`wJ_vu-$SI#D9@<}e z`?c2D($S?@3G97$jHy9Ao*-|ckW#q z_WStbcf%Ey>{qK1)e`$1gdVH0#&xIR$TI> zJLm?wmcyJU~;Pad)8>DT#KMlGKyiT_suWP23msx%4HZlf%Z`PN;*18=kZ_%zAA2hvtQ_HNbK}Y?= zZM-&3Un^fvoy0ENAL;_dfYVo%($sR+V%|2TX_mO}Pere#D8PsB^vwVytX zZQ485O;Qw18xfYX$fwSij~>?bO$te+;*K(o@N<_U%Xc=9Y`RKcMbJ}T7#Km6FMXC3 kP=3Mm^Iu4<20i?$1VtZ1qKC~^Eyd};0?8K_P$`uE10%kYs{jB1 diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.sgdiff.hdb b/CPLD-old/MAX/MAXV/db/RAM2GS.sgdiff.hdb deleted file mode 100644 index ea82e765b90478f84c39854e9d18952e35309f98..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16458 zcmZv^bCBmu`-eNm?%13w#EAMG1UWBYk{fLo>&pD>uPFeq?}vN;v*!fX3nf&r0z9pLGSk z@Pc;55ly;kO3;G_M(RVu=Qo5$7*@Bc3+zrd)c70WK(Y=7D$txMG>~kyN(wEB-Ny*s zPk(U@%yjR?WOLMYZLsp3MNI4$=!K>0O8_H@)C`7%RKMc>tt08;Vr1@7N+faE~_^B{?SmK>FL zLcy*6kQ)T`P)CLIv$Mss{jfD`M<4El4MPYRg8C2KI)*KoP!@L6A3 zcq*eYSl4E)l843{>g@`*LHsk?JDeY~99@nx8m=rrSw*UkLA zS3k-)8+yN*#D>8fHbt~C`JQcJ1SL-9(~P3%7E0a9i>gV7trS7 za-+XeHf=jHocq%+`SUMs@SUR%WHVR)LWb8DhbJu3y)vmHlO^2<7+JLOq;YBbxy>`r zP4$ndYCbDtDnnlDDP(&90%!muBg7msy1=8mLxJM?kOdt)^l}=4OBZ_jhx6XjWo5EQ z1^}%lW;;dA7}*z3^^=FI$MsiQ7q-(t5ajaBw%^nGS;Bch+a2tuwAx$gJvUv#$njfZ zTtVGrbBAO|_?30e7+aDJ+%m?@zO+*%x13w<9p9nf7-$kCCA<Hk;tzXbekP`s+H-{(LZJ0Yn4Ww%xuXlm~yZOAu!m-FbD?|3ZG7Esk*v7~|P z`|)0h?S*b`8|uT3RLPL!8ONGa?C^M`TC~##U$RlX+V@=pi11rt^LBrB6QmlFs>g>8W=$X8a! z-g3(VhqoBcA_JE#no>Z>Ny|uu9_2zf{6#bUUDFj}gFTVgb6fxmn?^|0GvH}>Ju#7@ zx$MQEk-G27=x8@Q-gkQ}P}ghe;(RLn0ZesVvDe=zrk_pGu*xXX=RM)?)G3yE;DG|C z3Y9i-i%f=S!rs9hBRhmq$2gU$D1N`&sJZ&(V4iXfhF(0)q!Cn$BT>jM^dWOLnJGN% z>v-9;kkTeJ!{>emeD07_6kV#`S21j2wxR8$h+cw&~F!D>kJOhaFP&{sg zrq#YW50jOe{S$UGEerkg@_abzwuzU^n^r@)BC8@%oi6&gxLP){1PdUYqY+2qMtFCQ z%I0LaIE1u+Dd^nN>^<*=-0>#Fr99YWhSpd7 zzDg_bXS~t5N~EYJcztA{YAEGRBsg3S@gLVRQbj)z52NkQuP@E*ChX2L)4m_1zhnRQPn0Bk< zSBkGGsr0cPms*#8H=pkJh(O5`#ePgZWy6&`p>o+&t}s z`TjwnV0tNLw_vhIyvN2f6lk0+J_Sax*Fr11rQ1HZ%{U<)R2gGT3N|N#I@;k`I;nN0Td(aLmKt5 zOS$sA$*gAbeUqq)l>=o?JK88&#l3kc=0%mLE_cT0y z18@Vs?l~8r8}wW_i`;>$3y@Fs?L>HQHA#4m!s^AeBavvGtFwo0AY`TtgCfi$h}pzd zyf{>?r>e)dJ21Z8Hgv zq`Y1ZQ0&Lk^HxDBM=Gi&Z9H)`JRB@>ChMG7hnE(y*BJpBuZgk18y#NLSDC&$8Z}zI zy6&j-qPmKX@ zylwv-nlQe6dEBwy8thQ0-qGGOiCu=FXdFI)d<1R1Ae}7y(G{S{@iX??qe%Uy+$jEq zL_-{J1RwA3dTX)3)|}k=VA1UR!uDC>2(vR*S813d@04`G5ME*EBd=Ch}E)NfV$ldIF!e~-(F&~x9;$;k3 zB=Wyvkj(!}SCHz<=sJe0whp(*MM3Y4(oPVTu1hKK)pqcbODqgkn;)JFtfwhx1^Gi- zQf=Jsbv^X|7x-G+$W)!amStzZg6;%0+vA8UEs|H{mX^=X z2P#JuSDL$4wy&lV5l?9*85+@=*O8~iDZnL=QZmY>+L(8`+qQB{qdr9yM1@)UV_+(x zlVQb8$N!P)qIPuM2a0-(gz5q!Mr8_-7Y{oicr`vzi=Y?Jn-FI8L$yoa8qif}4w>FZ z7)U{%sn;ndVtd$2LNG{B>~OwM`W@yZv`BaxMHdA?bla=?)?saSdvC^h(J@v;s9({3 z9j9Is&nqh_B^Hj)R&u6>S|6fI%f#OQmRN6edOwPw50m(`kD^BGNo|ZL!}qy8VE$@I zALM3b;PE4gdoa?f71_hmQ6EZ(n@*`So354=B<3Ea(0i|*BmgFG6abpIo9oMR& z+p$|8huYPDE)wA=x6#COzY?Mv`M|QYtP1n6=;49oMMM424SpdF*g6lx~?b+{feYe8b+I&HA@9@_^75`q-uWs`NOHyTc%}_^J-iTKn z)8G9=8TweA6tA1_hF))mLXM)N-}bUVAnM9?RSD$scNvo|96!g3+mjW3%9Zv;P4L{M@*(D)P!T_ z(CFVLzwQtKYj9ITiierA5|)Lt67dJrA25Hw`GJ6CZ8QFZt-XDC1aaUJ(A+tsz&K3G zwq}i$-`^$e*xC{X_slxGyG6>mu`blnHQ9o03V)~nFHEvG)~cO8IK+)RIHc`|*B>}| ze&Rp0@dS5#W}lDLB2pz^;Wo6oXthwjgJ(yhFjE5A(d}_-<l|HorA5tbVZg!R$Bok%>s|inbiL?9b!AYii98EkE@9F#N;x56cFv z>(|C3LpOVV%=^!KehYyWRuRJe`Vd#v%8_%oG&G{}ZE5sEts)&p8Q!xewZ1>N{$Trq z=?}WIrY@C+Lw)%b`KNpgoqEd>yVCyFuHbME%^)GK>VEy2RsZy|Rd(n_scP4!IjVo3 z$4S(802J!8#cR6`Rr2$34GK1`$aOdgHR9NCUAyZ?Nz%w^(h8;)?s~hGy#xtt%Qssb zre9Q!55`brgwMLL{t(1-H1&;Xe=i(dX>()z(hE!K6~t{=EERBmj2U}q45mPqwEi83 zU9c`V1Cx;5%U2_JD$>O=!bi}`%ZO75zAWIO0@fsDsVy4nmOn{G+P80@Pq@LaE1EF5avj9>eRUyIU7AZEczKtp}nRgF-zfAd!* zFc^f;t`l?H{r07(wF}+iU50Vf8_`Xq^wPEL|GO**u@I&-1+R+%1V8Mjk~9+9BSBm00igK%sgkk$$azZ zW=D%uAO?T3yA4IMP+9IRkso9f)K89!?8Lc?5>j@73C+^Fv`8B;3uCp&FQ2 zauqGJOuni+Z=%f@+v@;S|6>mWf*!|?F5TANQC%@qaX}O~J2@fZJ|i2dz;i!9@)jEN z2I-AGk-o+Zd5OEdEjRHRSX4N4r|rgAJ89`W6T7gXb&sw)H#s}9*O=2zb1vM31s@Od zRhdK=gZ=KoYlonIe0{(>iqUg&63)uEYh7xe$jXidx`w#ar-Z%k8PWtn(iybLjuenb zVu_$uOxn4lTu8pIzaV)3Snc{BhFa|&c%T&4XDJSAUN3kvNkhzp;`!d6hHYkvEf~=wy4%h6Ia_HgO+^# zQ261MOuY=!n8|un?MFv14$q(zz~t~Muqx_UDyi{)JlO8wjS#RNV>gNcbCiyv&FF*IW^O(E@$(S+V_ z5=%V@@t&|YfmZSV&d0`&r%=Z1IEh9vNi`cbUiIIj3!xj~8zdv`G@2-2)5|{k%@oab zsvyN*Y}A?=Jd2Tqp}GOO__%k2Q0JQYnCfDeZlZ?#NRDg5bN4ATNBAUG*H5#N$sEyu zHveaEPOUGMSu#z1j5(@YxGu4*NUFb7-SblaD=~JK0$p?55l;ohA;<|ABE1)B!HF8T zWS!k^Ui>w;%M*$m&4ecN{CUEsRl2v!h8b~ifell(n+xS4NTHWSVek>_%}?JAlFr* z!~_pIT+qf7pkxm$K4Q?=g%<1X1W_TIPJCAIUbatSV!y?-os%+cbY;Xx5PeG8XWnPL zKTmVnr_(6l_~Z{R8%0P@QFSgW?eJzA*ZK$ zo1=^9u&uP(8zE!p;tS7W#0cPh9EZ2r*W79RwPS#_V#;C!yDPOJfA?T8$gEptTzTjk z(_P9@tc6YV&efH-w9^uN0@bujru)GCUJ;dPC$Dw`x zH5v#j4o7w}80f?pJ=jFY_vkUWyV;T`8Tqh6WC>Gz@Mr&RRu&JRJ{QfRN0|vm!8kOb zZpcd*MwoDHQOtZ_^f@bm?A+<5&&v^N%`BO1Bf<2`JnntKvr+}f;rCy9lGdhZn^C82 z^s(u>)jK?X@s^1?Q;Nn;Q=DD_oK}8k(y=hf74%fG$d@9;LMCojr#m|mRw`ec5P~F% zzNd9|VLP}qa@2yM${7XGb%Cu=9Zq4+euDucl&pN0v_I5KhS&vElL?6@N|2rZP;PJ& zj$w_VpZo?X-u%^&65_%X$d0;HAG{01Ha1W08+oQ#giF9WRhV`#s@P-|hA`QFH<3H( zOC275CM6?2rYGs=I3Ous4?zDiQpzvrSdM<^O<(P-4fE+|RmrV#$N>{Fmg`LiFx z3SKCoFgGzwe+mpxwr`@S8jg*1RvxqIL|vq4$F)Mr;a*4i$Az6W_s6sMK+Wo|u$uAP zx=#U);jg)TIoJ{LnN*%TY*Zs9H(F+9F>>{!=0zv`cE!GZp*foEd>hdo!<@di8_+wtf2oZmcvbh*&UaOl z;T#r+i^&wb$^6XuT}d|}I(~4w&2QpfE)2kIWQZ`nWBAtAW%rNYP9l|`sveRff`^p4 zD%Wk<;W*`HGfEJ_)puJkxkX8OdIq)gIdr0y$Fs^b&x-0(3KrIG;&?)^;jQhq*0wBKX zlz%{v=v3St<9{0^eY4(C-RQlWdAM~#HPpwvSQFFcvqMMG}9S9}Y>+F`r-0$K1qn3Bg;bj9J zAH?Bhe=i%mXRM-AO#hA|H^3u>n{H9$EvdV1G^n`koewTU)*Aa_*8A5-*9$YWs_y~1m(pNghyAahj zae7;ZjN6RROZ1-Sr~&4je)VfcuaPz5OtVi%Q(#c}-_qsI%stStCQEdl7-7wjgq>tN zs?Zj_J~?XGv%b{8c36lxKs4L)r4Y&JuA3oCj0GLFzUb)8xOt&-crrQt-K?Rgkr06S zbPV)&tfSL#^W>J@VwIu$HJ=8VW`BNuKP9`)$=+O2QbW{|-mO)g77b#sJ}07tX%Lh( zE!=fYLy@~~_?O$70(U1CYFTIrkwr{S370bbHDf1tmKFu>)*~6WD1JnXp9IAV@653~ zGERXgZNBcJ{xw}*LAJO(>OqekZ%WRJrePyQBlb8eyO8lCX`&nKaXI47Q;#)pQ*2fo ztxs-bq{LsvZAs2;sxmX~{35U{8&c*|+u)Ut5SL%+hA=oF*X#x zDFBZpQ#%ybuh!v#0-@2>*u39(H#yF$F!ew^tKr2_?y80T~#klW@+tbuvrc9&Nkm(Ofb)7usn%NEeIy`izYj!xkw|B5gQ(K&0Y%SDzzRpP_<76RgZE9s% zRUv0+bWB4E5w919Nt-V^jUGv-P`4Sbt0>tmbiV`(H5}rUVWMkCDr@W_v4%|JB)U{s zi)OPUy~7_;v|y4mK)!?_+;W&B9j4$I={&=BbB*lna5ekJEVjKIHDB}udWGtncs*Y` zq)b-P&MhAr*-L9xR~vbeUha=sH%l$K*jsanb?2bpWF_tvAXCg64O@<%Vy0Z=*RL*N z^-UiWeH=7_zOIT?JO7H)IanrKS38=c+AV-+3e}+u)=5o$&#!F<n9;HNeny{%{`m ztLORVdg^BWkE%|pbeiS;vdKf<1YWq^gK}HDST~#T%L!!i=v@R;=joj#*5pvK@h6cJ z53{4$QgF~z$`!z29j)F=O^{|UMM7RIOSn#6iF94CvP!sJ0K1B_fphkbu+9ELLm&>Z zM+wQG%0jbn;-^XmqhG=V3sHM#F`gsVZlUUhJ`}`nP_@vF(q2-0Mo{n z@WZ-QUW>w^G2xV@Y#%D#1Q+q(oPA;)3O<}oo29fP#>W%y!|psM&(v;dEOpQa)#TOW zJJ4@gG)RwgUb|^2Et+`~;OCXTLfz?xF!#NWn}u7ygl0nNGV8_;IzMz%Mp?&}izvsR zBd`I3F}93W7F!joRvzR@qbprm@QF;UBlVu^@Fk26Q~%@--%k!c7R-m2PS{-BoG*zd zec%mIZX>!B!SAl`ZUC9(MK7!A^_N@2U8d2Dt4F?PhLJ|fY0kf*lXQdtC+jxP0mM@S z*=?rPo+^#aj<#dnLi3DI;#w+(Z)BhLwht56Eg|u=M=U`y%Hj`oggg;1M+KI=jui1~ zNl7uAucpthu@vjcJ?s@JC(0P!+@@ z351O%&lCn!mA3PgR1Et)6LBP(0T_;HF|6tEX~NyKXY;~F_j$(`hT&D4ak#Fwl~;%Z zJOZzEn$7)}EVj6FUx{{~rgg#kEgtd{lJC~pitph|4;fJw4qao-HLHxLDaa01DMqjN z&}u_XDV9Qk^699~g<=9JH#&4ZQE#{1fP-)O!g=uO9P<7;X~PuwS?LA(kp3 z(<-O~kILYlKljHxBuIgD-G+UcZf-ee;xXbNgL-E?#?9xwin5fhqa})l$mnjEy4rc# z24E)m^hb1(LUF8%(ST@tl*mLDbL1aE$K^o?EZ7QPBZ#gl4&36HNhUw z$NRON5xUCRy$-{3w!s+zteE7{^_0FCQ)CoP2lw z%;q=KG2dQyCK}lE>m*dAdT;GS|fR(4$v*%Tvr1MvC@Au&M zP8Z=H{qF|XyE{;rMV9!QT@%l-G>tDFJesbKS;o)bQIU-0pj zb;G85b)K_(XHxO)oQ^}WF3fB7y>34|E!1;yPki^jH*NB5Igb39ejg+IE#&R}KF#)R zdHDWNGxqov>PjQW|Lv+{{e9CkEkKuZ{c`ha(tVy&^$}3jQ}eZ&JiP?q{SpG)el>-D zV_1rGo2YfVXlRzwKZHk91nbJZIMT)9t4RM=8*r}-8$lRIDncMw@{kr1na?6O3Rgas zd`i_92tI^Hip6JaenB0_&#nm!FOTw6h*&aUg}TMEgbIz?r|M4A_jj(x4<~#?B{~v- zxB9X)=a`+LWxNZc(KjxXxI!eTXr!^Dv1rsQmBBc! zOy={`4W>xWQv;JnDXR$x98o$an+Q7lQs02W8D$W#ybV%e;OAPEUNQYyExA*}q^1@z zG{0?_pd(}gJ5P}`W{!e>i^^f>y%vZ{{jDLP#D!i}qf94%nK!ie^af2WV2|Yz3`Puf z+bM*+D386t$f#=g)dF3dD*PKyJM2GpZX7y(dad z%CCV%lue2Olgwl#P`pM8wT5Tcqz*w9p%k?z2NKto{>D>WF}Z+*%$QJtPI;*>BoaK& z&Ne>ZDIiRv!?1Q}@;o^~J&eU}JkvWt|lrKgoV&0BSl2FQji%^F4S=R|%M%-S>cnp&>cc0Lbs zBi`Re6W^yCw9C`n&zbxz=(QN?HO$=4mHaHYH6OLcdA|2BVJ_6h?^@GyzIR_?EZ|GH z2xl16>G}^B(2r-!2xlJC={nP8zbA3Yt$TuNMYJlsMp=!<#ayqU{3?*k1~e-Y)0_rV zuUxOK{9T-xS#)Z3cvG$9DK`G&pBfOEN!Dnr@pmpExsHo{Hi>v5pSfx=^#NVeLc27b zp?4lM*e5Z^kAT)cU_!*~vkOORFr;i;`^PRo+LhH? zC|iFNYSW@2_o{K`usIUTN=Sqp!bi3VGkJQIdPP4m*)Loq@KrHkYU02Uv?8Ar zGNZeu-UtHqm+ed9nB6b zN5@)RC<8lf{li_Y&41%^E@!0TC*+JLv< ze1^*R&ax69T9G+j43)q6a*y;=58@jUJ0Mm+ht@`+l%l|#e0N}_#9=pPV(wTIiie&t zJ!;;bAW2OyHO1VfsbjeFAw|l-WJyWHiU&?g5%;KRLQ;$;)iWJhv&Sy2h^8lDyW2KE z2LrNXU^#d{DMa6Y+rSU1AsMhsW8kRXj)t7~&0_K=PLBcl&1Yng`b!k;>v(L>drIlT z>iM|L`Yi5N)VOg1Km9NEWaYVWpm8-{n#a6U;UGsAr&*F6BC@*qr5 zGs1Z{FJ=buU`gq{KWYcCHBT{zi7WXcINYvlp%8q<*ASOSWXj6;QB@OQ&Dt~(RQ%@7 zg~ju+M2kJJC{iox7%nygh(5q|;?UzKmRMAcylIgP=}n~X58DgR zQH(%OaYa-!!es9B{@>^K{i1Zp;gOLPOgng~@mH%`j|sX0flz#MM1FYE7}!5NxvN_{ z&%JLk7AQ_AO0Et)C-4FLpf@QQ_P?+D_hbs7mXMbPw!a-NzSJ2C44+AJ6$}vjy zbHn}qJ0K4S=IJFhW_``)G*&EjDv$m@4;6YI+v~j^4Nb0ii!9`fDco(R-i7@1HtM`( z*6KCUVm6AYYu(%mUNt=OXC=sf(2Ce&ePp)6C+1)_OU*a^>|(JhItbxdjL@zGMWEG0 z>SCGzvuQa_#>6R@A}T>OG{sV<>nENL*dTp{e0eDerEu{u83qb@N>UQ_!9t;`)Wju= z+H89idH=*E$=Eq}Xa#jTeu;AuF|#f(imDX+!;>cNLjk|DXmM=4rRpo2dB1-l3{jk( zk#ismp3)9_s&yylJ^jZpz(Qw|3g9xgjo;h*k2GyPCR|ERFHt$=fS%1W42@V zPV`!29Ip$D<|jew-JrarBzpUaEL{4L`HVHUlYU7f{-9ZPD+-O=}faQV{McOR;n-a>CKWXR0YeYOa&k zXtC)oO|r=%n7^{(da%K=MJpNK*Q|QdKEQ;tcn=xk+?P(O`~~2RzD89jm6?=DOp{Uy z@!pS=n+r0(o+A;iUkfm|)IlSz;DRdhQBW!M6151ej4mQ5eR@JrGKr1K;@7@}ieHQi zhpr-uF}7#{f34sVQxT0N!i>)-`uW$En)InPaY4`W+p&qFi_g61v z602Fl?^6G5khD)NTAV9q_1oUd1EHLza!BCtv33n@Va1AnckvH#1&i4GQlFl3M>j&D zv?Wa>77Zme{;vSZ2ud)ss`dcN3pn$EtK3>O+y(AUy;6FtfWBg`Qq+zg!(>W)`YV1> zenp_YF2KJ+j*6zNw#?0=ueaE#tfA`Fn_y~DWLm2Y4Kl8pg<(txt)?A`F<7;_G2($^>q=$+sppC0(xl1;^Dlstl60$mgOnhI>t}?Y~ z!UqQGOGx(jIVLvlZ0hl8Bqy5QrP@aCzpnxF7(yJG46=1=nmj?w9G)NNzLv=ZI9E0$ zO4QeuNUPsC?`n(V!6A(v7jb$}S+PWd{54y^P>`u_?X!9`L%dfH5pFp6FBK&1D+1cr z==MmCkEI^LF9Jc#C1f883rsXZt8K;5ji@8OC z=CkzP{sJ5{`J!NbBK9D3vN9~w1ygR1)R0NwZ%>F4`>wTghF$~pW+O~ffU{*jDLN&+ z&;kwnu`_RARKhntz`$2u;+4icX%LAKzYHwn?2K4A6p&mw@*xp4c>qy3-|P70o=uJZ zmb^z{FjqSW$;`zE?Z<){QhE~N^9;CG^xORmc`yjyWH-A#4``|U)v(`I+DlvSI$1Vt z*@?OW**mVs^EFIm>ZEc78Smnsx%3!T#9f!m0+sL-uWkr0gW~ z?X$F#%vea|LHa(yPz3nid{y|A`Z!klm^!)^4u=LRIgij;t-zbT5D=!{qc3}afdQ$Tk!rZg+*c^*Y{%%zxQXn})kaQ1o5@rxs;>~0i* zR%NOrGZWHPffP2NYQP9}^UY75DV42yt)43+e|JsLIo9CW2jSg`@C{@Nc(m}++h*%& zQAT7b?C!7uM*^M)-p~@=-xjUBN3K6&0WSnDzzWzHOx^^EI}9g?zO{}7~rahd)F9gGH1jDZcvJin;t+T3lCwLSm1G2Z{oW1ZIv+!=^cyoIp+>`Je zqwpN7@En8i9EGiv91qLj`x>u>}wRC3NO=yk&puE+@4v%8bp1m8Qtor5})8lSN(uLRc*f?uof zujqh-?>B;Pcm&!An5W058YUltEc3IT7w}D3sIOlFySP2EJs^ESU>nVkEWsPk_s<}r zRT?SHFx5-nR}@7IvR9zLmT{k*9=w5WRCDh^$)5tZcxz%m`EKT#kf1|0m_cD^$bu=* zg$Ns=due_R&_KW`FDG+Ya1+YS#r@l*YnYNPQhviyPHS>5i?H(y)fo}Y5_pI|?f1Qz z%)!I;<)8#|a0EJv15OnM*Tu#0 z`s3XR0}dp;M;5(DSbR~Qqb)uKx-UXBk3%%CLpFfK>Y8^IHxH@B>+-H2$ zcOQm)Kp|L5t~EL7U-a>0G}^wu82n?Oi@sj%>Vk3!=^5I$6ZU`-YHFrAiKM z>Z|gCLs9ZFZ`9ZOZ;tWkb{q&XqRJNMJQnJ;auK3Co`0gjo4Q5XKVeO*1b9opRf%IK zM~*n=L${zIi6sg(L#b=DG-y}ryxgBxz|N)P2`rEnI?s@7t$w+UwcFlfcn>uiz_SS- z7H8$U8+IWf@Z#@Z`6+|;Q`LSN7TP$XOcQ6(dLZvW^{<7$iQ&PuoqFZshD?ij$z4cb zXtMblP2Rh2s1|$!ah4FkoL)Z@^6m(G&P2Q*AmHX`UH;c3KA>Xt1AH#f?Hlm!egh6z z`AWk(oFY_F~wM1-wIwm3SQj{J^?FScxj7quiZhKzY07s4ie&% z7wQ7u^!e!Rjcl-+B8fbGiIhn=rdJvxLqPg?Gu+IP{7UEgar7g5PvhXk3XODqK=C_? zxlK&p7tr?iVB-l+40B!8G-GIZK>I6(5LixWj1hk+bE5u*b2(RihKp=+c4;{Wv11P8 zMgONs1RHz|oG@=F4-$5V2%S5G7vN545TsD#P}afqK+a%wrLnDDL+kYl)lWrrj~L8T z;d}F11<(oKZDHX%a2u2}`bT9rLohJoJOa#chUv@)Lt*h5>+l&1fzLY~1(bkn7ff65 z6~6RNfYtff`7eTfI>I$iCobw2W9<~jycZ(=#n+0*N=G$hhyB~1fM7udwQhKSJ7xSU z67P{zygLPeAvVI+xk0)T-kIGs!5T{FI(BFV)PNQAfEEz8O-pUz3F}@TpI*YhFd5(B z<+5ZZ8ErJ*HgceV=jj8dV)8O`QQkfb9A`?QyZ4qrjiX}Je4Z|Yb>GW7!WVa7XAc(| z4-~@J#d>+ak?xI%RtzW^+{|$8d?8}MV-r=IQrJoD?}t~aM5t%|TdJ;5RX4<%DN!Ny z2=&W)Pa%-fuF;4W54ycc?EwJLF4n{LULS_t%hE?WOvE-^;BovLTurPCXCQ{RM+&Gu z`8%%-&-X6+9RcJ3{cAs5Xx`;84uasiEIWR1qU;+%jzX;e=z9t@Uz&CZ>Z*+E9 z?nsuewk_h>YvZtdeCBxTqa9y^tUG0tNr?vxy&OBJ2@D$#LMR&nw0;SmV;TN66z@*{ zr?Vx#6613~VA9-Bgv1JoTrzo&90Tpn$H9Jf6;upoQWfSrOa6>5-8UclKu40msTH4R zn#lM^>bmxsmaaGB+5=A71CM;Jgx3&&11j$k)z4V0&)AHQ*qWaX(L$EriecA@eoIWJ zkB)#lt-XtSr`{7w^)s2h6MUbAcmg2|gQOrP;=u@bkwZQ1;xCB$%i+Nacu_+=+Obhe zXx>7zjbTts^F_M$9WW*es`Ps2c4-eBY7Zpw-4N{S0=!X2b9SO(;oF`+dW1(E05;w+ zEkn=lhJ>K99fJrXyOnBV7MQtUv}1n;h#(*R)zx^QY*O*;wh+HyRmQy`_zR z85)498oWv_HZPepIrCI>6%-lOZk?!Y);qp3X{;ra(s_UqCqdXACEDOW<4=R@zJ9o0 ze2i9UIAabrsV8QBLa0S+o`%)fUABS;F?+N*%um0za@kd{i|8t!o=10JXhrYef5v|L z9yTTB-Ia7TG&sn~+G*T{n2PQz;$djD*xOo~x|YJ5h=-fAe7?l2A0zVSuOjT|`djLbJw&;A>t(1M?2&Jl6n zf#GEuv~7|eiMPf&@LT7N+`TYqR`=s4kCy?}dB7*=aaK_I{WBlPsC+tBdGK=d6Z}&T0(XBD!|hA7<%8tWo+uhC zuQ%@&yG^BuQfhaYZ{fh9xPO^~*{~mBAE6pzz=pKb!NsYVc^MeGjFzkGBFM;`bxJQUll|p!7}=;w zctKui=Jz|ABQLWznuFx7uy;Wk#D1J?k?1*C+BBpDMtUWaH<;bgs_`V7rL%4NoU((h z*Xyg2up@SSw1l0wxp{K;`?%{(=tYvx@Xe~`^e%y!*d4VY#ku3LmvGA?V@f1B`CJ$H z=TxGIl>=u$jcrdl;o_et#mez-!No;UiIrnt{0kd?#Z!uT^3N%Qi=z@(C`-}Ybo?uHpM8z)2PF4f zE(f5aLuUw`(`3|ahujGNboW4aC|*Mdv!^)+$3Y~GR>W&n-AAWJaYT~2{yAS^=4^GD zEFT?Pc%SCR-df z@8;!r`!=TKNy~YdX4LI~j1`{~)xAt40)b9)S!Oi(jQGyKkCA@e4#)C}ewncgphJ4< z@w(l3&)bguh91FFI(|`|>*e)fb-gh-7Q<`me!Arl(Q1cj(3gkz#=_IgIfCWMu09(a zAXbKi@Un~EwjX{t293zshXTytIpb8wf2qx|k*B%lNDMG^2G?N@hZ;2UZiR41lrul$ z@^vim!hdJO<}iJ0B^@8!(;nDuMs!CLjkD9z_6}3Ola94cV*@%vF(3yl*2K>~H2!6V zalcqx3ulW;*=BI=RrLu(|0!r=;&rM%%@ef@Mt2X~^J>+ZuIn78d|x@1bD31_srj%L z1iVB8oFbC<4VrOIU4Wh)XBd_dt;SmMKiS~kY;Yd9xeZRK{sEBdF(q4wre8R;vUC(} zWwv7SI>G-AZPLZY#F}g{Oo{bRqbW2R@EhQau{{`k$bUsmPcm{%-=3n}oY{%vaq!w{ zhl^XsVry8>zRHZyP}YncZ5`k5Dh@?UR^w`@O`(FJPZd^pWESs7u^AS3=69Ci4MEdw zhy4QWKH>AJ7TAPrpDOPL-JWWAeEo(50{Z@T1uj(eutX8Y$N?{>0he$Coco2NgmVi? z;GrBn9X&N~@UCovN<>yD=z``$EghBax)$@)1LLe&p@K zBeA^jk&u4rg$wmuuV-y;p{^mZrln=1Z9h*wXLE#1>Vsk!VEJs`k-*R!P$x*drPF)q z9l-#y4%NHNSGv#b=#J;~7mt>tEtVUr&1EXByz+rZPcpKG&2TH7jsvME>XcN4t!V16 z!nQNHy`9(&kJULuQN7itpZrci-K5YThm@LN))|9NA8D^PxTl)f4kxr!m!%41x$!3U z)w~3=HKpG-X6;92pVKX86QqwH{RrMhP|Hg+jIY&Y_3u%Kmb7e}{cJ4_$#)rfG8Ebp z?qIF$rP*7D-}ten)^uB+E;Dm{!=-(LOYAr&T6lEW2&SZNimg}FR$#l$o3XuLGDv3~ zF&@N>>_Kgf*Y03x*nwM)8$@%rmtXF9Go$>2|H8mQaciVf=#{tI+9h=V+4EZa8{2;> zXBxV!#XIc-0tBY^jzztMItn@Xq5A!s^C~UnF^m{dR0*J;w1+#03*xAm5V#T!I$XOi;AZ@f@};oMiJre*pS90tVA75gdG#S_z(m9pM5g0Z$h{G9#Et~UfwH=9&wN&5RL@*w>2GS=+_y+ zzL~0>N^joNy5Cet4h}g~JXx_v)3iz2&r0KM*u9irJKj={flO-Q+KRTTh3mIp{fZB z(&+s@6+9SRme()M+<5F1)|&p*&`3GoCnnZs`i+2^P-abs)Bb1qCq`4#*3@9Rkq_MZ zn}VWimc6+fC@67?n(-a9e`ict+CR(X)tDjIm=tU%=rZ6er4_ZviNg{CbV}LjQH&#z z&yKAzAcc%X0=ialAM_g(-2w|jRmc{PW!vzPiIK{)dPl6*DT-(N%+gdBaxVoE3Ses&kiCl#wP zO!8=nVU)r*w(?*}M~Ylul>V?P**)hXk+u%pT|nXRJRYbf^_;_qhaL!Yef`qyNOJ>k zE7quV=_6Zy`2;GnV%tY|!)pjcpR&(ARILTZAA^Ln+C>BMI%;mXJmkuGEt-D?;>Piv zQQj6&ak%94P6e;nJHxiTLJ33yRvm6CypK0R!u1mWRTiC60w=+st|ri&*ARtuZrySV zm+XfmTCap^fac{X<5-(3$=k-U#OpXKt4t58{aQnU?-P35q+VEdCk`z)O@d`oHSIlD z`d1O{k&lZGYGdXd-;qy%_#O3E>Z){OtNBlx3GuTbk?WEwN9VZpbD(AR$6ws`(e1(a zzjfAHzlmgjD`v}=4qD|TR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.sld_design_entry_dsc.sci b/CPLD-old/MAX/MAXV/db/RAM2GS.sld_design_entry_dsc.sci deleted file mode 100644 index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.smart_action.txt b/CPLD-old/MAX/MAXV/db/RAM2GS.smart_action.txt deleted file mode 100644 index c8e8a13..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -DONE diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.sta.qmsg b/CPLD-old/MAX/MAXV/db/RAM2GS.sta.qmsg deleted file mode 100644 index 734cddd..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.sta.qmsg +++ /dev/null @@ -1,23 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1629153623584 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1629153623584 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Aug 16 18:40:23 2021 " "Processing started: Mon Aug 16 18:40:23 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1629153623584 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1629153623584 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXV -c RAM2GS " "Command: quartus_sta RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1629153623584 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1629153623662 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1629153623787 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1629153623834 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1629153623834 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1629153623896 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1629153624208 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1629153624255 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1629153624255 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1629153624270 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.638 -216.621 PHI2 " " -23.638 -216.621 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -19.942 -610.547 RCLK " " -19.942 -610.547 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.072 -6.479 nCRAS " " -3.072 -6.479 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.153 " "Worst-case hold slack is -16.153" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.153 -16.153 ARCLK " " -16.153 -16.153 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -14.623 -14.623 DRCLK " " -14.623 -14.623 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.569 -3.433 PHI2 " " -2.569 -3.433 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.713 -2.822 nCRAS " " -0.713 -2.822 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 2.127 0.000 RCLK " " 2.127 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1629153624270 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1629153624270 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1629153624348 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1629153624348 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1629153624348 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1629153624395 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Aug 16 18:40:24 2021 " "Processing ended: Mon Aug 16 18:40:24 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1629153624395 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1629153624395 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1629153624395 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1629153624395 ""} diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.sta.rdb b/CPLD-old/MAX/MAXV/db/RAM2GS.sta.rdb deleted file mode 100644 index e9c2f8b2e71d80571657495ed6de634edc794336..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18274 zcmX_n1yCJL(=P5B+#wL$-6aHvg9UeYIJmpJy99T4JGi?BcPDUg36{(I{Z+TNYG-h#zC%X=HzB&Vn(iHWMV_kMy@JLu3=_OE@5ir@+sN84fUx80^&vDe+7i{|B(>J zQvXMLKwkKICKQjW8N^euqNMZM!aP4W|0ZPGuB~}ZK-6jy;<&K3aFg09+QKo;tT_AV zENfm?1G!FJSQ6ADl8xcl^HMo`#zH!;u7)VAh6E#o|Es6$!$j)~jE!e~B#Wz82F7=gIG{e$UhG(d0pw`_(o`B*>qLi0CTNpU7gy z)`#G&V_iUgheu&F8K&m}4t~5ttj+&q@C@N<+;7H*LiaBx#$W8WSMld3_)Py^bhEec z70j5Ajun6O+J7S;?;RMNXI*l4I-%3YWQ*|RZTqa@!P`v5ZYvWL8xI$YC4qK6neC1T zO_ehj`s`(=lC+#ZiVMm-YlLxeVMX9#9^#z$J|Mqr=>aDJ_~h*^^}v*cpW z?}z$=M!e*i$7XMGOc{@G3K)>olw44Wif{|8rtc9TzIbTnlvjw&s5|BVmYyF3op8OD zdlq{GE_V;%p*ca5w2In|Pu^q^U;MsUJT5`0@3+uFU|n&vCB%RJe zyyjC#j#!Z3GTBrXzAh#%bbwqIE+0lqnkKNfp}B9}p4Baj$7k-Z>jgTCz}){ps2|`s zmAP;mELO0_Tzg&Y-yRXT-d~W}>(6?uKi=?KA%@f>>cIw3JGCxSTYe&!!HJ}#56x%? zsQ%OMcIp0d_U`?X-X=@Ipi!&@npSJ4CT|9XJ~(O+rR~f-5N`>eD%Zs!u zIez?D**)R04z)AfYT&8rQFK)HvSGsDs6c~4bXM~FInry@{4IYV z;xe-Lcy#!dM$?ENX3X=s!nKQRjVMTYWn_GK@DW6QKN9oBoeh>t| zHBagyYjPfwKT2#D7r(Hz?T4O|>32>`@(|{II*qLyK!}$AM-(~B*gcr}v0R{o4`44I zo=NB~KoXc*FbmTx@wD?Z2|H{`>1lB9h;M1Ndn(1AG9s} ztaPe*uQ+G5(L>HBT>!1F_sa{#PrP!jSG=t${GpYrI}u=C2C>Z5t*p3B6p%487bs+( z+58qrbAHz2wM1lc5`%sj?A(8KwhifWj=-~h8B{0R`A(rb(j%knMclc%mEDJTFE_Ti z?E+QU)r1U2?tK06)YhlZ1IZ@t&T~du9RH2{V9*{N2?WV*=#{OEQH@FAa(KR z;C>aOR$ioo{9<<+cx|*5pG{l3v*o)+58sL(CXzkqD>|a&ut}7Do^MoJ)!B-EF6kQv z)T!%;^1vhAct&}4hil=nq4?8o`GPA7J;;0KdF@VN`;I`B{JZMF5d_ z+nWK{fQnSRsfL!0hvyvmSU`%GV;)IwKkLJm;O%DlmWG5pN0Rz;DG2Hxlv?iK9ut1r z;nH!pqTT&s<^)2LzAN7y4uo3=Pr&X!)(-Ydalyae9njz803c?j+LgU|y9y*{>8h8C zxx=2*j~FpXp4G3GDA({CM{;{t$QU;Y+-6r|-}kuF!HEgj*1% zMS6={l;tg?lQM`Wb4m|>lnVGv8oqr#S3*!bQKJp%6xgB9Qm2icngWN;=~V_zs)UN2 zB^qd>@c1sG;?P?hzt9cT>fUP)8D{Mq^t_H4&iLM5m7gt4;e(A(HriLNVnm;wWz~TZFToPmcCNnAh@$ckw-z? zb}oT_bg7b@$mUvLn=h4Fs$lp@ibs5n(8fT8q3MHRw4%X`!VWV~3 ztngk4XTD$y>D{|1bC{%)6_J8WSxeK5<1xHLRw;)_DIO6Tq*(u)nv|XLT)3s_GUOz4MU(O~k z7LQxH+O6pEvJ9W7p6j01fpQn+v%-bpm=kEB#z+7of5YieAyzctV#vG-G_q;&LA9CY zY^w{tEpZ6-o`%BU^*UR}BsFxuY~}Bpxf(0+6s?bt$*Y8+Vcr@!*!v>rNOvVoT|OPg zkB0oO$i-@VhvUw^kIT1e>v~)Qdtehn{+O6XYtyfZq4f8XpW9Z7!)paRxCeU!J??~_ z7nA*Jvphba@3)~}Gty++!@ZTts)xqjj_#r|XwWOx!SyZ{``*PQMLZ>V!&}nVBjBDw zK7wr}QwpI5T^UUot@c;U3vm4&a$r3tQQfgvm_rLxDxF(e?Mvy}ZGhgiv{riub%S3a zBAV+PGi7YjA*8evUXHFz){ORp?+0Hy|E^!WAN$LAIXZfa{}|aij$$EdYb*O`X0_E9 z1!xkJFF%FyrQEL~?pUpHg*;OQ)?6Xf3ueGcQ# zTJmOgogUO=*~1ozl7$%xR0(CZ=`lb_mmjzo$oDS#1vi;ZadbqY;B!lH-XNwr1@DdR zUgi69`V;^i!ZhiPr6Nyq;e&`BE1P!}ThtZvXDw}|`sh~*|Czl(bf>2ZW!;g^YrogR zX{o++*A1)^C$g2)R=vq1o!!XU7LDC1>+=n&cn7>B`RZGmX=dD843- z1|FNPUsiczN%-avyIoQ7EEJ1$=f)+CPlF-sg$d)R&-5$M9ypQxaKJ5yj!6+F4i7^^ zYA-j;Nc(qtzx?msaZhVs6$Q^e0(K7K84!&Q^7sYu@9-u5lXxsM3Pkc!$TwOLVpOK_hoT8L2E))$z_kPEvqWS6V94l7yP1K@WHL zO@WI$J9SEF#hHuK$vQY!Z!C@0FFR^sUg6Z^J~XbAb#sTT3@cdO?|uuv!Y+0JOr_vb z*1mW(kW^9@5WCl3?){AL)>Gxz%RORHyH#xPW$Q#wyn>~0;i1r&H>fnYHHTEcYn~`3 zSzGJTD)Z&r73cK(>Q)=nSnK&$HiIQ1;sDuqt9Em*iO5bkdN$54J1}DM@j&|Hjv|+Y z2&v>dkiEZ0f*_yIgJ(M=S4~7r5ipV(v1Jr!gmN-uaUK_NwJ-qB%=TpsfF9naVuz|^ z;Ip?Wt+$XmBw>1-MuH&aR`9g)R7ldn)9KY)&Na|{24P*V$$_--6H!D;aQuew`7VW+ zmASK&h}YJeA64t8k-eh)7Xlp>kaHN8NCOM`f`P(0k7EHS0`tzgv#&gk#aS?YYa@&} z^F$;4TU@N&#qXJ?T>CXJ6k1l-wEM7Fb&))x zN@aInUYPylLNHv>h?|1+a*d8)ea455alOC{yHZj9Vr`wvmrq6>3DgTBnf6~+@+z4T zuauV8Hzhhxe;K;4b>s$}4DO(Lwe4|mhBDbzQnY(qzig(6B;3tK!%UJw&e9kb+1MdgcA?1Ivki@kM!`ZtcVxxmstHkj~q25RLj0`Wc2#57m z2{|>OKc;e!syyu9GDewB@P2BYk^gSn$whN>ILG9x1odzi$l*m>i`b0hm$6i|X1ya4 z?w7P>6Y7nyDoN>PhCz%TxGt_hmC#6GRKz5oC04R>$BD04p2zN0#Z$^{frKpiar~?| zJ1$+(%8T5Lvu`*`_jbRECL!Yep>@;Fl+`j9%hG;@^G`%kdf1zKObG=kdqq0F z+*J>;$yq}G(bOu9*V$=_XS*pi_LP!Xs_{thu&Q8IicCSqO@bCNM_wF3d@z-{pgEQx z+W}-pUPk((^xA0meRn2ed~wq#(|_G4ocZ&hl7X797yO~a%$&@fR29w_rUnOzWOq0@ zaPEq+D9>`nXL#M8@#Q;e+`-pEl6=l5XrYjJRpmRy`8#?m1;YL2vdFrevdzGrpVvN> zP7Cwmcr`weq+T;Gr12PQ2Wj{cEJPB$qZ>LB=&{HHWV>2}rv*jfaeuCsq;JHu{qcl2 zYvMVP1&mSNubC3#*j!=?92K+lIIGhuf5qT@YLh}2az_r~;E|xUiUx z=I`uqKkQpc^Z4ARHf9T(!lzgRci(`HMv&Wb5kLDeoV3d}0R!^pIil&t>kCWMYQP*~Ra0{V4yJ1Ba z!h^P4o`ZWTQvy0DO(_;nSmSSaSU^a1R@xGsKoD) z<{5s}T^oAC`e1bsi0yvBGG<*9&xVY>ox@yl&`6dGakR(AV=>Igkk|3yAB$$47kMBB zb-Xro5tQ@Petpiu5Tpu>KMuhC_}VT#8TmXGOq|1%P8;E+Ms*Qo0c=BuJjVD_m9W~b*8Je;g*Kw)1FF$%cL5~r)7DrUCABm zxu)o=pbKD#|DyN+@w z@|TGUvHY1-+!)*j)&*AQ8srwONGr@pUj3$Zv!1s(^mqxMu<2IRrtm~AKG=vGoG0=$9e1}*9e z6Vo*G%QTfovP^3-Qf*$qu~QsDa8uGnH;*R;C~`6oZ{wCi}zTSau>61 z7Q7kN&cDf^>AVtDbQA@S4*Y~Ks*7x38NHUlY}wrox<+Pn|$5l;zT zI)CwCCl0;BZ|Nj_A9VtbK**BFCc^AAD|6Nme%lHph{y_*hx+4Hy(i0n1UG-_}D#Ndy z-)cQOJEruoE5LV2o<2j|Ryy>3VXu)x0FnYC6YfnG^98g#pQ<`v?l(^_Ao2j-J{h8(`snVt zKz(-+pm+IbHb_KcnXwQ5sG}hehp!FfdX}kJ_0{RQxCZw_lP-1A>|p~=9dfBsm!2m5 z*Gqj*SX&=#rvx6+(XEbB^s@KRy|2anuaY|7b1Z4{c%+H*mLE3>n`Wgmzo1I7(V10) zYigVY&^_enNU2me$^z(i12eu;cHS;6F2lDL%++REw7+I_BpCS~KV>2(X+1c%s9XPDC&Ucx(yHN>Yv5hGYP&$(>Diw3(%0>2cs$2?` zy9sa>n~+iK)VAgn$m%hw)aQslxryvbx9 zR`BkA*ODJ{hczn$p3Sz3AlRk%AdUxdG`_N_d-~2H@YPTd?%$vkHqexUF5}6Gar}{Yndul+2po!L65}|3HUy*Zn!)L7k>R2)T&W)eIW-?>XxpGH+1VQs~lw!WQ8R zH?g>`O4%)cX;7QJxC$P8#x+06V&|s8Wp~c@@A!k3yDb?F^xw@4>dZqAAWGl5XEFk% z#Fm~cRhKaM=PHxc@)5hTYKW?3m2Bd(S)0OZe`I~rLga;n;{!SYy7YCssmnq5tJ*aF zX+i=0GAaJGfq&u*ki$_g=zkqM-*fgILk(D#vnAX7$M*$|3M@i@1-f6yTnms$J&X33 zMRhj(DIv@pX3_3;67AN06|=JCLHHYxIvo8-G(ie&?<py_>2CG2wkq*)lBcQJJL0Gr^_sPNv;nRj^xGVy@GtCYzgO^hCpy zBNJ0ILjuE)T3Xw6T&q%<9&7xsJ@i05Wk2~Fx{fI)-=YyEqdx1I7rv8 zxL}n6NlVK_y!A_!UGMGUXe_Z%Z zo44J1@=_miXW%{I2>j;?esiY@Sa5-sL3a#xMRxbi-JE}p7RX133$ZcZh}-pBa>AR# zsQglkIv>)2;x=DkjAPk_gc|=dUdbw*kO_LULAIQhu)K{Bj1Re2$*~E$C?CLp*Iy&X zank>;S4Cgo1%qu);ckmi;B_b1juhLH*@Y**rbiUyX5?OK5YUC9i+nM&`_Fg7 ztsuxPph~G#e}kltYf3v(gK1N00I^AhqmiBi2d~=OPCVxXEsdcjDfHE7Qjhf) zA9`GHxFP7TU_00SF&|KYkQ2BYE+GFPHKN~mh#A>$tE=-or9M8;Kmqed-+A{O`?pge zrKaUl&<`m|4kqGo$$k_gQevV3SL;r-!F5mIMPV?(0E%wYQbDVUQP@OeAQs(ZtMe`| zES@b)3iOI~_E~v@tMLv_-p zhIl0MYKF|cblphuJy^u>m;K+12-+npwsPjDdIX!rjgx4te}us+8Ll5sPF-g(CL}@MN+lnQCUctppYZ2gbE|~hS`nV6*k%fCf0mNLuhHE)halG z=LURZ=F}Bb)Z~t`8mz4ake?`!pXRv+mKmtkjp~Br5+0tVRS#xC$ikTR^Om9}R!+lL&7E7j(NYwCMO80? zwTf!uu2$i~qTgu@wv856ZD+2j3c9;h8x^}@3=)90%enW zj*YIxcoI#;A}4H!gA|U9Pi?7C9bSA-gKd%F%k4K5ux1%5bi?8u-QeNMaJvPw>>xxQ z-D#w<Qu=a3C~~=)#o<-CX{63EW&Z`-!lryl_-{1b75hInp$d&)LjfM{seZQ0%IZ@DT=c z!QgN_o2nuHb|dcs$hF1T3uIK(5N`bq!8z8E*cjh}X4Jl?kMrjO6AD)P5l-UZ*Kjp? zH1A?K%>DY+HYUBe2F>?JqcI>OiDRN1!iHRt%?cW8tNHlDn`vqk!Be6QkwLhU#K@~g zC6@h$7~juGW+hA???DHEVXfQ7E+_R2uJc=7^yeF;&3>SSo2P;_CZYK5kFgpc zkV$(^+D@Z1U|&QFQ%MWcQe;z_cU|80tknVyG2TVD%~{{|TLMuY z2GsczNOCRsQHB6_#U^|hrI;)t)?1D|@09^vbecH=SF5=Y*4bb^R78vKu2k zhq;g0oc3C`><{!M5RAk%1TSg~Qx1(gQ~`{C`y*qQ@^iT@p3<2VcZktus4pYByoRT4 zZ{Fsra5Zl!<@7gGtwfOf*D?$L8F{`$E3?u zepG60HP4%`42)}CrJ{i7)5!+-bqO_ZyPuFLIyg))8RVT7{`EOMH3R^)X!Ob!Wk0$? zy{U+GC34iEY|5F49jFnwa^VZ^7>P5X%3LJ(+8HJ_QR08Gr#*e`Y803-lHRu2(}600zJVDE*h*?3Vn?4xSVRX*ii$vU@(U=@ zdFG>nyn>3DjzbL_T&L6i6dr;V$O)9P@xlj>LJf?=2D)b0b9l{0e>wcViNi0LLudD= zGr2N_j%Z9dxC0uT+(|2^pS4zL{^`+S5YP=pNC6oNgdvt-Yr%kzbage}JBq)F-&nv| z&D2qQF%;K*Gwf}htl7=o+XM3+?`2Hx8jN{|QfY7PMa^FaGsW<)QayyJa+Gi^1+tcp zL4iB?7=RgTXyROhTQ-KNc({-v(OIPApwv+&Vlt$_%LC&0vw4rlYWWr@s1XmR8v1%E zD%tA`u2foy|FOYEE8WW5ds$^?_VS z0BNpE(|v(zgnu@?U~5i)R_G@d#<88oz9cfO+XH*JI42n&-~ca`?%%^Y7)ki69xTJf z+kYIbh)V6}YP2srdd=b8Ci7b2;S!q2a+KK1fqlv=oNT4nyU%|7%cn`Di2umoS(?mj zFiG?JZ3JJ^wFHGV%+ynf(K80~n!aQBhjQ&Smq|OqBcqJL-T<@#fZ7;!8y>B?-Ew(t z?jij+@f@6fa(DTvm=@xR=H5v6d8b-BhzvwT#3>Ia()jlTwFwAA>hDyn2b!L83|C}` z5a#N1_^h{0-N<2Oy&CXEhyf_8u2ruhgi0~Y_&NFVIXC9&an|aG)o}KMoL`*63`@u6 z2^<_yA~f(U%~ZaCs~p#u1nkx75p@xBJwHLZxZ5JV&{EYOZ%z3)RlDX{O@N;t-( zv+_E)D$R5}e?xh8UhLQ^1zx*nA)YM z7dVoPt3XMH80GITlxZwTtBqXH)#@8i{+`Ke1et9_h#eBHR61_l;iwsyyyVF z*3{1@Skpt3NT?&P&D9|*NIrrgY52GMtgyV`Qu(PHIQwL$?1T>1=yiMw6l3}BA+-rd&0k&o~p23P! zQHGJqZuitk+Fb6Y&&lM|00NP)T~WJNl$qr;QD;bh{s6iN6Lev3!+iTM_Hz#NEzn1g z>}_eW6zQPGqAUFEehnBgLFKbCSOUu3s29k<0o1~NVtKnoTJa)3b++)$D9Q{ceDm>Z zh_u+d=%jz7W$|jsA=BO18b_z8Tf-Z<#=OcoN_!hiqRA0Zf@y~vKC#_j33faop0HS- z8E^!rH_h_W+|J;;XRuRu87ahc#lsd;?3rRVgg106w7UZqGTzfvk zE-i=Iu6FZ}fWbA+{L0luIvnC^i{isON5z++6THk0=SOqgI7<0E+{DlDDmO51OEEhR zOJGK4pX02G>dv+BGftKF?Axbf76Gp}@1*Zqg5Yh;r8%zV{B)2lZXpE6u}n3~#s(+I z_>BhoS{Tf0(7H~6Y^BZtK-@TsRF5RJ&%^oNT{Maj?uh;Ndu7x~w@NDEQms{a5|pQ||!-%`{d-?esOcW;AX>RKzty z;{%Qkq%#%+Nx@%v<2c0E^(crp^xEs)(z*zb$a&S*5LewEB`!)Y&zs{iQ1{5mb9_kx z0&V8475tLL2~p6F$t|bdaX-(xe{4c*uml}){-y;0xw1%DH(RV4P~GeHBt==rzrSaP zatJ4ThX6r*109j_tLE}yeZV~*>b`lG)V-O32JL1vtJ%&5#iPQ?Je zP9beVikTMFy@q)8v4U?8FnBwv{emhJ9#OKO{pnv0I>b<)?c6*_70Ii^Xs`(1# z91X-3&_KsAXSD5lt;AmiaKOMCiLC}DS!SqC_||mb!3T2=#Z;h9IECr%H7W$BT?5=S z%q@X2C4&yhzri1Y{ zF;QT1SxRd9=qltc?WoC~d|C*l0XS8>j}L@{lsuA0Y)~H-8c=of#aPo-9Ff^=e>1*1 z3QnMNY|m(r-*Tm(TqGwCJZ`nu%t2EE42}z?qE1X_-QI2#zds z{GOi~f>4n80LpRMt2o85G+&CetY3=86}kEOHQr4_B6zUh$|_ybW^NvvILIlcmp^N( zHqw%{MX^fMqw2=-vCte>YIZHUa_^%2>{-X)PWKL~J9$OHip*rGa(!Xg;-2i8jQz)x z3nY;?^K_E0y5zM7V{%bb0&juavL+Y*!Hoj$pC?A#?R{IvyyXT7LoRkd)ZbJi_2c)W z@eSS7`a@dYRx{mmFg7hJvE1mlFS^ET4n=dD+>_ER>XnPNu-KG_i6Z}cTT7sfmA~tl zw9pyv*C6lJue(r}_J4ga3~5`dQ~7I(NH&YWq#AO>?6MFy(inMX(8ex^g)-SQKWRAc zjrkEN@eCau)yC_1{C$Mo8odi3O_cD3F)v^EOcggM`@i_+BS_gIj~THzmC|20&9%aq zcEoDozUi1k`deo1qN)EY#Yc1KHFjY*->h_lSa_FRU0@S6Q`mA2*J(b<>m2aQP^An(fGbeza-a+Z=*I zw`N>#`I*dV!5cImj2q62H3`1dqtu@I-u(1h({~|0?7|=LOIAt#R&70^Y#J3H;ebEq zvuxSlx5YJ9FF9(DnTFWH#6k3LvQQRaIv&kJSWm#HeL8B?M-yzcd^SfNYZmPr-ADW% zGrW$Ugwm$|beW&nB4mgijWxIhZO3LuL`IW3y$|Fl+EX0gh|Kh1NphJw%#N6K)pqd~ zC6T)RnLBn;ycY=3e)MX>Aa^##S6b9ta`xL8K^f1NiWL!sz}mvb^PFojF6!INqpp>$ z^32qVpAebRXuwZy(-yrBwq|CCS9mra7KNi~C)90JiFH^5oUfmyKNpIH5y>0{H#Yz8 zwcq6K1piJx-V#=t%wLWH*H<;CuX6f6%7Ebd7*eP0_d56?_85#bYQf!2ZesYV#3B;z7ueAcTts;QKj}uosJ$q2MwVJV$a} zo0S!DsizvZ*0uS7)(T~r{zFW1zyx-7_o_hfa8YuL(XyqM!E+92HBCO;g3mIo@L>ye zPDT3XHY6kX9f=vMMk8eUYK;E%(Or9pm@*b#&`h5s#RRyCUsCaz*u9pj>FV`9T(%+O6+1Dhds3Z7YkkRhjABA_2-Cd8{aDT&PVr+Oi53t?#7 z64=h)WZOu`=tTGG+%qUgN-JdKJK9(A%7Tj!1%3*SNLqq(H6>3#xf!f4ZJq( zl8hX`54Q2S_I%@GIl*LExiW$nZx3CH?f&^H; zh@NkN8Ukz9EDzcP9ANp=!`k8_;nD3PP!U{C8`PmE^O)1lR=#z3k5KLTLoIs| zEE&$ObrHtcZ1tjCZEv567H zk5P>&s~WG{Uu z=xew-M0!PGIXygR4yT_YjgM(B>QouBjKT0IKt@qYm} zTi*gbHj@_`1b1z+*t?`Ivr63qaA6G=f5JTW_OnBx3-7TvN@xlwL^d z!Y|d4)rwp@zLuEx0V@)*>_OiCslwQTI=;W3My#*)^3`yUuq;G#KN_yC^5m(j`4CMQ z_yRKN^VVB_?nt4!t@EF%{sHg!YU^BlHuuK>e?aQ5M*)26TU_m>s`7K>d?A_P^ft1B zN4joz7)(1~5=ou=tA|NuWpK+rjthM|LI*bhI5q`kXvY)tQ8zu!+NRSHo;Oxj4a;$6 zt8$%*GWm~6&>5fZ)QUpKA0ogR^>FoU5*E_P17CwG=+E_p`ciGjfJhxS6ik=w<#lk+m?q%8)b^3@8<~C^*DBy*c;l^P04g#G?Jll zz@%G?-{fO?jI~KnmshH=a(7`F~ z5aQi|3lUhZEVMz`D8$>drr6W2P<3wJq4UD5#raa3qD>NBuX_Jgmxb!0S-q4AgusJ@ zs3Ch9m(alEg=vrbg*pA)PKqJtQ&gSV=z-m(x^e9;gK3shXy^O&ifq@C{m$`GPfNh8P8&~0zGT>5MvU)BqL}3u!^O{p zpDk*YZaL;IlXueo+=AJ(>wn*)a9T}HCj=GN;vb2N0=+bB;$Ha7MAnDZRcq2FP)C1n zHIiK#H&t-UE&4nX+pTd%I8iHAgGJ zAL`g-mdh+}(9IUb_M$TP0L5(Z)7ra~I#EA*Ro(Ar=~xOUQ?3ERlnBtl^!J^T59|`I zbwX7BkK-44l-^lIrHi&iHIvwQ$*GY9Vy*g>i3Q|ZWxVl>6SJtUH_By?nvCh%W;B(K zQPLT&H&aC%B%a6aKej{m?3Ww9d|H{)twQP;VMkcHk2FR1ib>O!qS$@*(yb7&RPo7t zQ-O3`K}h6HeUC^snV``xdE_*1FcaB7%)E_k%La@hbG7|PWw8%6oLcIao=Rm2s)jXV zeowBZ(b1Rov3c#lyUS#1q=orA;S&8Bj7Z}o>p!MbK zZ+aE&MN8B4uB$Ch;v*_MGM}wU(}cUVELmsLBKf;U7c*@|=Ieca z@d7{_rSn=y`}i>jM?@2<59r-6AImG+A>R#)bi39lJJq@Zuw7bL{N_yTZY9ylk<(dO z05{FlxD2ZG5uSF=k9LIINTIzuovO>(A(6X;+Bq_BC8sM_r&XdFy|+fhFlH(je}MKz zG;u`P%~%!=(QeGq(oxSORV6iBFC?KXDJ}*WQHjUy+GQpls5FZTA|M z-U~C&Ln*kV%Ob*2sTi0XWoQ}@pK)7ZP3ABpy9 z6ACoLEoUisiMWp3+kyxAe-8hQFDrYJo~`i;gmZhDuB`lrioeH6H5RQGQUW{1bFjAR zebW3RJJY(?MR^X~S(?ya(#$P6ocrUwdX82rTNSQ({#XC2q*jwO`@cqFKbHYpA-o)T zZ{;UMiPUg>Nokg9Cj)}#&qU!FniH!S=5(k=Cbg~I5zMLs0(@QNr@H}zT;65XV14Rd zON5n5$(glV;{iAPWL1+Xh;}Zwi8}<7Z<}Z&uL1ILm|sIHIcA(c0pWc=RkV6*{9Wiy z0C(?F(FweS`!1cFK0$%HT%92d`MK--ipOP+62cG)E{9A3^YNUXm&c38A7T#1+OU;dg#KNi@rQpsoqm6#LS(i$%n=>M52t7kahf7jA-C9Y&9F?Op#MWi`#6dB zcybHQbt1Zo*<9tWe6U+-IJ0!iWg>6s1QCuidm%tp39y#j>B6AGE;^li8`8PaNaK2@ zMdd0rQwjbbhdId$m5Q>9Oy{wf`CTr}E4!1tD8KbWMz0fJhD4o(Ys+wr&RZp2SW*Rk zu{hnkyi>qT@f`NABxPi!0H_o3QuIHPKuUr{(0C2CF6UPFoUiBiTAdUQo;?IT;Habc z6Ag&Sx}u8@vAa;^g6X&KGXln2ZL@3Rbk#(o#k58AF(zATGWG;ldD$K?6F5$0d(sDC zIdXV2ga59TUF+{QH4kKqewGD%)5)u08{On9+$Bq;HPjLpT5-Mg*CknOEt; zPNW!7PW*fg`^HE22G2DO`!O)Lpbslb)kwEM>E{3kldXtorq)*ROvN<49-5}qU^`zNPS zF}Ff4qXcEsUAM-0QaGhT;FAEC9mMHv-3m@vlShEdR}mOoH9No|cJ`j6R(j(W%mJkX zQt78h)v~@~)hSccX(#h)AE$4x%pz*i_{H)~lTr1mj}$&uXnX1#sXvNLC!-P2TQ3=Z zVZ$~;hg~vfI7Qu!?K_CNmDP7LAb8ZZvcTTnxbpF)U)pO^{av8_TNV?!{2-L}w z()bg6S=n$(C6fZ#R&e6Hm8~i{5o-0thj&fb!Mj{=J;8+_(9n9Okg^f-(gU++IsN~>%RuJSw&{@QLUfDVv5z9tL?iF z>6%z(@}5r{VYbxBjhJ8BkWA5UE@?nsHw?~gY?jga9RHqGoZld6Y!m`8=d(W~C1=ZE z`7E_I4(ADED+iHrlN;i73@IJ~K-w77Y)Q?a0XbmrN;_h<;RQ(()TQ0WG+W~-9}&~3MZxHpCwc$pMWr)>#w5^;g>KR)At|?Sfp0SuGG^;E zNiCYv{r~zn*Kj8EIF4^?Rug6;lS_@lu_DXT#a0nHD!I+2n6{ZHQDa@C#Dq-O(UMCh ztWBEe6n4af(?msCn$WBn7KL&-QI4E?p7Y{7z4^ZQKcD~i^Zb6V|IeR1;toZuiD|~L zGAhymn24o1rm($^4aTo2D};SGHF$yBdZVXH4XdEqMJS9(Ib6q-L%qI44P(Mul|F9f z#CBVStAxUFJk?-b8(nU6XuRskaMhqw&?(!%uAbysSwYP{#YbfBd*sujx=k%F>_VlC zF}~GnK?Ez{1ULKJNW~DI%{3g7VN0asuo?Qp0|@D^)Y_1bW!P>fo7O_pZuhjI>xeVW zJ;4WrP?E*+Lmxi2yE(EfN9QkQPpM@Q2$L10?esF-@b{(`fEyZ}b)DLpteG3s4{bIA9F=b zTC9}eU7A1+ST3bS_bvq-!< zgr;gU1a=Uz)Ta@(jy^t82@V#iJ>Y`2c}0W_V`QK*9u%56!2}}3jIRb~yf1En1oF@- zf8wph_i|U7UB6mF5fxDz4I18NoT7;OREY6#-)jSmD_1qS{>&MxSog@!z36^g?6W`y zMz$9phVKS;7?vygXS$LkeO}qS%}LV^<4UuQ`nn<95L;Z_ z;V3cr>Jr|IDYH^R^Uin(hRkP?`#gz30&GrGfv_4ZmZan}38)SE>kSleD_zkeex%B2 zjHjngGLaOW|8;OK9~_F&n+V;xwA-=x7eO?Uy#BPcBQ0O3_np z_y!)<_jGSbn(e|7c_AfKC5hELt^c#UgUNe z-41BSBSQs;_T^N$1UjVKKb~gUI_IRvH21Ig8aq-~D}8=zbAn$x?s7Nn;Y#?aq3RN* zcVG=#>&klIS!MqvobEOE_p+d5$>y#g(@# zjXhbl!gV#xxqPHFU?w5N)AwxHfFaz~d)pP8b%LTA_5ov81hV7(!p#v55%_kbxm@gn zxME5@Wv)P!#a?5ctCqYPTs^3t+|EyqQRC=snR}I{xPU z@KkF1s=fBiqzu9;L{Qs~dN$&YaS^sur7ss7tnbasl$U7>aWaaGPa#QZJcQ8*(DMKebLm) zzcdO7;No^kN0X$nQKF{u+P(~;$!TeHmoH9_|3jCsq`|h%2F zA@wk-OvNvs8w)aEhsaNxSW%n<=TK@Xo7?lxmuNt?Zb>QI^95uHanKDn!8C|lP{53L z(e#}2B@K@y-E9l?utOv>ms)%@_zS{lqf8uqVNn8~L}>-6>?UYw5PrOKX?E?Uv~cZR cnp+ku^mtmB?Gh8eL)NB#RBi6Ro7vfa0Jlh=SpWb4 diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.sta_cmp.5_slow.tdb b/CPLD-old/MAX/MAXV/db/RAM2GS.sta_cmp.5_slow.tdb deleted file mode 100644 index c40c26a083cdc0d919eb6b5fc2e8b8e7422ef0e6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 36400 zcmd42i$Bx<`#=7QBqX6Z78V%4s_#F3O_xueTX3%Q#z3c4mOg&IBNx5+(Hj%*rYEmiepzFm zUi^=+2BBAo(rnXZJ&{ZQ$Vsrz^4ml9Q;WIfD9R;CYV5k%Xa@gH!Sbcz2FF>n|EbeT#fF9qG_qWJzGG zKFVdxKdze4_*>e34iau3j19K>Ei8}3ycIqL92hRqt_en%FJigBrE5hLU(78{jh?)w z(sTN&l=J?Z{*6oIb_KVe3QP*Jcf{UEq5p=$9HsPVK zCz;_my4mG!m*_L@&Wsn{M{@&+SpurC>bFNu9+$NGEUuES>4kDd2e&+=Joa#}*;9Mw zjwlQpC;DvZ(TE7m_V~@DEaBW>i{>N9P`? zC4L(Xr-4=;RXmpU#AS!y>3B@gjoEaKnNeo)yBJUCv8liIbFr5>>FT1h3+ac7ZZ)=~ z43odq#;hw4C|WA%^fU#uxd8vl>LQ8WvBJ4x~EE-?!aO%qcS*hZ55XnO7Att zA{W3-B+QYeD^Qw7uKDM%cmQ5bA9&mnr9KWa0X+r;rJ!1Uut(i4H@3k)9W<_;J{Ao|iH1_~!yLvo zx*wdc-(?xD%lGUmSdQG?l=`G!o?_Jy>q_%bNBQ1l%@J!&ZCAbgXaxr>7pISZXdcC= z&dfOGkR!>HtvP_IzV-ePRukRtI$x{z{o4M}1Y=b-RFYoW0!xQ))U}bex~hz-p?RdO zE*bGdy8;qoL8u$|hG!B}6B33wcGEy4lDAtlaw_Qh;Tt60z?Vz#Q$F3%U9=Lf*p+ zkJ-)&1a`b=SCH`=WY-dbox5iy#fxd5$~zx1vGFzn4!6cTYQSTHv?}Oa1oGfG{r^K2g9}UegQ+cNR=AjwY)Y#2=9H7a)j&rSEEsIz*savSy`1skl zt^aqNAp)(C-2X%W^1dq8+lDx7@*xd$#*bVD#hG!oPKlmR>l-Rc|8KkrfiSNb;mZ)c z+$lmXZe;hf^5ENg))>smQ3Gk*y({NNL|unhBb4&kWa zVGirUTH!R%`Z4#NLSusk-w0mt&foLKQEZbU`O^yQNqaHJB}$;zD7H9#ra^q`GIy~s z8y3&D8MSPju&La8Ybh`L8`JZKDN4mL?Z++GOi`GW$Z@>9iVAt#2N|=y`ePkjQQLSv zfN$R>N=>W^H0Wvv-*Vc6pv~0O-d~+td^QD`$F+9jPNK%a?;+!6Y(M2=6&%QR{wlo{ zrT_iK0QN$Jy!Cqy<*PNRF{}cNCAbWsJ;Mrzfby+^9tp7gDEb7SljBGKXz}vif`Z&S zCCet4WAg#OqSkRNjf6_@lp3X-d9>kkE`TT<F{syrMvjQ4hc(p?YpA&F3trp?2(5-s3YFXDwx#)VmrlGULY#_xM;(G>|RIPt_gDg~`)(?D@HG_VJ? zUWPd%{roLM;M0Li7SPG7X%^pa)fzGupN%rB zR}(hg`0)0KjB(9$*lqwJ1syGm)ezY+`&qx~l;Ak5M~Ez8fNkj;B1d?AkGzGD)3^{G zxdL%qFhJySJVqxtfAd>joCpe_P1TnzAA;ug!8aW$PoD81lU-#PbxakNO6%cxSQp6< zzOe<#gDONw?a=ro;OuPSGF1CF z0(6=X1`$g2LY5}`XIy}mG*YCMCvZH?Pb(@$1=U0=3f}qV>1(gYS0~xWm2M+F0tb&E z{d@9hP9M`>WjDv3dg?J{DE76CwD`typnQ+-7sFphRfrR#@m~eEps}iPb)19`ey2ay zMme94nin~_jYgN4X{{65Kae!gna>e-qjIZ<#?9t4E@d;K=-OdOQecf%B~dZ0>eg4d z6R}81;OSZ&Q!kFd9sR`vH%+w2 zwLj{tj8CT#Qr8e~EbYgHDO~$etCNM(*`mDPHZ!^?S1FN^VvHHon~AGB59?xBmOzlw zT~?$RVQOD!5MZ>gBr6pj5NA8Ma~J+KO?g{!_34kNHnff(^{D}g6BNF2Hn_I786?tS zgt)V7ccaZ2hF;zA#j+ZEgw7o8hx!dMwyaW z9yd;ljn0EZeoKWN{I(3@ClM1gd>fh_m}|tAZPb$P6H6YG5OTzwJt7bb&DrR$g|nvQ zbo82HmpDdY{ODrHaGs7)uqE&LVf+sl!}<@jqOHPuqUuq;h1e#Y?v%ZXe?50YaP{s^slp4idXIUQ@j#wCIPs#aFx?;`)RE&)45}UyodS$NKshm} zvctnQBKB%)(+(6&6}^qYMLP5tC06Vpot1s><0pqJlYlwC@;Q$S$XP&EeR1n$#?1n} zz6g{2-=c%aI$5Mk4y)oA{^o~4a$f}Uv_4&PL$7jZ%?H>D0&ql9Rfqj{ct{*pKWxOe zMxUb*Sz{+UX1h8WXTZOj~Q?b$}y8t0xmYGDkFUsVgFU_p=XOP#9kbeGZyxS$ZFlNdiF zk+`MH9$ec3dud?OI`U+B0j6Ntv1*CYi#E#kfY7F3so;(DglYwinovdNvOxr42dcZJ zIR-6-uVW{;wmFb3zAMa_T>C^H`3 zqp8E<5^X{jxrt!4Ng)WDhc0r?1xA}8>yIP<6Y^^oWAAc!3S63fq@L^57bD>peK3fS zS%{hNqo)ltb7G^uvAO-1YpfRsa#;B8IiZ~`x5MMuu>K&+ZIpr4>HciSvt@|XqGCK| zhvjiUq8chWxkD9cBxXp09BiCTM_%G@B2ddj`r?EKkeTMPdj+F}ijYF1X(Wx@v<1zB ze6=pCGE3CEWqb9S&?ImvF)58Cn298AXlU2=JMY5#r4CjQc}KikQ%;DYXssS>F#-*d zLN&3Am9dzm{2g)JBWwvd|AM#}!MP~=3xuMC*in2GkKY0c60gOSIM2xUE6DMj7> z8;|>+9UIVbZklb}+yc0(|9~uiCoR!{-I_8CnYS-aaJ%wag5Uz20|Ao6Oq=iVS1d`e zh@*kyw`V$@kX zgm$pxDrp7EX*Cr~8L~%H$ndyqW;BiK&Zg{!x=0C|A_f&M5wMO?Z#yWePWUL<8aZUJPJBr?_^Cy!q+|fS)X`MyI4U)x|Xlt&cp{ z6@WGGV+GB{szf5E&=*P;(Cc~JMAE2==*fLcv=aIfBcXL-6t-C zqiRG|?93ft7&b^~f|Tg;k)9>EQBf9aV>ODz$;)i>OHwnJ^X+e@r#g>-JuF!k<(8}y zqKio`2l>si$ZIt5!+Elz3JIJypa23M6A4uv|8qUiYIdyw`&TOZViNfvzg`xbkcd;O z35EJPeI!+^?X_%mUWsI`FR1@DW1d{`N;0Gz&xf6jP9g8(Cw2vhVs{I}rJ&91W!l^R@@X?SQ}mG&fGx@-CsYOVxmhAlDfAXv?PXxmfIlnSR>VFIirY+jYeL`q z7*!JML42`qaqsjy2PlL1fhh=|Q?1uvJSE{-Df}b$#txiLcl}#-x;#@o9Zu+%L%%x_ zbehKVpc=|C6NitKxTjKll4?!(gR)3@TC)c=M265P;b(8j`dfw?RYnC!;a{*9c6cEP``c}n36*sccb#1x!c5=)<-BHKn_GYr_`WYjeYY{Y-F8~)7>PP4GAW=S+(5N@f-L=F~WocaD7pYTl8x6le_dI??XNU}bh2BDzSgixT`;h@% z7R!J;&xBT`4lV8*B=`c`(h>-?zh`I*>Px6jxC-7`JVJ`m)TFyDyfLOa5V>elA3s*6 zdM@yG;zV>&R2)9{Z{3hdNe|Bq%lxP<5)eI(U}Hg=1be_BjjNr);K}%Xj^Jh0N~hFb zNP_7h)w?`Y$%9aH{C)y%~o<1peW6JX2((fXweYv^KJS_v0A=ij~lXS#Gqry zf7NOgQkyN1qo%%HDdbxpKE8O0!)?dCmJqoC=Rv?IvD|D5vyuI4hXJxi{*f&!E)Iq? z6pE3FogxXn3)({KyMbJ?AJEZ4`$`t1Zv4kOIOmYV`f$Dx<{od`#rPo7L0;Qz^pGdI z7%`l5Hph@nNWpj|VM;AUO{=|;;C(1B1}TypuI)bGkUzM6IFplY$gh<}B57zhp!fm+ zPa|=PuUN7chCLLS(?Xm9yCM}G@S(29(z%N0kw&t#`Q7%Avab|Yu`TWWJ|~D!wO4c? zdn20&s~Rf23b3~ZL8Erng!ktrw-!RVNk_f_LDGU%I{NASE;5!#G3U4KVrmj87D(pv z;fp|P=1$HR!zl*M$7W)I(-wxD8I{x@5aSS#wcOU?2A`AJ;K}c&`JEu^>EuCe2U~~+ zj<;c35>8jB9J*>W|0jRm7LJ_RKopPrU6nM1A*9lh$v_mWrjrY>wJj7L<$3zjf3hz5!bZ# z@;``J5Wb~&yW8}Ts4fP#PV7=9GY3j5gq(uMG?A4udfr1~eLPBUzd=)58*nDKC z8IgelXrl%BT$U^yR<$PM)W<|4R!6w=tu0t9T56OyBW?*l?2QQ&`t|+??&XZ zi+AvNcDk+!E&x_sLa=cGayKgpet;&3FP8!r2TSfX+N7GVx5a1HYhv@^wx=FWcXl3_ z+K6}NEDOmvIo?s>yP+DxZZR0Jbg2*<^4#fyr z>{jl;n@LIFbF2&SKK%ga4mXA~YHHhyE@yw27e8W*{611kCwKsTX(Rxwif^FxByQv2 zl<+Au>+c40G;^YA)ezbl&;bE*MAe!OX&KgyxNK1%%|{*+i8nwd$rf9rkVE2ZVMxcg za@pe86tepZt~jQrEx1+PWNpTED%z;cM+)i_8oXv$Cai+P5YYTm zT)ba!i`X)TY*-4$FG+L&IVFosVK;#+2}!fPTO(CAq?d{ISNMwJ7*1d~t?}o07+K^A z8o$PJ*2RclAd9?2gSk`l8skR{r6Hm-|-x_ygAaigZoz}h5mmn{jB`ocHn z;tXO3Mqr-Dc9F+SZWs|^6;!eTmY|Tos(^O<-6e~Rp`A30K-G(UrJx1uSOc~*ky6>g z1PxMD9T|mwmT1;LwoM_Mft%ebi*Awda|7zr$U4T9rH?Y;>v2ez1aT$XZQe+S-y(}N zqmkXHj&kTJcEvr)W~va^hHHDw);EZ{Ba0qkk14dLD!ipaAXtLrqt(g#L{=@e)`&kN zi*%>OdQhw62=x+vCxJx};7MGwG3r)kE?N`eH68yKz{N1!ke!q27ZCRc=alN_-bwKW zKp>!r2svz+^}SNNF0=gDObDc_ne1vj=NBHY>$a z_*V9?A=@q$=b6OX&)4k=Krd~^WRw_7yHllQVd9+d3UCnwydYxUbQGmn9Eh9rorsl% zeTi5t4ISMd^`G4d;6<*X0yGyO9Yp{4lG_Z}%fh-Nw4P)!mcJ4e&H;}qwG8=px55nt zj1-=QCQO^mA&T2v5~6N7LEpL?agQw!>p4~ziA!;@E#FQt?961k(GvFLf-%e^v;?)C zB!-panvaqe-O2}8N+ZP$iqSezzob5ug{A(VHIgtSL=792*2RefChtrh5KAqI5aPA* z*KAd{Q=qi2ma{;U%uG{3(?zjeZVFbS@r#fDCUW9TJWyGu(&J1(_S;K$i|$WTTiEVaqeeeg}k{herGX4{LXX6GnDIo=Rt@>cy4jg(rr zCCau$BegcjQWL|xJUe;r%dCef_Rb*s0Zo zRmg|KH+dhj$2Up;KJu+i@;y5yt!s;Z;!D0!nk$*J2|P4&88`!2o_Gp;bDH^{og@x9 zAJ-`sB}`@il21Q5uFMNL`-gYd*S?uo&+rL1PCR8>(&~t(meQ<0{)8-ZO5#R3)47>R zhl7#Ex#f7B+<{@V9B)If0rL~pLOG}L0iwq8_vcx**Nk%U8N8csSQDH*$uGLjOvgFh z!z+X!RU~S>3{T_kV7rMn&=O76qY2?p>9z`U#Ra=@7w?kyh1M{57V)C{KP`FgoX!Lz zb17_G8=Br|;Y~VyD2g6^wwuGiU(z&wM^}Hee6#fQ`q`LTx#m8|6?gP)a=}_31+UjK zs81X}2K}ML$u)5M3-kWnl>YXob;Ns)#+QtM+HYI*^*(TKMvBh?8TvgzYE&qmdkDNX z<*3KuS?Ua`C6jA=BIk~A@p(^1C2)%plU|oeYkqICb2gZ-GPunn@9o>NWT9l{PGoNn zlQvn&u|~tfvpls(t(hnJC7L`6$>ioXQhcqW(m?IatKRXX zObT;yxXxhu) z&F6m}l2PECStUG%aZ-lTTnmyANk%?pI^K-m%MS7=j2Avu3CyyjTqum40ydO%G3jgF ztxq5akQ4OD(?3Z}3&kLcVdfX+@4i;(WC~`qxX)$~Mdooswn7nD6a)U0q7At2Ne|lE zr?MUjyIHg2&Cm5v#^FG;D53bSaux|u{~h!=Qmg!r^?&Z%-|pW7+wPpWd>c=$vJb>q zlAWsN^5Lo>;wxGdlkmJjy)8j+Z3nCJW1#`Ff|jdP^VoUv-$rkB;EUGpnZ4($h!E~S zNS}WXj0UwnsaVr9_S%NMVq3E1vd54bk7-`%hz>s$c!0Mch_C*mSZ?Po_&mk?_>eqR zaAb!cCO#K&xW#r(C}w&#q*dw#Onrt%bp-Zv2=e_{|K_; z$+U#_26x9ewl>sk>7q31J0E!kxsFKqbgqUWh)?>X$f`Qwj$Sv53=&^4uU+nrYI-7& z&}Ihl7Xz_4fuvZri$BSs|8G0Y1*GRn8|6i}N*Y+9PtTqXgZlJ$ZovrRABPU6XAR^r za@O+_RRt{=_XzP&9O?pYx8auE1y*NmEjLbHzKx2V4ZH>Q?Z3Fm^6vX<-#_F43Caf7 z)L(j=_<0)f4GT3+cmUga;WMZ4aY02Pfq^>FA&yKz(G}9M=hwM+IKRmI-@N^aYKJN0 z+tCxME_}ynD!fTJa{a(4tw`nYQShtT?7Jl4)WGjckX5EQ5f{YQz4HxRWJuwzT zn=RJV_b`k1A4igH^aP*r#loNPuX$6oymC?E9TEmqUI~LYs?~gQJ6GK3u2(kDIHkG5 z;CqvPUphKS_L8J9nbE`_vPc|GL$(x8iZ6?-+2VE^8}Q)#fJR7*xmdJE^ZzGW_)ysP zuNj&LfDCrEn&AxxRuhs9GKdR>CEUkm7nYk99^(0UdEys9k ze12R9wK{rpMoO&jnvCnWe4Y9O#Jkgy{r$D}l$m(7yUXQyu2(+`?x1T9CUlRNRIPJ$ zIBzx%idTHxIlk~&!mW4{Y9z`;r-;koBlocrQP4x7v<}`{%PZ4DS;BnFjr&>8BK>w^ zPo|jpVnTjZwvEoJ_2ENF&l2INbr=J znESl;)($hkeS6?xa9cjLA#228^;5YIm31=`+tP*HI{*Uuj$u7Q9odv&x^;pq!#n=n z6RZ4KEJHSc((~Ig*ROXbkMYudJ#d4wp*s>OTb0Fgi^_-C`yJ+pxvy(l*+Z+B4#PB5#g1-#w?T zAn35_bo1016kfabkK-WP-gdcG7nm{#@G||HB(|Z2cc{q^`yXQf+cV)I_=DHb!@zDG zG?Zb!OA$Q{geeR8z2Fy;7k<_!`mZ}WcDI9jomS9hLLd_lw@2%)!_?8l4(3y63qP@X zwz-2Dx0)O}d_@ZrWR+k3U{S$Xm!wW&HD=@3=^5sMyTnaT;Q^N78y}jd#}9PtUEOj0025YYyPkCb*{5~A=u5_6kT-N_xpe*Cxf4Ne zmhT-lqG!pLf^Sp}#k(|Nl(YsLpDLK^@pFkE=BPH?%sLJj^HjF+jhTS9XYQ{BD1 zUDn%#H;C`5-^@;T*h@|dJRcjcjF-J12qxB09MslX4gn?42@g$Im`bZRh6v7MdUfsl zL-8GyD`Q9NqLo(fQ2h*dOnMO?8`H0zRXc`n`M1tJC$f__lmheCJZ{{ZiYU^!?j_ES z6UTiWqM)FHjlHjaxse3nd&52aeYPSitaD2RjiJe@PRc;m_M*#84k?LR09kJ1ToX>2 zfCZn|*Pw*&ZGkS%UcHAVcSbh3pnoW?jTPt7AAMfe7gm4frRMCXTUM@I&&X@Z&S7Cq z^}XvcrlG}Bruv%9iS&5rsVn3TKW{a}$NDGslDI|X$z8_ch%~>}R7A%wny9YOVA2JqRYpTM8}2ft3P5DKR^OTarea2X)tY+bBe0Rzow+<) znw79<+2fyse^urIS^9EzW%}KuPRNFQE`%)SWzDW22~$?$sL2TA7C%F_m3{HKv6sYf zc|I>S(QvY!_~vBdw=cx9AI}JcbGNZEiKRV+5Sk@(+wk=-d>Ba`u^cKbRxO0sA>16l z@SMS{`qFYZ@s3YMJ?{H!mwaO&+bY`P@Rrd|-1!0I=rz}*T-^R0iTJQc_aVyFv6Q+s zo(Yz`9&22p15E;`iBi_kymzovPFOBr5f4*7RuXFQIf$=;A7R+0?M8DI2IJ4)7(&;O zIgjwZlz&L-s)JqO51`SSxU=dL3u605+q{YHCYcdzWV1g=BYfvA{)A-{T#4|2e6j;D zpu#Xo5)~a8)8`mt>LqXp|4`MdT6tgS#JNLstbXzaJnA!I#x(PXS5}Id3O4qTkM=JG40Lz-?eRd`&a z{<_!7l(058c|g24Jlyrxj^CEhyu#6LNMjs#`!lD|&N z&X84&S6#0`k*gZ&C2XtkM>`z>O^Z&twpt4l-dloCDYC`aG8Tu8xy)dBO2^c+f} ztNonO*PyZ7!yS=>58rEH*`nQPIq>)gSQvhJY*krwDk-7q)N(x}VdsQ7O>_+@)|u`Wc`kpiq$YVTAF%J=N#?BO)A-VV;%2p&YTu4u$-65^ z_M4MvDm#_la(B^NIYKLU-`IL4SQPV5SWnFT44Z9<6c`YD(L3l3Fc#yq5~P|IcD&kQ zkarY4YA76lu&oZ4t!i~bfQ@gRQ5CYjxT8$it7;`-YcFXIS))96xe#9QK(kzAWsjkF zbk4Y^s5ka9PkUln8*x9f2@LGQd-Q@K%zM;&@Xih6SBrU;=~>dK>a!0iJ1-m(F7EpS z)&LiAtvs+!f%w-a#CjU3)m->T(eK1o7~IM}o{2VClF-rK|6lno{r3S3xRHJFMykKp z_*U(o-Kr$4ynC+d0KYW{?Lzdk zD<8e=0L1PAn+wl+r@wvi*J+!jGmKIb=rc~U!nYmfN*XDpn;!7`e#}jK@*5qdxKcPdwxrVJ zHpDD83KdRkjQZycxOSs=gZb)7iahRO&pl`iu9>$mDhuxTTU8~Ebg4mHLo@KR@e4Nk;zZ69=5t1 z&#a%bPKo-LUa+u>Z6P6&@n3k;MtBl0i3`CMxkG1uzj=C~=9lHD_O-i=?$0eT%oIZ5 z_Irm3+bwZ_xA~rBFE}w0$BS>59=XYV;W_tUn)BDaAS8Ex19IN+uf9;N45Tw{Qj>qFqSBK8~Q?WX@f~K_^>mvOIV0#Ej($vka>52yoe>Q zX6C>-55!J#?aVj;C98_@`$X-D^8pONE zCCg~4dD<9%>SB-4d+qOlaMud?;WkFU9p!T}l=(0lGWruHC0rz`Q6P=y_W&W4$eh06 z;I#wnmcgHU9>Y23H39FcYegsIlB%8_Kwe`M+3S)Dnck$B^FV9X(11gdixIbiACx+{ z@NEy|D{W67nGCx;a{#lufcsNls=-x@qySoN33Yr~nx{y@DD}qo7ypw)-T+~fx$SkB z>j>zET8#qa$6+rjTpv1`j#LewdQN_dsNq#TAw-pWRT-Jh1@#?!sPYd9;!(KJtSDA7 z^lib9V>FhWN0WEs$cvd0U#odZPICd(MT!2iU7|)2HnNP!Kv-~`RS4}*K1<*d#x^o+ z&i7-ID98DE-No+xyOSWEt3LMCwhUl{ma^a5f_#8 zPH1pm7y3DJebI6pm4Bvt9Hvcu)gdh#@lZO?t;{SSLm7*>`YmXma|Fm-_$7%o8puwU z#`_f{HOnER_#gi;I;4X{JJ3xXFu$`FCveJQD`fgZJ6e&PNr!*gv)9V*WAc2k~S)W)IkE0=FVKHwmS zyc$Aw21nqJ?6@GK9c)a)LI!R@HJN*U)FQIr3-`$=6)YjZ4>@@zu;G2;rLVp)7vuX~tmT4T*Id5Ey%Ic+?wcy%xT~tN-Ch`*ccv7>rCPom@f4zsKc^e*LKg7WKj-8iiJ)8U!xsF>X>vZ6&-luZWozw!x8`bX5G^?LME7{gW76;-5BprKvb@rZ6nOGMB8wbmZ5uD`YdAgxjgQ{I`=nGE9m$4xQGJWBTb#FJU4#%?i~ zR$S@yFc&_P5tU?DFSMqxc;L(1`OU981?hpQcDsP$6#s-PV;_?Ur4z}Eu~HGPR9x+{ z7v1GSLqW)Dd^b}gOqZ_ro|532g>D{*tO-bjQEY%&UzNx~lhFUz3D1CTD>t=|HH^q` zH(G&ZtrO5(sS|nUw$}fl=trNCtMZZe68HtFmYwcj052(&t+US_AT(>Np)yPvmu*1uR6Dw!kD%;hITF8Emc=FEm`lK`8 zP69mM-uS>-4$02zk){}mjpR+#IU?*UFlIFMr({fMz%@31#Q4HxGy=Qq zcyarJDXY0E#oxw_Uz$AFM0~e+=+E=#i1+WD0&U!%)}0n12!C$nuj%di{8ydi)X>d( zVo`c%U8r3Ukt+!iLM;0Z>VkbH`5wL4l^9rpo#))qNz{5!!` zSZskoL?p+3kY-`ubfGSly#<>TC@>=iZ<>U7r3jhWuT~eO-zqMxKO|&N^mzZ&Kq>~6 zn41WFprb#9&oHC;`<&O)S~7cY>>CVAmA|01gnrP|De0Hl&%J<8RgErFKIVN4kMTfOxulR&2Xr(jpS(=E ztxDgyTxYb#O9r}%1@QbQ+g+}(I+!y@Czs9|wMD8wLnBp}#B@(<>4}x2$gbN4T@UBv5S@<`N=-|$*we&V39z6%FyZ2ucM=B5 zi)fWg*w1FF_CmAaM+Y^4KMLdNYdj6{3x`W8E@KytQ)K z$a@1H(-{~! zhLsd$+w4|yWNvBlbw?f7Yzew&%hGHuV9L_;DFm4cHYL=Y|zAj_hhk5S2&s?|2WL22j-L! z1oiLlizx#9qy-i!c1DxW=q{=N<|Y&(&Ub$b4zsO-&}WfLN6EVYM;Y7&e$H!dJXNkw z_(SS_-od;p2nxjTN+D7s7*hIo)S-1uWLp-v=U0%e(3Otow^rsAoJ*W}F?Pne=4{lD z-4DY85WgA}II+8Xo6OqZDV*f9WLnQ2!;`HNsEF)o=O&qk9rDQB_o{upf+8zPk#kRd zd#J~u5}pJBL-&VkkE@~37mXf@O4nvJo-4)@ggzHA<)zrm zcIB4#6ASmdErLo12Z@$9*jf`kfe5XVd<61m(L0xP;hMJ^$sVuVdY#JEypuRSw`=t} z6@mI>KEg{i)&gvcX5%JKjxmzR^_K;P_>glYN2-CJYnKmw=G5kY-E{=EFK6aBEa8WN zFT`ImS-3=ZtXxy{@0Swx5Fac(7ir7#!%~B{KZEURIzdH1QbI;rHZg&hYyf(mQuNnS zU$s{lp^iOhB`iJsM**DIYjDv|ZQ~?9IKgE^eCq*gszwFy9<7JzPJ@7{0u3FMIPpGH z(XJ!p8?cRUJYx9dqn(g=a@&m|%6Yzt&fi_4rsrh4cb#+?1T|viHYPzAmCPfwmn%b+ zb@@y5qj$g`+ND+LTj}fDak}N2y4ed__<=o<{GDRIF#4N+dbVIeOY8?1<3me=j4M=7 z@iTY(Pb(8b%MTxgRY9|?ZR-GKVBt|_t`X3^d9(ZFP%kV0o^0?k>X1|X~(X2Wh#3D$=u??otz z&axMMEBgnzdyrTkMSaenw;~-vZOVsL_D{2whdbAJ15}{6 zZOutP`mI&g4jXjH+RjF;9LasB&>t5j~&00E_jEca>E~vzRqQes)gderZAsv z6Vyk3gV{1v9`~SKGjNMvDU(+%x(O8@x{)SZ4CDD8h7eZ-%xR`Ic_-N^$J6WReAF(= z#^Ou|(|Y_wZM7!QhWm|wY`mN;2UK7FY?YlQu6s4Fbv_q0n9{{ov3wjY^Z;WX(O*0?B&dPm`oaxMS<9_ul z*}hK5aN#p=T{W2JE*;?ThL_!_=tKDXjf_SkMPN#LuTaovs|?i2=?Z-sjZ^?`mP=^c zXob5X6SytA#9EKzPce5lwqTy9-h(pDy3vJCQj?*qz)Q`wfG21@_PzrC*z-+&^1E0B z|GIp8#6vyt(&%$A22#5XHRY@-_Mjf$VDoJ%fXfxp3J8j(LIOAltutB}Zgl=<97O&R zqd33d(8kP0(Q&kre>eKoyZC!Th&azanbNKW@Y6uQ7%P;iB-jZx4o`J8oQj~7aN6Cj zkUGGX9T@`zNmk^tNf9&9gwFK&r?9RGwQGfesyjJ^+4zi+z{MJfF-54j?zSWlMN;cw z`%sr!JK(j;wsjo&kVhn=gIN#p8%Fb|G{ZqK`qJ>^Pvj3c?LzR!T?%pf+?;{6MbIZ3 zaV`+|h=GNFdEG-$U|F&ZA_gDVJ3?0#w02li7js03HzCa6u58%pjJ)_IylH~)QZzIk zAMJb_%V>S|Y)!aEK8~Y&GlQ>fTh~2~R`Fl4qns8UWy5x*t?Uw5T%?jsvLjhWe|Q~) z(|ZHhY42ntaNJARiaefPK8?kkljIv?561J`#iv{?c>r2Ev1H#a808XJf1bM|31BLn z>8R-v+?q59{j_YDnfPbbtbyD3!0P~^3_J?lc=z~@RsSuML0$j==FR=rGFt;N6 zOMY_Rfq_d|Xvz#{VYVR7)@pFW`6t*ZDvsh%Hdk^#&w4Nj++|C6DtfHRs*GEyjn`teY zY-r6EiTHNs<+wH5N?t`FMk!6??>E z^AV7AwN48MU`FJjkBq+9Tb{cy+ivDwTit~|mpcpx@K^UJf?xgHoF8y4`@?9dmRD+= z?!z7zg3lg$lD*PMn-f)e&k)U80vxiv)pR~W>o{TB zBs5)o*s&MS8$vUsh32=<5sJ$O=T!lI^-fSo$S(py61~Tt@65gI-=`vId1+drwc6bI z!uXZ+V=LDt#w$X|P2>0F``S4v!}KX_kKD z$lzjaZaa?S+Pprs9!-3GysmP*MUA!1M=vLXJEOqccGI;xQCkAMhyL)C862~nbLDU7 z%rPl(O-+`5C9+7u?{E*^@Nbsr zp@-1Yv+w#(ydUz*ZZjP zXmXnLr-pk$@kizQAUknN1-Ix-xyyHut~0KfRJ)vWY;~RsriMOu2&PHYRQxp5e-h5W6E$IGJ?J) z-982d5(lNpzUVy#m8wI!?$;9e8uBB(&pfX%yOs5xljmj7d|BpZ^x)+A?O1*LcdPKM zZOCrBokT5UWW}kuXPoHnXZw=UjcNHwI-nvoAjNQ@?J;kU@6^r1ZMvZPy$`>JG3(KR zUX7?t)u+(Y`{C%=uj|L#Ty9Td8jpV5|AMOIYIr%&WPt0aYpR+)4DZZCjh~`VU;-|L z^3{$=|FzoRy*q{(x0WP`6Y%VX;h769(LZWcr%n?mFr?@}4_*~&P$!qk2A<^zU~D;` z`J3j`%hI-9i%{E^xR)U2E|HCpdXb89&_xAA`DAR)71-}V>t`ym_l_h^X=VIoLR1}# z4rkSu(%9_8&HmOa*ma2kUO2YcQof{1>S+a(^wF~7p9E=aqtkQDMB#YYoMU$bs%lFY zwTqN>(L6VZ|L8u~9b!i>Hi7Nx%nh9cM2t`OyKkSNES?-B<>$G?26bvS?@DV3oLp$_ zwoHBLEq!WwHg0`?pdt;LXRx0L&9x`7L6?+y7(JFKk{H|=S6~l4H-G)7I6T~MrbF6b z^1SjB0d!X8wZW$A6IWy=jxT9w!9H55yzJ7`YyMW&7eeWcgN1kqjI*_5fK@Mf#E&Da z97Sra_|ReV=<{CulUw`%6a#|=B7q>HJ3GBTk|;L#8aI3&nTqw<6&w6&K<|atMBD^o z!N&tAGx|N8!dd2BU66%17^*yowPSG3=?PufDUhxIH9~JuYCA=38J91a%Aopu`-C-d zjU3kcxB+KjHXc41_P}xYs189deoE`^L}NG-;Hy=#oPRC5_r<4;lYa1XV%+DVwE0n{ z{?97=j5^Yl&ew^g!8>zv67PN-e2Iu7Ps%v2Kh{-8VQ=nk&F1e=JY(EnP_)bnmLiQ$ zov?uQ{PsH zQ!N_A-x%|dvyV7^u!ACcu2z)ksvMP>#DvFsXz9 zyDmN;`#aoJ5T6RffC2Ncvk4*37UD6-O4hek1>4?v9~Ep%lP21wG#AtrNc*H^>PV<-1L)>YJet&oTJ}EkzKI~ScS!a~|Pv_*M zqT|pX$h@A-PX)*<7ZDW!pVOG#;Xt-i_krb*Ji;e-^VZ9IhNbT%bM*HF(9L_AN5i&y zi4{MLZ~)gHMO2C)bol~PBpe-A#oGbu(Md-@2S?3IOkyWWZaX9nsEEikB?70($XJ*~ z115#cX|Cn}OQlumju>o#+?K9T!0kKdDK3vbqrQ#h4R~BBvYqtXKj%NRf6T5?=O3St zw)@$7H?rvtI7MQSRkr8;p_&?M7~Ikg(P1G&{DO9tISMr1{@WBzXYx((UV*q zCxeY(Yge{r;G&r;yS69MjXac)GA!A?eY=BjX-yR|ex;WF^z_t=f-6Y^vPOidfeO7y z_Vi7M&U%A+|B4QR(1X+9+IRenKKO(bFTOyz?YfOj4J2=Vct19CkLbm{hvtLlj_L4# zJD*_nWWQOh4`kcpOy9$UdRP z;TIwFYtpnKJ;p>Dn8|3ffY#(PpZfjwIS~Y`K{2Hz15}b# z?vVT1ksWBAzy1hJ&`0TUf~-8@i5KRPj5?y}YRmMGee7JWJzeUNBN34@*Yodi{@A3H zr5)`!Q)-BCPME;*QII^I$&?(*Xxg4O58ZP&oZ8PMuK+TMMeRJ$_yTfbPU@ zO;~zF3FqQzv}oMR7A%J1C0}ll&3!yEPa3&+)s% zJN=Af{A0QBUFZ7UyZo~0VMnREQy1>doh*c@nq5|nRuf?Nt>DFlBA^T@!oG7U9hBV8 zrUs`b*^Z`XG5+;j_)o`ucj(PeXd3s0o%s+>N0>}@vKG2>XtL}O7%*M4Og9+SJJ0@@3bZXOBHx`9jY}%>8kd)}F;>>p@CK6;5%Zu_QuAFj3J^kyt zEv$9TV?`h4QVq1nPZxe|acS(jVRNrnuT;5Biik^p>v<0U=rPWaEneQ+74ud>>2sRXcZyNpB5NoU|I~Q{kIxr&ld6?TA{r>eNhCn zi{BT|a4;#Kbenu&HSCwMW8>PWdgjPZuSd|`ptW}AQ>y}9@qrVlD^YtGjLr5T{j&GS z);Q}hxH+~P6~-598$8ptt4vdv+Fa;<-=qgmfi?vNJy|xpJ18D# zX@7gFm#SW>O;DeU2I%6zI!w%Qj!@ zh0~6T@zZ(ExXRKB+TTpBP19by#JFR{`pZg!>zJhmcYIK#0IjoHModLaVf*4C&HV}K z&%P(cTt%Ki&-$xl7g~@VI-1m^DQ@v;iq?BNxYA2BbsX#$yozW`QUQ()zp$=_Epop+ zFib1|VNm@vX#~Xn;>ekDnrsvwamuOL4P{?`Z0Oo%-eUe(lvF)2m|QYJ2o9TCvTNXO zKwhe2&V7BX{o{quNPwKBEKY)OX-e4fQg>rfOn0b+Xyv#^g_)0#XSxk{I>0}Ed&818J zcCL)%Dh``n{5$pNtY6Q+SBcAnjb)3IhHBoWSJ;Rt&w5+9OUh+fXL$;d?b@rB`Cq1v zwYp&M3j$9#TVAKv*NJ#hqh+y{YyeJX;W0ZW@I_q!X1tCWmEUNQIy|S#wr*db$K;ax z!pVmhlIyk!Q9J(1KVGH5U(9iy9%M-MT^(SWSRx*>QSIxi5OCLLJC{Z4vOh09jQ9MZ zqTc_4^zp0aHtX$mzeYm8@{hGR{j?da zRj$mtU!k6}opiN%ms4?0txNOgSj4qfm8Xqnk46t$h!!=|HX}YsveN9FwM})+t{_(-NXd z&Eva>h0GgZaERJ`Co|{br*+#Vwu)8Y;{Ek zM#)eZ#(K$U+Evo#dXz+PkXJt@l6d|7Wg0ABHUmX#l7m6B?mPuKS)NX^+JUWh#|Q;> z$838pbR$%)h{2Z0O>*OVYW~KCGs%7H^?UlW)CQ!+Rg#ssQ5d>UX0`^lK5d0HYKqgh zZ)k9J32IIE{2^oG zb|PY}6))4q81=EV+|Ra#$yiRi?K{Yjwq%0PfhBFX^2LqP;yfRe3`oxqDttm(4a2fs zO`hGe@+qq%q3XkbeU-SM#7!FTCrqfx^naGba{9rwNlA(C8q;}5Q@uO^4-JhoU9~3) zS-cW6Ck%}Zj&suA)LHzM@5($l>f2XR*yeDih+|@mSEs>QgCp?M(=+&xArS^q);amk zMCm|zO?a(E=gE6G9sQu=rU&u|TK9asEwi8YS{AnxTc0>Iqs>yC14F8NouBB`+SSUW zCkJf@@0XX8tPXg_9bIFK&br2C`YDfZu()I*&RbZ?EZE%-R1XMxVg}3bQ=a;s`Yw2S zC1_qIXfM+)WXZc5&N#T=?;@TC4B4!WU}8&ix{u)7EnDNgP2~1>UH6>SU(|&}GzaaA zt-0H)BRb6c1^9k?n8{36-L;R!8zin7kK8D9VNT`2f2*4&na+tPI-jel5i6{@H6mxE z)hvUT?(>V%da#!QW$i4}Fi4Qp8X<+INhzuf?KNa*gCkdpGl&E|~K`cuPREQh$|NwA|WfSYvq; zEs8aRtG%S$S`Ay#W=n>D7K7c+yjZQ&d7_Z`c*H>g*=e+Yt-$oM9Ns9OzdpN1!daz; z+dk~_emSFP|94Kt>!{imSK-?j&3!-4{nqtDD*vcBt=IF+d0BVe5`!d*DW-vfDIWG1 zyF$9j5KO}_?R%e1FI^D#t!aGxTq;S9;E8Qk-ud3Q7j%b(ynEqu$~-si4_XUUDD`*Nj1d=KT2Q~6c| zRFc&Gn)bUehKaIm&b5&ckC6iahtw!2-Q*ND+Ct7rrfnWMGdaPW_GRKX^};m`gGT1^ zsB?1e>fm>WGB}*m}=uLF-qQUu-$emBdG@arsqR7f%~{Hp>x6ys(NzBqk9Xr zbLl9(kjs>hrxZr@AHXZ;;9(~3eDjp3eZicRneB(S!zwt@g=n+Lv5{`GDVLhElO(HA z-ifavSJoc3RD#!limPjgGnOM0yMq_H%_^h{@!aE_GIjSq1={dvgjb{F4s>n9~1NGDLQKoJ8~5px^4!ZC9dd}JVX!a;?pvPCI3d**`f|x?R9sG6a!sp$a`d* zW89m`tE^9RQ+XG7_1*L%dGmKkT)U=<HOshrQ>42nfG8Qqz*d2W=J*7+sw7$0QHw(2t^sn;r_qY;sb5Bud>#O0^&y=X{u z>9w4?gEO7{{cujft9amGrfdGs{dZN>MZYaC+B>8#`s`{*1)%)-`UNojwWalS;iM*i z`cShRX3|ZEx^(52Ib@}d`;$rO6io8#?jq}3$JSJay9e&Rh^5r#M zGHw_t4epC5bd{xTkbjCN=Q=UYFZ(81bj-l}WT2_BnwcXEv)fSt2v}CgCpdX2mBO2f zjaw>AuKyT(xrwDK9m~C3{mQ?iD&<@2N5dwm1yXfpkT&yZ=jCI(w)c}0Nl`|e?QEQT zL#>gCLk*E`tt?aD$4tA-k_1gNR9+aR`vKl^Yo&9AVhjkDU6XfGeT$L7EG3JK2r0}j zKVzG{&v`w{C5^_8`C)}DxWy)${^zz1IJuWw5Al-A9k0Hbb>HCSEQDps@~db)zu)Rd z*Lf>9excvlrEE=738P>U^TkX2{RYgRZ;EO9<2lfj7+eVBPHiAvKCkEMkvrt4q#0w) zC%rGGu=ZE<&(*6r-qUy3^v4am`e>0ln(&4iB_C6y@1qdRdSa<`;AflQizHq-_g}(8 zb-r&&l(n@qed)Pboyw%i!lWi=$96q+r<;l8BBWqpw70zX(!urCk855c;10jzbZ!;* z8N7Co@QQA~+gesotWNW%D#hE=0{b!4pFg9bynft|DlhjDo{yPV;~@eIk)b-R&vy&$H6NuT{hu@x|D8Z0esu&Zz2 zB5qqEVpD_z+|MCVB*F&Wyf3k{FTV?`T(g8n)%gtHi1g)}_ZCQG#3fm|EBA>ZNNb>fx=W87mohHmT(B zcedS5>}yS=8hCN)xZcv9#vgAsVQt-yr4I)RHk%S(rj`cd1nXna^`>2u_I;+~`O@UX zM-IqzKmK=(hX}3pi;}o`;|_S;6;-mbT1bz0U5rK6*BX}+r3bI-24GvUS)1CzPfsnp zen`c7eX`XatYmq8q@sI_zLVkk!`YB$t(T-gFNkI z5v3q+(&AAgGMv>{yX1F4#N9(T5Jk#@#XWzN6E5$(+boWIe~P8zY)bUaEDL>{%UjXn z)ScA!>v_lPDf=f&DpZQ-f~_y()wX*Ey4!LO8^vD=XRTHXf6?a8`83k?Xi`nVx4?9P z>*Z0zM=`@SS2=uznjf|&I1hH-h8E|IK_7W%>@nc)lgpd|!xI6%KcUYU8W&-%%dg`0 zZFc-ofs?sQnY%vDw$(@0;z{-E@V*>OPX%jJrx^Fu<~Mf^Smj>wy;VPyz<1xZ$K+IM zX3@JJX-)VAmuF`7N1D#DPdW!&RVz8Ghoj{2?zktOJol12$SimMb7SQ@dy6xTZ-_gdkBmE6 z5+lxeN;=W~N|lML=T4fQHu6;Qx0=cyP$sSZD50gJr^6;6{AfJuFClot>xcw3JYC0z z>-=cYycqZK+wXtZMeb|Z+h^yH(02!(e(#63`JhlE?d$3IzxR_31KaMfxta}nBnWus^02usCt_sz zjIPRXWpAuoKDxwL`lcq$MeFIar)&Ng55>N>`08@{tY-K=FRN}@u$hSb9g(g(kvu>2oY-@> z?y?>swn#sgettS$i>+~mykJ*wBl)XZo@S5i`P(uv|J}XI|FZgouGDC<+uLJTkEZi$ zKCxqZNpQ{jiFgh=z59Ckb795T_d5kY<;s_HFCB%s`ue#$7DOcAmTa=Wzt_yN8BKMP zI7|GmE$OD78PCn6`~r=P-ZB*z!Xs)jGyNnL(NyqrCj4)T^3XGO_m;QN)ToZG7~+NvDI*EU?hfBjLmcVTV_mnM{x7=zPEGs zqb*0Q;U$q49eRh_&ph^4_0qdtaw}0)c=QoRYMjuUmH?g;I=0!{*Au)+zkb|_%nP`! zci3>Q^PbaeUUPEi04@_3bxc^fwsT@(cO}k^8S~DJTYIzOiB!3h^&NTm@Oul3pRbI@ zj@kvN9qZ6G@{*?@>)*0U-m%=W-K!B#HoFxQm~G&T_@rENzxz94K$a0hWldCHQTA#j+kfxk9G)s^ z%NxZG7M7th#MZN96(879uY?hS2~FNW;WZLc9Cj}9O~J+SwzA@wFNHU)Z7;_1q`fN? zH_OetSx{ic$TxfUwm{o(^7ng5m-3qp{4zK2Afhj!Kbtla#L>NK$LMcyAR*k^)_21i z2)m5_>@!N&`va)E!aMO;X zRpyL{&W_F3EV0MDmoDIU42z%lUUe*KSy!rIQ781FM51ky+)C_*2Y(RC4A=e0tY|^q zsJe-ZQ^jx6UZhnNDhDl|n^g&aZk-K7K4@x7fl=xz4SyD2mdPT(GayKkU#rl&>GBl|Jujd0T9? ztniT-r5oDWWQw!=yq5e%5`XS<$?$S_6ID?!={(6x_us>iV#?iXedK8`NlCTP$j>SB_2(}Ni~EVlTrf8BOpaW%vq@jL zuWR6iK|T8RphZZ`tP4IYF*3e!$51im6f$cuK-x+s4QFD5+$Ws=eZKBByq7zKJ68Gm zdVI|5sh}vj>AThh7%`&rE5DFSqEvjr*gP-Ya>=fuk#PjSV^P21W`7f2_C4j(K*#D2 zh1y?%QU3DI>He6&&a~gCku+a6xX><%179O^`KBW=#7uvUAHVqI+2Qe>j@c{B3j`_V zXMg$VZT-HlSI*R{30QicG*qXQ{XnZLsbuYr8)V>}lNaA?x(<8pr`fc!QmY>7>mZFFK-R2H? z!3y^G=RD{ltBtQrzHYw2+jU7$ZV-G&xCJhZcdF0+XULTQ9j^+qp2k0nX(=x-Qs1mR zOPQYimV&;NXD5VzJ;5J*`)GS|W5Vlr7R@<@@>qY-thUtKHwA7M$r!#C;Z+w1yVU*S zIrYckLtstJYrpA}^$Vd&iTERhKa`jk;7krto&@i|K1YC@1M%N|O42V zz-5KODhVB`73Wj*NDBJr_}|wKjt%1jYk{JN5WdSr2?M17$G(6mOrmZLX2@tRMseHh zH=6-!``2!iwWXoW>AK&E2qDgmioc4pJQ`wLfZ#Kw?v1(g2c9=JiX24=_nsX0o?(YJ z@gwe@Yfw_&@N+uOH@i6dkmN!2F#&~+k4ruJEoSE=zvq1&HauX$ZY4~&zL{;6(&Ws( z>7l0ml{RpSywl(C?5pV^QS3>T%KN=*Zbl0qtB_G0hMQlVuSLOkACrZGb9+Wp14dhk#7adfEP7(~ za09kS<_wpal-eUWd9(6SCR#`MwpAv^#Vo*IV|X zU;41(;KAcRmAz+~x9HvM33}~KU38{eZ>}#r=gW&@qUDqz9cH}(75p!B=t@juiaP~V z+Ss+)xW;)n9e5A>R#+X@ z(T)muH=;PXQ@=q9+#8~UZv=o(Pz#{5?;vBl}bOydYZ zs7n^x+9zIA-8R`ry$zL3uPG=ld=w`4b=7dmfwi%L@8a zQ9AD6Kf3LIpi+WW+fr<00~eg{eB02#dq6f{Ty-}o6W>4ZulUQ@$Y1r>_K*tq z^ualCD0zbm++^WHHzsf?M17awwTu<&Lqj03jj+9MKfXPP7;@F7Yox zTXL72(=w(x6Q*3Z*o?%-)PJ3h?}l1So!*Wlze77M~4%FfU zce!W_VO0|B<@DU;n;buKeVDj`mvaEqGzE61pj^3d!0h-kSwes#~euQs|Ne14)&D zo5LTpgveaD*kcb0He&)MPt{XvNe;T9XT;nm0)JxBQoO)pkDl&tpy#Z3Tm~p?jYa8& zww}<2#*wNdrUuP70hiqHxdg#tv`rHIWQHc#M;}b3=>02En*sr^{J0L4aiCl`h1u8v z&oC5{7+=~EO4;pSUnd1^AYVqp+AkG3-nZ|m#l23NAQ|*=qOZU_JnDTqGp?ddmZFEP zs*s;@`G6UtQ1n}>WpQQhEtD&aFNrPk!B1?>wv}G5j#$y$o$x|7RHFP&D+eR+7-L|d1}QUuK1#(zKp3Vj z4KCDv0#5FE27X!ZMr!Sf794he2HsEoAZ^kM>t%LbD+@|!ewP%x3~wR2w-5o7F?&60 z?B1iW{9Hctsh=?D*|PU}fRGK*z=4kcGXf-0Aq+NVQd)IL8AbX3tRYEy0n)p*9>L{@LwNg^J|3YVu$izxoBU?kp|D{0)I1qOUS!TcJC@ zTS&?Vh&w|Z?CfA?o5Ys>WTr#+KA1->6KP+c%yf?p)Ksb#qt7sNjswc4aOI2EbYKsr zCD7IUkydkWWZ*r@@JGhsmGPH*Mtbo&y@)wy`(4EabPUncW70&G+!%*P_kJo8vf1Nv z594}1)A4OzY*CX7GIQnd2WCF>+go5xiSvDIN`@EB<5k$@?>ePBQ18#XRh&OE4u|0hNk_&tA)5H^k>?#3ICPYt&uO2}u)zL8ydw@LE zT2y;@00(!#z5ZYgXtRhb*HINB03eb=&{#gi9zRcdokYkIj@a?{2d=G5)T@4FDojQ^ zsV#EcAXbF@{0z2g~pnVhwS#-G60F!^w`~>A6XJ`m9cX<)8n)ghjVRRHf!}%0N zWPJzd6GVl+KX>Of%!$GOTx6YIJ*MBiAYS*PtTCs4xoBwP1Ku5Y!QvYeHAZa`XSyDx z&K_foLF7tpq`^GH8buk1H{^T)Vsw=5H-(@vi1~K6)Rxg>eGt+%ZFfTs;c|8-(c}mQ z8+$pW{_^hn#l13KYN2Bb)s+Ih^owA>hx))&t|Je>+4mhdSDA9+w~zCPxaWw^(-D-{ z2-rA{6?A4kj0Up1n5NDLNTq}T#=8~rZyT_?8Jy;7CUb!!|A7U$u2@bU>}VIPuLCj$ zorE!FhBA=m$f!=--@Wt&rCfJ_dY=dLSrC_x<`lAFl6c25TAKjv6Hr_4RMyA9{A%%mCV3;@)K(cKNVYSMb8^VFK?Mh|OPrhGLAAIR_oe6A3SLH_869)VGX=Y)d-OA9pFo(NW-h|7i*C4ct5~F zFCN@s&*R?1MnaWGpj&vGD}OS7+&JJ=x?4x**+hBPq7~V|2dTbKJSMyMM+o2PBrQLP zJTdCzMqz6-`a9KL&q&Ar6Ex*>COQ;K6pCv_YsBuGvMfjAv&5B7#W5~mc7RC_P+anACaLW?Ov# zZ2CQH_ub+jj~UoBhH;I(93Rg%2n?9Uk>~Z7@#a}jyOKS%K`(8%XDe_k2dndn=?*Rb zsM{z~A#J*scfisI6$k0Hxas%gS)ujzzmtzOY=}!9Ye*-=MhCsv@$H5rCJx3@(}s!! zDq?}LD}&TQZO`o!6}JJ+1wbDMwJ+UDl5V9>AFm}VK&BxfAWS)iLK0ghg!jFM23swP zOno}$&RMH7d?hpFfN3gBCkP!N4Qqe`h+PWdY?I*VT-idaPqz^8xNG(_(>NT0<(~Dy z3zh{if{4P9A)aaMQb5f->7zas+O3KjqkC_ntf}bu{Xc>mMWP4!5VD9m*en!{q}yGs z19q>AQ>y^t3E~R{oF}^+8+Y5!P8#GC!iZg*AK4E- zRop^xC~u+XTt?uYGvXqNVVhB4(>ywgxa9**lYHQcbUoKV;ex}pe?taLA-BKW6gJ2} zs_tEzq<&nX5z@h!0A}@Ge2Myf^pr5gU9l2%J#R_F`H4QizU8vT?z@q!9!6pI=z1%7KL*X?5tLHlAOH|I_d-H)#Uv zB%{_uMwaJ8AT*wl)1wA;RrEf+VF{+Q$S5QYg0J@=4FRslB43^b>A&E5o?-S#s?k^A zB|ixR89O7kF)Q<8?7M#)6mpD#y+vnSBsNCHj)|``b;DL{`^f!np+Zt0U}Mz0D;W4* z7sOy_k4!J7Llm=54=Bq;3)#?HIcbO(Mz2m?er_R9xd1b91=jQril8C-frZKO22J`7 zXHWfu<=!q5-<#zOgHoj78;kP6{XvLlm<|`|=h~X0$+z{^Dc{sPfZ1F?aL?0&GJY-r zI8Pl+@%4a?*@#9#14#HF=fA#Aq7-WE;_a4A*i*~JiCd`bpUjnei>aIx52*8B&!8(> z6Yy3tD;~u0VDzmT3hfjP6Beigp4+<)vE4x}40VJy8PD+WAU48Cd~3dd=W$Y_QXOuA zdr$azOAoU*;1_rbl3tyV&?>?uR?30rNCMIGFlIbBFt8)eW{sU?1CD=(^d+du4ywMQ zlFZbhVlR9%d!^+Mwo_TfThIQC?1+pnM08+x8}QagHf zTS0(6Jdg({Q-}E&XOfs66C+>2Kn~ivF0)8^9lV<0!?!SerMP0znt5rCp|H+)9a+`u z)FH6`w>Bz0lxj{;UGzV{GdM7W5gx?wku!G40+3D~lR)&xhS9^rAT0|kC%3=H%Aai$ zd4t%$jfNVAg(xdGMnq`fxFFM$`XMg>Nu;$sEqq>gU?r0+%4#2(Y@(*$eRI92o@p zWYFQlplKrBWLY;lYK%Oth=v=55@kY127~~4y1qBP`z}2iB2Ug6 zVCA*~=f5UFOE3wtdqr2N`MI|M<%8%Qe_m&ng@J)Mf_OL~Y^+x2&hQ{L3{itpkaeAb zZQNl7l80HD#U3+2d_dSEZQuz7&zRW%4~GfKl2*F=GSqPsKz6~74}SfR;rX2ghg~ks zuh;3@z`&q~gC&)t~9Cq*F}*h^p$x(4YwsLFIuZlt$03QKr~GKYHmbu3Ma z8(n`E0SOpDY-9NborEX*u)p>)*|`k*>lfa?h)sDG=3K5ib1--67`E%Q1IZ?ZmK0jM z>vM=Faf#sZoHMMO?PzzSVaVsJrnj?iRF=DiNn(7VfgH_#vGKqzj?@yjB#w9#HWJQ6 z6#-B^^mi`Ptl_DIl>S9pLH%>c_qq)Fo+DZfn5N=;pKzftQvFcx`8*kBqR6BTasY(W z&StO$^|h3rxp|HLAu63&_*bzJKr1O}wWD2ZTVUWt7ey$OU;|osGir zwcUM;KSaLgkV=T4z06-lYyWf20QU8Gl`ouKH97;3LfmKEi)V&?@uYI0U~fc}>Zlmw z(8}_%mi_cj@PQV*o*T4RGS-i0@{r5)d-y0mjpN-100iKHr524_!!V@SJ$6?eP%6MPVA)}nyP|b;ny4*h(q7|&7;)J zWiCwfNlT<2X##mh^fb4y#yIBPc#$uae0QJI-CF@iR*bt=0%9f+;UTD`(o?%AK%h?w zg7v&KOvdN@LiDX|&GIu?wXUMvLiCPU>i9N%d>{!LZ@Y#whZ(iGaJ^mxn=|N+L$`H8 z-ee;1A7Vj(K5hVsfO2Bv51{-9kk;|<0(f!--~>mxG$8v0=LL5KXr7=WU<}nvA`mvv z2<;hsmkudcGM*Qh>u1iS_O(NG!`?=#&Z8Zm3lw@*5{;jVsQeuy>*4SGIbpKCabYAj5-ZVvV6k9ZA$ zeN7I&2wC?Y_sa_*X+&tNdZl;jQY%$s-Fg#fGCLUJ^d54~P?A6|vy-sr%AozX8xxiF zk*PR<6{SY&qN92~W52=d1*wgnV37mj?5W1+LXa~LWcdV>-Gc%XR5>Q+51;)m805=A zOh}c3;v>uiU2r-V6mok>MgOIwKj}d4#z3lR1xcdl_5wDT?onsPD2jn*b`XW2Gtp4y zhkc)zeZ4zBZX&T%#x*#I0$tQ+>& zV-R4&C@)DNW^d6;A#TEa@e$gg*Mvsjg3Qv(0W=?#?FKq3JuLA@TOG+Tgz({BEBM++ z3AZpd&jJ}G&pa%8kdMYzW8#UBZKoexKA7gFjo#l1IVS37a`_?{w0CWVEHuYam?*Xf zSzgd)Pqml<7O6vcI6{G^8%HjLY!*cXVwR9 z(y(HH>r0C5F3p_WeS|#zg>1!czB7)0;nQYvK!4hb_I{@bEPRypcyPoIe}f;)8zmto;0ki1@{-mbZd9+`a&Mi>d~|wC&pS!hyd2p!Njm{>BhDM@*V}=k`JLn+; z7IY~18ZX1~+?U)@^nPf{DBw(A;GjDr==l)0WxiqrI3dFZY9!@v;2I&f4f=iM zH`CaE&E)gHz1)lb2x@!hf*qC#nIKUP(>y~g5C_li$fRIutPW0 z&4EMWqmopOL3joM*1n>0R2Es)Ed$#OA&ZnB#C(1lAI%*)S>>DUO@1 z(A!I>xG*$kbigu);(Ba19-X`2<I|5Zer)dP~rvG}%`S zDBh;vz%Xc6$hl3g%OV><+j)Hz0S8IRp_@c?U{378cI~Jn{Gg zmRY0QFf(6!ePP-QWW^w~4u zm99#Nmc08guZf)6#DBOTB+v!Uf~Ehw1A023xZsbYEl-TQEEYTFZT3!KTUn@|Yhjis z#wAFtt8@W`>pGNVWE62{e5lg01GX6hba8=@^tMvpTld3FH(Ikmi~yUXtZU-dKkY9V zuCQwCd>SvR)gd3!937xCp1mAn(e1wW>m}F0@CSqvT?EbZTq|}HiR~eE>+(UO2{yv-5%6$s~ms;uW-J{KnuRa3(v-LQfrih-!s43q)AaA*a zq7>U~5=`_wLs9t|qM1OBz6lhl2qmuJ8@;yS&9Nl(zuAU`RKn04hD=q|VNca=JDxz` z?8-{t2$`D@!0#Ou#w!cDCZ2jt37 zXXN=y#RX`G@0Mq!ozmm3<~?$2nl0rTefS?yS90_-@}ATEMy6Ih3`qW7cIwtC^EV1W z86qmr&}!&!k&(3pfyty>-O~o0Zu)duT`i5yPYJMS{?n4!+5c@Z>0AxzQ7tm;X1Ae% z&qhPJ%V+K&3zmn@r*2&xj^P;MdA(C8iwnqZ5VUN&DfM~%hohfM@~ONO;1%l7!fr6> z+Rf3of^i)>D}s0YgxOY9;+hXhIt#j4IP1G!)b%b668m_MP$C;*rI8VO^Xj;LxaJso?eNq#;s`*BBu8n4Yuwqq!^|Er=D^H zPUkC}K1mi&tCg`Ri`GXkUKwinE38$2{2*ZC;O*1nJCA2!N-KADZyxu*cWU{xUNR7q z9NqQyq(R)rV+QA$Rn5khF|MtC6LxX-re>1YxUO62RK8O1;Nm}_9N;8@SL_*3()nl% z&DP`-NaH`sr0yj*e$-M;f3p0@z35lrJ?Aa@m0!9#dS|;^?~##C2=7qfbc^g# zE6-lFK#yEf$M%E_uM$j)svYj-guJLQcT6^~X-o~MAD-~;AH7(VWAsV0?c2Rp&+L>& zO-a;C!wUaLk$Ur|idOL-J1jZ29gnN?tKX{dx3OMW{J5$#+nGx}k1s78CP)>{oz;2r zGwTB>HuWN0c=6dTRm1AY$CM1U@~`4YTQNP&U8{dZq%q~s3Ke|g^oE?oT`M)cb(SwY z@GCWWQSnl(&dc|^s3A+ypWf`0j~@p$l-?kG4Zp#0{(mKlqB-uJY5a5Oo)ddfn()V# zr}u{2v&%q@Tk_B1w7I|`x)XWLNvpt6N|WB!&1*v1S)^S;m$fK!I@dptx1KtqNq+$PW^rDV zJRnqrwC^c3yt zI&ORG+;Y7r_Xg#zj3}3%>m6LL0L#1S|3~?os4K$A0#qVzDS5wheFE2;!IzOoPuk-& zbUFETxPBMCm`*wIF2zCd7A3)Nz*3-;EzJ2Q&MyVu2OEO#fw{ol;3eP(U>)#NQ0hF# z-_7*z1p?`7-ysJm)LibD`yB{;sFaOJG}YEZ7G8m~t0Ilp{H`owNd^ z%>e6xx8la0cI7Vlkw;d>e}M7dOqu80GM`be7WF!jHcF|NmpVUF=RL|bq?}9lN@iFtV=+TwFz3LF#X%eje~w@W0d_LO;iIy@%_&xqjH~cL#msrw^~4pSV6b z;#$&Aquyrfd1XGQ%!LtUvXQ?pBHt^Q5&lg5yb<+xa-Gk;uEzO1&dY&cgJr>$ZeAMa z8{G4%oG)x^th zLhDCd%QQa1rQ0hPi5HRoF?E_sUFhgeS=q@5lXeAIpR{hIeZl$pUVog2p!;I*BQGto z(HPH5(Dqto+*b9{)LTuxa^Tld^(&FKlr)*2mxCK=Y%=Fv19)Kd7n$FHF@KoBn9re~ zMf53gz>+AsBHM^O|DN`WWYAt@Iq&>D+WwNZ8&U5a>NN(Zx%I!Lkc{=t!yM~u>bbF1 zXfEf~T|J${xf@W0exaX}BItaIyxZJzUvPdt=RbgN(ElCuFTweFZoUNL5^YOpDDg%Y z@_(hhJQ3~9Bd;1|B+QjD+)kNYl*t`YW;fTlBCaVK`i-;`qtbpS?F2XN56*K&B`C9&GW)=6QDyg&c3f1N)Yp!Rw7D!oKc1%0tuC)*$(hA% zXA0>}-SoFOZ{nU$<@^fw{B6!Jch9GB-q<~Vhx116`MaFE5qRhY&Tn+nM|0lZJ?Au( z1-fpe|60)2E+Sp>jw5e>1kI9`(UG6BJ1E-=KlBK498bYkQSC+7EkvCy)VY&7k5lIa zt~)_Xb<(a;>gICutC4@Tk{{jvkzALd|5Z^kJ(qsx)8~=2Cv`5M{v7Jp0B0XzJ7uW5 zDynW-(pE;L9SMzR)6U|f(9TFY?~Gg)fb!ST<>Ql_-%k2MH~nTeeFWECNSEcfl<(lC zKf(DOq%U^UZ*tQg=lV|4m$>OSy6MBY?n?SsZhCt+{V}e)k-pSTZ|9~DtzbcL8+Z!19V`Iu0P}-8!F=FP;K|_6U|vuby(fXc zf_cDQU~X_Xm}Df&lLl@8i-GIG z{}%uN0RR7Z0gRMQXcIvc$6wN>U#7L+R-2kyQPh+6Vl747?oN|6o1M6sw8qPbUIcGK zQShK3f<>Vh&qWXqA_$d&2cdWo(NYjWM5v%b#e)|wo&^3`yJw>l`p^U9O(5-+rm1+bMr_+f_fg^N^bF_Bh z-Z9vgGPwoZYYtjl&c6fP&w_)hU?dS+W<*UW<2Qa@#b>DvxY=g8>$GPnJ1Mf-lLeJ05P_?tbzi<))-)8h1WNhOb8m5dOe}#RXTj?6li?6@Fg{p@IUVNcE&WE;z z=Rr>sT+wdhE!J{t{0D1#S%9J~iJre- zSOwp~QZ618bdK}Ao4Ak3xjViAO{x1#fhQ-+cqK0d3O372>osxCSia0DQ#+jqWDZZT zFow+;C4PXFaLhbEDiduj9-|~9XZA0&!hEEN+sT}t;*87+TghMw9$R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.tmw_info b/CPLD-old/MAX/MAXV/db/RAM2GS.tmw_info deleted file mode 100644 index 69e9cce..0000000 --- a/CPLD-old/MAX/MAXV/db/RAM2GS.tmw_info +++ /dev/null @@ -1,6 +0,0 @@ -start_full_compilation:s:00:00:10 -start_analysis_synthesis:s:00:00:02-start_full_compilation -start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:04-start_full_compilation -start_assembler:s:00:00:02-start_full_compilation -start_timing_analyzer:s:00:00:02-start_full_compilation diff --git a/CPLD-old/MAX/MAXV/db/RAM2GS.vpr.ammdb b/CPLD-old/MAX/MAXV/db/RAM2GS.vpr.ammdb deleted file mode 100644 index e64e99276b94253d28f84016db50be4d5ff08ac4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 615 zcmV-t0+{^~000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JTO000000021x00000 z001li00000003tK00000004LayjDF+13?hosE7d-|AFcK0YbpaNGoN@(A(@ejQ*9V3K#B|LrT8^(Vl`-Z}O!{#gGB`bVwG-v;9K%R2j2;Im;B ze_hDK+IX!0j(A_nzXkiSr2HNsPt@NQ^kaP_UJhq}Ea&VQzefB8jX!{F_5ZE@GyJtf z*3z#R$fedN7mw@HG~b-QolmKMAN;)X4!D69N({1*|=`uhp{V3q2__G{3OyHbBXA32=k@jSjF{|gmMK0MEy z&obpZq-2?9l>J3<5yx=<6Hr7~=06@9Xap@8Sd!W?%wh00000|NmU~ BB>4aU diff --git a/CPLD-old/MAX/MAXV/db/logic_util_heursitic.dat b/CPLD-old/MAX/MAXV/db/logic_util_heursitic.dat deleted file mode 100644 index ff5fe7f07c6c4ae58758247adb1a137bd6e666b9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10208 zcmb8lb#o5@0D$2iCZ=P$b7s1`yE}&IK6$!19d~--jyX1Fa@gta?(S|r0=~hX_wN1g z2?PRxWdBY||6NjTa^VAFsN2AdXaIK)J zAz-tE%>gzi*j!+9gUtgrFW7uw^Mfq_wjkI-U<-pS0=6jFVqlAdEde$ZY)PRl!yRTODi-ur~OFnz>Wkv3hZdGW5A9D zI}Yr4uoJ*e1Um`rWUy1fP6ay+>~yd*z|I6a3+!yLbHL68I}hx9unWL01iJ|AVz5iV zE(N;`>~gRxz^(+l3hZjIYrw7ryAEs=*!5sHfZYgo6WGmQw}6cXyA|v>jXt!R`aQAM63J31AO`Jp}eJ*hH{Lz#avA4D4~RC%~QrdkXAn zuxG%Y1$z$cd9WA2UIcpy>}9Z5z+MG=4eWKWH^ANmdkgGsuy?@T1$z(deXtL}J_P#+ z>|?M`z&-{04D55TFTlP8`wHx9uy4S=1^W){d$1qCegyjo>}RlFzuG0001Zob6p*Z`(!?eDALy^xlX|O8fwv0J7z@Zkslh(56687_!Br zf-TCW=rjR}{`QiTD=D^m!#T0!9niN%vX;lWo4viAA$xLjmtW4WoOHHKp7$oBSKe3C zXUo~$<<(WsDZl%WWb4H$ecqF2(sO>lpJn;|diUv%n-83e3nv(SdAi7*pEqAQ;y-hr ziF10txSczm?>TPJ7q9QR;ycqM`>?o9oY%AK8%Lb!H_n^n$~m7e^5U1h7hgEe$xZU< z@@}5~lVmpk#02$0(8=nL(c9oxCgcEw{N;y9 z^y>U8CgiZrD1B;_0SNhW`gTYK3~@l=fQ&%I(^-1+R;Z9M0&+CXd?ui{H6nUcM8uow zwz;_x#GT1VTq+>q&6~-Xq>Uinlncn?LL%N=Oy9a(L`0jPUcP#rt=?y|B^4HtrE?7MHNh>$p^BQgbfh&m&BkTsY?0*Q$8 z-TCuYYRe8i%v+6cLUA|4gVBclQ$7Nx}~&sMk7xxAHtfB?YD=BN3XxW@Tko_tk+q1oxP`8av|bTxjZ>0g|)UKZsQ1R^b~|E z7$VrxE{7R&F%gf7VRBrL5R0nqjRP}61iD~L*{Duan~PYdn?Mc{F{px))NT-c#(ZBc zf_*twYl#qhbXt-z;?Ef?GR5#fboMRP&5sdzsw_-F8P`#SxSA_QAkSv$dZYXAY5ITmG@`s)Wy@s#G|bc6Lrm7v z@?)s(@?yTYh|L;uzPPno>|7QXx5nX&l#SgQ6(uR^i;GyTA?u3^n;g8b4_Qqs`);ic zAv;H~s${i&x6a9ooOS4v&`|fGQ-YWGp;1Cp--mt)THuFv30^)I=$D|kMuCP2TJ08S zmZ0Tsfp!U6?-pp7&=kA{`X)3KZ-LGUP03rJfr8e&1sW)HL~ns63Juj;ppSx;y#@Lx z^mK25J_-%tTcDAGSH1fi4PK{ubz<(9pjHS}1q{ zT%d=dw8;Hcd`B81apQy3l?8e!G?aCLZVF9lU7)2xM_ZSAwhD3y)bdr3r!Z)&Amw#| zz6x4j7ig(>*aey@%6<*cd}yqorF((i3JvXEpuIv*ycg)Q&`|FM z`Ybf$dx0hkTE7?Qvfu@Lfi4RT1z(`Yf|u|GdMs!SU!cW8N5mIsv(QrU1zIiWDhe7c zG(~rTb_-s07ihT9$tKWnQ9eG#Cu!)o&=ubW8ZT(|U7+=XZjlRgUC{cwK-Yzy058yc z!7K0ry%!o1ywveskQ%&T=LIjq3p8KQD!f4VMP<3+c?#_p8uGqC0|u?{OD+Ee7ZUm} zc!gh}|3X9J7ihuI(D(&$0t{y~4i)=DrdO$i&5!2w6X z=YO`n_|4tH*A@Kp{lj16vpjJY={))PyyxzHS$(~+r*0?sE?a&4)O%5k^=>ap|DQ11 zEB7~-K0K0re*`_2y_2BUU{3mn!1}Kdj7>st*YmLm!Ck#(0D`-dgGC4i$4z^~2znMG z=$XV~IgSwBAQ+jn26yGPMF{RB5CahOZQMzYOg#-(GoghD4v!;F!_|zH2p(6&HoOLp z+txbTi;pY8nnlpFv6>l}RL%IuZEM{iC?@SBu8n(fY|>70WO3S29yABQ*hc)0JOnhm z0z(@SJn|6G@IGQ=Efbkk%S1Nr#GyfHaL-p^6M`nO_{iz(sGc^dL+#txp*}KsH;bTW zV;@0*Por{l5${khICaJ}qjXQ~NQfI-lu^tReT7yFy&&82RYcRBt#bQ!-%RjOntiHR< z%SZDb;(Ss9qzxi%=@h87O*}H#L z%r6x|pM*H+@7-7V(V>-caGju4qMVm)_fEyJ$5ZlXLg3n{HG;i2JCH+Y7b&IjupOk7 zEe3OtQf++g0OhK>S>^zxtZQ0YQmP%o9Hf*T!FG^}>{wG__v}+T?#)3;*P1kiFu?|$zaTGDgrKBFrib}$F2LH9@v z>^LAR4Q?&1DLamR!|wBx^08lYkm`Kvi|wd8l_FY8r#?ICPNn^)d+T+Wt3LLmQH<^^zphE`?OPK%je_H zfeN(AN7;FvntA@!%JaGB=828xkcsEtEj*8dJ^Jw@^G?gfbmx=r+L2GR*Jy(@v7?l0_?lZdb*=ci-io0J^%J(*zgH+UgMpwSQ-5jL4 zKOehxB=oTRaw@bVp=%qAnnOz4zR>cT>VE6fUe^CNagX+Gb>B$Regw2-q`KGn2X>T* z+9&P?OGG>Nb8X9Fb4Y31rdvj;`{tx?hifwKzTvHWy|_6@DPN4!(xKmu8nOGW&$Z(u zt9*-dOU1Mu-I2Ka1Df)kqvjx`{X}hpNNFnr{~yqfZDe%6Ne%5dplJ`YIi$3of@)dq f=zdLU3ocKQdi=@5|HilcQGPkUdhx~IKaIlBbfH1- diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.asm.rpt b/CPLD-old/MAX/MAXV/output_files/RAM2GS.asm.rpt deleted file mode 100644 index f918f0d..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.asm.rpt +++ /dev/null @@ -1,114 +0,0 @@ -Assembler report for RAM2GS -Mon Aug 16 18:40:22 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.pof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Mon Aug 16 18:40:22 2021 ; -; Revision Name ; RAM2GS ; -; Top-level Entity Name ; RAM2GS ; -; Family ; MAX V ; -; Device ; 5M240ZT100C5 ; -+-----------------------+---------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+-----------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+-----------+---------------+ -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; On ; On ; -; Security bit ; Off ; Off ; -; Use configuration device ; On ; On ; -; Configuration device ; Auto ; Auto ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File start address ; 0 ; 0 ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -; In-System Programming Default Clamp State ; Tri-state ; Tri-state ; -+-----------------------------------------------------------------------------+-----------+---------------+ - - -+----------------------------------------------------------------------------+ -; Assembler Generated Files ; -+----------------------------------------------------------------------------+ -; File Name ; -+----------------------------------------------------------------------------+ -; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.pof ; -+----------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------+ -; Assembler Device Options: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.pof ; -+----------------+-------------------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+-------------------------------------------------------------------------------------+ -; Device ; 5M240ZT100C5 ; -; JTAG usercode ; 0x00172F05 ; -; Checksum ; 0x001732F5 ; -+----------------+-------------------------------------------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit Assembler - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Aug 16 18:40:21 2021 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 381 megabytes - Info: Processing ended: Mon Aug 16 18:40:22 2021 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.done b/CPLD-old/MAX/MAXV/output_files/RAM2GS.done deleted file mode 100644 index 576e1e8..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.done +++ /dev/null @@ -1 +0,0 @@ -Mon Aug 16 18:40:25 2021 diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.rpt b/CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.rpt deleted file mode 100644 index 1cd6280..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.rpt +++ /dev/null @@ -1,1002 +0,0 @@ -Fitter report for RAM2GS -Mon Aug 16 18:40:21 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. Pin-Out File - 6. Fitter Resource Usage Summary - 7. Input Pins - 8. Output Pins - 9. Bidir Pins - 10. I/O Bank Usage - 11. All Package Pins - 12. Output Pin Default Load For Reported TCO - 13. Fitter Resource Utilization by Entity - 14. Delay Chain Summary - 15. Control Signals - 16. Global & Other Fast Signals - 17. Non-Global High Fan-Out Signals - 18. Other Routing Usage Summary - 19. LAB Logic Elements - 20. LAB-wide Signals - 21. LAB Signals Sourced - 22. LAB Signals Sourced Out - 23. LAB Distinct Inputs - 24. Fitter Device Options - 25. Estimated Delay Added for Hold Timing Summary - 26. Estimated Delay Added for Hold Timing Details - 27. Fitter Messages - 28. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------------+ -; Fitter Summary ; -+---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Mon Aug 16 18:40:21 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM2GS ; -; Top-level Entity Name ; RAM2GS ; -; Family ; MAX V ; -; Device ; 5M240ZT100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 168 / 240 ( 70 % ) ; -; Total pins ; 63 / 79 ( 80 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+---------------------------+-------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Device ; 5M240ZT100C5 ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Placement Effort Multiplier ; 10 ; 1.0 ; -; Router Effort Multiplier ; 10 ; 1.0 ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Device I/O Standard ; 3.3-V LVTTL ; ; -; Optimize Multi-Corner Timing ; On ; Off ; -; Optimize IOC Register Placement for Timing ; Pack All IO Registers ; Normal ; -; Enable Bus-Hold Circuitry ; On ; Off ; -; Fitter Effort ; Standard Fit ; Auto Fit ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Always Enable Input Buffers ; Off ; Off ; -; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; -; Guarantee I/O Paths Have Zero Hold Time at Fast Corner ; On ; On ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate full fit report during ECO compiles ; Off ; Off ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; Slow Slew Rate ; Off ; Off ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -+----------------------------------------------------------------------------+--------------------------------+--------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.pin. - - -+------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+--------------------+ -; Resource ; Usage ; -+---------------------------------------------+--------------------+ -; Total logic elements ; 168 / 240 ( 70 % ) ; -; -- Combinational with no register ; 71 ; -; -- Register only ; 20 ; -; -- Combinational with a register ; 77 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 58 ; -; -- 3 input functions ; 40 ; -; -- 2 input functions ; 41 ; -; -- 1 input functions ; 8 ; -; -- 0 input functions ; 1 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 152 ; -; -- arithmetic mode ; 16 ; -; -- qfbk mode ; 7 ; -; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 24 ; -; -- asynchronous clear/load mode ; 0 ; -; ; ; -; Total registers ; 97 / 240 ( 40 % ) ; -; Total LABs ; 21 / 24 ( 88 % ) ; -; Logic elements in carry chains ; 17 ; -; Virtual pins ; 0 ; -; I/O pins ; 63 / 79 ( 80 % ) ; -; -- Clock pins ; 3 / 4 ( 75 % ) ; -; ; ; -; Global signals ; 4 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -; Global clocks ; 4 / 4 ( 100 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 27% / 29% / 25% ; -; Peak interconnect usage (total/H/V) ; 27% / 29% / 25% ; -; Maximum fan-out ; 55 ; -; Highest non-global fan-out ; 39 ; -; Total fan-out ; 643 ; -; Average fan-out ; 2.77 ; -+---------------------------------------------+--------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; -+---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; CROW[0] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; CROW[1] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[0] ; 42 ; 1 ; 5 ; 0 ; 0 ; 6 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[1] ; 36 ; 1 ; 4 ; 0 ; 2 ; 4 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[2] ; 35 ; 1 ; 3 ; 0 ; 0 ; 5 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[3] ; 37 ; 1 ; 4 ; 0 ; 1 ; 5 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[4] ; 39 ; 1 ; 5 ; 0 ; 3 ; 7 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[5] ; 38 ; 1 ; 4 ; 0 ; 0 ; 7 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[6] ; 41 ; 1 ; 5 ; 0 ; 1 ; 8 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; Din[7] ; 40 ; 1 ; 5 ; 0 ; 2 ; 6 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[0] ; 49 ; 1 ; 7 ; 0 ; 2 ; 5 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[1] ; 51 ; 1 ; 7 ; 0 ; 0 ; 6 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[2] ; 50 ; 1 ; 7 ; 0 ; 1 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[3] ; 71 ; 2 ; 8 ; 4 ; 3 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[4] ; 70 ; 2 ; 8 ; 4 ; 4 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[5] ; 69 ; 2 ; 8 ; 3 ; 0 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[6] ; 72 ; 2 ; 8 ; 4 ; 2 ; 4 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[7] ; 68 ; 2 ; 8 ; 3 ; 1 ; 4 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[8] ; 73 ; 2 ; 8 ; 4 ; 1 ; 2 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; MAin[9] ; 74 ; 2 ; 8 ; 4 ; 0 ; 4 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; PHI2 ; 52 ; 2 ; 8 ; 1 ; 4 ; 21 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; RCLK ; 12 ; 1 ; 1 ; 3 ; 3 ; 55 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; nCCAS ; 53 ; 2 ; 8 ; 1 ; 3 ; 11 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; nCRAS ; 67 ; 2 ; 8 ; 3 ; 2 ; 16 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; nFWE ; 48 ; 1 ; 6 ; 0 ; 0 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -+---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; Dout[0] ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[1] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[3] ; 47 ; 1 ; 6 ; 0 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[4] ; 44 ; 1 ; 6 ; 0 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[5] ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[6] ; 34 ; 1 ; 3 ; 0 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; Dout[7] ; 43 ; 1 ; 6 ; 0 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; LED ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; -; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; RA[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RBA[0] ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; RBA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RCKE ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; RDQMH ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RDQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; nRCAS ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nRCS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; nRRAS ; 6 ; 1 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nRWE ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Bidir Pins ; -+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; RD[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~3 ; - ; -; RD[2] ; 89 ; 2 ; 4 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~3 ; - ; -; RD[3] ; 99 ; 2 ; 2 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[4] ; 92 ; 2 ; 3 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -; RD[6] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~3 ; - ; -; RD[7] ; 97 ; 2 ; 3 ; 5 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; -+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ - - -+-------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+-------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+-------------------+---------------+--------------+ -; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ; -; 2 ; 25 / 41 ( 61 % ) ; 3.3V ; -- ; -+----------+-------------------+---------------+--------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+----------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+----------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; 1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 2 ; 0 ; 1 ; RDQMH ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 3 ; 1 ; 1 ; nRCS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 4 ; 2 ; 1 ; nRCAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 5 ; 3 ; 1 ; RBA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 6 ; 4 ; 1 ; nRRAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 7 ; 5 ; 1 ; RA[11] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 8 ; 6 ; 1 ; RCKE ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 12 ; 7 ; 1 ; RCLK ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 13 ; ; ; VCCINT ; power ; ; 1.8V ; -- ; ; -- ; -- ; -; 14 ; 8 ; 1 ; RBA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 15 ; 9 ; 1 ; RA[9] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 16 ; 10 ; 1 ; RA[10] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 17 ; 11 ; 1 ; RA[8] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 18 ; 12 ; 1 ; RA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 19 ; 13 ; 1 ; RA[7] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 20 ; 14 ; 1 ; RA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 21 ; 15 ; 1 ; RA[6] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 26 ; 20 ; 1 ; RA[4] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 27 ; 21 ; 1 ; RA[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 28 ; 22 ; 1 ; Dout[5] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 29 ; 23 ; 1 ; RA[5] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 30 ; 24 ; 1 ; RA[2] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 32 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 33 ; 25 ; 1 ; Dout[0] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 34 ; 26 ; 1 ; Dout[6] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 35 ; 27 ; 1 ; Din[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 36 ; 28 ; 1 ; Din[1] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 37 ; 29 ; 1 ; Din[3] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 38 ; 30 ; 1 ; Din[5] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 39 ; 31 ; 1 ; Din[4] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 40 ; 32 ; 1 ; Din[7] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 41 ; 33 ; 1 ; Din[6] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 42 ; 34 ; 1 ; Din[0] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 43 ; 35 ; 1 ; Dout[7] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 44 ; 36 ; 1 ; Dout[4] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 46 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 47 ; 37 ; 1 ; Dout[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 48 ; 38 ; 1 ; nFWE ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 49 ; 39 ; 1 ; MAin[0] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 50 ; 40 ; 1 ; MAin[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 51 ; 41 ; 1 ; MAin[1] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 52 ; 42 ; 2 ; PHI2 ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 53 ; 43 ; 2 ; nCCAS ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 54 ; 44 ; 2 ; CROW[0] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 55 ; 45 ; 2 ; CROW[1] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 56 ; 46 ; 2 ; Dout[2] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 57 ; 47 ; 2 ; Dout[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 58 ; 48 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 60 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 61 ; 49 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 62 ; 50 ; 2 ; LED ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; yes ; Off ; -; 63 ; ; ; VCCINT ; power ; ; 1.8V ; -- ; ; -- ; -- ; -; 64 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 66 ; 52 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 67 ; 53 ; 2 ; nCRAS ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 68 ; 54 ; 2 ; MAin[7] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 69 ; 55 ; 2 ; MAin[5] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 70 ; 56 ; 2 ; MAin[4] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 71 ; 57 ; 2 ; MAin[3] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 72 ; 58 ; 2 ; MAin[6] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 73 ; 59 ; 2 ; MAin[8] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 74 ; 60 ; 2 ; MAin[9] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 75 ; 61 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 76 ; 62 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 77 ; 63 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 78 ; 64 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 79 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 81 ; 65 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 82 ; 66 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 83 ; 67 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 84 ; 68 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 85 ; 69 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 86 ; 70 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 87 ; 71 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 88 ; 72 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 89 ; 73 ; 2 ; RD[2] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 90 ; 74 ; 2 ; RD[1] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 92 ; 76 ; 2 ; RD[4] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 95 ; 77 ; 2 ; RD[6] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 96 ; 78 ; 2 ; RD[0] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 97 ; 79 ; 2 ; RD[7] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 98 ; 80 ; 2 ; RDQML ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 99 ; 81 ; 2 ; RD[3] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 100 ; 82 ; 2 ; nRWE ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -+----------+------------+----------+----------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+-------------------------------------------------------------+ -; Output Pin Default Load For Reported TCO ; -+----------------------------+-------+------------------------+ -; I/O Standard ; Load ; Termination Resistance ; -+----------------------------+-------+------------------------+ -; 3.3-V LVTTL ; 10 pF ; Not Available ; -; 3.3-V LVCMOS ; 10 pF ; Not Available ; -; 2.5 V ; 10 pF ; Not Available ; -; 1.8 V ; 10 pF ; Not Available ; -; 1.5 V ; 10 pF ; Not Available ; -; 3.3V Schmitt Trigger Input ; 10 pF ; Not Available ; -; 2.5V Schmitt Trigger Input ; 10 pF ; Not Available ; -; 1.2 V ; 10 pF ; Not Available ; -; LVDS_E_3R ; 10 pF ; Not Available ; -; RSDS_E_3R ; 10 pF ; Not Available ; -+----------------------------+-------+------------------------+ -Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |RAM2GS ; 168 (168) ; 97 ; 1 ; 63 ; 0 ; 71 (71) ; 20 (20) ; 77 (77) ; 17 (17) ; 7 (7) ; |RAM2GS ; work ; -; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst ; work ; -; |UFM_altufm_none_mjr:UFM_altufm_none_mjr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component ; work ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+------------------------------------+ -; Delay Chain Summary ; -+---------+----------+---------------+ -; Name ; Pin Type ; Pad to Core 0 ; -+---------+----------+---------------+ -; nCRAS ; Input ; (0) ; -; MAin[0] ; Input ; (0) ; -; MAin[1] ; Input ; (0) ; -; MAin[2] ; Input ; (0) ; -; MAin[3] ; Input ; (0) ; -; MAin[4] ; Input ; (0) ; -; MAin[5] ; Input ; (0) ; -; MAin[6] ; Input ; (0) ; -; MAin[7] ; Input ; (0) ; -; MAin[8] ; Input ; (0) ; -; MAin[9] ; Input ; (0) ; -; RCLK ; Input ; (0) ; -; CROW[0] ; Input ; (1) ; -; CROW[1] ; Input ; (1) ; -; PHI2 ; Input ; (0) ; -; Din[6] ; Input ; (1) ; -; nFWE ; Input ; (1) ; -; Din[0] ; Input ; (1) ; -; Din[7] ; Input ; (1) ; -; Din[1] ; Input ; (1) ; -; Din[4] ; Input ; (1) ; -; Din[5] ; Input ; (1) ; -; Din[2] ; Input ; (1) ; -; Din[3] ; Input ; (1) ; -; nCCAS ; Input ; (0) ; -; Dout[0] ; Output ; -- ; -; Dout[1] ; Output ; -- ; -; Dout[2] ; Output ; -- ; -; Dout[3] ; Output ; -- ; -; Dout[4] ; Output ; -- ; -; Dout[5] ; Output ; -- ; -; Dout[6] ; Output ; -- ; -; Dout[7] ; Output ; -- ; -; LED ; Output ; -- ; -; RBA[0] ; Output ; -- ; -; RBA[1] ; Output ; -- ; -; RA[0] ; Output ; -- ; -; RA[1] ; Output ; -- ; -; RA[2] ; Output ; -- ; -; RA[3] ; Output ; -- ; -; RA[4] ; Output ; -- ; -; RA[5] ; Output ; -- ; -; RA[6] ; Output ; -- ; -; RA[7] ; Output ; -- ; -; RA[8] ; Output ; -- ; -; RA[9] ; Output ; -- ; -; RA[10] ; Output ; -- ; -; RA[11] ; Output ; -- ; -; nRCS ; Output ; -- ; -; RCKE ; Output ; -- ; -; nRWE ; Output ; -- ; -; nRRAS ; Output ; -- ; -; nRCAS ; Output ; -- ; -; RDQMH ; Output ; -- ; -; RDQML ; Output ; -- ; -; RD[0] ; Bidir ; (0) ; -; RD[1] ; Bidir ; (0) ; -; RD[2] ; Bidir ; (0) ; -; RD[3] ; Bidir ; (0) ; -; RD[4] ; Bidir ; (0) ; -; RD[5] ; Bidir ; (0) ; -; RD[6] ; Bidir ; (0) ; -; RD[7] ; Bidir ; (0) ; -+---------+----------+---------------+ - - -+---------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; CmdDRDIn~1 ; LC_X5_Y4_N5 ; 4 ; Clock enable ; no ; -- ; -- ; -; CmdSubmitted~0 ; LC_X6_Y2_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -; DRDIn~1 ; LC_X4_Y1_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -; PHI2 ; PIN_52 ; 21 ; Clock ; yes ; Global Clock ; GCLK1 ; -; RCLK ; PIN_12 ; 55 ; Clock ; yes ; Global Clock ; GCLK0 ; -; Ready ; LC_X3_Y2_N8 ; 39 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; always8~5 ; LC_X7_Y3_N1 ; 3 ; Clock enable ; no ; -- ; -- ; -; comb~3 ; LC_X4_Y4_N6 ; 8 ; Output enable ; no ; -- ; -- ; -; nCCAS ; PIN_53 ; 11 ; Clock ; yes ; Global Clock ; GCLK2 ; -; nCRAS ; PIN_67 ; 16 ; Clock ; yes ; Global Clock ; GCLK3 ; -+----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ - - -+----------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+-------+----------+---------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; -+-------+----------+---------+----------------------+------------------+ -; PHI2 ; PIN_52 ; 21 ; Global Clock ; GCLK1 ; -; RCLK ; PIN_12 ; 55 ; Global Clock ; GCLK0 ; -; nCCAS ; PIN_53 ; 11 ; Global Clock ; GCLK2 ; -; nCRAS ; PIN_67 ; 16 ; Global Clock ; GCLK3 ; -+-------+----------+---------+----------------------+------------------+ - - -+-------------------------------------------------------------------------------------------------------+ -; Non-Global High Fan-Out Signals ; -+---------------------------------------------------------------------------------------------+---------+ -; Name ; Fan-Out ; -+---------------------------------------------------------------------------------------------+---------+ -; Ready ; 39 ; -; nRowColSel ; 13 ; -; S[1] ; 12 ; -; S[0] ; 12 ; -; RASr2 ; 9 ; -; Din[6] ; 8 ; -; comb~3 ; 8 ; -; Din[5] ; 7 ; -; Din[4] ; 7 ; -; IS[0]~0 ; 7 ; -; Din[7] ; 6 ; -; Din[0] ; 6 ; -; MAin[1] ; 6 ; -; IS[0] ; 6 ; -; FS[4] ; 6 ; -; Din[3] ; 5 ; -; Din[2] ; 5 ; -; MAin[0] ; 5 ; -; FS[8]~31 ; 5 ; -; FS[3]~13 ; 5 ; -; FS[3] ; 5 ; -; IS[1] ; 5 ; -; CBR ; 5 ; -; FWEr ; 5 ; -; FS[6] ; 5 ; -; FS[5] ; 5 ; -; FS[17] ; 5 ; -; FS[16] ; 5 ; -; UFMD[15] ; 5 ; -; Din[1] ; 4 ; -; MAin[9] ; 4 ; -; MAin[7] ; 4 ; -; MAin[6] ; 4 ; -; CmdDRDIn~1 ; 4 ; -; FS[13]~27 ; 4 ; -; CMDWR~2 ; 4 ; -; UFMReqErase ; 4 ; -; always9~3 ; 4 ; -; DRCLK~0 ; 4 ; -; always9~2 ; 4 ; -; Equal9~0 ; 4 ; -; IS[3] ; 4 ; -; IS[2] ; 4 ; -; InitReady ; 4 ; -; always9~0 ; 4 ; -; nFWE ; 3 ; -; MAin[5] ; 3 ; -; MAin[4] ; 3 ; -; MAin[3] ; 3 ; -; MAin[2] ; 3 ; -; always8~5 ; 3 ; -; CMDWR ; 3 ; -; CmdEnable ; 3 ; -; FS[0] ; 3 ; -; always8~4 ; 3 ; -; always8~2 ; 3 ; -; Equal0~0 ; 3 ; -; nRCS~3 ; 3 ; -; n8MEGEN ; 3 ; -; UFMInitDone~0 ; 3 ; -; UFMInitDone ; 3 ; -; RCKE~reg0 ; 3 ; -; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; 3 ; -; MAin[8] ; 2 ; -; CmdSubmitted~0 ; 2 ; -; Equal17~0 ; 2 ; -; CmdDRDIn~0 ; 2 ; -; XOR8MEG~0 ; 2 ; -; Equal0~3 ; 2 ; -; Ready~0 ; 2 ; -; Equal26~0 ; 2 ; -; FS[9] ; 2 ; -; FS[8] ; 2 ; -; Equal5~1 ; 2 ; -; FS[14] ; 2 ; -; FS[13] ; 2 ; -; FS[12] ; 2 ; -; FS[11] ; 2 ; -; FS[10] ; 2 ; -; FS[15] ; 2 ; -; Equal24~0 ; 2 ; -; FS[2] ; 2 ; -; FS[1] ; 2 ; -; UFMOscEN~0 ; 2 ; -; C1Submitted ; 2 ; -; Equal0~1 ; 2 ; -; always8~0 ; 2 ; -; CmdUFMErase ; 2 ; -; CmdUFMPrgm ; 2 ; -; always9~4 ; 2 ; -; PHI2r2 ; 2 ; -; DRDIn~1 ; 2 ; -; CmdSubmitted ; 2 ; -; RASr ; 2 ; -; RCKEEN ; 2 ; -; CASr2 ; 2 ; -; nRRAS~0 ; 2 ; -; nRCS~1 ; 2 ; -; nRCS~0 ; 2 ; -; XOR8MEG ; 2 ; -; RA10~0 ; 2 ; -; nRowColSel~0 ; 2 ; -; always9~1 ; 2 ; -; FS[7] ; 2 ; -; UFMOscEN ; 2 ; -; UFMErase ; 2 ; -; UFMProgram ; 2 ; -; LEDEN ; 2 ; -; UFMProgram~_wirecell ; 1 ; -; UFMOscEN~_wirecell ; 1 ; -; UFMErase~_wirecell ; 1 ; -; RD[7]~7 ; 1 ; -; RD[6]~6 ; 1 ; -; RD[5]~5 ; 1 ; -; RD[4]~4 ; 1 ; -; RD[3]~3 ; 1 ; -; RD[2]~2 ; 1 ; -; RD[1]~1 ; 1 ; -; RD[0]~0 ; 1 ; -; CROW[1] ; 1 ; -; CROW[0] ; 1 ; -; CmdEnable~1 ; 1 ; -; CmdEnable~0 ; 1 ; -; PHI2r ; 1 ; -; RCKEEN~2 ; 1 ; -; RCKEEN~1 ; 1 ; -; RCKEEN~0 ; 1 ; -; CASr ; 1 ; -; Equal16~0 ; 1 ; -; n8MEGEN~3 ; 1 ; -; PHI2r3 ; 1 ; -; n8MEGEN~2 ; 1 ; -; n8MEGEN~1 ; 1 ; -; n8MEGEN~0 ; 1 ; -; Cmdn8MEGEN ; 1 ; -; IS[0]~3 ; 1 ; -; Ready~1 ; 1 ; -; FS[9]~33COUT1_62 ; 1 ; -; FS[9]~33 ; 1 ; -; FS[14]~29COUT1_70 ; 1 ; -; FS[14]~29 ; 1 ; -; Equal5~0 ; 1 ; -; FS[12]~25COUT1_68 ; 1 ; -; FS[12]~25 ; 1 ; -; FS[11]~23COUT1_66 ; 1 ; -; FS[11]~23 ; 1 ; -; FS[10]~21COUT1_64 ; 1 ; -; FS[10]~21 ; 1 ; -; FS[15]~19COUT1_72 ; 1 ; -; FS[15]~19 ; 1 ; -; UFMD[15]~0 ; 1 ; -; FS[2]~17COUT1_52 ; 1 ; -; FS[2]~17 ; 1 ; -; FS[1]~15COUT1_50 ; 1 ; -; FS[1]~15 ; 1 ; -; WRD[7] ; 1 ; -; WRD[6] ; 1 ; -; WRD[5] ; 1 ; -; WRD[4] ; 1 ; -; WRD[3] ; 1 ; -; WRD[2] ; 1 ; -; WRD[1] ; 1 ; -; WRD[0] ; 1 ; -; ADSubmitted ; 1 ; -; always8~3 ; 1 ; -; Equal0~2 ; 1 ; -; always8~1 ; 1 ; -; Equal1~0 ; 1 ; -; CMDWR~1 ; 1 ; -; Bank[7] ; 1 ; -; Bank[6] ; 1 ; -; Bank[5] ; 1 ; -; CMDWR~0 ; 1 ; -; Bank[2] ; 1 ; -; Bank[3] ; 1 ; -; Bank[1] ; 1 ; -; always9~5 ; 1 ; -; ARCLK~0 ; 1 ; -; CmdDRCLK ; 1 ; -; CmdDRDIn ; 1 ; -; nRCAS~1 ; 1 ; -; nRCAS~0 ; 1 ; -; nRWE~0 ; 1 ; -; RASr3 ; 1 ; -; nRCS~4 ; 1 ; -; nRCS~2 ; 1 ; -; nRowColSel~1 ; 1 ; -; FS[4]~11COUT1_54 ; 1 ; -; FS[4]~11 ; 1 ; -; FS[6]~9COUT1_58 ; 1 ; -; FS[6]~9 ; 1 ; -; FS[5]~7COUT1_56 ; 1 ; -; FS[5]~7 ; 1 ; -; FS[7]~5COUT1_60 ; 1 ; -; FS[7]~5 ; 1 ; -; FS[16]~1COUT1_74 ; 1 ; -; FS[16]~1 ; 1 ; -; ARShift ; 1 ; -; ARCLK ; 1 ; -; DRShift ; 1 ; -; DRCLK ; 1 ; -; DRDIn ; 1 ; -; comb~2 ; 1 ; -; comb~1 ; 1 ; -; nRCAS~reg0 ; 1 ; -; nRRAS~reg0 ; 1 ; -; nRWE~reg0 ; 1 ; -; nRCS~reg0 ; 1 ; -; RA11 ; 1 ; -; RA10 ; 1 ; -; RA~9 ; 1 ; -; RowA[9] ; 1 ; -; RA~8 ; 1 ; -; RowA[8] ; 1 ; -; RA~7 ; 1 ; -; RowA[7] ; 1 ; -; RA~6 ; 1 ; -; RowA[6] ; 1 ; -; RA~5 ; 1 ; -; RowA[5] ; 1 ; -; RA~4 ; 1 ; -; RowA[4] ; 1 ; -; RA~3 ; 1 ; -; RowA[3] ; 1 ; -; RA~2 ; 1 ; -; RowA[2] ; 1 ; -; RA~1 ; 1 ; -; RowA[1] ; 1 ; -; RA~0 ; 1 ; -; RowA[0] ; 1 ; -; RBA[1]~reg0 ; 1 ; -; RBA[0]~reg0 ; 1 ; -; comb~0 ; 1 ; -+---------------------------------------------------------------------------------------------+---------+ - - -+--------------------------------------------------+ -; Other Routing Usage Summary ; -+-----------------------------+--------------------+ -; Other Routing Resource Type ; Usage ; -+-----------------------------+--------------------+ -; C4s ; 162 / 784 ( 21 % ) ; -; Direct links ; 39 / 888 ( 4 % ) ; -; Global clocks ; 4 / 4 ( 100 % ) ; -; LAB clocks ; 15 / 32 ( 47 % ) ; -; LUT chains ; 20 / 216 ( 9 % ) ; -; Local interconnects ; 275 / 888 ( 31 % ) ; -; R4s ; 173 / 704 ( 25 % ) ; -+-----------------------------+--------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Logic Elements ; -+--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 8.00) ; Number of LABs (Total = 21) ; -+--------------------------------------------+------------------------------+ -; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 2 ; -; 4 ; 0 ; -; 5 ; 3 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 2 ; -; 10 ; 12 ; -+--------------------------------------------+------------------------------+ - - -+-------------------------------------------------------------------+ -; LAB-wide Signals ; -+------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.33) ; Number of LABs (Total = 21) ; -+------------------------------------+------------------------------+ -; 1 Clock ; 12 ; -; 1 Clock enable ; 2 ; -; 1 Sync. clear ; 4 ; -; 1 Sync. load ; 1 ; -; 2 Clocks ; 9 ; -+------------------------------------+------------------------------+ - - -+----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 8.29) ; Number of LABs (Total = 21) ; -+---------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 1 ; -; 4 ; 1 ; -; 5 ; 3 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 1 ; -; 10 ; 9 ; -; 11 ; 4 ; -+---------------------------------------------+------------------------------+ - - -+--------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 5.76) ; Number of LABs (Total = 21) ; -+-------------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 2 ; -; 3 ; 2 ; -; 4 ; 4 ; -; 5 ; 2 ; -; 6 ; 3 ; -; 7 ; 2 ; -; 8 ; 3 ; -; 9 ; 1 ; -; 10 ; 2 ; -+-------------------------------------------------+------------------------------+ - - -+-----------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 11.29) ; Number of LABs (Total = 21) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 2 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 2 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 1 ; -; 10 ; 2 ; -; 11 ; 2 ; -; 12 ; 4 ; -; 13 ; 1 ; -; 14 ; 1 ; -; 15 ; 1 ; -; 16 ; 2 ; -; 17 ; 0 ; -; 18 ; 0 ; -; 19 ; 1 ; -; 20 ; 1 ; -+----------------------------------------------+------------------------------+ - - -+-------------------------------------------------------------------------+ -; Fitter Device Options ; -+----------------------------------------------+--------------------------+ -; Option ; Setting ; -+----------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Passive Serial ; -; Reserve all unused pins ; As output driving ground ; -; Base pin-out file on sameframe device ; Off ; -+----------------------------------------------+--------------------------+ - - -+------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Summary ; -+-----------------+----------------------+-------------------+ -; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; -+-----------------+----------------------+-------------------+ -; I/O ; nCRAS ; 1.4 ; -+-----------------+----------------------+-------------------+ -Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. -This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer. - - -+------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+-----------------+----------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+-----------------+----------------------+-------------------+ -; nCCAS ; CBR ; 1.374 ; -; PHI2 ; PHI2r ; 0.176 ; -+-----------------+----------------------+-------------------+ -Note: This table only shows the top 2 path(s) that have the largest delay added for hold. - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (119006): Selected device 5M240ZT100C5 for design "RAM2GS" -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device 5M80ZT100C5 is compatible - Info (176445): Device 5M80ZT100I5 is compatible - Info (176445): Device 5M160ZT100C5 is compatible - Info (176445): Device 5M160ZT100I5 is compatible - Info (176445): Device 5M240ZT100I5 is compatible - Info (176445): Device 5M570ZT100C5 is compatible - Info (176445): Device 5M570ZT100I5 is compatible -Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 63 total pins - Info (169086): Pin LED not assigned to an exact location on the device -Critical Warning (332012): Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained base clocks found in the design -Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements - Info (332127): Assuming a default timing requirement -Info (332111): Found 6 clocks - Info (332111): Period Clock Name - Info (332111): ======== ============ - Info (332111): 1.000 ARCLK - Info (332111): 1.000 DRCLK - Info (332111): 1.000 nCCAS - Info (332111): 1.000 nCRAS - Info (332111): 1.000 PHI2 - Info (332111): 1.000 RCLK -Info (186079): Completed User Assigned Global Signals Promotion Operation -Info (186215): Automatically promoted signal "RCLK" to use Global clock in PIN 12 -Info (186216): Automatically promoted some destinations of signal "PHI2" to use Global clock - Info (186217): Destination "PHI2r" may be non-global or may not use global clock -Info (186228): Pin "PHI2" drives global clock, but is not placed in a dedicated clock pin position -Info (186216): Automatically promoted some destinations of signal "nCRAS" to use Global clock - Info (186217): Destination "comb~0" may be non-global or may not use global clock - Info (186217): Destination "RASr" may be non-global or may not use global clock -Info (186228): Pin "nCRAS" drives global clock, but is not placed in a dedicated clock pin position -Info (186216): Automatically promoted some destinations of signal "nCCAS" to use Global clock - Info (186217): Destination "CBR" may be non-global or may not use global clock - Info (186217): Destination "comb~3" may be non-global or may not use global clock - Info (186217): Destination "CASr" may be non-global or may not use global clock -Info (186228): Pin "nCCAS" drives global clock, but is not placed in a dedicated clock pin position -Info (186079): Completed Auto Global Promotion Operation -Info (176234): Starting register packing -Info (186391): Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option -Info (186468): Started processing fast register assignments -Info (186469): Finished processing fast register assignments -Info (176235): Finished register packing -Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional) - Info (176212): I/O standards used: 3.3-V LVTTL. -Info (176215): I/O bank details before I/O pin placement - Info (176214): Statistics of I/O banks - Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available - Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 24 total pin(s) used -- 17 pins available -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 20% of the available device resources - Info (170196): Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.27 seconds. -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 -Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.fit.smsg -Info: Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 548 megabytes - Info: Processing ended: Mon Aug 16 18:40:21 2021 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.fit.smsg. - - diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.smsg b/CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.smsg deleted file mode 100644 index 6df10d8..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.smsg +++ /dev/null @@ -1,4 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176244): Moving registers into LUTs to improve timing and density -Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:00 diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.summary b/CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.summary deleted file mode 100644 index 9f20503..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.fit.summary +++ /dev/null @@ -1,11 +0,0 @@ -Fitter Status : Successful - Mon Aug 16 18:40:21 2021 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : RAM2GS -Top-level Entity Name : RAM2GS -Family : MAX V -Device : 5M240ZT100C5 -Timing Models : Final -Total logic elements : 168 / 240 ( 70 % ) -Total pins : 63 / 79 ( 80 % ) -Total virtual pins : 0 -UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.flow.rpt b/CPLD-old/MAX/MAXV/output_files/RAM2GS.flow.rpt deleted file mode 100644 index 3bd2467..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.flow.rpt +++ /dev/null @@ -1,126 +0,0 @@ -Flow report for RAM2GS -Mon Aug 16 18:40:24 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------------+ -; Flow Summary ; -+---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Mon Aug 16 18:40:22 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM2GS ; -; Top-level Entity Name ; RAM2GS ; -; Family ; MAX V ; -; Device ; 5M240ZT100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 168 / 240 ( 70 % ) ; -; Total pins ; 63 / 79 ( 80 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+---------------------------+-------------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 08/16/2021 18:40:16 ; -; Main task ; Compilation ; -; Revision Name ; RAM2GS ; -+-------------------+---------------------+ - - -+----------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+--------------------------------------------+------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+--------------------------------------------+------------------------------+---------------+-------------+------------+ -; ALLOW_POWER_UP_DONT_CARE ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 962837114763.162915361605944 ; -- ; -- ; -- ; -; ENABLE_BUS_HOLD_CIRCUITRY ; On ; Off ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ; Pack All IO Registers ; Normal ; -- ; -- ; -; OPTIMIZE_MULTI_CORNER_TIMING ; On ; Off ; -- ; -- ; -; PLACEMENT_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; -; POWER_DEFAULT_INPUT_IO_TOGGLE_RATE ; 12.5 % ; 12.5% ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; ROUTER_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; -; SAFE_STATE_MACHINE ; On ; Off ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+--------------------------------------------+------------------------------+---------------+-------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 421 MB ; 00:00:01 ; -; Fitter ; 00:00:03 ; 1.0 ; 548 MB ; 00:00:03 ; -; Assembler ; 00:00:01 ; 1.0 ; 381 MB ; 00:00:01 ; -; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 368 MB ; 00:00:01 ; -; Total ; 00:00:06 ; -- ; -- ; 00:00:06 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+-----------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; Fitter ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; Assembler ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; TimeQuest Timing Analyzer ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -+---------------------------+------------------+-----------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS -quartus_fit --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS -quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS -quartus_sta RAM2GS-MAXV -c RAM2GS - - - diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.jdi b/CPLD-old/MAX/MAXV/output_files/RAM2GS.jdi deleted file mode 100644 index 448e697..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.map.rpt b/CPLD-old/MAX/MAXV/output_files/RAM2GS.map.rpt deleted file mode 100644 index ac1eb15..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.map.rpt +++ /dev/null @@ -1,309 +0,0 @@ -Analysis & Synthesis report for RAM2GS -Mon Aug 16 18:40:17 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. Analysis & Synthesis IP Cores Summary - 9. General Register Statistics - 10. Inverted Register Statistics - 11. Multiplexer Restructuring Statistics (Restructuring Performed) - 12. Port Connectivity Checks: "UFM:UFM_inst" - 13. Analysis & Synthesis Messages - 14. Analysis & Synthesis Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Mon Aug 16 18:40:17 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM2GS ; -; Top-level Entity Name ; RAM2GS ; -; Family ; MAX V ; -; Total logic elements ; 177 ; -; Total pins ; 63 ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+-----------------------------+-------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Device ; 5M240ZT100C5 ; ; -; Top-level entity name ; RAM2GS ; RAM2GS ; -; Family name ; MAX V ; Cyclone IV GX ; -; Safe State Machine ; On ; Off ; -; Power-Up Don't Care ; Off ; On ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; NOT Gate Push-Back ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Block Design Naming ; Auto ; Auto ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Synthesis Seed ; 1 ; 1 ; -+----------------------------------------------------------------------------+--------------------+--------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ -; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v ; ; -; UFM.v ; yes ; User Wizard-Generated File ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v ; ; -+----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ - - -+-----------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+-------+ -; Resource ; Usage ; -+---------------------------------------------+-------+ -; Total logic elements ; 177 ; -; -- Combinational with no register ; 80 ; -; -- Register only ; 29 ; -; -- Combinational with a register ; 68 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 58 ; -; -- 3 input functions ; 40 ; -; -- 2 input functions ; 41 ; -; -- 1 input functions ; 8 ; -; -- 0 input functions ; 1 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 161 ; -; -- arithmetic mode ; 16 ; -; -- qfbk mode ; 0 ; -; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 9 ; -; -- asynchronous clear/load mode ; 0 ; -; ; ; -; Total registers ; 97 ; -; Total logic cells in carry chains ; 17 ; -; I/O pins ; 63 ; -; UFM blocks ; 1 ; -; Maximum fan-out node ; RCLK ; -; Maximum fan-out ; 55 ; -; Total fan-out ; 643 ; -; Average fan-out ; 2.67 ; -+---------------------------------------------+-------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |RAM2GS ; 177 (177) ; 97 ; 1 ; 63 ; 0 ; 80 (80) ; 29 (29) ; 68 (68) ; 17 (17) ; 0 (0) ; |RAM2GS ; work ; -; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst ; work ; -; |UFM_altufm_none_mjr:UFM_altufm_none_mjr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component ; work ; -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+-------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis IP Cores Summary ; -+--------+--------------+---------+--------------+--------------+----------------------+----------------------------------------------------------+ -; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; -+--------+--------------+---------+--------------+--------------+----------------------+----------------------------------------------------------+ -; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM2GS|UFM:UFM_inst ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v ; -+--------+--------------+---------+--------------+--------------+----------------------+----------------------------------------------------------+ - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 97 ; -; Number of registers using Synchronous Clear ; 6 ; -; Number of registers using Synchronous Load ; 3 ; -; Number of registers using Asynchronous Clear ; 0 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 10 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+--------------------------------------------------+ -; Inverted Register Statistics ; -+----------------------------------------+---------+ -; Inverted Register ; Fan out ; -+----------------------------------------+---------+ -; nRCS~reg0 ; 1 ; -; nRWE~reg0 ; 1 ; -; nRRAS~reg0 ; 1 ; -; nRCAS~reg0 ; 1 ; -; Total number of inverted registers = 4 ; ; -+----------------------------------------+---------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|S[0] ; -; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|ADSubmitted ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "UFM:UFM_inst" ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ -; ardin ; Input ; Info ; Stuck at GND ; -; busy ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; osc ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; rtpbusy ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit Analysis & Synthesis - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Aug 16 18:40:16 2021 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (12021): Found 1 design units, including 1 entities, in source file /users/dog/documents/github/ram2gs/cpld/max/ram2gs-max.v - Info (12023): Found entity 1: RAM2GS -Info (12021): Found 2 design units, including 2 entities, in source file ufm.v - Info (12023): Found entity 1: UFM_altufm_none_mjr - Info (12023): Found entity 2: UFM -Info (12127): Elaborating entity "RAM2GS" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(158): truncated value with size 32 to match size of target (2) -Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(163): truncated value with size 32 to match size of target (18) -Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(290): truncated value with size 32 to match size of target (4) -Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" -Info (12128): Elaborating entity "UFM_altufm_none_mjr" for hierarchy "UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component" -Critical Warning (127003): Can't find Memory Initialization File or Hexadecimal (Intel-Format) File C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/RAM2GS-MAX.mif -- setting all initial values to 0 -Warning (18029): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated -Warning (18029): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated -Warning (18029): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated -Warning (18029): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated -Warning (18029): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated -Warning (18029): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated -Warning (18029): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated -Warning (18029): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated -Info (21057): Implemented 241 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 25 input pins - Info (21059): Implemented 30 output pins - Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 177 logic cells - Info (21070): Implemented 1 User Flash Memory blocks -Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 13 warnings - Info: Peak virtual memory: 421 megabytes - Info: Processing ended: Mon Aug 16 18:40:17 2021 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - -+------------------------------------------+ -; Analysis & Synthesis Suppressed Messages ; -+------------------------------------------+ -The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg. - - diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.map.smsg b/CPLD-old/MAX/MAXV/output_files/RAM2GS.map.smsg deleted file mode 100644 index a8e8eb9..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.map.smsg +++ /dev/null @@ -1,3 +0,0 @@ -Warning (10273): Verilog HDL warning at RAM2GS-MAX.v(56): extended using "x" or "z" -Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword -Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.map.summary b/CPLD-old/MAX/MAXV/output_files/RAM2GS.map.summary deleted file mode 100644 index 68f556c..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.map.summary +++ /dev/null @@ -1,9 +0,0 @@ -Analysis & Synthesis Status : Successful - Mon Aug 16 18:40:17 2021 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : RAM2GS -Top-level Entity Name : RAM2GS -Family : MAX V -Total logic elements : 177 -Total pins : 63 -Total virtual pins : 0 -UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.pin b/CPLD-old/MAX/MAXV/output_files/RAM2GS.pin deleted file mode 100644 index 299181b..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.pin +++ /dev/null @@ -1,164 +0,0 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.8V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 3.3V - -- Bank 2: 3.3V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -CHIP "RAM2GS" ASSIGNED TO AN: 5M240ZT100C5 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -GND : 1 : gnd : : : : -RDQMH : 2 : output : 3.3-V LVCMOS : : 1 : Y -nRCS : 3 : output : 3.3-V LVCMOS : : 1 : Y -nRCAS : 4 : output : 3.3-V LVCMOS : : 1 : Y -RBA[0] : 5 : output : 3.3-V LVCMOS : : 1 : Y -nRRAS : 6 : output : 3.3-V LVCMOS : : 1 : Y -RA[11] : 7 : output : 3.3-V LVCMOS : : 1 : Y -RCKE : 8 : output : 3.3-V LVCMOS : : 1 : Y -VCCIO1 : 9 : power : : 3.3V : 1 : -GND : 10 : gnd : : : : -GND : 11 : gnd : : : : -RCLK : 12 : input : 3.3-V LVCMOS : : 1 : Y -VCCINT : 13 : power : : 1.8V : : -RBA[1] : 14 : output : 3.3-V LVCMOS : : 1 : Y -RA[9] : 15 : output : 3.3-V LVCMOS : : 1 : Y -RA[10] : 16 : output : 3.3-V LVCMOS : : 1 : Y -RA[8] : 17 : output : 3.3-V LVCMOS : : 1 : Y -RA[0] : 18 : output : 3.3-V LVCMOS : : 1 : Y -RA[7] : 19 : output : 3.3-V LVCMOS : : 1 : Y -RA[1] : 20 : output : 3.3-V LVCMOS : : 1 : Y -RA[6] : 21 : output : 3.3-V LVCMOS : : 1 : Y -TMS : 22 : input : : : 1 : -TDI : 23 : input : : : 1 : -TCK : 24 : input : : : 1 : -TDO : 25 : output : : : 1 : -RA[4] : 26 : output : 3.3-V LVCMOS : : 1 : Y -RA[3] : 27 : output : 3.3-V LVCMOS : : 1 : Y -Dout[5] : 28 : output : 3.3-V LVCMOS : : 1 : Y -RA[5] : 29 : output : 3.3-V LVCMOS : : 1 : Y -RA[2] : 30 : output : 3.3-V LVCMOS : : 1 : Y -VCCIO1 : 31 : power : : 3.3V : 1 : -GND : 32 : gnd : : : : -Dout[0] : 33 : output : 3.3-V LVCMOS : : 1 : Y -Dout[6] : 34 : output : 3.3-V LVCMOS : : 1 : Y -Din[2] : 35 : input : 3.3-V LVCMOS : : 1 : Y -Din[1] : 36 : input : 3.3-V LVCMOS : : 1 : Y -Din[3] : 37 : input : 3.3-V LVCMOS : : 1 : Y -Din[5] : 38 : input : 3.3-V LVCMOS : : 1 : Y -Din[4] : 39 : input : 3.3-V LVCMOS : : 1 : Y -Din[7] : 40 : input : 3.3-V LVCMOS : : 1 : Y -Din[6] : 41 : input : 3.3-V LVCMOS : : 1 : Y -Din[0] : 42 : input : 3.3-V LVCMOS : : 1 : Y -Dout[7] : 43 : output : 3.3-V LVCMOS : : 1 : Y -Dout[4] : 44 : output : 3.3-V LVCMOS : : 1 : Y -VCCIO1 : 45 : power : : 3.3V : 1 : -GND : 46 : gnd : : : : -Dout[3] : 47 : output : 3.3-V LVCMOS : : 1 : Y -nFWE : 48 : input : 3.3-V LVCMOS : : 1 : Y -MAin[0] : 49 : input : 3.3-V LVCMOS : : 1 : Y -MAin[2] : 50 : input : 3.3-V LVCMOS : : 1 : Y -MAin[1] : 51 : input : 3.3-V LVCMOS : : 1 : Y -PHI2 : 52 : input : 3.3-V LVCMOS : : 2 : Y -nCCAS : 53 : input : 3.3-V LVCMOS : : 2 : Y -CROW[0] : 54 : input : 3.3-V LVCMOS : : 2 : Y -CROW[1] : 55 : input : 3.3-V LVCMOS : : 2 : Y -Dout[2] : 56 : output : 3.3-V LVCMOS : : 2 : Y -Dout[1] : 57 : output : 3.3-V LVCMOS : : 2 : Y -GND* : 58 : : : : 2 : -VCCIO2 : 59 : power : : 3.3V : 2 : -GND : 60 : gnd : : : : -GND* : 61 : : : : 2 : -LED : 62 : output : 3.3-V LVTTL : : 2 : N -VCCINT : 63 : power : : 1.8V : : -GND* : 64 : : : : 2 : -GND : 65 : gnd : : : : -GND* : 66 : : : : 2 : -nCRAS : 67 : input : 3.3-V LVCMOS : : 2 : Y -MAin[7] : 68 : input : 3.3-V LVCMOS : : 2 : Y -MAin[5] : 69 : input : 3.3-V LVCMOS : : 2 : Y -MAin[4] : 70 : input : 3.3-V LVCMOS : : 2 : Y -MAin[3] : 71 : input : 3.3-V LVCMOS : : 2 : Y -MAin[6] : 72 : input : 3.3-V LVCMOS : : 2 : Y -MAin[8] : 73 : input : 3.3-V LVCMOS : : 2 : Y -MAin[9] : 74 : input : 3.3-V LVCMOS : : 2 : Y -GND* : 75 : : : : 2 : -GND* : 76 : : : : 2 : -GND* : 77 : : : : 2 : -GND* : 78 : : : : 2 : -GND : 79 : gnd : : : : -VCCIO2 : 80 : power : : 3.3V : 2 : -GND* : 81 : : : : 2 : -GND* : 82 : : : : 2 : -GND* : 83 : : : : 2 : -GND* : 84 : : : : 2 : -GND* : 85 : : : : 2 : -GND* : 86 : : : : 2 : -GND* : 87 : : : : 2 : -GND* : 88 : : : : 2 : -RD[2] : 89 : bidir : 3.3-V LVCMOS : : 2 : Y -RD[1] : 90 : bidir : 3.3-V LVCMOS : : 2 : Y -RD[5] : 91 : bidir : 3.3-V LVCMOS : : 2 : Y -RD[4] : 92 : bidir : 3.3-V LVCMOS : : 2 : Y -GND : 93 : gnd : : : : -VCCIO2 : 94 : power : : 3.3V : 2 : -RD[6] : 95 : bidir : 3.3-V LVCMOS : : 2 : Y -RD[0] : 96 : bidir : 3.3-V LVCMOS : : 2 : Y -RD[7] : 97 : bidir : 3.3-V LVCMOS : : 2 : Y -RDQML : 98 : output : 3.3-V LVCMOS : : 2 : Y -RD[3] : 99 : bidir : 3.3-V LVCMOS : : 2 : Y -nRWE : 100 : output : 3.3-V LVCMOS : : 2 : Y diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.pof b/CPLD-old/MAX/MAXV/output_files/RAM2GS.pof deleted file mode 100644 index b9b6f58e6321f92091cd87c8192cea8f22ce3197..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7879 zcmeHMdvILUd0!c1n-<%pc|f3+RA%z%42d6t5FWOeP?OS(sXGMRhLHrulE)J)b&Qd0 z=dP!oY`Xvc zrqAE{*)MM2)wF46_qLsn?by+=v+3cEolkD>?rK`o{JGViThsK#r?x+_wP|hh+NRZ? zU$tiKs3GS{OhL89b1|Q4b#ecU|Jz8_ffMz0O8zB2q1blLJI%WFusl((7Nk`~gQqJzZ+Tl&p48(rR5WxqGV*Rj z$vC2%mRbYC=_^=V)*}p##nsY|F4g2~`ltx`0`o_bR1PDap+C0xF)^?rH-snJp{W|3 z$3T&mMVcX{m|qq=Usv(jABF!h1#|-V!pcQqmCkxx$$lGol1x|1iCpVn%?>TWM+HD> z3S(bX|lJK`S5|=Jcf>$7YS~=m`4W3(BV%S ze!E23O33b!mZbe!eBvU@%N_VW7e}#AF66;4%7_!=3(~z_S;ZkGg$6z}3Q4}rH*kN# zaVQVPz{khv6>+T6Rr|1}>4M}476Tm(VOk`KS;gQVDih@+-R=9a)S=3HY#*?Zzg1c2 zM?MENHD^?uM%B0$-`Ew4rQt1-t zl%$uKk6h$CbGsKqPQ8oyOu7f_1xmwirpIijc94_6<2mU8UKg;_(Ay&&whgLKjs^D* z_Ik1J9D~t0;lN&u6z4R3ck5F!rej)ZpZzm&I%nlwYA8}EJ}^BbisEUZbo5e^aqks| z?j2wL^Oxgee>!||x;N-=xN6@!&s`T>Ci%OYKE8_WXw58II-x%O@bFBf@4nVQOYGZS z|0f48!~Q@1xSM61>@q#EWcOcv^3;EH2X%eTsoBH7%yWE~ol6IxJ3pz*=9lb#pnkg2 zedaIsu1?L~^!h=jA2?_Gl2(qKi#lH(zOZtv*uB2jn|UL3a82{uslzJ~H}KKOrI)vK zP3$;x?Jqm?1I?-Lym&{D$$WX}$hgV!dzJGOCniJYuXXVGKm=b zFkQQ--^`4S4{9FyyMX#Z{t!<+hRl@|n#j<(--|LysR;SQ8uY|T#8?TUENEv*qpm`% zqmSX2Pf=v>D>*}lKHzY5VsuQsNlnr;7~puF{YYaBH5yaV`B>`{?RTl-&`+>BwRzE_Zhu}3Hr-JeWU-B z0A0~Ju6M-B@*@=88B_oH0P;|T!OS5_4gfJqW2cdqj@f^wbY$ewf6SM|cOEvIeuq|w zrH^BM2k(>VGQDyr4BG(iP|SIsf*R9*et|U0+x^MJSHSZu=%)WXjyv^)&Ui>|M3Va~ ziyQ#I+JDfme9tEmdFUxw{8-c`}{-syGY(>E=Cbm{B+p8nVk`)PVw zQ6ptRPjP%3*MDi>#ZxO5pIi6qeWg<4L7GMU^gBpW8Vx8tfbE>~VWjm;0~FH#fhU>hBM2940Qk^wyb?jx)C$I_v7ET5SK-{*K*zcp*0X88&&P$KqhpClA@x;P+5V zJaT@Hw-b%RVw|H&y-&pUBTtd78_W?^5B-@peNweQid^ikN(rt5)FI=IGhg1|E63w8 z4SNXTr?WBo45G@d%5(nR5Xgi&WPMM9D~>&$EWv2;A+dR29Au@F71Kg?P>(rffUw;uCoh};=7r#?%EVc3tf@du7s`?(JZ-f&+sKGE->q!_ig zt0bLhE=m_44!1jW^wloxSnBPnd>L^;>OTuZG_{TA zDpU?Ac46P3%=C4;pFITn57fn~d;#=ryLS&Sd2cLvs{cJjFMQ-QMI}|0 z_gEhE4OcV$wI4a9XRlvOMW$E%AMsE3ZrkdQ4&*y~l20C(=^s4Uymm76LVvrpKRg7TcAMDsCHgJKxi(n|_-0wltD@eETC9tQ zxV~CN#;3xR?ju@Pi$5oi{ZwW2yOK&v>~B8KhmZo}e>|=w=Y{WaF79v8gR@D2#&W1< zMBY(DB&q0W7xx>*`)lhI>cPmH`cOxRM7A%(ayrZEh?3Sn0c4V% zaADxO^<%+)LH~JM_pkx@(1&vvb?Yl(_A4(IReB-EeS!NV(>d-B7<`Gw#QX^F&xo1r zr*;XuMG?KP+{n~dffxw&4c%I*qL0l4^-D@vydz~P@^}|OT-XSqVx)*zPsPYTglFVa zq?pdh}nF!o_&Kf+gB;(SLwuj78PfKGaff}slR zRPG~=7Fo8hK$nbsD@msdaVeZ&yzjxp_P&=PtSGMP|E(yx;bdz}guEO%;NhM&^d*ig5BdNur;wee{gujH5PUs8YT$l;{(g{GDN*?2Y6 z3+-Jx%{%fk#Z|!%_T-v{7BHwXv>4s0;eCNOTN57C6$TWX> z=&8DvAuBU82@)^|lSYAS2%3j!^iZ15(uzd?~ zFptWZXR^Py&U_)^-UeI|)o>TsZ*U;TEfBZ;L3yK(^DwTfbjGRn-<%yDeBpKQnfoW= zSGAM-&pV-%aBK3%7RVbu6czF)tMoL}ADWYA99IIjibr%D&pgK#Kzp!1v7BS-VSc@Y zHG}8!J`+mNCG*d%*XXFT_f&g&4^d;yJ|0`~VgAde|1wflV!pq#UilWr8V+!g|FDGp zI$nrx;uDkWlzz7P##CdXu9A3WF?eyjrN51l{YKKvj)bFlKFrC+bgwIic zu^lgbw9>t0%hIbmbX{T*=Fn^2|6qrqANtLy?kzVif9|o0m1n!&#RvU2^3!dd6L0w3 zf8G7B6@34#X1xFP_s2{xQ9l3DyZNqv`p2ciH{X2cVgLKz>i-4a|BhxdCXb9$MlC{5 zR7MSf|4a|o=FdM@X!MnbHPrv$D22G+qYLt(iCZl`C1G$-Khop)08g-A@YB^2=am3G zL*g=pEk@q<8|bdVG5CnNkG<672gO^(G5#xaAI0Vk8$Ym)dNllu4^_}>_XiSI4s^p0 zdQy_>q51gw3%>Mw;5Ga_V&lg-oa4F0pOd#ZLSfvNVS2Do4$nqENz%y(Z-QnX&*9F| zfp5;A8vhlei@9!#Jn%2XpXeZubNzSbR_hhkj0!z%U@xwRu{0-*aN!; z-`y6{(F)#ouvS}d#WTly&-vKZ7pScekKz7g{M&5n*UGC;UQ)?SZv*(>>>d;@)Is8Dxea!LUP4kXhPGuLrdCfibyPyB_`*@w}9>4F|^A9|%XWiYWM8oV~JhyDt&=>svXZ!E9k)fb#+uhfBmtW{V z*xWpsO7&OsVEQkg>$+vQYhvJJ>igfu{WEj#(8)}d4t&&%^8IKh^px-x!usa>&)WG0 z){;}n9DZl(3GnRTHxstML{YDnkPq{Nfna}QD$CXa;&1w*Rv$P|W!a^Jjqz}#VevtGlu@wfcZ@72{j4)axipXK}F9L~Ia&2Qkx zSJr2hAAbEo{{Kbq75>7K{}~7SPs^439EXK^IhwUNxShqZ3aM=q0(qA?Y+hv=EZs5p z`}4dU{E{EUv3hJgTL$O;K7bya$Dfy95T7gT`JlZuz7NO$+qm42xc2I~zX&z)UxZLJ XAO8C=0v|@;|7ir4n!gqQ{iXi~?LSve diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.sta.rpt b/CPLD-old/MAX/MAXV/output_files/RAM2GS.sta.rpt deleted file mode 100644 index 34ffbe8..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.sta.rpt +++ /dev/null @@ -1,1576 +0,0 @@ -TimeQuest Timing Analyzer report for RAM2GS -Mon Aug 16 18:40:24 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. TimeQuest Timing Analyzer Summary - 3. Parallel Compilation - 4. Clocks - 5. Fmax Summary - 6. Setup Summary - 7. Hold Summary - 8. Recovery Summary - 9. Removal Summary - 10. Minimum Pulse Width Summary - 11. Setup: 'ARCLK' - 12. Setup: 'DRCLK' - 13. Setup: 'PHI2' - 14. Setup: 'RCLK' - 15. Setup: 'nCRAS' - 16. Hold: 'ARCLK' - 17. Hold: 'DRCLK' - 18. Hold: 'PHI2' - 19. Hold: 'nCRAS' - 20. Hold: 'RCLK' - 21. Minimum Pulse Width: 'ARCLK' - 22. Minimum Pulse Width: 'DRCLK' - 23. Minimum Pulse Width: 'PHI2' - 24. Minimum Pulse Width: 'RCLK' - 25. Minimum Pulse Width: 'nCCAS' - 26. Minimum Pulse Width: 'nCRAS' - 27. Setup Times - 28. Hold Times - 29. Clock to Output Times - 30. Minimum Clock to Output Times - 31. Propagation Delay - 32. Minimum Propagation Delay - 33. Output Enable Times - 34. Minimum Output Enable Times - 35. Output Disable Times - 36. Minimum Output Disable Times - 37. Setup Transfers - 38. Hold Transfers - 39. Report TCCS - 40. Report RSKM - 41. Unconstrained Paths - 42. TimeQuest Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+----------------------------------------------------------------------------------------+ -; TimeQuest Timing Analyzer Summary ; -+--------------------+-------------------------------------------------------------------+ -; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; -; Revision Name ; RAM2GS ; -; Device Family ; MAX V ; -; Device Name ; 5M240ZT100C5 ; -; Timing Models ; Final ; -; Delay Model ; Slow Model ; -; Rise/Fall Delays ; Unavailable ; -+--------------------+-------------------------------------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Clocks ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -; ARCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { ARCLK } ; -; DRCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { DRCLK } ; -; nCCAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCCAS } ; -; nCRAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCRAS } ; -; PHI2 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { PHI2 } ; -; RCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { RCLK } ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ - - -+-------------------------------------------------+ -; Fmax Summary ; -+-----------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+-----------+-----------------+------------+------+ -; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; -; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; -; 20.71 MHz ; 20.71 MHz ; PHI2 ; ; -; 47.75 MHz ; 47.75 MHz ; RCLK ; ; -+-----------+-----------------+------------+------+ -This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. - - -+---------------------------------+ -; Setup Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; ARCLK ; -99.000 ; -99.000 ; -; DRCLK ; -99.000 ; -99.000 ; -; PHI2 ; -23.638 ; -216.621 ; -; RCLK ; -19.942 ; -610.547 ; -; nCRAS ; -3.072 ; -6.479 ; -+-------+---------+---------------+ - - -+---------------------------------+ -; Hold Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; ARCLK ; -16.153 ; -16.153 ; -; DRCLK ; -14.623 ; -14.623 ; -; PHI2 ; -2.569 ; -3.433 ; -; nCRAS ; -0.713 ; -2.822 ; -; RCLK ; 2.127 ; 0.000 ; -+-------+---------+---------------+ - - --------------------- -; Recovery Summary ; --------------------- -No paths to report. - - -------------------- -; Removal Summary ; -------------------- -No paths to report. - - -+---------------------------------+ -; Minimum Pulse Width Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; ARCLK ; -29.500 ; -59.000 ; -; DRCLK ; -29.500 ; -59.000 ; -; PHI2 ; -2.289 ; -2.289 ; -; RCLK ; -2.289 ; -2.289 ; -; nCCAS ; -2.289 ; -2.289 ; -; nCRAS ; -2.289 ; -2.289 ; -+-------+---------+---------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'ARCLK' ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -99.000 ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.847 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 1.000 ; -0.884 ; 2.963 ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'DRCLK' ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -99.000 ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ; -; -24.468 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -0.994 ; 4.474 ; -; -24.377 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -0.994 ; 4.383 ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------+ -; Setup: 'PHI2' ; -+---------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; -23.638 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 23.817 ; -; -23.413 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 23.592 ; -; -22.503 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 22.682 ; -; -21.937 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 22.116 ; -; -21.404 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 21.583 ; -; -21.232 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 21.411 ; -; -21.179 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 21.358 ; -; -20.812 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.991 ; -; -20.269 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.448 ; -; -20.229 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.408 ; -; -20.229 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.408 ; -; -20.229 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.408 ; -; -20.229 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.408 ; -; -20.189 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.368 ; -; -20.004 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.183 ; -; -20.004 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.183 ; -; -20.004 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.183 ; -; -20.004 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.183 ; -; -19.703 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.882 ; -; -19.309 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.488 ; -; -19.309 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.488 ; -; -19.094 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.273 ; -; -19.094 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.273 ; -; -19.094 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.273 ; -; -19.094 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.273 ; -; -19.084 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.263 ; -; -19.084 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.263 ; -; -18.998 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.177 ; -; -18.578 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.757 ; -; -18.528 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.707 ; -; -18.528 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.707 ; -; -18.528 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.707 ; -; -18.528 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.707 ; -; -18.174 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.353 ; -; -18.174 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.353 ; -; -17.993 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.172 ; -; -17.955 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.134 ; -; -17.823 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.002 ; -; -17.823 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.002 ; -; -17.823 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.002 ; -; -17.823 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.002 ; -; -17.608 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.787 ; -; -17.608 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.787 ; -; -17.403 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.582 ; -; -17.403 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.582 ; -; -17.403 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.582 ; -; -17.403 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.582 ; -; -16.903 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.082 ; -; -16.903 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.082 ; -; -16.780 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.959 ; -; -16.780 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.959 ; -; -16.780 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.959 ; -; -16.780 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.959 ; -; -16.483 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.662 ; -; -16.483 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.662 ; -; -15.860 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.039 ; -; -15.860 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.039 ; -; -15.759 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 15.938 ; -; -15.305 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 15.484 ; -; -15.305 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 15.484 ; -; -15.080 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 15.259 ; -; -15.080 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 15.259 ; -; -14.777 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.956 ; -; -14.584 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.763 ; -; -14.584 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.763 ; -; -14.584 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.763 ; -; -14.584 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.763 ; -; -14.552 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.731 ; -; -14.170 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.349 ; -; -14.170 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.349 ; -; -13.664 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.843 ; -; -13.664 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.843 ; -; -13.642 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.821 ; -; -13.604 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.783 ; -; -13.604 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.783 ; -; -13.076 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.255 ; -; -12.899 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.078 ; -; -12.899 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.078 ; -; -12.479 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.658 ; -; -12.479 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.658 ; -; -12.415 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 13.094 ; -; -12.415 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 13.094 ; -; -12.415 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 13.094 ; -; -12.415 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 13.094 ; -; -12.371 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.550 ; -; -11.951 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.130 ; -; -11.942 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 12.621 ; -; -11.942 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 12.621 ; -; -11.856 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.035 ; -; -11.856 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.035 ; -; -11.414 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 12.093 ; -; -11.328 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 11.507 ; -; -9.660 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.839 ; -; -9.660 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.839 ; -; -9.132 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.311 ; -; -8.463 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 9.142 ; -; -8.261 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 8.940 ; -; -7.499 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 8.178 ; -; -6.906 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 7.585 ; -; -6.658 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.837 ; -+---------+-------------+--------------+--------------+-------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'RCLK' ; -+---------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ -; -19.942 ; FS[17] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 20.621 ; -; -19.941 ; FS[17] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 20.620 ; -; -19.634 ; FS[16] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 20.313 ; -; -19.633 ; FS[16] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 20.312 ; -; -18.886 ; FS[17] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 19.565 ; -; -18.787 ; FS[17] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 19.466 ; -; -18.771 ; FS[7] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 19.450 ; -; -18.770 ; FS[7] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 19.449 ; -; -18.479 ; FS[16] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 19.158 ; -; -18.118 ; FS[16] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 18.797 ; -; -17.725 ; CmdSubmitted ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -6.837 ; 11.067 ; -; -17.709 ; FWEr ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 13.152 ; -; -17.616 ; FS[7] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 18.295 ; -; -17.380 ; FS[6] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 18.059 ; -; -17.379 ; FS[6] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 18.058 ; -; -17.204 ; FS[17] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.883 ; -; -17.204 ; UFMInitDone ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.883 ; -; -17.203 ; UFMInitDone ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.882 ; -; -16.737 ; FS[17] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.416 ; -; -16.735 ; FS[17] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.414 ; -; -16.583 ; CmdSubmitted ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -6.837 ; 9.925 ; -; -16.436 ; FS[16] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.115 ; -; -16.429 ; FS[16] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.108 ; -; -16.427 ; FS[16] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.106 ; -; -16.336 ; FS[17] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.015 ; -; -16.318 ; FS[6] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.997 ; -; -16.095 ; FS[12] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.774 ; -; -16.049 ; UFMInitDone ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.728 ; -; -16.028 ; FS[16] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.707 ; -; -15.980 ; CBR ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 11.423 ; -; -15.962 ; FS[5] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.641 ; -; -15.961 ; FS[5] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.640 ; -; -15.903 ; CmdSubmitted ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -6.837 ; 9.245 ; -; -15.834 ; FS[7] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.513 ; -; -15.712 ; FS[12] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.391 ; -; -15.711 ; FWEr ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 11.154 ; -; -15.707 ; FWEr ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 11.150 ; -; -15.566 ; FS[7] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.245 ; -; -15.564 ; FS[7] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.243 ; -; -15.554 ; Ready ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.233 ; -; -15.538 ; Ready ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.217 ; -; -15.499 ; Ready ; IS[2] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.178 ; -; -15.419 ; FS[10] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.098 ; -; -15.371 ; CBR ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 10.814 ; -; -15.367 ; CBR ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 10.810 ; -; -15.320 ; RASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.999 ; -; -15.308 ; FS[4] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.987 ; -; -15.304 ; CmdDRCLK ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -6.837 ; 8.646 ; -; -15.236 ; S[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.915 ; -; -15.235 ; FS[13] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.914 ; -; -15.165 ; FS[7] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.844 ; -; -15.036 ; FS[10] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.715 ; -; -14.996 ; InitReady ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.675 ; -; -14.925 ; RASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.604 ; -; -14.894 ; UFMReqErase ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.573 ; -; -14.868 ; FS[5] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.547 ; -; -14.852 ; FS[13] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.531 ; -; -14.765 ; FS[4] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.444 ; -; -14.728 ; FS[17] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.407 ; -; -14.670 ; S[0] ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.349 ; -; -14.620 ; FWEr ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 10.063 ; -; -14.568 ; S[0] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.247 ; -; -14.564 ; FS[4] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.243 ; -; -14.562 ; FS[4] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.241 ; -; -14.536 ; FS[6] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.215 ; -; -14.469 ; Ready ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.148 ; -; -14.469 ; S[1] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.148 ; -; -14.420 ; FS[16] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.099 ; -; -14.370 ; FS[11] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.049 ; -; -14.285 ; S[0] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.964 ; -; -14.280 ; CBR ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 9.723 ; -; -14.270 ; InitReady ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.949 ; -; -14.267 ; UFMInitDone ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.946 ; -; -14.182 ; Ready ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.861 ; -; -14.180 ; Ready ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.859 ; -; -14.177 ; FS[6] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.856 ; -; -14.175 ; FS[6] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.854 ; -; -14.142 ; S[0] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.821 ; -; -14.059 ; S[1] ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.738 ; -; -14.045 ; InitReady ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.724 ; -; -14.017 ; FS[5] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.696 ; -; -13.999 ; UFMInitDone ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.678 ; -; -13.997 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.676 ; -; -13.987 ; FS[11] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.666 ; -; -13.985 ; FS[2] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.664 ; -; -13.979 ; IS[2] ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.658 ; -; -13.957 ; S[1] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.636 ; -; -13.920 ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; n8MEGEN ; DRCLK ; RCLK ; 1.000 ; 0.994 ; 15.593 ; -; -13.911 ; RASr2 ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.590 ; -; -13.902 ; InitReady ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.581 ; -; -13.816 ; FS[5] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.495 ; -; -13.814 ; FS[5] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.493 ; -; -13.804 ; FWEr ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 9.247 ; -; -13.774 ; FS[6] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.453 ; -; -13.771 ; FS[1] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.450 ; -; -13.744 ; RASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.423 ; -; -13.663 ; FS[7] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.342 ; -; -13.640 ; Ready ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.319 ; -; -13.640 ; S[1] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.319 ; -; -13.631 ; FS[15] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.310 ; -+---------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Setup: 'nCRAS' ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -3.072 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.500 ; 9.682 ; 12.933 ; -; -2.572 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 1.000 ; 9.682 ; 12.933 ; -; -1.936 ; Ready ; RowA[5] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 6.851 ; -; -1.471 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 6.386 ; -; 0.029 ; Ready ; RowA[8] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.886 ; -; 0.030 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.885 ; -; 0.031 ; Ready ; RowA[3] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.884 ; -; 0.033 ; Ready ; RowA[4] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.882 ; -; 0.042 ; Ready ; RowA[2] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.873 ; -; 0.042 ; Ready ; RowA[7] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.873 ; -; 1.342 ; Ready ; RowA[0] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 3.573 ; -; 1.343 ; Ready ; RowA[6] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 3.572 ; -; 1.344 ; Ready ; RowA[9] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 3.571 ; -; 1.353 ; Ready ; RowA[1] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 3.562 ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'ARCLK' ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.153 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 0.000 ; -0.884 ; 2.963 ; -; 60.000 ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'DRCLK' ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -14.623 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -0.994 ; 4.383 ; -; -14.532 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -0.994 ; 4.474 ; -; 60.000 ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------+ -; Hold: 'PHI2' ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; -2.569 ; n8MEGEN ; Cmdn8MEGEN ; RCLK ; PHI2 ; -0.500 ; 6.837 ; 3.807 ; -; -0.864 ; Ready ; RA11 ; RCLK ; PHI2 ; 0.000 ; 6.837 ; 6.012 ; -; -0.191 ; n8MEGEN ; RA11 ; RCLK ; PHI2 ; 0.000 ; 6.837 ; 6.685 ; -; 5.284 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.323 ; -; 5.457 ; XOR8MEG ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.496 ; -; 6.515 ; C1Submitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.554 ; -; 7.298 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.837 ; -; 7.546 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 7.585 ; -; 8.139 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 8.178 ; -; 8.901 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 8.940 ; -; 9.103 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 9.142 ; -; 9.772 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.311 ; -; 10.300 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.839 ; -; 10.300 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.839 ; -; 10.451 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.990 ; -; 10.454 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.993 ; -; 11.968 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 11.507 ; -; 12.054 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 12.093 ; -; 12.106 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 11.645 ; -; 12.496 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.035 ; -; 12.496 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.035 ; -; 12.582 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 12.621 ; -; 12.582 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 12.621 ; -; 12.591 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.130 ; -; 12.647 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.186 ; -; 12.650 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.189 ; -; 13.011 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.550 ; -; 13.055 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 13.094 ; -; 13.055 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 13.094 ; -; 13.055 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 13.094 ; -; 13.055 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 13.094 ; -; 13.119 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.658 ; -; 13.119 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.658 ; -; 13.270 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.809 ; -; 13.273 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.812 ; -; 13.539 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.078 ; -; 13.539 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.078 ; -; 13.663 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.202 ; -; 13.690 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.229 ; -; 13.693 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.232 ; -; 13.716 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.255 ; -; 14.244 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.783 ; -; 14.244 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.783 ; -; 14.282 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.821 ; -; 14.302 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.841 ; -; 14.395 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.934 ; -; 14.398 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.937 ; -; 14.810 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.349 ; -; 14.810 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.349 ; -; 14.925 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.464 ; -; 14.961 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.500 ; -; 14.964 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.503 ; -; 15.192 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.731 ; -; 15.224 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.763 ; -; 15.224 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.763 ; -; 15.224 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.763 ; -; 15.224 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.763 ; -; 15.345 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.884 ; -; 15.417 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.956 ; -; 15.720 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.259 ; -; 15.720 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.259 ; -; 15.859 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.398 ; -; 15.871 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.410 ; -; 15.874 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.413 ; -; 15.945 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.484 ; -; 15.945 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.484 ; -; 16.050 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.589 ; -; 16.096 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.635 ; -; 16.099 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.638 ; -; 16.482 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.021 ; -; 16.616 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.155 ; -; 16.902 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.441 ; -; 17.420 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.959 ; -; 17.420 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.959 ; -; 17.420 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.959 ; -; 17.420 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.959 ; -; 17.526 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.065 ; -; 17.607 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.146 ; -; 17.751 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.290 ; -; 18.043 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.582 ; -; 18.043 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.582 ; -; 18.043 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.582 ; -; 18.043 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.582 ; -; 18.173 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.712 ; -; 18.463 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.002 ; -; 18.463 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.002 ; -; 18.463 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.002 ; -; 18.463 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.002 ; -; 19.083 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.622 ; -; 19.168 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.707 ; -; 19.168 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.707 ; -; 19.168 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.707 ; -; 19.168 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.707 ; -; 19.308 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.847 ; -; 19.734 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 19.273 ; -; 19.734 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 19.273 ; -; 19.734 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 19.273 ; -; 19.734 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 19.273 ; -; 20.644 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 20.183 ; -; 20.644 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 20.183 ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Hold: 'nCRAS' ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.713 ; Ready ; RowA[1] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 3.562 ; -; -0.704 ; Ready ; RowA[9] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 3.571 ; -; -0.703 ; Ready ; RowA[6] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 3.572 ; -; -0.702 ; Ready ; RowA[0] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 3.573 ; -; 0.598 ; Ready ; RowA[2] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.873 ; -; 0.598 ; Ready ; RowA[7] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.873 ; -; 0.607 ; Ready ; RowA[4] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.882 ; -; 0.609 ; Ready ; RowA[3] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.884 ; -; 0.610 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.885 ; -; 0.611 ; Ready ; RowA[8] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.886 ; -; 2.111 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 6.386 ; -; 2.576 ; Ready ; RowA[5] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 6.851 ; -; 3.212 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.000 ; 9.682 ; 12.933 ; -; 3.712 ; nCCAS ; CBR ; nCCAS ; nCRAS ; -0.500 ; 9.682 ; 12.933 ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------+ -; Hold: 'RCLK' ; -+-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ -; 2.127 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; 0.000 ; 4.946 ; 7.112 ; -; 2.325 ; nCCAS ; CASr ; nCCAS ; RCLK ; 0.000 ; 4.946 ; 7.310 ; -; 2.627 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; -0.500 ; 4.946 ; 7.112 ; -; 2.825 ; nCCAS ; CASr ; nCCAS ; RCLK ; -0.500 ; 4.946 ; 7.310 ; -; 3.362 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.401 ; -; 3.382 ; IS[3] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.421 ; -; 3.813 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.852 ; -; 3.827 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.866 ; -; 3.902 ; IS[1] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.941 ; -; 3.997 ; nCRAS ; RASr ; nCRAS ; RCLK ; 0.000 ; 4.946 ; 8.982 ; -; 4.411 ; CASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.450 ; -; 4.478 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.517 ; -; 4.497 ; nCRAS ; RASr ; nCRAS ; RCLK ; -0.500 ; 4.946 ; 8.982 ; -; 4.580 ; IS[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.619 ; -; 4.581 ; IS[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.620 ; -; 5.217 ; FS[6] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.256 ; -; 5.228 ; FS[10] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.267 ; -; 5.228 ; FS[9] ; FS[9] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.267 ; -; 5.229 ; FS[16] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.268 ; -; 5.229 ; UFMReqErase ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.268 ; -; 5.229 ; FS[11] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.268 ; -; 5.241 ; FS[8] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.280 ; -; 5.244 ; RCKEEN ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.283 ; -; 5.254 ; FS[1] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.293 ; -; 5.269 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.308 ; -; 5.275 ; UFMErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.314 ; -; 5.335 ; S[1] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.374 ; -; 5.337 ; S[1] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.376 ; -; 5.392 ; PHI2r2 ; DRDIn ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.431 ; -; 5.431 ; FS[12] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.470 ; -; 5.440 ; FS[7] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.479 ; -; 5.440 ; FS[14] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.479 ; -; 5.441 ; FS[15] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.480 ; -; 5.441 ; FS[13] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.480 ; -; 5.444 ; RASr3 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.483 ; -; 5.444 ; n8MEGEN ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.483 ; -; 5.452 ; FS[5] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.491 ; -; 5.452 ; FS[4] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.491 ; -; 5.454 ; FS[2] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.493 ; -; 5.466 ; FS[3] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.505 ; -; 5.498 ; CASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.537 ; -; 5.502 ; CASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.541 ; -; 5.521 ; UFMD[15] ; UFMD[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.560 ; -; 5.523 ; UFMD[15] ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.562 ; -; 5.524 ; UFMD[15] ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.563 ; -; 5.525 ; UFMD[15] ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.564 ; -; 5.551 ; IS[0] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.590 ; -; 5.564 ; IS[1] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.603 ; -; 5.595 ; Ready ; Ready ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.634 ; -; 5.690 ; RCKEEN ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.729 ; -; 5.715 ; nRowColSel ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.754 ; -; 5.717 ; RASr2 ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.756 ; -; 5.730 ; RASr2 ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.769 ; -; 5.730 ; RASr2 ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.769 ; -; 5.952 ; FS[6] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.991 ; -; 5.963 ; FS[9] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.002 ; -; 5.963 ; FS[10] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.002 ; -; 5.964 ; FS[16] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.003 ; -; 5.964 ; FS[11] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.003 ; -; 5.969 ; CASr3 ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.008 ; -; 5.989 ; FS[1] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.028 ; -; 6.025 ; Ready ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.064 ; -; 6.096 ; FS[6] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.135 ; -; 6.107 ; FS[9] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.146 ; -; 6.107 ; FS[10] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.146 ; -; 6.108 ; FS[11] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.147 ; -; 6.113 ; RASr ; RASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.152 ; -; 6.133 ; FS[1] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.172 ; -; 6.173 ; PHI2r2 ; PHI2r3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.212 ; -; 6.251 ; FS[9] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.290 ; -; 6.251 ; FS[10] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.290 ; -; 6.334 ; LEDEN ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.373 ; -; 6.395 ; FS[9] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.434 ; -; 6.433 ; FS[12] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.472 ; -; 6.442 ; FS[14] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.481 ; -; 6.442 ; FS[7] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.481 ; -; 6.443 ; FS[15] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.482 ; -; 6.454 ; FS[5] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.493 ; -; 6.454 ; FS[4] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.493 ; -; 6.456 ; FS[2] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.495 ; -; 6.534 ; PHI2r2 ; DRCLK ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.573 ; -; 6.560 ; IS[3] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.599 ; -; 6.586 ; FS[14] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.625 ; -; 6.587 ; FS[15] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.626 ; -; 6.595 ; PHI2r ; PHI2r2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.634 ; -; 6.598 ; FS[5] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.637 ; -; 6.598 ; FS[4] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.637 ; -; 6.730 ; FS[14] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.769 ; -; 6.742 ; FS[5] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.781 ; -; 6.742 ; FS[4] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.781 ; -; 6.744 ; FS[11] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.783 ; -; 6.744 ; FS[11] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.783 ; -; 6.744 ; FS[11] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.783 ; -; 6.744 ; FS[11] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.783 ; -; 6.769 ; FS[1] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.808 ; -; 6.769 ; FS[1] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.808 ; -; 6.769 ; FS[1] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.808 ; -; 6.769 ; FS[1] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.808 ; -; 6.769 ; FS[1] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.808 ; -; 6.785 ; CASr3 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.824 ; -+-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'ARCLK' ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ -; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; -; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_mjr_component|maxii_ufm_block1|arclk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_mjr_component|maxii_ufm_block1|arclk ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'DRCLK' ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ -; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; -; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_mjr_component|maxii_ufm_block1|drclk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_mjr_component|maxii_ufm_block1|drclk ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'PHI2' ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; PHI2 ; Rise ; PHI2 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; ADSubmitted ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; ADSubmitted ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[0] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[1] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[2] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[3] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[4] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[5] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[6] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[7] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; C1Submitted ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; C1Submitted ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdEnable ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdEnable ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; RA11 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; RA11 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; UFMOscEN ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; UFMOscEN ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; XOR8MEG ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; XOR8MEG ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; PHI2|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; PHI2|combout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; RA11|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; RA11|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'RCLK' ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; RCLK ; Rise ; RCLK ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; ARCLK ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; ARCLK ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; ARShift ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; ARShift ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; CASr ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; CASr ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; CASr2 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; CASr2 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; CASr3 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; CASr3 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; DRCLK ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; DRCLK ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; DRDIn ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; DRDIn ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; DRShift ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; DRShift ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[0] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[0] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[10] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[10] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[11] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[11] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[12] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[12] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[13] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[13] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[14] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[14] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[15] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[15] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[16] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[16] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[17] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[17] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[1] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[1] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[2] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[2] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[3] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[3] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[4] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[4] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[5] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[5] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[6] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[6] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[7] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[7] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[8] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[8] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[9] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[9] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; IS[0] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; IS[0] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; IS[1] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; IS[1] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; IS[2] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; IS[2] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; IS[3] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; IS[3] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; InitReady ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; InitReady ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; LEDEN ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; LEDEN ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; PHI2r ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; PHI2r ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; PHI2r2 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; PHI2r2 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; PHI2r3 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; PHI2r3 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RA10 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RA10 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RASr ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RASr ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RASr2 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RASr2 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RASr3 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RASr3 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RCKEEN ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RCKEEN ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; Ready ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; Ready ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; S[0] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; S[0] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; S[1] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; S[1] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; UFMD[15] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; UFMD[15] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; UFMErase ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; UFMErase ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; UFMInitDone ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; UFMInitDone ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; UFMProgram ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; UFMProgram ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; UFMReqErase ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; UFMReqErase ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; n8MEGEN ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ - - -+------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'nCCAS' ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCCAS ; Rise ; nCCAS ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[0] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[0] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[1] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[1] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[2] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[2] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[3] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[3] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[4] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[4] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[5] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[5] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[6] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[6] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[7] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[7] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ - - -+--------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'nCRAS' ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCRAS ; Rise ; nCRAS ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; CBR ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; CBR ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; FWEr ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; FWEr ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[0] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[0] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[1] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[1] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[2] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[2] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[3] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[3] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[4] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[4] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[5] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[5] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[6] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[6] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[7] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[7] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[8] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[8] ; -; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[9] ; -; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[9] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; CBR|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; CBR|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; FWEr|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; FWEr|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; Din[*] ; PHI2 ; 5.619 ; 5.619 ; Rise ; PHI2 ; -; Din[0] ; PHI2 ; 1.618 ; 1.618 ; Rise ; PHI2 ; -; Din[1] ; PHI2 ; 1.922 ; 1.922 ; Rise ; PHI2 ; -; Din[2] ; PHI2 ; 3.930 ; 3.930 ; Rise ; PHI2 ; -; Din[3] ; PHI2 ; 1.790 ; 1.790 ; Rise ; PHI2 ; -; Din[4] ; PHI2 ; 5.619 ; 5.619 ; Rise ; PHI2 ; -; Din[5] ; PHI2 ; 1.892 ; 1.892 ; Rise ; PHI2 ; -; Din[6] ; PHI2 ; 0.488 ; 0.488 ; Rise ; PHI2 ; -; Din[7] ; PHI2 ; -1.895 ; -1.895 ; Rise ; PHI2 ; -; Din[*] ; PHI2 ; 18.851 ; 18.851 ; Fall ; PHI2 ; -; Din[0] ; PHI2 ; 15.527 ; 15.527 ; Fall ; PHI2 ; -; Din[1] ; PHI2 ; 18.391 ; 18.391 ; Fall ; PHI2 ; -; Din[2] ; PHI2 ; 18.150 ; 18.150 ; Fall ; PHI2 ; -; Din[3] ; PHI2 ; 18.836 ; 18.836 ; Fall ; PHI2 ; -; Din[4] ; PHI2 ; 18.731 ; 18.731 ; Fall ; PHI2 ; -; Din[5] ; PHI2 ; 18.851 ; 18.851 ; Fall ; PHI2 ; -; Din[6] ; PHI2 ; 16.140 ; 16.140 ; Fall ; PHI2 ; -; Din[7] ; PHI2 ; 17.790 ; 17.790 ; Fall ; PHI2 ; -; MAin[*] ; PHI2 ; 27.296 ; 27.296 ; Fall ; PHI2 ; -; MAin[0] ; PHI2 ; 18.855 ; 18.855 ; Fall ; PHI2 ; -; MAin[1] ; PHI2 ; 17.223 ; 17.223 ; Fall ; PHI2 ; -; MAin[2] ; PHI2 ; 22.057 ; 22.057 ; Fall ; PHI2 ; -; MAin[3] ; PHI2 ; 26.915 ; 26.915 ; Fall ; PHI2 ; -; MAin[4] ; PHI2 ; 22.048 ; 22.048 ; Fall ; PHI2 ; -; MAin[5] ; PHI2 ; 27.296 ; 27.296 ; Fall ; PHI2 ; -; MAin[6] ; PHI2 ; 23.411 ; 23.411 ; Fall ; PHI2 ; -; MAin[7] ; PHI2 ; 26.379 ; 26.379 ; Fall ; PHI2 ; -; nFWE ; PHI2 ; 15.594 ; 15.594 ; Fall ; PHI2 ; -; PHI2 ; RCLK ; 2.487 ; 2.487 ; Rise ; RCLK ; -; nCCAS ; RCLK ; 2.685 ; 2.685 ; Rise ; RCLK ; -; nCRAS ; RCLK ; 4.357 ; 4.357 ; Rise ; RCLK ; -; Din[*] ; nCCAS ; 0.702 ; 0.702 ; Fall ; nCCAS ; -; Din[0] ; nCCAS ; -0.028 ; -0.028 ; Fall ; nCCAS ; -; Din[1] ; nCCAS ; -1.852 ; -1.852 ; Fall ; nCCAS ; -; Din[2] ; nCCAS ; -1.623 ; -1.623 ; Fall ; nCCAS ; -; Din[3] ; nCCAS ; -1.611 ; -1.611 ; Fall ; nCCAS ; -; Din[4] ; nCCAS ; -1.202 ; -1.202 ; Fall ; nCCAS ; -; Din[5] ; nCCAS ; -1.860 ; -1.860 ; Fall ; nCCAS ; -; Din[6] ; nCCAS ; 0.702 ; 0.702 ; Fall ; nCCAS ; -; Din[7] ; nCCAS ; -1.657 ; -1.657 ; Fall ; nCCAS ; -; CROW[*] ; nCRAS ; 5.538 ; 5.538 ; Fall ; nCRAS ; -; CROW[0] ; nCRAS ; 5.538 ; 5.538 ; Fall ; nCRAS ; -; CROW[1] ; nCRAS ; 3.990 ; 3.990 ; Fall ; nCRAS ; -; MAin[*] ; nCRAS ; 5.521 ; 5.521 ; Fall ; nCRAS ; -; MAin[0] ; nCRAS ; 2.776 ; 2.776 ; Fall ; nCRAS ; -; MAin[1] ; nCRAS ; 2.635 ; 2.635 ; Fall ; nCRAS ; -; MAin[2] ; nCRAS ; 2.575 ; 2.575 ; Fall ; nCRAS ; -; MAin[3] ; nCRAS ; 1.972 ; 1.972 ; Fall ; nCRAS ; -; MAin[4] ; nCRAS ; 3.637 ; 3.637 ; Fall ; nCRAS ; -; MAin[5] ; nCRAS ; 4.180 ; 4.180 ; Fall ; nCRAS ; -; MAin[6] ; nCRAS ; 2.129 ; 2.129 ; Fall ; nCRAS ; -; MAin[7] ; nCRAS ; 5.521 ; 5.521 ; Fall ; nCRAS ; -; MAin[8] ; nCRAS ; 3.654 ; 3.654 ; Fall ; nCRAS ; -; MAin[9] ; nCRAS ; 2.363 ; 2.363 ; Fall ; nCRAS ; -; nCCAS ; nCRAS ; 3.572 ; 3.572 ; Fall ; nCRAS ; -; nFWE ; nCRAS ; 2.828 ; 2.828 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+---------------------------------------------------------------------------+ -; Hold Times ; -+-----------+------------+---------+---------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+---------+---------+------------+-----------------+ -; Din[*] ; PHI2 ; 2.255 ; 2.255 ; Rise ; PHI2 ; -; Din[0] ; PHI2 ; -1.258 ; -1.258 ; Rise ; PHI2 ; -; Din[1] ; PHI2 ; -1.562 ; -1.562 ; Rise ; PHI2 ; -; Din[2] ; PHI2 ; -3.570 ; -3.570 ; Rise ; PHI2 ; -; Din[3] ; PHI2 ; -1.430 ; -1.430 ; Rise ; PHI2 ; -; Din[4] ; PHI2 ; -5.259 ; -5.259 ; Rise ; PHI2 ; -; Din[5] ; PHI2 ; -1.532 ; -1.532 ; Rise ; PHI2 ; -; Din[6] ; PHI2 ; 0.023 ; 0.023 ; Rise ; PHI2 ; -; Din[7] ; PHI2 ; 2.255 ; 2.255 ; Rise ; PHI2 ; -; Din[*] ; PHI2 ; 1.977 ; 1.977 ; Fall ; PHI2 ; -; Din[0] ; PHI2 ; 1.489 ; 1.489 ; Fall ; PHI2 ; -; Din[1] ; PHI2 ; 1.963 ; 1.963 ; Fall ; PHI2 ; -; Din[2] ; PHI2 ; 0.106 ; 0.106 ; Fall ; PHI2 ; -; Din[3] ; PHI2 ; -0.228 ; -0.228 ; Fall ; PHI2 ; -; Din[4] ; PHI2 ; 1.977 ; 1.977 ; Fall ; PHI2 ; -; Din[5] ; PHI2 ; -5.179 ; -5.179 ; Fall ; PHI2 ; -; Din[6] ; PHI2 ; -4.432 ; -4.432 ; Fall ; PHI2 ; -; Din[7] ; PHI2 ; -6.067 ; -6.067 ; Fall ; PHI2 ; -; MAin[*] ; PHI2 ; -1.458 ; -1.458 ; Fall ; PHI2 ; -; MAin[0] ; PHI2 ; -3.579 ; -3.579 ; Fall ; PHI2 ; -; MAin[1] ; PHI2 ; -1.458 ; -1.458 ; Fall ; PHI2 ; -; MAin[2] ; PHI2 ; -10.193 ; -10.193 ; Fall ; PHI2 ; -; MAin[3] ; PHI2 ; -15.051 ; -15.051 ; Fall ; PHI2 ; -; MAin[4] ; PHI2 ; -10.184 ; -10.184 ; Fall ; PHI2 ; -; MAin[5] ; PHI2 ; -15.432 ; -15.432 ; Fall ; PHI2 ; -; MAin[6] ; PHI2 ; -10.945 ; -10.945 ; Fall ; PHI2 ; -; MAin[7] ; PHI2 ; -11.673 ; -11.673 ; Fall ; PHI2 ; -; nFWE ; PHI2 ; -6.373 ; -6.373 ; Fall ; PHI2 ; -; PHI2 ; RCLK ; -2.127 ; -2.127 ; Rise ; RCLK ; -; nCCAS ; RCLK ; -2.325 ; -2.325 ; Rise ; RCLK ; -; nCRAS ; RCLK ; -3.997 ; -3.997 ; Rise ; RCLK ; -; Din[*] ; nCCAS ; 2.220 ; 2.220 ; Fall ; nCCAS ; -; Din[0] ; nCCAS ; 0.388 ; 0.388 ; Fall ; nCCAS ; -; Din[1] ; nCCAS ; 2.212 ; 2.212 ; Fall ; nCCAS ; -; Din[2] ; nCCAS ; 1.983 ; 1.983 ; Fall ; nCCAS ; -; Din[3] ; nCCAS ; 1.971 ; 1.971 ; Fall ; nCCAS ; -; Din[4] ; nCCAS ; 1.562 ; 1.562 ; Fall ; nCCAS ; -; Din[5] ; nCCAS ; 2.220 ; 2.220 ; Fall ; nCCAS ; -; Din[6] ; nCCAS ; -0.342 ; -0.342 ; Fall ; nCCAS ; -; Din[7] ; nCCAS ; 2.017 ; 2.017 ; Fall ; nCCAS ; -; CROW[*] ; nCRAS ; -3.630 ; -3.630 ; Fall ; nCRAS ; -; CROW[0] ; nCRAS ; -5.178 ; -5.178 ; Fall ; nCRAS ; -; CROW[1] ; nCRAS ; -3.630 ; -3.630 ; Fall ; nCRAS ; -; MAin[*] ; nCRAS ; -1.612 ; -1.612 ; Fall ; nCRAS ; -; MAin[0] ; nCRAS ; -2.416 ; -2.416 ; Fall ; nCRAS ; -; MAin[1] ; nCRAS ; -2.275 ; -2.275 ; Fall ; nCRAS ; -; MAin[2] ; nCRAS ; -2.215 ; -2.215 ; Fall ; nCRAS ; -; MAin[3] ; nCRAS ; -1.612 ; -1.612 ; Fall ; nCRAS ; -; MAin[4] ; nCRAS ; -3.277 ; -3.277 ; Fall ; nCRAS ; -; MAin[5] ; nCRAS ; -3.820 ; -3.820 ; Fall ; nCRAS ; -; MAin[6] ; nCRAS ; -1.769 ; -1.769 ; Fall ; nCRAS ; -; MAin[7] ; nCRAS ; -5.161 ; -5.161 ; Fall ; nCRAS ; -; MAin[8] ; nCRAS ; -3.294 ; -3.294 ; Fall ; nCRAS ; -; MAin[9] ; nCRAS ; -2.003 ; -2.003 ; Fall ; nCRAS ; -; nCCAS ; nCRAS ; -3.212 ; -3.212 ; Fall ; nCRAS ; -; nFWE ; nCRAS ; -2.468 ; -2.468 ; Fall ; nCRAS ; -+-----------+------------+---------+---------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; RA[*] ; PHI2 ; 24.450 ; 24.450 ; Rise ; PHI2 ; -; RA[11] ; PHI2 ; 24.450 ; 24.450 ; Rise ; PHI2 ; -; LED ; RCLK ; 15.471 ; 15.471 ; Rise ; RCLK ; -; RA[*] ; RCLK ; 25.685 ; 25.685 ; Rise ; RCLK ; -; RA[0] ; RCLK ; 25.623 ; 25.623 ; Rise ; RCLK ; -; RA[1] ; RCLK ; 21.305 ; 21.305 ; Rise ; RCLK ; -; RA[2] ; RCLK ; 21.360 ; 21.360 ; Rise ; RCLK ; -; RA[3] ; RCLK ; 18.901 ; 18.901 ; Rise ; RCLK ; -; RA[4] ; RCLK ; 21.634 ; 21.634 ; Rise ; RCLK ; -; RA[5] ; RCLK ; 15.577 ; 15.577 ; Rise ; RCLK ; -; RA[6] ; RCLK ; 25.685 ; 25.685 ; Rise ; RCLK ; -; RA[7] ; RCLK ; 20.958 ; 20.958 ; Rise ; RCLK ; -; RA[8] ; RCLK ; 15.905 ; 15.905 ; Rise ; RCLK ; -; RA[9] ; RCLK ; 24.925 ; 24.925 ; Rise ; RCLK ; -; RA[10] ; RCLK ; 14.506 ; 14.506 ; Rise ; RCLK ; -; RCKE ; RCLK ; 10.963 ; 10.963 ; Rise ; RCLK ; -; RDQMH ; RCLK ; 15.935 ; 15.935 ; Rise ; RCLK ; -; RDQML ; RCLK ; 15.786 ; 15.786 ; Rise ; RCLK ; -; nRCAS ; RCLK ; 8.987 ; 8.987 ; Rise ; RCLK ; -; nRCS ; RCLK ; 10.963 ; 10.963 ; Rise ; RCLK ; -; nRRAS ; RCLK ; 8.992 ; 8.992 ; Rise ; RCLK ; -; nRWE ; RCLK ; 12.898 ; 12.898 ; Rise ; RCLK ; -; RD[*] ; nCCAS ; 28.474 ; 28.474 ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 26.092 ; 26.092 ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 22.744 ; 22.744 ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 22.737 ; 22.737 ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 28.474 ; 28.474 ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 28.317 ; 28.317 ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 26.726 ; 26.726 ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 22.737 ; 22.737 ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 28.330 ; 28.330 ; Fall ; nCCAS ; -; LED ; nCRAS ; 19.187 ; 19.187 ; Rise ; nCRAS ; -; LED ; nCRAS ; 19.187 ; 19.187 ; Fall ; nCRAS ; -; RA[*] ; nCRAS ; 23.706 ; 23.706 ; Fall ; nCRAS ; -; RA[0] ; nCRAS ; 22.368 ; 22.368 ; Fall ; nCRAS ; -; RA[1] ; nCRAS ; 23.706 ; 23.706 ; Fall ; nCRAS ; -; RA[2] ; nCRAS ; 21.361 ; 21.361 ; Fall ; nCRAS ; -; RA[3] ; nCRAS ; 22.589 ; 22.589 ; Fall ; nCRAS ; -; RA[4] ; nCRAS ; 20.580 ; 20.580 ; Fall ; nCRAS ; -; RA[5] ; nCRAS ; 16.352 ; 16.352 ; Fall ; nCRAS ; -; RA[6] ; nCRAS ; 20.958 ; 20.958 ; Fall ; nCRAS ; -; RA[7] ; nCRAS ; 19.907 ; 19.907 ; Fall ; nCRAS ; -; RA[8] ; nCRAS ; 17.186 ; 17.186 ; Fall ; nCRAS ; -; RA[9] ; nCRAS ; 20.624 ; 20.624 ; Fall ; nCRAS ; -; RBA[*] ; nCRAS ; 15.699 ; 15.699 ; Fall ; nCRAS ; -; RBA[0] ; nCRAS ; 15.699 ; 15.699 ; Fall ; nCRAS ; -; RBA[1] ; nCRAS ; 13.728 ; 13.728 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; RA[*] ; PHI2 ; 24.450 ; 24.450 ; Rise ; PHI2 ; -; RA[11] ; PHI2 ; 24.450 ; 24.450 ; Rise ; PHI2 ; -; LED ; RCLK ; 15.471 ; 15.471 ; Rise ; RCLK ; -; RA[*] ; RCLK ; 14.506 ; 14.506 ; Rise ; RCLK ; -; RA[0] ; RCLK ; 25.623 ; 25.623 ; Rise ; RCLK ; -; RA[1] ; RCLK ; 21.305 ; 21.305 ; Rise ; RCLK ; -; RA[2] ; RCLK ; 21.360 ; 21.360 ; Rise ; RCLK ; -; RA[3] ; RCLK ; 18.901 ; 18.901 ; Rise ; RCLK ; -; RA[4] ; RCLK ; 21.634 ; 21.634 ; Rise ; RCLK ; -; RA[5] ; RCLK ; 15.577 ; 15.577 ; Rise ; RCLK ; -; RA[6] ; RCLK ; 25.685 ; 25.685 ; Rise ; RCLK ; -; RA[7] ; RCLK ; 20.958 ; 20.958 ; Rise ; RCLK ; -; RA[8] ; RCLK ; 15.905 ; 15.905 ; Rise ; RCLK ; -; RA[9] ; RCLK ; 24.925 ; 24.925 ; Rise ; RCLK ; -; RA[10] ; RCLK ; 14.506 ; 14.506 ; Rise ; RCLK ; -; RCKE ; RCLK ; 10.963 ; 10.963 ; Rise ; RCLK ; -; RDQMH ; RCLK ; 15.935 ; 15.935 ; Rise ; RCLK ; -; RDQML ; RCLK ; 15.786 ; 15.786 ; Rise ; RCLK ; -; nRCAS ; RCLK ; 8.987 ; 8.987 ; Rise ; RCLK ; -; nRCS ; RCLK ; 10.963 ; 10.963 ; Rise ; RCLK ; -; nRRAS ; RCLK ; 8.992 ; 8.992 ; Rise ; RCLK ; -; nRWE ; RCLK ; 12.898 ; 12.898 ; Rise ; RCLK ; -; RD[*] ; nCCAS ; 22.737 ; 22.737 ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 26.092 ; 26.092 ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 22.744 ; 22.744 ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 22.737 ; 22.737 ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 28.474 ; 28.474 ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 28.317 ; 28.317 ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 26.726 ; 26.726 ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 22.737 ; 22.737 ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 28.330 ; 28.330 ; Fall ; nCCAS ; -; LED ; nCRAS ; 19.187 ; 19.187 ; Rise ; nCRAS ; -; LED ; nCRAS ; 19.187 ; 19.187 ; Fall ; nCRAS ; -; RA[*] ; nCRAS ; 16.352 ; 16.352 ; Fall ; nCRAS ; -; RA[0] ; nCRAS ; 22.368 ; 22.368 ; Fall ; nCRAS ; -; RA[1] ; nCRAS ; 23.706 ; 23.706 ; Fall ; nCRAS ; -; RA[2] ; nCRAS ; 21.361 ; 21.361 ; Fall ; nCRAS ; -; RA[3] ; nCRAS ; 22.589 ; 22.589 ; Fall ; nCRAS ; -; RA[4] ; nCRAS ; 20.580 ; 20.580 ; Fall ; nCRAS ; -; RA[5] ; nCRAS ; 16.352 ; 16.352 ; Fall ; nCRAS ; -; RA[6] ; nCRAS ; 20.958 ; 20.958 ; Fall ; nCRAS ; -; RA[7] ; nCRAS ; 19.907 ; 19.907 ; Fall ; nCRAS ; -; RA[8] ; nCRAS ; 17.186 ; 17.186 ; Fall ; nCRAS ; -; RA[9] ; nCRAS ; 20.624 ; 20.624 ; Fall ; nCRAS ; -; RBA[*] ; nCRAS ; 13.728 ; 13.728 ; Fall ; nCRAS ; -; RBA[0] ; nCRAS ; 15.699 ; 15.699 ; Fall ; nCRAS ; -; RBA[1] ; nCRAS ; 13.728 ; 13.728 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+--------+----+----+--------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+--------+----+----+--------+ -; MAin[0] ; RA[0] ; 17.864 ; ; ; 17.864 ; -; MAin[1] ; RA[1] ; 16.466 ; ; ; 16.466 ; -; MAin[2] ; RA[2] ; 16.451 ; ; ; 16.451 ; -; MAin[3] ; RA[3] ; 16.947 ; ; ; 16.947 ; -; MAin[4] ; RA[4] ; 17.984 ; ; ; 17.984 ; -; MAin[5] ; RA[5] ; 14.301 ; ; ; 14.301 ; -; MAin[6] ; RA[6] ; 18.987 ; ; ; 18.987 ; -; MAin[7] ; RA[7] ; 19.195 ; ; ; 19.195 ; -; MAin[8] ; RA[8] ; 14.224 ; ; ; 14.224 ; -; MAin[9] ; RA[9] ; 15.902 ; ; ; 15.902 ; -; MAin[9] ; RDQMH ; 17.747 ; ; ; 17.747 ; -; MAin[9] ; RDQML ; 17.598 ; ; ; 17.598 ; -; RD[0] ; Dout[0] ; 10.392 ; ; ; 10.392 ; -; RD[1] ; Dout[1] ; 12.469 ; ; ; 12.469 ; -; RD[2] ; Dout[2] ; 10.547 ; ; ; 10.547 ; -; RD[3] ; Dout[3] ; 12.366 ; ; ; 12.366 ; -; RD[4] ; Dout[4] ; 12.337 ; ; ; 12.337 ; -; RD[5] ; Dout[5] ; 12.176 ; ; ; 12.176 ; -; RD[6] ; Dout[6] ; 10.385 ; ; ; 10.385 ; -; RD[7] ; Dout[7] ; 12.251 ; ; ; 12.251 ; -; nFWE ; RD[0] ; 24.642 ; ; ; 24.642 ; -; nFWE ; RD[1] ; 22.824 ; ; ; 22.824 ; -; nFWE ; RD[2] ; 22.824 ; ; ; 22.824 ; -; nFWE ; RD[3] ; 24.642 ; ; ; 24.642 ; -; nFWE ; RD[4] ; 24.642 ; ; ; 24.642 ; -; nFWE ; RD[5] ; 22.824 ; ; ; 22.824 ; -; nFWE ; RD[6] ; 24.642 ; ; ; 24.642 ; -; nFWE ; RD[7] ; 24.642 ; ; ; 24.642 ; -+------------+-------------+--------+----+----+--------+ - - -+------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+--------+----+----+--------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+--------+----+----+--------+ -; MAin[0] ; RA[0] ; 17.864 ; ; ; 17.864 ; -; MAin[1] ; RA[1] ; 16.466 ; ; ; 16.466 ; -; MAin[2] ; RA[2] ; 16.451 ; ; ; 16.451 ; -; MAin[3] ; RA[3] ; 16.947 ; ; ; 16.947 ; -; MAin[4] ; RA[4] ; 17.984 ; ; ; 17.984 ; -; MAin[5] ; RA[5] ; 14.301 ; ; ; 14.301 ; -; MAin[6] ; RA[6] ; 18.987 ; ; ; 18.987 ; -; MAin[7] ; RA[7] ; 19.195 ; ; ; 19.195 ; -; MAin[8] ; RA[8] ; 14.224 ; ; ; 14.224 ; -; MAin[9] ; RA[9] ; 15.902 ; ; ; 15.902 ; -; MAin[9] ; RDQMH ; 17.747 ; ; ; 17.747 ; -; MAin[9] ; RDQML ; 17.598 ; ; ; 17.598 ; -; RD[0] ; Dout[0] ; 10.392 ; ; ; 10.392 ; -; RD[1] ; Dout[1] ; 12.469 ; ; ; 12.469 ; -; RD[2] ; Dout[2] ; 10.547 ; ; ; 10.547 ; -; RD[3] ; Dout[3] ; 12.366 ; ; ; 12.366 ; -; RD[4] ; Dout[4] ; 12.337 ; ; ; 12.337 ; -; RD[5] ; Dout[5] ; 12.176 ; ; ; 12.176 ; -; RD[6] ; Dout[6] ; 10.385 ; ; ; 10.385 ; -; RD[7] ; Dout[7] ; 12.251 ; ; ; 12.251 ; -; nFWE ; RD[0] ; 24.642 ; ; ; 24.642 ; -; nFWE ; RD[1] ; 22.824 ; ; ; 22.824 ; -; nFWE ; RD[2] ; 22.824 ; ; ; 22.824 ; -; nFWE ; RD[3] ; 24.642 ; ; ; 24.642 ; -; nFWE ; RD[4] ; 24.642 ; ; ; 24.642 ; -; nFWE ; RD[5] ; 22.824 ; ; ; 22.824 ; -; nFWE ; RD[6] ; 24.642 ; ; ; 24.642 ; -; nFWE ; RD[7] ; 24.642 ; ; ; 24.642 ; -+------------+-------------+--------+----+----+--------+ - - -+-----------------------------------------------------------------------+ -; Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; RD[*] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -+-----------+------------+--------+------+------------+-----------------+ - - -+-----------------------------------------------------------------------+ -; Minimum Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; RD[*] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -+-----------+------------+--------+------+------------+-----------------+ - - -+-------------------------------------------------------------------------------+ -; Output Disable Times ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; RD[*] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -+-----------+------------+-----------+-----------+------------+-----------------+ - - -+-------------------------------------------------------------------------------+ -; Minimum Output Disable Times ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; RD[*] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; -+-----------+------------+-----------+-----------+------------+-----------------+ - - -+-------------------------------------------------------------------+ -; Setup Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; -; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; -; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; -; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; -; DRCLK ; RCLK ; 3 ; 0 ; 0 ; 0 ; -; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; -; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; -; RCLK ; RCLK ; 620 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-------------------------------------------------------------------+ -; Hold Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; -; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; -; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; -; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; -; DRCLK ; RCLK ; 3 ; 0 ; 0 ; 0 ; -; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; -; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; -; RCLK ; RCLK ; 620 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 31 ; 31 ; -; Unconstrained Input Port Paths ; 232 ; 232 ; -; Unconstrained Output Ports ; 38 ; 38 ; -; Unconstrained Output Port Paths ; 77 ; 77 ; -+---------------------------------+-------+------+ - - -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Aug 16 18:40:23 2021 -Info: Command: quartus_sta RAM2GS-MAXV -c RAM2GS -Info: qsta_default_script.tcl version: #1 -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (306004): Started post-fitting delay annotation -Info (306005): Delay annotation completed successfully -Critical Warning (332012): Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332105): Deriving Clocks - Info (332105): create_clock -period 1.000 -name DRCLK DRCLK - Info (332105): create_clock -period 1.000 -name ARCLK ARCLK - Info (332105): create_clock -period 1.000 -name RCLK RCLK - Info (332105): create_clock -period 1.000 -name nCRAS nCRAS - Info (332105): create_clock -period 1.000 -name PHI2 PHI2 - Info (332105): create_clock -period 1.000 -name nCCAS nCCAS -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -99.000 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -99.000 -99.000 ARCLK - Info (332119): -99.000 -99.000 DRCLK - Info (332119): -23.638 -216.621 PHI2 - Info (332119): -19.942 -610.547 RCLK - Info (332119): -3.072 -6.479 nCRAS -Info (332146): Worst-case hold slack is -16.153 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -16.153 -16.153 ARCLK - Info (332119): -14.623 -14.623 DRCLK - Info (332119): -2.569 -3.433 PHI2 - Info (332119): -0.713 -2.822 nCRAS - Info (332119): 2.127 0.000 RCLK -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is -29.500 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -29.500 -59.000 ARCLK - Info (332119): -29.500 -59.000 DRCLK - Info (332119): -2.289 -2.289 PHI2 - Info (332119): -2.289 -2.289 RCLK - Info (332119): -2.289 -2.289 nCCAS - Info (332119): -2.289 -2.289 nCRAS -Info (332001): The selected device family is not supported by the report_metastability command. -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 368 megabytes - Info: Processing ended: Mon Aug 16 18:40:24 2021 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CPLD-old/MAX/MAXV/output_files/RAM2GS.sta.summary b/CPLD-old/MAX/MAXV/output_files/RAM2GS.sta.summary deleted file mode 100644 index 44e8308..0000000 --- a/CPLD-old/MAX/MAXV/output_files/RAM2GS.sta.summary +++ /dev/null @@ -1,69 +0,0 @@ ------------------------------------------------------------- -TimeQuest Timing Analyzer Summary ------------------------------------------------------------- - -Type : Setup 'ARCLK' -Slack : -99.000 -TNS : -99.000 - -Type : Setup 'DRCLK' -Slack : -99.000 -TNS : -99.000 - -Type : Setup 'PHI2' -Slack : -23.638 -TNS : -216.621 - -Type : Setup 'RCLK' -Slack : -19.942 -TNS : -610.547 - -Type : Setup 'nCRAS' -Slack : -3.072 -TNS : -6.479 - -Type : Hold 'ARCLK' -Slack : -16.153 -TNS : -16.153 - -Type : Hold 'DRCLK' -Slack : -14.623 -TNS : -14.623 - -Type : Hold 'PHI2' -Slack : -2.569 -TNS : -3.433 - -Type : Hold 'nCRAS' -Slack : -0.713 -TNS : -2.822 - -Type : Hold 'RCLK' -Slack : 2.127 -TNS : 0.000 - -Type : Minimum Pulse Width 'ARCLK' -Slack : -29.500 -TNS : -59.000 - -Type : Minimum Pulse Width 'DRCLK' -Slack : -29.500 -TNS : -59.000 - -Type : Minimum Pulse Width 'PHI2' -Slack : -2.289 -TNS : -2.289 - -Type : Minimum Pulse Width 'RCLK' -Slack : -2.289 -TNS : -2.289 - -Type : Minimum Pulse Width 'nCCAS' -Slack : -2.289 -TNS : -2.289 - -Type : Minimum Pulse Width 'nCRAS' -Slack : -2.289 -TNS : -2.289 - ------------------------------------------------------------- diff --git a/CPLD-old/MAX/RAM2GS-MAX.mif b/CPLD-old/MAX/RAM2GS-MAX.mif deleted file mode 100644 index 65c8441..0000000 --- a/CPLD-old/MAX/RAM2GS-MAX.mif +++ /dev/null @@ -1,27 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- Quartus II generated Memory Initialization File (.mif) - -WIDTH=16; -DEPTH=512; - -ADDRESS_RADIX=HEX; -DATA_RADIX=HEX; - -CONTENT BEGIN - [000..0FD] : 0000; - 0FE : 7FFF; - [0FF..1FF] : FFFF; -END; diff --git a/CPLD-old/MAX/RAM2GS-MAX.v b/CPLD-old/MAX/RAM2GS-MAX.v deleted file mode 100644 index ebb32f0..0000000 --- a/CPLD-old/MAX/RAM2GS-MAX.v +++ /dev/null @@ -1,467 +0,0 @@ -module RAM2GS(PHI2, MAin, CROW, Din, Dout, - nCCAS, nCRAS, nFWE, LED, - RBA, RA, RD, nRCS, RCLK, RCKE, - nRWE, nRRAS, nRCAS, RDQMH, RDQML); - - /* 65816 Phase 2 Clock */ - input PHI2; - - /* Async. DRAM Control Inputs */ - input nCCAS, nCRAS; - - /* Synchronized PHI2 and DRAM signals */ - reg PHI2r, PHI2r2, PHI2r3; - reg RASr, RASr2, RASr3; - reg CASr, CASr2, CASr3; - reg FWEr; - reg CBR; - - /* Activity LED */ - reg LEDEN = 0; - output LED; - assign LED = ~(~nCRAS && LEDEN); - - /* 65816 Data */ - input [7:0] Din; - output [7:0] Dout; - assign Dout[7:0] = RD[7:0]; - - /* Latched 65816 Bank Address */ - reg [7:0] Bank; - - /* Async. DRAM Address Bus */ - input [1:0] CROW; - input [9:0] MAin; - input nFWE; - reg n8MEGEN = 0; - reg XOR8MEG = 0; - - /* SDRAM Clock */ - input RCLK; - - /* SDRAM */ - reg RCKEEN; - output reg RCKE = 0; - output reg nRCS = 1, nRRAS = 1, nRCAS = 1, nRWE = 1; - output reg [1:0] RBA; - reg nRowColSel; - reg RA11; - reg RA10; - reg [9:0] RowA; - output [11:0] RA; - assign RA[11] = RA11; - assign RA[10] = RA10; - assign RA[9:0] = ~nRowColSel ? RowA[9:0] : MAin[9:0]; - output RDQML, RDQMH; - assign RDQML = ~nRowColSel ? 1'b1 : ~MAin[9]; - assign RDQMH = ~nRowColSel ? 1'b1 : MAin[9]; - reg [7:0] WRD; - inout [7:0] RD = (~nCCAS & ~nFWE) ? WRD[7:0] : 8'bZ; - - /* UFM Interface */ - reg [15:15] UFMD = 0; // UFM data register bit 15 - reg ARCLK = 0; // UFM address register clock - // UFM address register data input tied to 0 - reg ARShift = 0; // 1 to Shift UFM address in, 0 to increment - reg DRCLK = 0; // UFM data register clock - reg DRDIn = 0; // UFM data register input - reg DRShift = 0; // 1 to shift UFM out, 0 to load from current address - reg UFMErase = 0; // Rising edge starts erase. UFM+RTP must not be busy - reg UFMProgram = 0; // Rising edge starts program. UFM+RTP must not be busy - reg UFMOscEN = 0; // UFM oscillator enable - wire UFMBusy; // 1 if UFM is doing user operation. Asynchronous - wire RTPBusy; // 1 if real-time programming in progress. Asynchronous - wire DRDOut; // UFM data output - // UFM oscillator always enabled - wire UFMOsc; // UFM oscillator output (3.3-5.5 MHz) - UFM UFM_inst ( // UFM IP block (for Altera MAX II and MAX V) - .arclk (ARCLK), - .ardin (1'b0), - .arshft (ARShift), - .drclk (DRCLK), - .drdin (DRDIn), - .drshft (DRShift), - .erase (UFMErase), - .oscena (UFMOscEN), - .program (UFMProgram), - .busy (UFMBusy), - .drdout (DRDOut), - .osc (UFMOsc), - .rtpbusy (RTPBusy)); - reg UFMBusyReg = 0; // UFMBusy registered to sync with RCLK - reg RTPBusyReg = 0; // RTPBusy registered to sync with RCLK - - /* UFM State */ - reg UFMInitDone = 0; // 1 if UFM initialization finished - reg UFMReqErase = 0; // 1 if UFM requires erase - - /* UFM Command Interface */ - reg C1Submitted = 0; - reg ADSubmitted = 0; - reg CmdEnable = 0; - reg CmdSubmitted = 0; - reg CmdLEDEN = 0; - reg Cmdn8MEGEN = 0; - reg CmdDRCLK = 0; - reg CmdDRDIn = 0; - reg CmdUFMErase = 0; // Set by user command. Programs UFM - reg CmdUFMPrgm = 0; // Set by user command. Erases UFM - wire ADWR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFF & ~nFWE; - wire C1WR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFE & ~nFWE; - wire CMDWR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFD & ~nFWE; - - /* State Counters */ - reg InitReady = 0; // 1 if ready for init sequence - reg Ready = 0; // 1 if done with init sequence - reg [1:0] S = 0; // post-RAS State counter - reg [17:0] FS = 0; // Fast init state counter - reg [3:0] IS = 0; // Init state counter - reg WriteDone; - - /* Synchronize PHI2, RAS, CAS */ - always @(posedge RCLK) begin - PHI2r <= PHI2; PHI2r2 <= PHI2r; PHI2r3 <= PHI2r2; - RASr <= ~nCRAS; RASr2 <= RASr; RASr3 <= RASr2; - CASr <= ~nCCAS; CASr2 <= CASr; CASr3 <= CASr2; - end - - /* Latch 65816 bank when PHI2 rises */ - always @(posedge PHI2) begin - if (Ready) RA11 <= (Din[6] & ~n8MEGEN) ^ XOR8MEG; // Set RA11 - else RA11 <= 1'b0; // Reserved in mode register - Bank[7:0] <= Din[7:0]; // Latch bank - end - - /* Latch bank address, row address, WE, and CAS when RAS falls */ - always @(negedge nCRAS) begin - if (Ready) begin - RBA[1:0] <= CROW[1:0]; - RowA[9:0] <= MAin[9:0]; - end else begin - RBA[1:0] <= 2'b00; // Reserved in mode register - RowA[9] <= 1'b1; // "1" for single write mode - RowA[8] <= 1'b0; // Reserved - RowA[7] <= 1'b0; // "0" for not test mode - RowA[6:4] <= 3'b010; // "2" for CAS latency 2 - RowA[3] <= 1'b0; // "0" for sequential burst (not used) - RowA[2:0] <= 3'b000; // "0" for burst length 1 (no burst) - end - FWEr <= ~nFWE; - CBR <= ~nCCAS; - end - - /* Latch write data when CAS falls */ - always @(negedge nCCAS) begin - WRD[7:0] <= Din[7:0]; - end - - /* State counter from RAS */ - always @(posedge RCLK) begin - if (~RASr2) S <= 0; - else if (S==2'h3) S <= 2'h3; - else S <= S+1; - end - /* Init state counter */ - always @(posedge RCLK) begin - // Wait ~4.178ms (at 62.5 MHz) before starting init sequence - FS <= FS+1; - if (FS[17:10] == 8'hFF) InitReady <= 1'b1; - end - - /* SDRAM CKE */ - always @(posedge RCLK) begin - // Only 1 LUT4 allowed for this function! - RCKE <= ((RASr | RASr2) & RCKEEN) | (~RASr2 & RASr3); - end - - /* SDRAM command */ - always @(posedge RCLK) begin - if (Ready) begin - if (S==0) begin - if (RASr2) begin - if (CBR) begin - // AREF - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b0; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end else begin - // ACT - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // Bank RA10 consistently "1" - end - // Enable clock only for reads - RCKEEN <= ~CBR & ~FWEr; - end else if (RCKE) begin - // PCall - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b1; - nRWE <= 1'b0; - RA10 <= 1'b1; // "all" - RCKEEN <= 1'b1; - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - RCKEEN <= 1'b1; - end - nRowColSel <= 1'b0; // Select registered row addres - end else if (S==1) begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - nRowColSel <= 1'b1; // Select asynchronous column address - RCKEEN <= ~CBR; // Disable clock if refresh cycle - end else if (S==2) begin - if (~FWEr & ~CBR) begin - // RD - nRCS <= 1'b0; - nRRAS <= 1'b1; - nRCAS <= 1'b0; - nRWE <= 1'b1; - RA10 <= 1'b1; // Auto-precharge - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - nRowColSel <= 1'b1; // Select asynchronous column address - RCKEEN <= ~CBR & FWEr; // Enable clock only for writes - end else if (S==3) begin - if (CASr2 & ~CASr3 & ~CBR & FWEr) begin - // WR - nRCS <= 1'b0; - nRRAS <= 1'b1; - nRCAS <= 1'b0; - nRWE <= 1'b0; - RA10 <= 1'b1; // Auto-precharge - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - nRowColSel <= ~(~FWEr | CASr3 | CBR); - RCKEEN <= ~(~FWEr | CASr2 | CBR); - end - end else if (InitReady) begin - if (S==0 & RASr2) begin - if (IS==0) begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end else if (IS==1) begin - // PC all - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b1; - nRWE <= 1'b0; - RA10 <= 1'b1; // "all" - end else if (IS==9) begin - // Load mode register - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b0; - nRWE <= 1'b0; - RA10 <= 1'b0; // Reserved in mode register - end else begin - // AREF - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b0; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - IS <= IS+1; - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - if (S==3 & ~RASr2 & IS==15) Ready <= 1'b1; - nRowColSel <= 1'b0; // Select registered row address - RCKEEN <= 1'b1; - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - nRowColSel <= 1'b0; // Select registered row address - RCKEEN <= 1'b0; - end - end - - /* Submit command when PHI2 falls */ - always @(negedge PHI2) begin - // Magic number check - if (C1WR & Din[7:0]==8'hC1) begin // "C1" magic number - if (ADSubmitted) begin - CmdEnable <= 1'b1; - UFMOscEN <= 1'b1; - end - C1Submitted <= 1'b1; - ADSubmitted <= 1'b0; - end else if (ADWR & Din[7:0]==8'hAD) begin // "AD" magic number - if (C1Submitted) begin - CmdEnable <= 1'b1; - UFMOscEN <= 1'b1; - end - ADSubmitted <= 1'b1; - C1Submitted <= 1'b0; - end else if (C1WR | ADWR) begin // wrong magic number submitted - CmdEnable <= 1'b0; - C1Submitted <= 1'b0; - ADSubmitted <= 1'b0; - end else if (CMDWR) CmdEnable <= 1'b0; - - // Submit command - if (CMDWR & CmdEnable) begin - if (Din[7:4]==4'h0 && Din[3:2]==2'b01) begin - // LCMXO, LCMXO2, iCE40 ignore this unless Din[2] and Din[1] set - // MAX w/ LED ignores this unless Din[2] set - // MAX w/o LED does not check Din[3:1]. - XOR8MEG <= Din[0]; - end else if (Din[7:4]==4'h1) begin - CmdLEDEN <= ~Din[1]; - Cmdn8MEGEN <= ~Din[0]; - CmdSubmitted <= 1'b1; - end else if (Din[7:4]==4'h2) begin - // MAX commands - CmdLEDEN <= LEDEN; - Cmdn8MEGEN <= n8MEGEN; - CmdUFMErase <= Din[3]; - CmdUFMPrgm <= Din[2]; - CmdDRCLK <= Din[1]; - CmdDRDIn <= Din[0]; - CmdSubmitted <= 1'b1; - end else if (Din[7:4]==4'h3 && ~Din[3]) begin - // Reserved for LCMXO2 commands - // Din[1] - Shift when high, execute when low - // Din[0] - Shift data - end else if (Din[7:4]==4'h3 && Din[3]) begin - // Reserved for SPI (LCMXO, iCE40) commands - // Din[2] - CS - // Din[1] - SCK - // Din[0] - SDI - end - end - end - - /* UFM Control */ - always @(posedge RCLK) begin - if (~Ready) begin - if (~UFMInitDone & FS[17:16]==2'b00) begin - // Shift 0 into address register - ARCLK <= FS[3]; // Clock address register - ARShift <= 1'b1; // Shift 0 into address register - DRCLK <= 1'b0; // Don't clock data register - DRDIn <= 1'b0; // DRDIn is don't care - DRShift <= 1'b0; // DRShift is don't care - end else if (~UFMInitDone & FS[17:16]==2'b01 & FS[7:4]==4'h0) begin - // Parallel transfer UFM data to shift register - ARCLK <= 1'b0; // Don't clock address register - ARShift <= 1'b0; // ARShift is don't care - DRCLK <= FS[3]; // Clock data register - DRDIn <= 1'b0; // DRDIn is don't care - DRShift <= 1'b0; // Parallel transfer to data register - end else if (~UFMInitDone & FS[17:16]==2'b01 & FS[7:4]==4'h4) begin - // Shift UFM data shift register - ARCLK <= 1'b0; // Don't clock address register - ARShift <= 1'b0; // ARShift is don't care - DRCLK <= FS[3]; // Clock data register - DRDIn <= 1'b0; // DRDIn is don't care - DRShift <= 1'b1; // Shift data register - // Capture bit 15 of this UFM word in UFMD register - if (FS[3:0]==4'h7) UFMD[15] <= DRDOut; - end else if (~UFMInitDone & FS[17:16]==2'b01 & FS[7:4]==4'h5) begin - // Shift UFM data shift register - ARCLK <= 1'b0; // Don't clock address register - ARShift <= 1'b0; // ARShift is don't care - DRCLK <= FS[3]; // Clock data register - DRDIn <= 1'b0; // DRDIn is don't care - DRShift <= 1'b1; // Shift data register - // If valid setting here, set capacity setting to UFMD[14] - if (FS[3:0]==4'h7 && ~UFMD[15]) n8MEGEN <= ~DRDOut; - end else if (~UFMInitDone & FS[17:16]==2'b01 & FS[7:4]==4'h6) begin - if (UFMD[15]) UFMInitDone <= 1'b1; // If current spot erased, quit iterating - else begin // If valid setting here - LEDEN <= ~DRDOut; // LED enabled if UFMD[13]==0 - // If last byte in sector, mark need to erase - if (FS[15:8]==8'hFF) begin - UFMReqErase <= 1'b1; // Mark need to wrap around - UFMInitDone <= 1'b1; // Quit iterating - end - end - - // Increment UFM address - ARCLK <= FS[3]; // Clock address register - ARShift <= 1'b0; // Increment UFM address - DRCLK <= 1'b0; // Don't clock data register - DRDIn <= 1'b0; // DRDIn is don't care - DRShift <= 1'b0; // DRShift is don't care - end else if (FS[17:16]==2'b10 & UFMReqErase) begin - // Shift 0 into address register - ARCLK <= FS[3]; // Clock address register - ARShift <= 1'b1; // Shift 0 into address register - DRCLK <= 1'b0; // Don't clock data register - DRDIn <= 1'b0; // DRDIn is don't care - DRShift <= 1'b0; // DRShift is don't care - end else begin - // Don't do anything with UFM - ARCLK <= 1'b0; // Don't clock address register - ARShift <= 1'b0; // ARShift is don't care - DRCLK <= 1'b0; // Don't clock data register - DRDIn <= 1'b0; // DRDIn is don't care - DRShift <= 1'b0; // DRShift is don't care - end - - // Don't erase or program UFM during initialization - UFMErase <= 1'b0; - UFMProgram <= 1'b0; - end else begin - // Can only shift UFM data register now - ARCLK <= 1'b0; - ARShift <= 1'b0; - DRShift <= 1'b1; - - // Set user command signals after PHI2 falls - if (~PHI2r2 & PHI2r3 & CmdSubmitted) begin - n8MEGEN <= Cmdn8MEGEN; - DRCLK <= CmdDRCLK; - DRDIn <= CmdDRDIn; - end - - // UFM programming sequence - if (CmdUFMPrgm | CmdUFMErase) begin - if (~UFMBusyReg & ~RTPBusyReg) begin - if (UFMReqErase | CmdUFMErase) UFMErase <= 1'b1; - else if (CmdUFMPrgm) UFMProgram <= 1'b1; - end else if (UFMBusyReg) UFMReqErase <= 1'b0; - end - end - end -endmodule diff --git a/CPLD-old/MAX/greybox_tmp/cbx_args.txt b/CPLD-old/MAX/greybox_tmp/cbx_args.txt deleted file mode 100644 index 941c71c..0000000 --- a/CPLD-old/MAX/greybox_tmp/cbx_args.txt +++ /dev/null @@ -1,25 +0,0 @@ -ERASE_TIME=500000000 -INTENDED_DEVICE_FAMILY="MAX V" -LPM_FILE=RAM2GS-MAX.mif -LPM_HINT=UNUSED -LPM_TYPE=altufm_none -OSC_FREQUENCY=180000 -PORT_ARCLKENA=PORT_UNUSED -PORT_DRCLKENA=PORT_UNUSED -PROGRAM_TIME=1600000 -WIDTH_UFM_ADDRESS=9 -DEVICE_FAMILY="MAX V" -CBX_AUTO_BLACKBOX=ALL -arclk -ardin -arshft -busy -drclk -drdin -drdout -drshft -erase -osc -oscena -program -rtpbusy diff --git a/CPLD-old/RAM4GS-AGM.v b/CPLD-old/RAM4GS-AGM.v deleted file mode 100644 index c92dfd4..0000000 --- a/CPLD-old/RAM4GS-AGM.v +++ /dev/null @@ -1,402 +0,0 @@ -module RAM4GS(PHI2, MAin, CROW, Din, Dout, - nCCAS, nCRAS, nFWE, - RBA, RA, RD, nRCS, RCLK, RCKE, - nRWE, nRRAS, nRCAS, RDQMH, RDQML, - nUFMCSout, UFMCLKout, UFMSDIout, UFMSDOout, In); - - /* 65816 Phase 2 Clock */ - input PHI2; - - /* Async. DRAM Control Inputs */ - input nCCAS, nCRAS; - - /* Synchronized PHI2 and DRAM signals */ - reg PHI2r, PHI2r2, PHI2r3; - reg RASr, RASr2, RASr3; - reg CASr, CASr2, CASr3; - reg FWEr; - reg CBR; - - /* 65816 Data */ - input [7:0] Din; - output [7:0] Dout = RD[7:0]; - - /* Latched 65816 Bank Address */ - reg [7:0] Bank; - - /* Async. DRAM Address Bus */ - input [1:0] CROW; - input [9:0] MAin; - input nFWE; - reg n8MEGEN = 0; - reg XOR8MEG = 0; - - /* SDRAM Clock */ - input RCLK; - - /* SDRAM */ - reg RCKEEN; - output reg RCKE = 0; - output reg nRCS = 1, nRRAS = 1, nRCAS = 1, nRWE = 1; - output reg [1:0] RBA; - reg nRowColSel; - reg RA11; - reg RA10; - reg [9:0] RowA; - output [11:0] RA; - assign RA[11] = RA11; - assign RA[10] = RA10; - assign RA[9:0] = ~nRowColSel ? RowA[9:0] : MAin[9:0]; - output RDQML = ~nRowColSel ? 1'b1 : ~MAin[9]; - output RDQMH = ~nRowColSel ? 1'b1 : MAin[9]; - reg [7:0] WRD; - inout [7:0] RD = (~nCCAS & ~nFWE) ? WRD[7:0] : 8'bZ; - - /* UFM Interface */ - reg nUFMCS = 1; - reg UFMCLK = 0; - reg UFMSDI = 0; - wire UFMSDO; - wire UFMOsc; - alta_ufms u_alta_ufms ( - .i_ufm_set (1'b1), - .i_osc_ena (1'b1), - .i_ufm_flash_csn (nUFMCS), - .i_ufm_flash_sclk (UFMCLK), - .i_ufm_flash_sdi (UFMSDI), - .o_ufm_flash_sdo (UFMSDO), - .o_osc (UFMOsc) - ); - - /* UFM Command Interface */ - reg C1Submitted = 0; - reg ADSubmitted = 0; - reg CmdEnable = 0; - reg CmdSubmitted = 0; - reg Cmdn8MEGEN = 0; - reg CmdUFMCLK = 0; - reg CmdUFMSDI = 0; - reg CmdUFMCS = 0; - wire ADWR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFF & ~nFWE; - wire C1WR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFE & ~nFWE; - wire CMDWR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFD & ~nFWE; - - /* State Counters */ - reg InitReady = 0; // 1 if ready for init sequence - reg Ready = 0; // 1 if done with init sequence - reg [1:0] S = 0; // post-RAS State counter - reg [17:0] FS = 0; // Fast init state counter - reg [3:0] IS = 0; // Init state counter - reg WriteDone; - - /* Synchronize PHI2, RAS, CAS */ - always @(posedge RCLK) begin - PHI2r <= PHI2; PHI2r2 <= PHI2r; PHI2r3 <= PHI2r2; - RASr <= ~nCRAS; RASr2 <= RASr; RASr3 <= RASr2; - CASr <= ~nCCAS; CASr2 <= CASr; CASr3 <= CASr2; - end - - /* Latch 65816 bank when PHI2 rises */ - always @(posedge PHI2) begin - if (Ready) RA11 <= (Din[6] & ~n8MEGEN) ^ XOR8MEG; // Set RA11 - else RA11 <= 1'b0; // Reserved in mode register - Bank[7:0] <= Din[7:0]; // Latch bank - end - - /* Latch bank address, row address, WE, and CAS when RAS falls */ - always @(negedge nCRAS) begin - if (Ready) begin - RBA[1:0] <= CROW[1:0]; - RowA[9:0] <= MAin[9:0]; - end else begin - RBA[1:0] <= 2'b00; // Reserved in mode register - RowA[9] <= 1'b1; // "1" for single write mode - RowA[8] <= 1'b0; // Reserved - RowA[7] <= 1'b0; // "0" for not test mode - RowA[6:4] <= 3'b010; // "2" for CAS latency 2 - RowA[3] <= 1'b0; // "0" for sequential burst (not used) - RowA[2:0] <= 3'b000; // "0" for burst length 1 (no burst) - end - FWEr <= ~nFWE; - CBR <= ~nCCAS; - end - - /* Latch write data when CAS falls */ - always @(negedge nCCAS) begin - WRD[7:0] <= Din[7:0]; - end - - /* State counter from RAS */ - always @(posedge RCLK) begin - if (~RASr2) S <= 0; - else if (S==2'h3) S <= 2'h3; - else S <= S+1; - end - /* Init state counter */ - always @(posedge RCLK) begin - // Wait ~4.178ms (at 62.5 MHz) before starting init sequence - FS <= FS+1; - if (FS[17:10] == 8'hFF) InitReady <= 1'b1; - end - - /* SDRAM CKE */ - always @(posedge RCLK) begin - // Only 1 LUT4 allowed for this function! - RCKE <= ((RASr | RASr2) & RCKEEN) | (~RASr2 & RASr3); - end - - /* SDRAM command */ - always @(posedge RCLK) begin - if (Ready) begin - if (S==0) begin - if (RASr2) begin - if (CBR) begin - // AREF - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b0; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end else begin - // ACT - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // Bank RA10 consistently "1" - end - // Enable clock only for reads - RCKEEN <= ~CBR & ~FWEr; - end else if (RCKE) begin - // PCall - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b1; - nRWE <= 1'b0; - RA10 <= 1'b1; // "all" - RCKEEN <= 1'b1; - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - RCKEEN <= 1'b1; - end - nRowColSel <= 1'b0; // Select registered row addres - end else if (S==1) begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - nRowColSel <= 1'b1; // Select asynchronous column address - RCKEEN <= ~CBR; // Disable clock if refresh cycle - end else if (S==2) begin - if (~FWEr & ~CBR) begin - // RD - nRCS <= 1'b0; - nRRAS <= 1'b1; - nRCAS <= 1'b0; - nRWE <= 1'b1; - RA10 <= 1'b1; // Auto-precharge - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - nRowColSel <= 1'b1; // Select asynchronous column address - RCKEEN <= ~CBR & FWEr; // Enable clock only for writes - end else if (S==3) begin - if (CASr2 & ~CASr3 & ~CBR & FWEr) begin - // WR - nRCS <= 1'b0; - nRRAS <= 1'b1; - nRCAS <= 1'b0; - nRWE <= 1'b0; - RA10 <= 1'b1; // Auto-precharge - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - nRowColSel <= ~(~FWEr | CASr3 | CBR); - RCKEEN <= ~(~FWEr | CASr2 | CBR); - end - end else if (InitReady) begin - if (S==0 & RASr2) begin - if (IS==0) begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end else if (IS==1) begin - // PC all - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b1; - nRWE <= 1'b0; - RA10 <= 1'b1; // "all" - end else if (IS==9) begin - // Load mode register - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b0; - nRWE <= 1'b0; - RA10 <= 1'b0; // Reserved in mode register - end else begin - // AREF - nRCS <= 1'b0; - nRRAS <= 1'b0; - nRCAS <= 1'b0; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - IS <= IS+1; - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - end - if (S==3 & ~RASr2 & IS==15) Ready <= 1'b1; - nRowColSel <= 1'b0; // Select registered row address - RCKEEN <= 1'b1; - end else begin - // NOP - nRCS <= 1'b1; - nRRAS <= 1'b1; - nRCAS <= 1'b1; - nRWE <= 1'b1; - RA10 <= 1'b1; // RA10 is don't care - nRowColSel <= 1'b0; // Select registered row address - RCKEEN <= 1'b0; - end - end - - /* Submit command when PHI2 falls */ - always @(negedge PHI2) begin - // Magic number check - if (C1WR & Din[7:0]==8'hC1) begin // "C1" magic number - if (ADSubmitted) begin - CmdEnable <= 1'b1; - end - C1Submitted <= 1'b1; - ADSubmitted <= 1'b0; - end else if (ADWR & Din[7:0]==8'hAD) begin // "AD" magic number - if (C1Submitted) begin - CmdEnable <= 1'b1; - end - ADSubmitted <= 1'b1; - C1Submitted <= 1'b0; - end else if (C1WR | ADWR) begin // wrong magic number submitted - CmdEnable <= 1'b0; - C1Submitted <= 1'b0; - ADSubmitted <= 1'b0; - end else if (CMDWR) CmdEnable <= 1'b0; - - // Submit command - if (CMDWR & CmdEnable) begin - if (Din[7:4]==4'h0) begin - XOR8MEG <= Din[0]; - end else if (Din[7:4]==4'h1) begin - Cmdn8MEGEN <= ~Din[0]; - CmdSubmitted <= 1'b1; - end else if (Din[7:4]==4'h3) begin - Cmdn8MEGEN <= n8MEGEN; - CmdUFMCS <= Din[2]; - CmdUFMCLK <= Din[1]; - CmdUFMSDI <= Din[0]; - CmdSubmitted <= 1'b1; - end - end - end - - /* UFM Control */ - output nUFMCSout = nUFMCS; - output UFMCLKout = UFMCLK; - output UFMSDIout = UFMSDI; - output UFMSDOout = UFMSDO; - input [3:0] In; - always @(posedge RCLK) begin - if (~InitReady && FS[17:10]==8'h00) begin - nUFMCS <= 1'b1; - UFMCLK <= 1'b0; - UFMSDI <= 1'b0; - end else if (~InitReady && FS[17:10]==8'h01) begin - nUFMCS <= 1'b0; - UFMCLK <= 1'b0; - UFMSDI <= 1'b0; - end else if (~InitReady && FS[17:10]==8'h02) begin - nUFMCS <= 1'b0; - UFMCLK <= FS[4]; - case (FS[9:5]) // Shift out read data command (0x03) - 5'h00: UFMSDI <= 1'b0; // command bit 7 (0) - 5'h01: UFMSDI <= 1'b0; // command bit 6 (0) - 5'h02: UFMSDI <= 1'b0; // command bit 5 (0) - 5'h03: UFMSDI <= 1'b0; // command bit 4 (0) - 5'h04: UFMSDI <= 1'b0; // command bit 3 (0) - 5'h05: UFMSDI <= 1'b0; // command bit 2 (0) - 5'h06: UFMSDI <= 1'b1; // command bit 1 (1) - 5'h07: UFMSDI <= 1'b1; // command bit 0 (1) - 5'h08: UFMSDI <= 1'b0; // address bit 23 (0) - 5'h09: UFMSDI <= 1'b0; // address bit 22 (0) - 5'h0A: UFMSDI <= 1'b0; // address bit 21 (0) - 5'h0B: UFMSDI <= 1'b0; // address bit 20 (0) - 5'h0C: UFMSDI <= 1'b0; // address bit 19 (0) - 5'h0D: UFMSDI <= 1'b0; // address bit 18 (0) - 5'h0E: UFMSDI <= 1'b0; // address bit 17 (0) - 5'h0F: UFMSDI <= 1'b0; // address bit 16 (0) - 5'h10: UFMSDI <= 1'b0; // address bit 15 (0) - 5'h11: UFMSDI <= 1'b0; // address bit 14 (0) - 5'h12: UFMSDI <= 1'b0; // address bit 13 (0) - 5'h13: UFMSDI <= 1'b1; // address bit 12 (0) - 5'h14: UFMSDI <= 1'b0; // address bit 11 (0) - 5'h15: UFMSDI <= 1'b0; // address bit 10 (0) - 5'h16: UFMSDI <= 1'b0; // address bit 09 (0) - 5'h17: UFMSDI <= 1'b0; // address bit 08 (0) - 5'h18: UFMSDI <= 1'b0; // address bit 07 (0) - 5'h19: UFMSDI <= 1'b0; // address bit 06 (0) - 5'h1A: UFMSDI <= 1'b0; // address bit 05 (0) - 5'h1B: UFMSDI <= 1'b0; // address bit 04 (0) - 5'h1C: UFMSDI <= 1'b0; // address bit 03 (0) - 5'h1D: UFMSDI <= 1'b0; // address bit 02 (0) - 5'h1E: UFMSDI <= 1'b0; // address bit 01 (0) - 5'h1F: UFMSDI <= 1'b0; // address bit 00 (0) - endcase - end else if (~InitReady && FS[17:10]==8'h03) begin - nUFMCS <= 1'b0; - UFMCLK <= 1'b0; - UFMSDI <= 1'b0; - // Latch n8MEGEN - if (FS[9:4]==6'h00 && FS[3:0]==4'hF) n8MEGEN <= ~UFMSDO; - end else if (~InitReady && FS[17:10]!=8'hFE && FS[17:10]!=8'hFF) begin - nUFMCS <= 1'b0; - UFMCLK <= FS[1]; - UFMSDI <= 1'b0; - end else if (~InitReady) begin - nUFMCS <= 1'b1; - UFMCLK <= 1'b0; - UFMSDI <= 1'b0; - end else if (~PHI2r2 & PHI2r3 & CmdSubmitted) begin - // Set user command signals after PHI2 falls - // Cmdn8MEGEN, CmdUFMCS, CmdUFMCLK, CmdUFMSDI - n8MEGEN <= Cmdn8MEGEN; - nUFMCS <= ~CmdUFMCS; - UFMCLK <= CmdUFMCLK; - UFMSDI <= CmdUFMSDI; - end - end -endmodule diff --git a/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC.ldf b/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC.ldf index c6d7112..fddf68b 100644 --- a/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC.ldf +++ b/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC.ldf @@ -13,5 +13,5 @@ - + diff --git a/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_640HC1.sty b/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC1.sty similarity index 100% rename from CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_640HC1.sty rename to CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC1.sty diff --git a/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC_tcr.dir/pn230815052235.tcr b/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC_tcr.dir/pn230815052235.tcr new file mode 100644 index 0000000..6049d67 --- /dev/null +++ b/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC_tcr.dir/pn230815052235.tcr @@ -0,0 +1,5 @@ +#Start recording tcl command: 8/15/2023 05:22:04 +#Project Location: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC; Project name: RAM2GS_LCMXO2_1200HC +prj_project open "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC.ldf" +prj_run Export -impl impl1 +#Stop recording: 8/15/2023 05:22:35 diff --git a/CPLD/LCMXO2-1200HC/impl1/.build_status b/CPLD/LCMXO2-1200HC/impl1/.build_status index 94e5b25..e131fe6 100644 --- a/CPLD/LCMXO2-1200HC/impl1/.build_status +++ b/CPLD/LCMXO2-1200HC/impl1/.build_status @@ -6,22 +6,22 @@ - + - - - - - + + + + + - - - - + + + + - - - + + + @@ -30,21 +30,21 @@ - + - - - - - - - - - - - - - + + + + + + + + + + + + + diff --git a/CPLD/LCMXO2-1200HC/impl1/.vdbs/RAM2GS_LCMXO2_1200HC_impl1_map.vdb b/CPLD/LCMXO2-1200HC/impl1/.vdbs/RAM2GS_LCMXO2_1200HC_impl1_map.vdb index f28b48bf9a2e079ad9c17d968c531e2c78bb6968..20f45e5fc7c0eb948a503d13876a1f05c8109b05 100644 GIT binary patch delta 431 zcmdn6l4Zk677?`^d1ejZB2a=Ps89#uiSSFCn z=KD3iu4VfSqKeq7LDYNpWDpg?kqDx;b3}kBLCz!~wYij23an!y*KZKF zkGqZyMDqzj=spQMDUj-OMsq>JxyCVnIOvKymzCxz9d^!i03PP{mFrnwi5$boBJf&`y?5+_enBUz6Jm?6%LdD delta 42 ycmZqg;%V>VnIOt!AGc9lUy`lH`^!3g{mFrnwi5$boBJf&`y?5+_enBUz6Jm: -5.186ns/-468.418ns; real time: 7 secs +Estimated worst slack/total negative slack: -5.186ns/-468.418ns; real time: 6 secs Level 2, iteration 1 11(0.01%) conflicts; 474(70.33%) untouched conns; 377050 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-377.051ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-377.051ns; real time: 7 secs Level 3, iteration 1 20(0.02%) conflicts; 254(37.69%) untouched conns; 373495 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-373.496ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-373.496ns; real time: 7 secs Level 4, iteration 1 11(0.01%) conflicts; 0(0.00%) untouched conn; 386254 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-386.255ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-386.255ns; real time: 7 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:39 08/15/23 +Start NBR section for normal routing at 05:22:15 08/15/23 Level 1, iteration 1 7(0.01%) conflicts; 4(0.59%) untouched conns; 379537 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-379.537ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-379.537ns; real time: 7 secs Level 4, iteration 1 9(0.01%) conflicts; 0(0.00%) untouched conn; 380799 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-380.800ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-380.800ns; real time: 7 secs Level 4, iteration 2 6(0.01%) conflicts; 0(0.00%) untouched conn; 390586 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-390.587ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-390.587ns; real time: 7 secs Level 4, iteration 3 6(0.01%) conflicts; 0(0.00%) untouched conn; 384718 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 7 secs Level 4, iteration 4 6(0.01%) conflicts; 0(0.00%) untouched conn; 384718 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 7 secs Level 4, iteration 5 4(0.00%) conflicts; 0(0.00%) untouched conn; 393013 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 7 secs Level 4, iteration 6 3(0.00%) conflicts; 0(0.00%) untouched conn; 393013 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 7 secs Level 4, iteration 7 3(0.00%) conflicts; 0(0.00%) untouched conn; 393874 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 7 secs Level 4, iteration 8 3(0.00%) conflicts; 0(0.00%) untouched conn; 393874 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 7 secs Level 4, iteration 9 2(0.00%) conflicts; 0(0.00%) untouched conn; 409288 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 7 secs Level 4, iteration 10 3(0.00%) conflicts; 0(0.00%) untouched conn; 409288 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 7 secs Level 4, iteration 11 3(0.00%) conflicts; 0(0.00%) untouched conn; 393035 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 7 secs Level 4, iteration 12 3(0.00%) conflicts; 0(0.00%) untouched conn; 393035 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 7 secs Level 4, iteration 13 2(0.00%) conflicts; 0(0.00%) untouched conn; 404326 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 7 secs Level 4, iteration 14 2(0.00%) conflicts; 0(0.00%) untouched conn; 404326 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 7 secs Level 4, iteration 15 2(0.00%) conflicts; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 16 3(0.00%) conflicts; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 17 2(0.00%) conflicts; 0(0.00%) untouched conn; 404668 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 7 secs Level 4, iteration 18 1(0.00%) conflict; 0(0.00%) untouched conn; 404668 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 7 secs Level 4, iteration 19 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 20 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 21 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 22 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 23 1(0.00%) conflict; 0(0.00%) untouched conn; 411276 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 7 secs Level 4, iteration 24 1(0.00%) conflict; 0(0.00%) untouched conn; 411276 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 7 secs Level 4, iteration 25 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for performance tuning (iteration 1) at 05:03:39 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:15 08/15/23 Level 4, iteration 1 1(0.00%) conflict; 0(0.00%) untouched conn; 405829 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-405.830ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-405.830ns; real time: 7 secs Level 4, iteration 2 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for re-routing at 05:03:39 08/15/23 +Start NBR section for re-routing at 05:22:15 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for post-routing at 05:03:39 08/15/23 +Start NBR section for post-routing at 05:22:15 08/15/23 End NBR router with 0 unrouted connection @@ -264,8 +264,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=6 clock_loads=4 -Total CPU time 7 secs -Total REAL time: 8 secs +Total CPU time 6 secs +Total REAL time: 7 secs Completely routed. End of route. 674 routed (100.00%); 0 unrouted. @@ -287,8 +287,8 @@ PAR_SUMMARY::Worst slack> = 0.304 PAR_SUMMARY::Timing score> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 8 secs -Total REAL time to completion: 8 secs +Total CPU time to completion: 6 secs +Total REAL time to completion: 7 secs par done! diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.dir/RAM2GS_LCMXO2_1200HC_impl1.par b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.dir/RAM2GS_LCMXO2_1200HC_impl1.par index 9d23cf4..7d91ea8 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.dir/RAM2GS_LCMXO2_1200HC_impl1.par +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.dir/RAM2GS_LCMXO2_1200HC_impl1.par @@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:31 2023 +Tue Aug 15 05:22:08 2023 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_1200HC_impl1.p2t RAM2GS_LCMXO2_1200HC_impl1_map.ncd RAM2GS_LCMXO2_1200HC_impl1.dir @@ -17,11 +17,11 @@ Preference file: RAM2GS_LCMXO2_1200HC_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 -4.650 391939 0.304 0 08 Completed +5_1 * 0 -4.650 391939 0.304 0 07 Completed * : Design saved. -Total (real) run time for 1-seed: 8 secs +Total (real) run time for 1-seed: 7 secs par done! diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.jed b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.jed index 8ec6c65..80ddd6d 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.jed +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.jed @@ -2,7 +2,7 @@ NOTE Diamond (64-bit) 3.12.1.454 JEDEC Compatible Fuse File.* NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.* NOTE All Rights Reserved.* -NOTE DATE CREATED: Tue Aug 15 05:03:43 2023* +NOTE DATE CREATED: Tue Aug 15 05:22:19 2023* NOTE DESIGN NAME: RAM2GS_LCMXO2_1200HC_impl1.ncd* NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100* NOTE JEDEC FILE STATUS: Final Version 1.95* @@ -2776,4 +2776,4 @@ E0000000000000000000000000000000000000000000000000000000000000000 0000010001100000* NOTE User Electronic Signature Data* UH00000000* -8380 +8384 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.mrp b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.mrp index 8e79120..934b075 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.mrp +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.mrp @@ -16,7 +16,7 @@ Target Vendor: LATTICE Target Device: LCMXO2-1200HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.12.1.454 -Mapped on: 08/15/23 05:03:26 +Mapped on: 08/15/23 05:22:05 Design Summary -------------- @@ -66,7 +66,7 @@ Design Summary -Design: RAM2GS Date: 08/15/23 05:03:26 +Design: RAM2GS Date: 08/15/23 05:22:05 Design Summary (cont) --------------------- @@ -132,7 +132,7 @@ IO (PIO) Attributes -Design: RAM2GS Date: 08/15/23 05:03:26 +Design: RAM2GS Date: 08/15/23 05:22:05 IO (PIO) Attributes (cont) -------------------------- @@ -198,7 +198,7 @@ IO (PIO) Attributes (cont) -Design: RAM2GS Date: 08/15/23 05:03:26 +Design: RAM2GS Date: 08/15/23 05:22:05 IO (PIO) Attributes (cont) -------------------------- @@ -264,7 +264,7 @@ IO (PIO) Attributes (cont) -Design: RAM2GS Date: 08/15/23 05:03:26 +Design: RAM2GS Date: 08/15/23 05:22:05 IO (PIO) Attributes (cont) -------------------------- @@ -330,7 +330,7 @@ Run Time and Memory Usage -Design: RAM2GS Date: 08/15/23 05:03:26 +Design: RAM2GS Date: 08/15/23 05:22:05 Run Time and Memory Usage (cont) -------------------------------- diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.ncd b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.ncd index c492e5d51d9f51a342f152faa3d9b82acc561858..1967c447327945754f26dadb5cf7ffc3a75a66db 100644 GIT binary patch delta 42 ycmZqg;%V>VnIOvKymzCxz9d^!i03PP{mFrnwi5$boBJf&`y?5+_enBUz6Jm?6%LdD delta 42 ycmZqg;%V>VnIOt!AGc9lUy`lH`^!3g{mFrnwi5$boBJf&`y?5+_enBUz6Jm: -5.186ns/-468.418ns; real time: 7 secs +Estimated worst slack/total negative slack: -5.186ns/-468.418ns; real time: 6 secs Level 2, iteration 1 11(0.01%) conflicts; 474(70.33%) untouched conns; 377050 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-377.051ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-377.051ns; real time: 7 secs Level 3, iteration 1 20(0.02%) conflicts; 254(37.69%) untouched conns; 373495 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-373.496ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-373.496ns; real time: 7 secs Level 4, iteration 1 11(0.01%) conflicts; 0(0.00%) untouched conn; 386254 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-386.255ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-386.255ns; real time: 7 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:39 08/15/23 +Start NBR section for normal routing at 05:22:15 08/15/23 Level 1, iteration 1 7(0.01%) conflicts; 4(0.59%) untouched conns; 379537 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-379.537ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-379.537ns; real time: 7 secs Level 4, iteration 1 9(0.01%) conflicts; 0(0.00%) untouched conn; 380799 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-380.800ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-380.800ns; real time: 7 secs Level 4, iteration 2 6(0.01%) conflicts; 0(0.00%) untouched conn; 390586 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-390.587ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-390.587ns; real time: 7 secs Level 4, iteration 3 6(0.01%) conflicts; 0(0.00%) untouched conn; 384718 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 7 secs Level 4, iteration 4 6(0.01%) conflicts; 0(0.00%) untouched conn; 384718 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 7 secs Level 4, iteration 5 4(0.00%) conflicts; 0(0.00%) untouched conn; 393013 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 7 secs Level 4, iteration 6 3(0.00%) conflicts; 0(0.00%) untouched conn; 393013 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 7 secs Level 4, iteration 7 3(0.00%) conflicts; 0(0.00%) untouched conn; 393874 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 7 secs Level 4, iteration 8 3(0.00%) conflicts; 0(0.00%) untouched conn; 393874 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 7 secs Level 4, iteration 9 2(0.00%) conflicts; 0(0.00%) untouched conn; 409288 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 7 secs Level 4, iteration 10 3(0.00%) conflicts; 0(0.00%) untouched conn; 409288 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 7 secs Level 4, iteration 11 3(0.00%) conflicts; 0(0.00%) untouched conn; 393035 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 7 secs Level 4, iteration 12 3(0.00%) conflicts; 0(0.00%) untouched conn; 393035 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 7 secs Level 4, iteration 13 2(0.00%) conflicts; 0(0.00%) untouched conn; 404326 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 7 secs Level 4, iteration 14 2(0.00%) conflicts; 0(0.00%) untouched conn; 404326 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 7 secs Level 4, iteration 15 2(0.00%) conflicts; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 16 3(0.00%) conflicts; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 17 2(0.00%) conflicts; 0(0.00%) untouched conn; 404668 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 7 secs Level 4, iteration 18 1(0.00%) conflict; 0(0.00%) untouched conn; 404668 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 7 secs Level 4, iteration 19 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 20 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 21 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 22 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 23 1(0.00%) conflict; 0(0.00%) untouched conn; 411276 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 7 secs Level 4, iteration 24 1(0.00%) conflict; 0(0.00%) untouched conn; 411276 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 7 secs Level 4, iteration 25 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for performance tuning (iteration 1) at 05:03:39 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:15 08/15/23 Level 4, iteration 1 1(0.00%) conflict; 0(0.00%) untouched conn; 405829 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-405.830ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-405.830ns; real time: 7 secs Level 4, iteration 2 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for re-routing at 05:03:39 08/15/23 +Start NBR section for re-routing at 05:22:15 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for post-routing at 05:03:39 08/15/23 +Start NBR section for post-routing at 05:22:15 08/15/23 End NBR router with 0 unrouted connection @@ -292,8 +292,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=6 clock_loads=4 -Total CPU time 7 secs -Total REAL time: 8 secs +Total CPU time 6 secs +Total REAL time: 7 secs Completely routed. End of route. 674 routed (100.00%); 0 unrouted. @@ -315,8 +315,8 @@ PAR_SUMMARY::Worst slack> = 0.304 PAR_SUMMARY::Timing score> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 8 secs -Total REAL time to completion: 8 secs +Total CPU time to completion: 6 secs +Total REAL time to completion: 7 secs par done! diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.prf b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.prf index 0fcb3d2..c80afd4 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.prf +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.prf @@ -1,5 +1,5 @@ SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:03:27 2023 +# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:22:06 2023 SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; LOCATE COMP "RD[7]" SITE "43" ; diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.tw1 b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.tw1 index 278d419..e13b3ff 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.tw1 +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.tw1 @@ -13,7 +13,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:07 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -188,7 +188,7 @@ Constraints cover 572 paths, 2 nets, and 409 connections (60.68% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:07 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.twr b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.twr index 7c6f2bd..97270c5 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.twr +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.twr @@ -13,7 +13,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:40 2023 +Tue Aug 15 05:22:16 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1154,7 +1154,7 @@ Constraints cover 572 paths, 2 nets, and 409 connections (60.68% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:40 2023 +Tue Aug 15 05:22:16 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_bgn.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_bgn.html index e5f11b0..5f15130 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_bgn.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_bgn.html @@ -12,10 +12,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:01:23 2023 +Tue Aug 15 05:03:42 2023 -Command: bitgen -g RamCfg:Reset -path D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC -w -jedec -gui RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf +Command: bitgen -g RamCfg:Reset -path D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC -w -jedec -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf Loading design for application Bitgen from file RAM2GS_LCMXO2_1200HC_impl1.ncd. Design name: RAM2GS @@ -91,8 +91,8 @@ UFM Utilization: General Purpose Flash Memory. Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510). Initialized UFM Pages: 0 Page. -Total CPU Time: 1 secs -Total REAL Time: 2 secs +Total CPU Time: 2 secs +Total REAL Time: 3 secs Peak Memory Usage: 253 MB diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_iotiming.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_iotiming.html index 1fddacf..7e2eb91 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_iotiming.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_iotiming.html @@ -38,7 +38,7 @@ Performance Hardware Data Status: Final Version 34.4. // Package: TQFP100 // ncd File: ram2gs_lcmxo2_1200hc_impl1.ncd // Version: Diamond (64-bit) 3.12.1.454 -// Written on Tue Aug 15 05:03:41 2023 +// Written on Tue Aug 15 05:22:17 2023 // M: Minimum Performance Grade // iotiming RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_map.cam b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_map.cam index 0e2396b..764d169 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_map.cam +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_map.cam @@ -15,7 +15,7 @@ FS_610_add_4_1/CI [ END CLIPPED ] [ START DESIGN PREFS ] SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:03:27 2023 +# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:22:06 2023 SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; LOCATE COMP "RD[7]" SITE "43" ; diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_map.ncd b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_map.ncd index 331614c53e06d54bef656752479767b37bc115ae..2f8eda47865862a99814b4c51fef644bc9ba6125 100644 GIT binary patch delta 42 ycmcb1jN{rdjtQbn&U-hC>r1j#MP;4W*Pk3HX*)51wYg8Sy-$*Hd!HoJ2~GfAVGkPs delta 42 ycmcb1jN{rdjtQbn_Hi4<^(EPALgd@^^(O~P+D;5$ZSIq7?~`QQ-Y3a)f)fBk8xA1= diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvho.sdf b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvho.sdf index f178e30..1f53442 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvho.sdf +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvho.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "RAM2GS") - (DATE "Tue Aug 15 05:03:31 2023") + (DATE "Tue Aug 15 05:22:08 2023") (VENDOR "Lattice") (PROGRAM "ldbanno") (VERSION "Diamond (64-bit) 3.12.1.454") diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvho.vho b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvho.vho index 61a9bb6..c6d0c2c 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvho.vho +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvho.vho @@ -2,8 +2,8 @@ -- VHDL netlist produced by program ldbanno, Version Diamond (64-bit) 3.12.1.454 -- ldbanno -n VHDL -o RAM2GS_LCMXO2_1200HC_impl1_mapvho.vho -w -neg -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml RAM2GS_LCMXO2_1200HC_impl1_map.ncd --- Netlist created on Tue Aug 15 05:03:26 2023 --- Netlist written on Tue Aug 15 05:03:31 2023 +-- Netlist created on Tue Aug 15 05:22:05 2023 +-- Netlist written on Tue Aug 15 05:22:08 2023 -- Design is for device LCMXO2-1200HC -- Design is for package TQFP100 -- Design is for performance grade 4 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.sdf b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.sdf index 357899e..d43baf3 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.sdf +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "RAM2GS") - (DATE "Tue Aug 15 05:03:30 2023") + (DATE "Tue Aug 15 05:22:08 2023") (VENDOR "Lattice") (PROGRAM "ldbanno") (VERSION "Diamond (64-bit) 3.12.1.454") diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo index ec046df..cb54343 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo @@ -2,8 +2,8 @@ // Verilog netlist produced by program ldbanno, Version Diamond (64-bit) 3.12.1.454 // ldbanno -n Verilog -o RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo -w -neg -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml RAM2GS_LCMXO2_1200HC_impl1_map.ncd -// Netlist created on Tue Aug 15 05:03:26 2023 -// Netlist written on Tue Aug 15 05:03:29 2023 +// Netlist created on Tue Aug 15 05:22:05 2023 +// Netlist written on Tue Aug 15 05:22:08 2023 // Design is for device LCMXO2-1200HC // Design is for package TQFP100 // Design is for performance grade 4 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mrp.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mrp.html index bf146ce..acc608c 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mrp.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mrp.html @@ -24,7 +24,7 @@ Target Vendor: LATTICE Target Device: LCMXO2-1200HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.12.1.454 -Mapped on: 08/15/23 05:03:26 +Mapped on: 08/15/23 05:22:05 Design Summary diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_pad.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_pad.html index b2d8069..232f92e 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_pad.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_pad.html @@ -14,7 +14,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.44 -Tue Aug 15 05:03:35 2023 +Tue Aug 15 05:22:12 2023 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+----------------------------------------------------------+ @@ -314,7 +314,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:38 2023 +Tue Aug 15 05:22:14 2023 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_par.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_par.html index 2db9546..0c0c85e 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_par.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_par.html @@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:31 2023 +Tue Aug 15 05:22:08 2023 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_1200HC_impl1.p2t RAM2GS_LCMXO2_1200HC_impl1_map.ncd RAM2GS_LCMXO2_1200HC_impl1.dir @@ -26,17 +26,17 @@ Preference file: RAM2GS_LCMXO2_1200HC_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 -4.650 391939 0.304 0 08 Completed +5_1 * 0 -4.650 391939 0.304 0 07 Completed * : Design saved. -Total (real) run time for 1-seed: 8 secs +Total (real) run time for 1-seed: 7 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2GS_LCMXO2_1200HC_impl1_map.ncd" -Tue Aug 15 05:03:31 2023 +Tue Aug 15 05:22:08 2023 Best Par Run @@ -145,7 +145,7 @@ I/O Bank Usage Summary: | 3 | 17 / 20 ( 85%) | 2.5V | - | +----------+----------------+------------+-----------+ -Total placer CPU time: 4 secs +Total placer CPU time: 3 secs Dumping design to file RAM2GS_LCMXO2_1200HC_impl1.dir/5_1.ncd. @@ -157,9 +157,9 @@ WARNING - par: The driver of primary clock net PHI2_c is not placed on one of th WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=6 clock_loads=4 -Completed router resource preassignment. Real time: 7 secs +Completed router resource preassignment. Real time: 6 secs -Start NBR router at 05:03:38 08/15/23 +Start NBR router at 05:22:14 08/15/23 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -174,119 +174,119 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 05:03:38 08/15/23 +Start NBR special constraint process at 05:22:14 08/15/23 -Start NBR section for initial routing at 05:03:38 08/15/23 +Start NBR section for initial routing at 05:22:14 08/15/23 Level 1, iteration 1 2(0.00%) conflicts; 537(79.67%) untouched conns; 468417 (nbr) score; -Estimated worst slack/total negative slack<setup>: -5.186ns/-468.418ns; real time: 7 secs +Estimated worst slack/total negative slack<setup>: -5.186ns/-468.418ns; real time: 6 secs Level 2, iteration 1 11(0.01%) conflicts; 474(70.33%) untouched conns; 377050 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-377.051ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-377.051ns; real time: 7 secs Level 3, iteration 1 20(0.02%) conflicts; 254(37.69%) untouched conns; 373495 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-373.496ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-373.496ns; real time: 7 secs Level 4, iteration 1 11(0.01%) conflicts; 0(0.00%) untouched conn; 386254 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-386.255ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-386.255ns; real time: 7 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:39 08/15/23 +Start NBR section for normal routing at 05:22:15 08/15/23 Level 1, iteration 1 7(0.01%) conflicts; 4(0.59%) untouched conns; 379537 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-379.537ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-379.537ns; real time: 7 secs Level 4, iteration 1 9(0.01%) conflicts; 0(0.00%) untouched conn; 380799 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-380.800ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-380.800ns; real time: 7 secs Level 4, iteration 2 6(0.01%) conflicts; 0(0.00%) untouched conn; 390586 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-390.587ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-390.587ns; real time: 7 secs Level 4, iteration 3 6(0.01%) conflicts; 0(0.00%) untouched conn; 384718 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-384.719ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-384.719ns; real time: 7 secs Level 4, iteration 4 6(0.01%) conflicts; 0(0.00%) untouched conn; 384718 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-384.719ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-384.719ns; real time: 7 secs Level 4, iteration 5 4(0.00%) conflicts; 0(0.00%) untouched conn; 393013 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-393.014ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-393.014ns; real time: 7 secs Level 4, iteration 6 3(0.00%) conflicts; 0(0.00%) untouched conn; 393013 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-393.014ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-393.014ns; real time: 7 secs Level 4, iteration 7 3(0.00%) conflicts; 0(0.00%) untouched conn; 393874 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-393.875ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-393.875ns; real time: 7 secs Level 4, iteration 8 3(0.00%) conflicts; 0(0.00%) untouched conn; 393874 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-393.875ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-393.875ns; real time: 7 secs Level 4, iteration 9 2(0.00%) conflicts; 0(0.00%) untouched conn; 409288 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-409.289ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-409.289ns; real time: 7 secs Level 4, iteration 10 3(0.00%) conflicts; 0(0.00%) untouched conn; 409288 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-409.289ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-409.289ns; real time: 7 secs Level 4, iteration 11 3(0.00%) conflicts; 0(0.00%) untouched conn; 393035 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-393.036ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-393.036ns; real time: 7 secs Level 4, iteration 12 3(0.00%) conflicts; 0(0.00%) untouched conn; 393035 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.364ns/-393.036ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.364ns/-393.036ns; real time: 7 secs Level 4, iteration 13 2(0.00%) conflicts; 0(0.00%) untouched conn; 404326 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.574ns/-404.327ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.574ns/-404.327ns; real time: 7 secs Level 4, iteration 14 2(0.00%) conflicts; 0(0.00%) untouched conn; 404326 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.574ns/-404.327ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.574ns/-404.327ns; real time: 7 secs Level 4, iteration 15 2(0.00%) conflicts; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 16 3(0.00%) conflicts; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 17 2(0.00%) conflicts; 0(0.00%) untouched conn; 404668 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.574ns/-404.669ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.574ns/-404.669ns; real time: 7 secs Level 4, iteration 18 1(0.00%) conflict; 0(0.00%) untouched conn; 404668 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.574ns/-404.669ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.574ns/-404.669ns; real time: 7 secs Level 4, iteration 19 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 20 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 21 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 22 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 23 1(0.00%) conflict; 0(0.00%) untouched conn; 411276 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.277ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.277ns; real time: 7 secs Level 4, iteration 24 1(0.00%) conflict; 0(0.00%) untouched conn; 411276 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.277ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.277ns; real time: 7 secs Level 4, iteration 25 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for performance tuning (iteration 1) at 05:03:39 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:15 08/15/23 Level 4, iteration 1 1(0.00%) conflict; 0(0.00%) untouched conn; 405829 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-405.830ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-405.830ns; real time: 7 secs Level 4, iteration 2 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for re-routing at 05:03:39 08/15/23 +Start NBR section for re-routing at 05:22:15 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack<setup>: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for post-routing at 05:03:39 08/15/23 +Start NBR section for post-routing at 05:22:15 08/15/23 End NBR router with 0 unrouted connection @@ -304,8 +304,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=6 clock_loads=4 -Total CPU time 7 secs -Total REAL time: 8 secs +Total CPU time 6 secs +Total REAL time: 7 secs Completely routed. End of route. 674 routed (100.00%); 0 unrouted. @@ -327,8 +327,8 @@ PAR_SUMMARY::Worst slack<hold /<ns>> = 0.304 PAR_SUMMARY::Timing score<hold /<ns>> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 8 secs -Total REAL time to completion: 8 secs +Total CPU time to completion: 6 secs +Total REAL time to completion: 7 secs par done! diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_summary.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_summary.html index affe710..dfa4c74 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_summary.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_summary.html @@ -62,7 +62,7 @@ Updated: -2023/08/15 05:03:45 +2023/08/15 05:22:21 Implementation Location: diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_tw1.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_tw1.html index 2254cfa..04d87c3 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_tw1.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_tw1.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:07 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -207,7 +207,7 @@ Constraints cover 572 paths, 2 nets, and 409 connections (60.68% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:07 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_twr.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_twr.html index eab0fb8..987f2af 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_twr.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_twr.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:40 2023 +Tue Aug 15 05:22:16 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1173,7 +1173,7 @@ Constraints cover 572 paths, 2 nets, and 409 connections (60.68% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:40 2023 +Tue Aug 15 05:22:16 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO2-1200HC/impl1/automake.log b/CPLD/LCMXO2-1200HC/impl1/automake.log index bdf40a5..f56d09d 100644 --- a/CPLD/LCMXO2-1200HC/impl1/automake.log +++ b/CPLD/LCMXO2-1200HC/impl1/automake.log @@ -1,256 +1,4 @@ -synthesis -f "RAM2GS_LCMXO2_1200HC_impl1_lattice.synproj" -synthesis: version Diamond (64-bit) 3.12.1.454 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:25 2023 - - -Command Line: synthesis -f RAM2GS_LCMXO2_1200HC_impl1_lattice.synproj -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml - - -Synthesis options: -The -a option is MachXO2. -The -s option is 4. -The -t option is TQFP100. -The -d option is LCMXO2-1200HC. -Using package TQFP100. -Using performance grade 4. - - -########################################################## - -### Lattice Family : MachXO2 - -### Device : LCMXO2-1200HC - -### Package : TQFP100 - -### Speed : 4 - -########################################################## - - - - -Optimization goal = Balanced -Top-level module name = RAM2GS. -Target frequency = 200.000000 MHz. -Maximum fanout = 1000. -Timing path count = 3 -BRAM utilization = 100.000000 % -DSP usage = true -DSP utilization = 100.000000 % -fsm_encoding_style = auto -resolve_mixed_drivers = 0 -fix_gated_clocks = 1 - -Mux style = Auto -Use Carry Chain = true -carry_chain_length = 0 -Loop Limit = 1950. -Use IO Insertion = TRUE -Use IO Reg = AUTO - -Resource Sharing = TRUE -Propagate Constants = TRUE -Remove Duplicate Registers = TRUE -force_gsr = auto -ROM style = auto -RAM style = auto -The -comp option is FALSE. -The -syn option is FALSE. --p D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC (searchpath added) --p C:/lscc/diamond/3.12/ispfpga/xo2c00/data (searchpath added) --p D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/impl1 (searchpath added) --p D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC (searchpath added) -Verilog design file = D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-SPI.v -NGD file = RAM2GS_LCMXO2_1200HC_impl1.ngd --sdc option: SDC file input not used. --lpf option: Output file option is ON. -Hardtimer checking is enabled (default). The -dt option is not used. -The -r option is OFF. [ Remove LOC Properties is OFF. ] -Technology check ok... - -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482 -Compile design. -Compile Design Begin -Analyzing Verilog file d:/onedrive/documents/github/ram2gs/cpld/ram2gs-spi.v. VERI-1482 -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482 -Top module name (Verilog): RAM2GS - - - - -Last elaborated design is RAM2GS() -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00a/data/xo2alib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... -Loading device for application map from file 'xo2c1200.nph' in environment: C:/lscc/diamond/3.12/ispfpga. -Package Status: Final Version 1.44. -Top-level module name = RAM2GS. - -original encoding -> new encoding (one-hot encoding) - - 0000 -> 0000000000000001 - - 0001 -> 0000000000000010 - - 0010 -> 0000000000000100 - - 0011 -> 0000000000001000 - - 0100 -> 0000000000010000 - - 0101 -> 0000000000100000 - - 0110 -> 0000000001000000 - - 0111 -> 0000000010000000 - - 1000 -> 0000000100000000 - - 1001 -> 0000001000000000 - - 1010 -> 0000010000000000 - - 1011 -> 0000100000000000 - - 1100 -> 0001000000000000 - - 1101 -> 0010000000000000 - - 1110 -> 0100000000000000 - - 1111 -> 1000000000000000 - - -original encoding -> new encoding (one-hot encoding) - - 00 -> 0001 - - 01 -> 0010 - - 10 -> 0100 - - 11 -> 1000 - - - - -GSR will not be inferred because no asynchronous signal was found in the netlist. - -Applying 200.000000 MHz constraint to all clocks - - -Results of NGD DRC are available in RAM2GS_drc.log. -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00a/data/xo2alib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... - - -Running DRC... - -DRC complete with no errors or warnings - -Design Results: - 309 blocks expanded -completed the first expansion -All blocks are expanded and NGD expansion is successful. -Writing NGD file RAM2GS_LCMXO2_1200HC_impl1.ngd. - -################### Begin Area Report (RAM2GS)###################### -Number of register bits => 102 of 1520 (6 % ) -BB => 8 -CCU2D => 10 -FD1P3AX => 29 -FD1P3AY => 5 -FD1P3IX => 3 -FD1S3AX => 47 -FD1S3IX => 14 -FD1S3JX => 4 -GSR => 1 -IB => 26 -INV => 3 -LUT4 => 122 -OB => 33 -PFUMX => 1 -################### End Area Report ################## - -################### Begin BlackBox Report ###################### -TSALL => 1 -################### End BlackBox Report ################## - -################### Begin Clock Report ###################### -Clock Nets -Number of Clocks: 4 - Net : RCLK_c, loads : 62 - Net : PHI2_c, loads : 11 - Net : nCCAS_c, loads : 2 - Net : nCRAS_c, loads : 2 -Clock Enable Nets -Number of Clock Enables: 14 -Top 10 highest fanout Clock Enables: - Net : RCLK_c_enable_27, loads : 16 - Net : RCLK_c_enable_6, loads : 4 - Net : PHI2_N_120_enable_8, loads : 3 - Net : RCLK_c_enable_10, loads : 3 - Net : RCLK_c_enable_5, loads : 2 - Net : PHI2_N_120_enable_3, loads : 1 - Net : Ready_N_292, loads : 1 - Net : PHI2_N_120_enable_2, loads : 1 - Net : RCLK_c_enable_15, loads : 1 - Net : PHI2_N_120_enable_6, loads : 1 -Highest fanout non-clock nets -Top 10 highest fanout non-clock nets: - Net : RCLK_c_enable_27, loads : 16 - Net : InitReady, loads : 15 - Net : nCRAS_c__inv, loads : 15 - Net : RASr2, loads : 14 - Net : nRowColSel_N_35, loads : 13 - Net : n2380, loads : 13 - Net : nRowColSel, loads : 12 - Net : Ready, loads : 12 - Net : Din_c_4, loads : 10 - Net : MAin_c_1, loads : 10 -################### End Clock Report ################## - -Timing Report Summary --------------- --------------------------------------------------------------------------------- -Constraint | Constraint| Actual|Levels --------------------------------------------------------------------------------- - | | | -create_clock -period 5.000000 -name | | | -clk3 [get_nets nCCAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk2 [get_nets nCRAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk1 [get_nets PHI2_c] | 200.000 MHz| 50.413 MHz| 6 * - | | | -create_clock -period 5.000000 -name | | | -clk0 [get_nets RCLK_c] | 200.000 MHz| 120.207 MHz| 5 * - | | | --------------------------------------------------------------------------------- - - -2 constraints not met. - - -Peak Memory Usage: 55.238 MB - --------------------------------------------------------------- -Elapsed CPU time for LSE flow : 0.891 secs --------------------------------------------------------------- - map -a "MachXO2" -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial "RAM2GS_LCMXO2_1200HC_impl1.ngd" -o "RAM2GS_LCMXO2_1200HC_impl1_map.ncd" -pr "RAM2GS_LCMXO2_1200HC_impl1.prf" -mp "RAM2GS_LCMXO2_1200HC_impl1.mrp" -lpf "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.lpf" -lpf "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC.lpf" -c 0 map: version Diamond (64-bit) 3.12.1.454 @@ -400,7 +148,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:07 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -434,7 +182,7 @@ Constraints cover 572 paths, 2 nets, and 409 connections (60.68% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:07 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -507,9 +255,9 @@ Converting design RAM2GS_LCMXO2_1200HC_impl1_map.ncd into .ldb format. Writing Verilog netlist to file RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo Writing SDF timing to file RAM2GS_LCMXO2_1200HC_impl1_mapvo.sdf -Total CPU Time: 1 secs -Total REAL Time: 2 secs -Peak Memory Usage: 41 MB +Total CPU Time: 0 secs +Total REAL Time: 0 secs +Peak Memory Usage: 40 MB ldbanno "RAM2GS_LCMXO2_1200HC_impl1_map.ncd" -n VHDL -o "RAM2GS_LCMXO2_1200HC_impl1_mapvho.vho" -w -neg ldbanno: version Diamond (64-bit) 3.12.1.454 @@ -536,9 +284,9 @@ Converting design RAM2GS_LCMXO2_1200HC_impl1_map.ncd into .ldb format. Writing VHDL netlist to file RAM2GS_LCMXO2_1200HC_impl1_mapvho.vho Writing SDF timing to file RAM2GS_LCMXO2_1200HC_impl1_mapvho.sdf -Total CPU Time: 1 secs +Total CPU Time: 0 secs Total REAL Time: 0 secs -Peak Memory Usage: 40 MB +Peak Memory Usage: 41 MB mpartrce -p "RAM2GS_LCMXO2_1200HC_impl1.p2t" -f "RAM2GS_LCMXO2_1200HC_impl1.p3t" -tf "RAM2GS_LCMXO2_1200HC_impl1.pt" "RAM2GS_LCMXO2_1200HC_impl1_map.ncd" "RAM2GS_LCMXO2_1200HC_impl1.ncd" @@ -547,7 +295,7 @@ Removing old design directory at request of -rem command line option to this pro Running par. Please wait . . . Lattice Place and Route Report for Design "RAM2GS_LCMXO2_1200HC_impl1_map.ncd" -Tue Aug 15 05:03:31 2023 +Tue Aug 15 05:22:08 2023 PAR: Place And Route Diamond (64-bit) 3.12.1.454. Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO2_1200HC_impl1_map.ncd RAM2GS_LCMXO2_1200HC_impl1.dir/5_1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf @@ -652,7 +400,7 @@ I/O Bank Usage Summary: | 3 | 17 / 20 ( 85%) | 2.5V | - | +----------+----------------+------------+-----------+ -Total placer CPU time: 4 secs +Total placer CPU time: 3 secs Dumping design to file RAM2GS_LCMXO2_1200HC_impl1.dir/5_1.ncd. @@ -663,9 +411,9 @@ Starting router resource preassignment -Completed router resource preassignment. Real time: 7 secs +Completed router resource preassignment. Real time: 6 secs -Start NBR router at 05:03:38 08/15/23 +Start NBR router at 05:22:14 08/15/23 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -680,119 +428,119 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 05:03:38 08/15/23 +Start NBR special constraint process at 05:22:14 08/15/23 -Start NBR section for initial routing at 05:03:38 08/15/23 +Start NBR section for initial routing at 05:22:14 08/15/23 Level 1, iteration 1 2(0.00%) conflicts; 537(79.67%) untouched conns; 468417 (nbr) score; -Estimated worst slack/total negative slack: -5.186ns/-468.418ns; real time: 7 secs +Estimated worst slack/total negative slack: -5.186ns/-468.418ns; real time: 6 secs Level 2, iteration 1 11(0.01%) conflicts; 474(70.33%) untouched conns; 377050 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-377.051ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-377.051ns; real time: 7 secs Level 3, iteration 1 20(0.02%) conflicts; 254(37.69%) untouched conns; 373495 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-373.496ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-373.496ns; real time: 7 secs Level 4, iteration 1 11(0.01%) conflicts; 0(0.00%) untouched conn; 386254 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-386.255ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-386.255ns; real time: 7 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:39 08/15/23 +Start NBR section for normal routing at 05:22:15 08/15/23 Level 1, iteration 1 7(0.01%) conflicts; 4(0.59%) untouched conns; 379537 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-379.537ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-379.537ns; real time: 7 secs Level 4, iteration 1 9(0.01%) conflicts; 0(0.00%) untouched conn; 380799 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-380.800ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-380.800ns; real time: 7 secs Level 4, iteration 2 6(0.01%) conflicts; 0(0.00%) untouched conn; 390586 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-390.587ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-390.587ns; real time: 7 secs Level 4, iteration 3 6(0.01%) conflicts; 0(0.00%) untouched conn; 384718 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 7 secs Level 4, iteration 4 6(0.01%) conflicts; 0(0.00%) untouched conn; 384718 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-384.719ns; real time: 7 secs Level 4, iteration 5 4(0.00%) conflicts; 0(0.00%) untouched conn; 393013 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 7 secs Level 4, iteration 6 3(0.00%) conflicts; 0(0.00%) untouched conn; 393013 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.014ns; real time: 7 secs Level 4, iteration 7 3(0.00%) conflicts; 0(0.00%) untouched conn; 393874 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 7 secs Level 4, iteration 8 3(0.00%) conflicts; 0(0.00%) untouched conn; 393874 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.875ns; real time: 7 secs Level 4, iteration 9 2(0.00%) conflicts; 0(0.00%) untouched conn; 409288 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 7 secs Level 4, iteration 10 3(0.00%) conflicts; 0(0.00%) untouched conn; 409288 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-409.289ns; real time: 7 secs Level 4, iteration 11 3(0.00%) conflicts; 0(0.00%) untouched conn; 393035 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 7 secs Level 4, iteration 12 3(0.00%) conflicts; 0(0.00%) untouched conn; 393035 (nbr) score; -Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.364ns/-393.036ns; real time: 7 secs Level 4, iteration 13 2(0.00%) conflicts; 0(0.00%) untouched conn; 404326 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 7 secs Level 4, iteration 14 2(0.00%) conflicts; 0(0.00%) untouched conn; 404326 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.327ns; real time: 7 secs Level 4, iteration 15 2(0.00%) conflicts; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 16 3(0.00%) conflicts; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 17 2(0.00%) conflicts; 0(0.00%) untouched conn; 404668 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 7 secs Level 4, iteration 18 1(0.00%) conflict; 0(0.00%) untouched conn; 404668 (nbr) score; -Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.574ns/-404.669ns; real time: 7 secs Level 4, iteration 19 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 20 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 21 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 22 1(0.00%) conflict; 0(0.00%) untouched conn; 411533 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.534ns; real time: 7 secs Level 4, iteration 23 1(0.00%) conflict; 0(0.00%) untouched conn; 411276 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 7 secs Level 4, iteration 24 1(0.00%) conflict; 0(0.00%) untouched conn; 411276 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.277ns; real time: 7 secs Level 4, iteration 25 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for performance tuning (iteration 1) at 05:03:39 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:15 08/15/23 Level 4, iteration 1 1(0.00%) conflict; 0(0.00%) untouched conn; 405829 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-405.830ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-405.830ns; real time: 7 secs Level 4, iteration 2 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for re-routing at 05:03:39 08/15/23 +Start NBR section for re-routing at 05:22:15 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 411952 (nbr) score; -Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 8 secs +Estimated worst slack/total negative slack: -4.650ns/-411.953ns; real time: 7 secs -Start NBR section for post-routing at 05:03:39 08/15/23 +Start NBR section for post-routing at 05:22:15 08/15/23 End NBR router with 0 unrouted connection @@ -809,8 +557,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored -Total CPU time 7 secs -Total REAL time: 8 secs +Total CPU time 6 secs +Total REAL time: 7 secs Completely routed. End of route. 674 routed (100.00%); 0 unrouted. @@ -829,8 +577,8 @@ PAR_SUMMARY::Worst slack> = 0.304 PAR_SUMMARY::Timing score> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 8 secs -Total REAL time to completion: 8 secs +Total CPU time to completion: 6 secs +Total REAL time to completion: 7 secs par done! @@ -867,7 +615,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:40 2023 +Tue Aug 15 05:22:16 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -901,7 +649,7 @@ Constraints cover 572 paths, 2 nets, and 409 connections (60.68% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:40 2023 +Tue Aug 15 05:22:16 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1106,6 +854,6 @@ UFM Utilization: General Purpose Flash Memory. Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510). Initialized UFM Pages: 0 Page. -Total CPU Time: 2 secs -Total REAL Time: 3 secs +Total CPU Time: 1 secs +Total REAL Time: 2 secs Peak Memory Usage: 253 MB diff --git a/CPLD/LCMXO2-1200HC/impl1/ram2gs_lcmxo2_1200hc_impl1.ior b/CPLD/LCMXO2-1200HC/impl1/ram2gs_lcmxo2_1200hc_impl1.ior index c8edb74..7695bfd 100644 --- a/CPLD/LCMXO2-1200HC/impl1/ram2gs_lcmxo2_1200hc_impl1.ior +++ b/CPLD/LCMXO2-1200HC/impl1/ram2gs_lcmxo2_1200hc_impl1.ior @@ -29,7 +29,7 @@ Performance Hardware Data Status: Final Version 34.4. // Package: TQFP100 // ncd File: ram2gs_lcmxo2_1200hc_impl1.ncd // Version: Diamond (64-bit) 3.12.1.454 -// Written on Tue Aug 15 05:03:41 2023 +// Written on Tue Aug 15 05:22:17 2023 // M: Minimum Performance Grade // iotiming RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml diff --git a/CPLD-old/LCMXO/LCMXO640C/.run_manager.ini b/CPLD/LCMXO2-640HC-old/.run_manager.ini similarity index 100% rename from CPLD-old/LCMXO/LCMXO640C/.run_manager.ini rename to CPLD/LCMXO2-640HC-old/.run_manager.ini diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/.setting.ini b/CPLD/LCMXO2-640HC-old/.setting.ini similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/.setting.ini rename to CPLD/LCMXO2-640HC-old/.setting.ini diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/EFB.edn b/CPLD/LCMXO2-640HC-old/EFB.edn similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/EFB.edn rename to CPLD/LCMXO2-640HC-old/EFB.edn diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/EFB.ipx b/CPLD/LCMXO2-640HC-old/EFB.ipx similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/EFB.ipx rename to CPLD/LCMXO2-640HC-old/EFB.ipx diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/EFB.lpc b/CPLD/LCMXO2-640HC-old/EFB.lpc similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/EFB.lpc rename to CPLD/LCMXO2-640HC-old/EFB.lpc diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/EFB.naf b/CPLD/LCMXO2-640HC-old/EFB.naf similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/EFB.naf rename to CPLD/LCMXO2-640HC-old/EFB.naf diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/EFB.sort b/CPLD/LCMXO2-640HC-old/EFB.sort similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/EFB.sort rename to CPLD/LCMXO2-640HC-old/EFB.sort diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/EFB.srp b/CPLD/LCMXO2-640HC-old/EFB.srp similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/EFB.srp rename to CPLD/LCMXO2-640HC-old/EFB.srp diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/EFB.sym b/CPLD/LCMXO2-640HC-old/EFB.sym similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/EFB.sym rename to CPLD/LCMXO2-640HC-old/EFB.sym diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/EFB.v b/CPLD/LCMXO2-640HC-old/EFB.v similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/EFB.v rename to CPLD/LCMXO2-640HC-old/EFB.v diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/EFB_generate.log b/CPLD/LCMXO2-640HC-old/EFB_generate.log similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/EFB_generate.log rename to CPLD/LCMXO2-640HC-old/EFB_generate.log diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/EFB_tmpl.v b/CPLD/LCMXO2-640HC-old/EFB_tmpl.v similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/EFB_tmpl.v rename to CPLD/LCMXO2-640HC-old/EFB_tmpl.v diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf b/CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC.ldf similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf rename to CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC.ldf diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf b/CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC.lpf similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf rename to CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC.lpf diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC1.sty b/CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC1.sty similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC1.sty rename to CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC1.sty diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html b/CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC_tcl.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html rename to CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC_tcl.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817003052.tcr b/CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817003052.tcr similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817003052.tcr rename to CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817003052.tcr diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817054927.tcr b/CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817054927.tcr similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817054927.tcr rename to CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817054927.tcr diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817062320.tcr b/CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817062320.tcr similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817062320.tcr rename to CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817062320.tcr diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn211010064144.tcr b/CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC_tcr.dir/pn211010064144.tcr similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn211010064144.tcr rename to CPLD/LCMXO2-640HC-old/RAM2GS_LCMXO2_640HC_tcr.dir/pn211010064144.tcr diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/_math_real.vhd b/CPLD/LCMXO2-640HC-old/_math_real.vhd similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/_math_real.vhd rename to CPLD/LCMXO2-640HC-old/_math_real.vhd diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/generate_core.tcl b/CPLD/LCMXO2-640HC-old/generate_core.tcl similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/generate_core.tcl rename to CPLD/LCMXO2-640HC-old/generate_core.tcl diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/generate_ngd.tcl b/CPLD/LCMXO2-640HC-old/generate_ngd.tcl similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/generate_ngd.tcl rename to CPLD/LCMXO2-640HC-old/generate_ngd.tcl diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.build_status b/CPLD/LCMXO2-640HC-old/impl1/.build_status similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.build_status rename to CPLD/LCMXO2-640HC-old/impl1/.build_status diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb b/CPLD/LCMXO2-640HC-old/impl1/.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb rename to CPLD/LCMXO2-640HC-old/impl1/.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_rtl.vdb b/CPLD/LCMXO2-640HC-old/impl1/.vdbs/RAM2GS_rtl.vdb similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_rtl.vdb rename to CPLD/LCMXO2-640HC-old/impl1/.vdbs/RAM2GS_rtl.vdb diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_tech.vdb b/CPLD/LCMXO2-640HC-old/impl1/.vdbs/RAM2GS_tech.vdb similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_tech.vdb rename to CPLD/LCMXO2-640HC-old/impl1/.vdbs/RAM2GS_tech.vdb diff --git a/CPLD-old/LCMXO/LCMXO256C/impl1/.vdbs/dbStat.txt b/CPLD/LCMXO2-640HC-old/impl1/.vdbs/dbStat.txt similarity index 100% rename from CPLD-old/LCMXO/LCMXO256C/impl1/.vdbs/dbStat.txt rename to CPLD/LCMXO2-640HC-old/impl1/.vdbs/dbStat.txt diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs b/CPLD/LCMXO2-640HC-old/impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs rename to CPLD/LCMXO2-640HC-old/impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.alt b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.alt similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.alt rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.alt diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.arearep b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.arearep similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.arearep rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.arearep diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bgn b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.bgn similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bgn rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.bgn diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bit b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.bit similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bit rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.bit diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.drc b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.drc similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.drc rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.drc diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.jed b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.jed similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.jed rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.jed diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.log b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.log similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.log rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.log diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mt b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.mt similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mt rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.mt diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ncd b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.ncd similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ncd rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.ncd diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ngd b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.ngd similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ngd rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.ngd diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.p2t b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.p2t similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.p2t rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.p2t diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.p3t b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.p3t similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.p3t rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.p3t diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.pad b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.pad similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.pad rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.pad diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.par b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.par similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.par rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.par diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.prf b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.prf similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.prf rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.prf diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.pt b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.pt similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.pt rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.pt diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.t2b b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.t2b similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.t2b rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.t2b diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.twr b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.twr similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.twr rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1.twr diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_drc.log b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_drc.log similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_drc.log rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_drc.log diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_lse.twr similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_lse.twr diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_lse_lsetwr.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_lse_lsetwr.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v b/CPLD/LCMXO2-640HC-old/impl1/RAM2GS_prim.v similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v rename to CPLD/LCMXO2-640HC-old/impl1/RAM2GS_prim.v diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/automake.log b/CPLD/LCMXO2-640HC-old/impl1/automake.log similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/automake.log rename to CPLD/LCMXO2-640HC-old/impl1/automake.log diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO2-640HC-old/impl1/hdla_gen_hierarchy.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html rename to CPLD/LCMXO2-640HC-old/impl1/hdla_gen_hierarchy.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1.ior b/CPLD/LCMXO2-640HC-old/impl1/ram2gs_lcmxo2_640hc_impl1.ior similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1.ior rename to CPLD/LCMXO2-640HC-old/impl1/ram2gs_lcmxo2_640hc_impl1.ior diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd b/CPLD/LCMXO2-640HC-old/impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd rename to CPLD/LCMXO2-640HC-old/impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/synthesis.log b/CPLD/LCMXO2-640HC-old/impl1/synthesis.log similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/synthesis.log rename to CPLD/LCMXO2-640HC-old/impl1/synthesis.log diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/synthesis_lse.html b/CPLD/LCMXO2-640HC-old/impl1/synthesis_lse.html similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/synthesis_lse.html rename to CPLD/LCMXO2-640HC-old/impl1/synthesis_lse.html diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_cp_file_list b/CPLD/LCMXO2-640HC-old/impl1/xxx_lse_cp_file_list similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_cp_file_list rename to CPLD/LCMXO2-640HC-old/impl1/xxx_lse_cp_file_list diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_sign_file b/CPLD/LCMXO2-640HC-old/impl1/xxx_lse_sign_file similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_sign_file rename to CPLD/LCMXO2-640HC-old/impl1/xxx_lse_sign_file diff --git a/CPLD-old/LCMXO2/LCMXO2-640HC/msg_file.log b/CPLD/LCMXO2-640HC-old/msg_file.log similarity index 100% rename from CPLD-old/LCMXO2/LCMXO2-640HC/msg_file.log rename to CPLD/LCMXO2-640HC-old/msg_file.log diff --git a/CPLD/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn230815052238.tcr b/CPLD/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn230815052238.tcr new file mode 100644 index 0000000..96b7d9d --- /dev/null +++ b/CPLD/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn230815052238.tcr @@ -0,0 +1,5 @@ +#Start recording tcl command: 8/15/2023 05:22:13 +#Project Location: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C; Project name: RAM2GS_LCMXO256C +prj_project open "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/RAM2GS_LCMXO256C.ldf" +prj_run Export -impl impl1 +#Stop recording: 8/15/2023 05:22:38 diff --git a/CPLD/LCMXO256C/impl1/.build_status b/CPLD/LCMXO256C/impl1/.build_status index 67a7f04..1a3ead0 100644 --- a/CPLD/LCMXO256C/impl1/.build_status +++ b/CPLD/LCMXO256C/impl1/.build_status @@ -5,23 +5,23 @@ - + - - - - - - + + + + + + - - - - + + + + - - - + + + @@ -30,20 +30,20 @@ - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO256C/impl1/.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb b/CPLD/LCMXO256C/impl1/.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb index b00bbf803d286f2dcabcdfbfc7b8f556ba8e5ca1..5d924f772adf7f55da08bd9dfe335cd15e21e7b6 100644 GIT binary patch delta 270 zcmccriuwL4W)ZaZB0Fska7(txLI~db| z)Mf>ynT#NMH*+$Go~*#S9Yoz^tp-tHY{?*MH(Mfzl4FkmQ5Eb-AnFeLJ|MMu4#!%s z0p477Y#{z=0SN6aZXpFy)@s-b5*9J~$qJ(REx5t<$XLdLWUC?6EeK_4l?hU_#EO{- uB)QTi0mS9D)dibu<$MIBb&@Mc(d2B`J`nZW737V{$!=9ZYV&=!hIIgMvSbSY delta 270 zcmccriuwL4W)ZaiUoPgY>v4x(Vi$Say|mmI>{BJXmYk|ABg(x3i8I}WVb3HwfVkV!#V(PHdFZk diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.alt b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.alt index deaaf52..c9fc183 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.alt +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.alt @@ -1,6 +1,6 @@ NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * NOTE All Rights Reserved * -NOTE DATE CREATED: Tue Aug 15 05:03:33 2023 * +NOTE DATE CREATED: Tue Aug 15 05:22:31 2023 * NOTE DESIGN NAME: RAM2GS * NOTE DEVICE NAME: LCMXO256C-3TQFP100 * NOTE PIN ASSIGNMENTS * diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.bgn b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.bgn index 63c7186..4ffdded 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.bgn +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.bgn @@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:33 2023 +Tue Aug 15 05:22:31 2023 Command: bitgen -w -g ES:No -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.bit b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.bit index 6a513fb480c97f9745ce69179aeb62a9f62bf5e7..8694a4c7dbf0f9c3a84b245ca0ae59eb0404587c 100644 GIT binary patch delta 16 XcmeD5==7LyjMd1<%Ghw@X**>AG(`p7 delta 16 XcmeD5==7LyjMc!{%Gh}0X**>AG(`p8 diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd index 113a28f9c6cae770047794648cfe230b6cdbc83c..50d19f6b3214d5d594ea97fb943a38eef6b3ac7e 100644 GIT binary patch delta 42 ycmezIne)eI&IzJS&gV9YYfG|KD~LrW1pw44~g+1w@B-X+Pny-SiQF985qlMZ+Q delta 42 ycmezIne)eI&IzJS_7NM!wI$hVG$i`<^(O~PT22h$Z0?e5?~-KP-X+PDmjD1&%np43 diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad index 4582186..f23dc0b 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad @@ -6,7 +6,7 @@ Performance Grade: 3 PACKAGE: TQFP100 Package Status: Final Version 1.19 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:28 2023 Pinout by Port Name: +-----------+----------+---------------+------+------------------------------+ @@ -267,5 +267,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:28 2023 diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par index 7c17e3d..65d9d69 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par @@ -1,6 +1,6 @@ Lattice Place and Route Report for Design "RAM2GS_LCMXO256C_impl1_map.ncd" -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:24 2023 PAR: Place And Route Diamond (64-bit) 3.12.1.454. Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir/5_1.ncd RAM2GS_LCMXO256C_impl1.prf @@ -51,12 +51,12 @@ Finished Placer Phase 0. REAL time: 0 secs Starting Placer Phase 1. .............. Placer score = 831129. -Finished Placer Phase 1. REAL time: 5 secs +Finished Placer Phase 1. REAL time: 4 secs Starting Placer Phase 2. . Placer score = 828350 -Finished Placer Phase 2. REAL time: 5 secs +Finished Placer Phase 2. REAL time: 4 secs ------------------ Clock Report ------------------ @@ -90,7 +90,7 @@ I/O Bank Usage Summary: | 1 | 31 / 37 ( 83%) | 3.3V | - | - | +----------+----------------+------------+------------+------------+ -Total placer CPU time: 4 secs +Total placer CPU time: 3 secs Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. @@ -102,9 +102,9 @@ WARNING - par: The driver of secondary clock net nCRAS_c is not placed on one of WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=7 clock_loads=4 -Completed router resource preassignment. Real time: 5 secs +Completed router resource preassignment. Real time: 4 secs -Start NBR router at 05:03:28 08/15/23 +Start NBR router at 05:22:28 08/15/23 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -119,68 +119,68 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 05:03:28 08/15/23 +Start NBR special constraint process at 05:22:28 08/15/23 -Start NBR section for initial routing at 05:03:28 08/15/23 +Start NBR section for initial routing at 05:22:28 08/15/23 Level 1, iteration 1 0(0.00%) conflict; 563(85.05%) untouched conns; 712361 (nbr) score; -Estimated worst slack/total negative slack: -9.968ns/-712.361ns; real time: 5 secs +Estimated worst slack/total negative slack: -9.968ns/-712.361ns; real time: 4 secs Level 2, iteration 1 3(0.02%) conflicts; 494(74.62%) untouched conns; 697746 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-697.746ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-697.746ns; real time: 4 secs Level 3, iteration 1 6(0.05%) conflicts; 255(38.52%) untouched conns; 756550 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-756.550ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-756.550ns; real time: 4 secs Level 4, iteration 1 17(0.14%) conflicts; 0(0.00%) untouched conn; 761255 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-761.256ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-761.256ns; real time: 4 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:28 08/15/23 +Start NBR section for normal routing at 05:22:28 08/15/23 Level 4, iteration 1 12(0.10%) conflicts; 0(0.00%) untouched conn; 765605 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-765.606ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-765.606ns; real time: 4 secs Level 4, iteration 2 6(0.05%) conflicts; 0(0.00%) untouched conn; 766423 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-766.424ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-766.424ns; real time: 4 secs Level 4, iteration 3 3(0.02%) conflicts; 0(0.00%) untouched conn; 769148 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 4 secs Level 4, iteration 4 3(0.02%) conflicts; 0(0.00%) untouched conn; 769148 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 4 secs Level 4, iteration 5 3(0.02%) conflicts; 0(0.00%) untouched conn; 766523 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 4 secs Level 4, iteration 6 1(0.01%) conflict; 0(0.00%) untouched conn; 766523 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 4 secs Level 4, iteration 7 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 8 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 9 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 10 0(0.00%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs -Start NBR section for performance tuning (iteration 1) at 05:03:29 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:28 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs -Start NBR section for re-routing at 05:03:29 08/15/23 +Start NBR section for re-routing at 05:22:28 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 768048 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-768.049ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-768.049ns; real time: 4 secs -Start NBR section for post-routing at 05:03:29 08/15/23 +Start NBR section for post-routing at 05:22:28 08/15/23 End NBR router with 0 unrouted connection @@ -198,8 +198,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=7 clock_loads=4 -Total CPU time 5 secs -Total REAL time: 6 secs +Total CPU time 4 secs +Total REAL time: 5 secs Completely routed. End of route. 662 routed (100.00%); 0 unrouted. @@ -221,8 +221,8 @@ PAR_SUMMARY::Worst slack> = 0.273 PAR_SUMMARY::Timing score> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 5 secs -Total REAL time to completion: 6 secs +Total CPU time to completion: 4 secs +Total REAL time to completion: 5 secs par done! diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par index 1b6d169..78695b5 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par @@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:24 2023 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO256C_impl1.p2t RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir @@ -17,11 +17,11 @@ Preference file: RAM2GS_LCMXO256C_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 -10.044 913247 0.273 0 06 Completed +5_1 * 0 -10.044 913247 0.273 0 05 Completed * : Design saved. -Total (real) run time for 1-seed: 6 secs +Total (real) run time for 1-seed: 5 secs par done! diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed index 0472a9a..d3df95a 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed @@ -6,7 +6,7 @@ NOTE Readback: Off* NOTE Security: Off* NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * NOTE All Rights Reserved * -NOTE DATE CREATED: Tue Aug 15 05:03:33 2023 * +NOTE DATE CREATED: Tue Aug 15 05:22:31 2023 * NOTE DESIGN NAME: RAM2GS * NOTE DEVICE NAME: LCMXO256C-3TQFP100 * NOTE PIN ASSIGNMENTS * @@ -974,4 +974,4 @@ L00000 C8A2C* N User Electronic Signature Data* U00000000000000000000000000000000* -203C +203B diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp index 348c341..6359386 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp @@ -17,7 +17,7 @@ Target Vendor: LATTICE Target Device: LCMXO256CTQFP100 Target Performance: 3 Mapper: mj5g00, version: Diamond (64-bit) 3.12.1.454 -Mapped on: 08/15/23 05:03:20 +Mapped on: 08/15/23 05:22:22 Design Summary -------------- @@ -66,7 +66,7 @@ Design Summary -Design: RAM2GS Date: 08/15/23 05:03:20 +Design: RAM2GS Date: 08/15/23 05:22:22 Design Summary (cont) --------------------- @@ -132,7 +132,7 @@ IO (PIO) Attributes -Design: RAM2GS Date: 08/15/23 05:03:20 +Design: RAM2GS Date: 08/15/23 05:22:22 IO (PIO) Attributes (cont) -------------------------- @@ -198,7 +198,7 @@ IO (PIO) Attributes (cont) -Design: RAM2GS Date: 08/15/23 05:03:20 +Design: RAM2GS Date: 08/15/23 05:22:22 IO (PIO) Attributes (cont) -------------------------- @@ -264,7 +264,7 @@ IO (PIO) Attributes (cont) -Design: RAM2GS Date: 08/15/23 05:03:20 +Design: RAM2GS Date: 08/15/23 05:22:22 IO (PIO) Attributes (cont) -------------------------- diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ncd b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ncd index 113a28f9c6cae770047794648cfe230b6cdbc83c..50d19f6b3214d5d594ea97fb943a38eef6b3ac7e 100644 GIT binary patch delta 42 ycmezIne)eI&IzJS&gV9YYfG|KD~LrW1pw44~g+1w@B-X+Pny-SiQF985qlMZ+Q delta 42 ycmezIne)eI&IzJS_7NM!wI$hVG$i`<^(O~PT22h$Z0?e5?~-KP-X+PDmjD1&%np43 diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad index 4582186..f23dc0b 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad @@ -6,7 +6,7 @@ Performance Grade: 3 PACKAGE: TQFP100 Package Status: Final Version 1.19 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:28 2023 Pinout by Port Name: +-----------+----------+---------------+------+------------------------------+ @@ -267,5 +267,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:28 2023 diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par index e40d29a..1416167 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par @@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:24 2023 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO256C_impl1.p2t RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir @@ -17,18 +17,18 @@ Preference file: RAM2GS_LCMXO256C_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 -10.044 913247 0.273 0 06 Completed +5_1 * 0 -10.044 913247 0.273 0 05 Completed * : Design saved. -Total (real) run time for 1-seed: 6 secs +Total (real) run time for 1-seed: 5 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2GS_LCMXO256C_impl1_map.ncd" -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:24 2023 PAR: Place And Route Diamond (64-bit) 3.12.1.454. Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir/5_1.ncd RAM2GS_LCMXO256C_impl1.prf @@ -79,12 +79,12 @@ Finished Placer Phase 0. REAL time: 0 secs Starting Placer Phase 1. .............. Placer score = 831129. -Finished Placer Phase 1. REAL time: 5 secs +Finished Placer Phase 1. REAL time: 4 secs Starting Placer Phase 2. . Placer score = 828350 -Finished Placer Phase 2. REAL time: 5 secs +Finished Placer Phase 2. REAL time: 4 secs ------------------ Clock Report ------------------ @@ -118,7 +118,7 @@ I/O Bank Usage Summary: | 1 | 31 / 37 ( 83%) | 3.3V | - | - | +----------+----------------+------------+------------+------------+ -Total placer CPU time: 4 secs +Total placer CPU time: 3 secs Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. @@ -130,9 +130,9 @@ WARNING - par: The driver of secondary clock net nCRAS_c is not placed on one of WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=7 clock_loads=4 -Completed router resource preassignment. Real time: 5 secs +Completed router resource preassignment. Real time: 4 secs -Start NBR router at 05:03:28 08/15/23 +Start NBR router at 05:22:28 08/15/23 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -147,68 +147,68 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 05:03:28 08/15/23 +Start NBR special constraint process at 05:22:28 08/15/23 -Start NBR section for initial routing at 05:03:28 08/15/23 +Start NBR section for initial routing at 05:22:28 08/15/23 Level 1, iteration 1 0(0.00%) conflict; 563(85.05%) untouched conns; 712361 (nbr) score; -Estimated worst slack/total negative slack: -9.968ns/-712.361ns; real time: 5 secs +Estimated worst slack/total negative slack: -9.968ns/-712.361ns; real time: 4 secs Level 2, iteration 1 3(0.02%) conflicts; 494(74.62%) untouched conns; 697746 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-697.746ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-697.746ns; real time: 4 secs Level 3, iteration 1 6(0.05%) conflicts; 255(38.52%) untouched conns; 756550 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-756.550ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-756.550ns; real time: 4 secs Level 4, iteration 1 17(0.14%) conflicts; 0(0.00%) untouched conn; 761255 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-761.256ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-761.256ns; real time: 4 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:28 08/15/23 +Start NBR section for normal routing at 05:22:28 08/15/23 Level 4, iteration 1 12(0.10%) conflicts; 0(0.00%) untouched conn; 765605 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-765.606ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-765.606ns; real time: 4 secs Level 4, iteration 2 6(0.05%) conflicts; 0(0.00%) untouched conn; 766423 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-766.424ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-766.424ns; real time: 4 secs Level 4, iteration 3 3(0.02%) conflicts; 0(0.00%) untouched conn; 769148 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 4 secs Level 4, iteration 4 3(0.02%) conflicts; 0(0.00%) untouched conn; 769148 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 4 secs Level 4, iteration 5 3(0.02%) conflicts; 0(0.00%) untouched conn; 766523 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 4 secs Level 4, iteration 6 1(0.01%) conflict; 0(0.00%) untouched conn; 766523 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 4 secs Level 4, iteration 7 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 8 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 9 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 10 0(0.00%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs -Start NBR section for performance tuning (iteration 1) at 05:03:29 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:28 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs -Start NBR section for re-routing at 05:03:29 08/15/23 +Start NBR section for re-routing at 05:22:28 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 768048 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-768.049ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-768.049ns; real time: 4 secs -Start NBR section for post-routing at 05:03:29 08/15/23 +Start NBR section for post-routing at 05:22:28 08/15/23 End NBR router with 0 unrouted connection @@ -226,8 +226,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=7 clock_loads=4 -Total CPU time 5 secs -Total REAL time: 6 secs +Total CPU time 4 secs +Total REAL time: 5 secs Completely routed. End of route. 662 routed (100.00%); 0 unrouted. @@ -249,8 +249,8 @@ PAR_SUMMARY::Worst slack> = 0.273 PAR_SUMMARY::Timing score> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 5 secs -Total REAL time to completion: 6 secs +Total CPU time to completion: 4 secs +Total REAL time to completion: 5 secs par done! diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf index 881ee9b..c16502f 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf @@ -1,5 +1,5 @@ SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:03:20 2023 +# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:22:22 2023 SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; LOCATE COMP "RD[7]" SITE "71" ; diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 index 2cd86e2..58cbf13 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 @@ -13,7 +13,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:21 2023 +Tue Aug 15 05:22:23 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -192,7 +192,7 @@ Constraints cover 489 paths, 2 nets, and 407 connections (61.48% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:21 2023 +Tue Aug 15 05:22:23 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr index 5fca6c6..9e2cf28 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr @@ -13,7 +13,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:29 2023 +Tue Aug 15 05:22:29 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1158,7 +1158,7 @@ Constraints cover 489 paths, 2 nets, and 414 connections (62.54% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:29 2023 +Tue Aug 15 05:22:29 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html index 6117b69..6b01363 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html @@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:33 2023 +Tue Aug 15 05:22:31 2023 Command: bitgen -w -g ES:No -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html index e3b9e75..ed7d0f2 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html @@ -38,7 +38,7 @@ Performance Hardware Data Status: Version 1.124. // Package: TQFP100 // ncd File: ram2gs_lcmxo256c_impl1.ncd // Version: Diamond (64-bit) 3.12.1.454 -// Written on Tue Aug 15 05:03:30 2023 +// Written on Tue Aug 15 05:22:30 2023 // M: Minimum Performance Grade // iotiming RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/promote.xml diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam index 91ebb0b..f54188e 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam @@ -25,7 +25,7 @@ FS_610_add_4_8/CO0 [ END CLIPPED ] [ START DESIGN PREFS ] SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:03:20 2023 +# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:22:22 2023 SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; LOCATE COMP "RD[7]" SITE "71" ; diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.ncd b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.ncd index 0a2beec11840943a318528230a92a464a40118f8..fafeb3b72edf84b3a8c6666d1e3459a8716d013a 100644 GIT binary patch delta 38 ucmZoU#@2F-ZGtG1^SO=U+LCP5RR+xZ`jZ1CEhh$WHg`#G?~-J!>I4A$iVT$i delta 38 ucmZoU#@2F-ZGtG1eZ)p_ZArEoJ&WV|`jZ1CEhh$WHg`#G?~-J!>I4AyGz^>o diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvho.sdf b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvho.sdf index 92c0943..50660db 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvho.sdf +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvho.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "RAM2GS") - (DATE "Tue Aug 15 05:03:23 2023") + (DATE "Tue Aug 15 05:22:24 2023") (VENDOR "Lattice") (PROGRAM "ldbanno") (VERSION "Diamond (64-bit) 3.12.1.454") diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvho.vho b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvho.vho index ab79c11..3b937df 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvho.vho +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvho.vho @@ -2,8 +2,8 @@ -- VHDL netlist produced by program ldbanno, Version Diamond (64-bit) 3.12.1.454 -- ldbanno -n VHDL -o RAM2GS_LCMXO256C_impl1_mapvho.vho -w -neg -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd --- Netlist created on Tue Aug 15 05:03:20 2023 --- Netlist written on Tue Aug 15 05:03:23 2023 +-- Netlist created on Tue Aug 15 05:22:22 2023 +-- Netlist written on Tue Aug 15 05:22:24 2023 -- Design is for device LCMXO256C -- Design is for package TQFP100 -- Design is for performance grade 3 diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvo.sdf b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvo.sdf index 834db9e..abfbace 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvo.sdf +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvo.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "RAM2GS") - (DATE "Tue Aug 15 05:03:22 2023") + (DATE "Tue Aug 15 05:22:23 2023") (VENDOR "Lattice") (PROGRAM "ldbanno") (VERSION "Diamond (64-bit) 3.12.1.454") diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvo.vo b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvo.vo index d68e04c..4211e84 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvo.vo +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapvo.vo @@ -2,8 +2,8 @@ // Verilog netlist produced by program ldbanno, Version Diamond (64-bit) 3.12.1.454 // ldbanno -n Verilog -o RAM2GS_LCMXO256C_impl1_mapvo.vo -w -neg -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd -// Netlist created on Tue Aug 15 05:03:20 2023 -// Netlist written on Tue Aug 15 05:03:22 2023 +// Netlist created on Tue Aug 15 05:22:22 2023 +// Netlist written on Tue Aug 15 05:22:23 2023 // Design is for device LCMXO256C // Design is for package TQFP100 // Design is for performance grade 3 diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html index 56f2c31..da1f8bc 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html @@ -25,7 +25,7 @@ Target Vendor: LATTICE Target Device: LCMXO256CTQFP100 Target Performance: 3 Mapper: mj5g00, version: Diamond (64-bit) 3.12.1.454 -Mapped on: 08/15/23 05:03:20 +Mapped on: 08/15/23 05:22:22 Design Summary diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html index e31d039..a80e633 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html @@ -14,7 +14,7 @@ Performance Grade: 3 PACKAGE: TQFP100 Package Status: Final Version 1.19 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:28 2023 Pinout by Port Name: +-----------+----------+---------------+------+------------------------------+ @@ -276,7 +276,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:28 2023 diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html index f78605b..ef212c8 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html @@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:24 2023 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO256C_impl1.p2t RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir @@ -26,17 +26,17 @@ Preference file: RAM2GS_LCMXO256C_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 -10.044 913247 0.273 0 06 Completed +5_1 * 0 -10.044 913247 0.273 0 05 Completed * : Design saved. -Total (real) run time for 1-seed: 6 secs +Total (real) run time for 1-seed: 5 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2GS_LCMXO256C_impl1_map.ncd" -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:24 2023 Best Par Run @@ -90,12 +90,12 @@ Finished Placer Phase 0. REAL time: 0 secs Starting Placer Phase 1. .............. Placer score = 831129. -Finished Placer Phase 1. REAL time: 5 secs +Finished Placer Phase 1. REAL time: 4 secs Starting Placer Phase 2. . Placer score = 828350 -Finished Placer Phase 2. REAL time: 5 secs +Finished Placer Phase 2. REAL time: 4 secs @@ -130,7 +130,7 @@ I/O Bank Usage Summary: | 1 | 31 / 37 ( 83%) | 3.3V | - | - | +----------+----------------+------------+------------+------------+ -Total placer CPU time: 4 secs +Total placer CPU time: 3 secs Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. @@ -142,9 +142,9 @@ WARNING - par: The driver of secondary clock net nCRAS_c is not placed on one of WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=7 clock_loads=4 -Completed router resource preassignment. Real time: 5 secs +Completed router resource preassignment. Real time: 4 secs -Start NBR router at 05:03:28 08/15/23 +Start NBR router at 05:22:28 08/15/23 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -159,68 +159,68 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 05:03:28 08/15/23 +Start NBR special constraint process at 05:22:28 08/15/23 -Start NBR section for initial routing at 05:03:28 08/15/23 +Start NBR section for initial routing at 05:22:28 08/15/23 Level 1, iteration 1 0(0.00%) conflict; 563(85.05%) untouched conns; 712361 (nbr) score; -Estimated worst slack/total negative slack<setup>: -9.968ns/-712.361ns; real time: 5 secs +Estimated worst slack/total negative slack<setup>: -9.968ns/-712.361ns; real time: 4 secs Level 2, iteration 1 3(0.02%) conflicts; 494(74.62%) untouched conns; 697746 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-697.746ns; real time: 5 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-697.746ns; real time: 4 secs Level 3, iteration 1 6(0.05%) conflicts; 255(38.52%) untouched conns; 756550 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-756.550ns; real time: 5 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-756.550ns; real time: 4 secs Level 4, iteration 1 17(0.14%) conflicts; 0(0.00%) untouched conn; 761255 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-761.256ns; real time: 5 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-761.256ns; real time: 4 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:28 08/15/23 +Start NBR section for normal routing at 05:22:28 08/15/23 Level 4, iteration 1 12(0.10%) conflicts; 0(0.00%) untouched conn; 765605 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-765.606ns; real time: 5 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-765.606ns; real time: 4 secs Level 4, iteration 2 6(0.05%) conflicts; 0(0.00%) untouched conn; 766423 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-766.424ns; real time: 5 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-766.424ns; real time: 4 secs Level 4, iteration 3 3(0.02%) conflicts; 0(0.00%) untouched conn; 769148 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-769.149ns; real time: 5 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-769.149ns; real time: 4 secs Level 4, iteration 4 3(0.02%) conflicts; 0(0.00%) untouched conn; 769148 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-769.149ns; real time: 5 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-769.149ns; real time: 4 secs Level 4, iteration 5 3(0.02%) conflicts; 0(0.00%) untouched conn; 766523 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-766.524ns; real time: 5 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-766.524ns; real time: 4 secs Level 4, iteration 6 1(0.01%) conflict; 0(0.00%) untouched conn; 766523 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-766.524ns; real time: 5 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-766.524ns; real time: 4 secs Level 4, iteration 7 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 8 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 9 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 10 0(0.00%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-772.930ns; real time: 4 secs -Start NBR section for performance tuning (iteration 1) at 05:03:29 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:28 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-772.930ns; real time: 4 secs -Start NBR section for re-routing at 05:03:29 08/15/23 +Start NBR section for re-routing at 05:22:28 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 768048 (nbr) score; -Estimated worst slack/total negative slack<setup>: -10.044ns/-768.049ns; real time: 6 secs +Estimated worst slack/total negative slack<setup>: -10.044ns/-768.049ns; real time: 4 secs -Start NBR section for post-routing at 05:03:29 08/15/23 +Start NBR section for post-routing at 05:22:28 08/15/23 End NBR router with 0 unrouted connection @@ -238,8 +238,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=7 clock_loads=4 -Total CPU time 5 secs -Total REAL time: 6 secs +Total CPU time 4 secs +Total REAL time: 5 secs Completely routed. End of route. 662 routed (100.00%); 0 unrouted. @@ -261,8 +261,8 @@ PAR_SUMMARY::Worst slack<hold /<ns>> = 0.273 PAR_SUMMARY::Timing score<hold /<ns>> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 5 secs -Total REAL time to completion: 6 secs +Total CPU time to completion: 4 secs +Total REAL time to completion: 5 secs par done! diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html index 3f88aa1..1c3f206 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html @@ -62,7 +62,7 @@ Updated: -2023/08/15 05:03:37 +2023/08/15 05:22:34 Implementation Location: diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html index 40eaf8f..913ef1a 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:21 2023 +Tue Aug 15 05:22:23 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -211,7 +211,7 @@ Constraints cover 489 paths, 2 nets, and 407 connections (61.48% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:21 2023 +Tue Aug 15 05:22:23 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html index d7e5a9f..db2edb1 100644 --- a/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html +++ b/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:29 2023 +Tue Aug 15 05:22:29 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1177,7 +1177,7 @@ Constraints cover 489 paths, 2 nets, and 414 connections (62.54% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:29 2023 +Tue Aug 15 05:22:29 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO256C/impl1/automake.log b/CPLD/LCMXO256C/impl1/automake.log index 0229264..6f30e7a 100644 --- a/CPLD/LCMXO256C/impl1/automake.log +++ b/CPLD/LCMXO256C/impl1/automake.log @@ -1,255 +1,4 @@ -synthesis -f "RAM2GS_LCMXO256C_impl1_lattice.synproj" -synthesis: version Diamond (64-bit) 3.12.1.454 - -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. -Copyright (c) 1995 AT&T Corp. All rights reserved. -Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. -Copyright (c) 2001 Agere Systems All rights reserved. -Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:20 2023 - - -Command Line: synthesis -f RAM2GS_LCMXO256C_impl1_lattice.synproj -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/promote.xml - - -Synthesis options: -The -a option is MachXO. -The -s option is 3. -The -t option is TQFP100. -The -d option is LCMXO256C. -Using package TQFP100. -Using performance grade 3. - - -########################################################## - -### Lattice Family : MachXO - -### Device : LCMXO256C - -### Package : TQFP100 - -### Speed : 3 - -########################################################## - - - - -Optimization goal = Balanced -Top-level module name = RAM2GS. -Target frequency = 200.000000 MHz. -Maximum fanout = 1000. -Timing path count = 3 -BRAM utilization = 100.000000 % -DSP usage = true -DSP utilization = 100.000000 % -fsm_encoding_style = auto -resolve_mixed_drivers = 0 -fix_gated_clocks = 1 - -Mux style = Auto -Use Carry Chain = true -carry_chain_length = 0 -Loop Limit = 1950. -Use IO Insertion = TRUE -Use IO Reg = AUTO - -Resource Sharing = TRUE -Propagate Constants = TRUE -Remove Duplicate Registers = TRUE -force_gsr = auto -ROM style = auto -RAM style = auto -The -comp option is FALSE. -The -syn option is FALSE. --p D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C (searchpath added) --p C:/lscc/diamond/3.12/ispfpga/mj5g00/data (searchpath added) --p D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/impl1 (searchpath added) --p D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C (searchpath added) -Verilog design file = D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-SPI.v -NGD file = RAM2GS_LCMXO256C_impl1.ngd --sdc option: SDC file input not used. --lpf option: Output file option is ON. -Hardtimer checking is enabled (default). The -dt option is not used. -The -r option is OFF. [ Remove LOC Properties is OFF. ] -Technology check ok... - -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482 -Compile design. -Compile Design Begin -Analyzing Verilog file d:/onedrive/documents/github/ram2gs/cpld/ram2gs-spi.v. VERI-1482 -Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482 -Top module name (Verilog): RAM2GS - - - - -Last elaborated design is RAM2GS() -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... -Loading device for application map from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. -Package Status: Final Version 1.19. -Top-level module name = RAM2GS. - -original encoding -> new encoding (one-hot encoding) - - 0000 -> 0000000000000001 - - 0001 -> 0000000000000010 - - 0010 -> 0000000000000100 - - 0011 -> 0000000000001000 - - 0100 -> 0000000000010000 - - 0101 -> 0000000000100000 - - 0110 -> 0000000001000000 - - 0111 -> 0000000010000000 - - 1000 -> 0000000100000000 - - 1001 -> 0000001000000000 - - 1010 -> 0000010000000000 - - 1011 -> 0000100000000000 - - 1100 -> 0001000000000000 - - 1101 -> 0010000000000000 - - 1110 -> 0100000000000000 - - 1111 -> 1000000000000000 - - -original encoding -> new encoding (one-hot encoding) - - 00 -> 0001 - - 01 -> 0010 - - 10 -> 0100 - - 11 -> 1000 - - - - -GSR will not be inferred because no asynchronous signal was found in the netlist. - -Applying 200.000000 MHz constraint to all clocks - - -Results of NGD DRC are available in RAM2GS_drc.log. -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... -Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... - - -Running DRC... - -DRC complete with no errors or warnings - -Design Results: - 318 blocks expanded -completed the first expansion -All blocks are expanded and NGD expansion is successful. -Writing NGD file RAM2GS_LCMXO256C_impl1.ngd. - -################### Begin Area Report (RAM2GS)###################### -Number of register bits => 102 of 490 (20 % ) -BB => 8 -CCU2 => 9 -FD1P3AX => 28 -FD1P3AY => 3 -FD1P3IX => 2 -FD1S3AX => 47 -FD1S3AY => 1 -FD1S3IX => 16 -FD1S3JX => 5 -GSR => 1 -IB => 26 -INV => 3 -OB => 33 -ORCALUT4 => 127 -PFUMX => 6 -################### End Area Report ################## - -################### Begin BlackBox Report ###################### -TSALL => 1 -################### End BlackBox Report ################## - -################### Begin Clock Report ###################### -Clock Nets -Number of Clocks: 4 - Net : RCLK_c, loads : 62 - Net : PHI2_c, loads : 11 - Net : nCCAS_c, loads : 2 - Net : nCRAS_c, loads : 2 -Clock Enable Nets -Number of Clock Enables: 13 -Top 10 highest fanout Clock Enables: - Net : RCLK_c_enable_23, loads : 16 - Net : RCLK_c_enable_4, loads : 3 - Net : PHI2_N_120_enable_6, loads : 3 - Net : RCLK_c_enable_24, loads : 2 - Net : RCLK_c_enable_12, loads : 1 - Net : PHI2_N_120_enable_1, loads : 1 - Net : PHI2_N_120_enable_4, loads : 1 - Net : RCLK_c_enable_3, loads : 1 - Net : PHI2_N_120_enable_5, loads : 1 - Net : RCLK_c_enable_11, loads : 1 -Highest fanout non-clock nets -Top 10 highest fanout non-clock nets: - Net : InitReady, loads : 17 - Net : Ready, loads : 17 - Net : RCLK_c_enable_23, loads : 16 - Net : nCRAS_N_9, loads : 15 - Net : RASr2, loads : 13 - Net : nRowColSel, loads : 13 - Net : n2477, loads : 13 - Net : MAin_c_0, loads : 12 - Net : nRowColSel_N_35, loads : 12 - Net : Din_c_6, loads : 11 -################### End Clock Report ################## - -Timing Report Summary --------------- --------------------------------------------------------------------------------- -Constraint | Constraint| Actual|Levels --------------------------------------------------------------------------------- - | | | -create_clock -period 5.000000 -name | | | -clk3 [get_nets nCCAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk2 [get_nets nCRAS_c] | -| -| 0 - | | | -create_clock -period 5.000000 -name | | | -clk1 [get_nets PHI2_c] | 200.000 MHz| 45.147 MHz| 6 * - | | | -create_clock -period 5.000000 -name | | | -clk0 [get_nets RCLK_c] | 200.000 MHz| 106.792 MHz| 5 * - | | | --------------------------------------------------------------------------------- - - -2 constraints not met. - - -Peak Memory Usage: 50.672 MB - --------------------------------------------------------------- -Elapsed CPU time for LSE flow : 0.516 secs --------------------------------------------------------------- - map -a "MachXO" -p LCMXO256C -t TQFP100 -s 3 -oc Commercial "RAM2GS_LCMXO256C_impl1.ngd" -o "RAM2GS_LCMXO256C_impl1_map.ncd" -pr "RAM2GS_LCMXO256C_impl1.prf" -mp "RAM2GS_LCMXO256C_impl1.mrp" -lpf "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lpf" -lpf "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/RAM2GS_LCMXO256C.lpf" -c 0 map: version Diamond (64-bit) 3.12.1.454 @@ -407,7 +156,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:21 2023 +Tue Aug 15 05:22:23 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -441,7 +190,7 @@ Constraints cover 489 paths, 2 nets, and 407 connections (61.48% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:21 2023 +Tue Aug 15 05:22:23 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -554,7 +303,7 @@ Removing old design directory at request of -rem command line option to this pro Running par. Please wait . . . Lattice Place and Route Report for Design "RAM2GS_LCMXO256C_impl1_map.ncd" -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:24 2023 PAR: Place And Route Diamond (64-bit) 3.12.1.454. Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir/5_1.ncd RAM2GS_LCMXO256C_impl1.prf @@ -605,12 +354,12 @@ Finished Placer Phase 0. REAL time: 0 secs Starting Placer Phase 1. .............. Placer score = 831129. -Finished Placer Phase 1. REAL time: 5 secs +Finished Placer Phase 1. REAL time: 4 secs Starting Placer Phase 2. . Placer score = 828350 -Finished Placer Phase 2. REAL time: 5 secs +Finished Placer Phase 2. REAL time: 4 secs ------------------ Clock Report ------------------ @@ -644,7 +393,7 @@ I/O Bank Usage Summary: | 1 | 31 / 37 ( 83%) | 3.3V | - | - | +----------+----------------+------------+------------+------------+ -Total placer CPU time: 4 secs +Total placer CPU time: 3 secs Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. @@ -655,9 +404,9 @@ Starting router resource preassignment -Completed router resource preassignment. Real time: 5 secs +Completed router resource preassignment. Real time: 4 secs -Start NBR router at 05:03:28 08/15/23 +Start NBR router at 05:22:28 08/15/23 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -672,68 +421,68 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 05:03:28 08/15/23 +Start NBR special constraint process at 05:22:28 08/15/23 -Start NBR section for initial routing at 05:03:28 08/15/23 +Start NBR section for initial routing at 05:22:28 08/15/23 Level 1, iteration 1 0(0.00%) conflict; 563(85.05%) untouched conns; 712361 (nbr) score; -Estimated worst slack/total negative slack: -9.968ns/-712.361ns; real time: 5 secs +Estimated worst slack/total negative slack: -9.968ns/-712.361ns; real time: 4 secs Level 2, iteration 1 3(0.02%) conflicts; 494(74.62%) untouched conns; 697746 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-697.746ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-697.746ns; real time: 4 secs Level 3, iteration 1 6(0.05%) conflicts; 255(38.52%) untouched conns; 756550 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-756.550ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-756.550ns; real time: 4 secs Level 4, iteration 1 17(0.14%) conflicts; 0(0.00%) untouched conn; 761255 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-761.256ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-761.256ns; real time: 4 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:28 08/15/23 +Start NBR section for normal routing at 05:22:28 08/15/23 Level 4, iteration 1 12(0.10%) conflicts; 0(0.00%) untouched conn; 765605 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-765.606ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-765.606ns; real time: 4 secs Level 4, iteration 2 6(0.05%) conflicts; 0(0.00%) untouched conn; 766423 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-766.424ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-766.424ns; real time: 4 secs Level 4, iteration 3 3(0.02%) conflicts; 0(0.00%) untouched conn; 769148 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 4 secs Level 4, iteration 4 3(0.02%) conflicts; 0(0.00%) untouched conn; 769148 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-769.149ns; real time: 4 secs Level 4, iteration 5 3(0.02%) conflicts; 0(0.00%) untouched conn; 766523 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 4 secs Level 4, iteration 6 1(0.01%) conflict; 0(0.00%) untouched conn; 766523 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 5 secs +Estimated worst slack/total negative slack: -10.044ns/-766.524ns; real time: 4 secs Level 4, iteration 7 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 8 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 9 1(0.01%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs Level 4, iteration 10 0(0.00%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs -Start NBR section for performance tuning (iteration 1) at 05:03:29 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:28 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 772930 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-772.930ns; real time: 4 secs -Start NBR section for re-routing at 05:03:29 08/15/23 +Start NBR section for re-routing at 05:22:28 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 768048 (nbr) score; -Estimated worst slack/total negative slack: -10.044ns/-768.049ns; real time: 6 secs +Estimated worst slack/total negative slack: -10.044ns/-768.049ns; real time: 4 secs -Start NBR section for post-routing at 05:03:29 08/15/23 +Start NBR section for post-routing at 05:22:28 08/15/23 End NBR router with 0 unrouted connection @@ -750,8 +499,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored -Total CPU time 5 secs -Total REAL time: 6 secs +Total CPU time 4 secs +Total REAL time: 5 secs Completely routed. End of route. 662 routed (100.00%); 0 unrouted. @@ -770,8 +519,8 @@ PAR_SUMMARY::Worst slack> = 0.273 PAR_SUMMARY::Timing score> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 5 secs -Total REAL time to completion: 6 secs +Total CPU time to completion: 4 secs +Total REAL time to completion: 5 secs par done! @@ -808,7 +557,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:29 2023 +Tue Aug 15 05:22:29 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -842,7 +591,7 @@ Constraints cover 489 paths, 2 nets, and 414 connections (62.54% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:29 2023 +Tue Aug 15 05:22:29 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -887,7 +636,7 @@ Cumulative negative slack: 638389 (638389+0) Total CPU Time: 0 secs Total REAL Time: 0 secs -Peak Memory Usage: 31 MB +Peak Memory Usage: 30 MB iotiming "RAM2GS_LCMXO256C_impl1.ncd" "RAM2GS_LCMXO256C_impl1.prf" diff --git a/CPLD/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior b/CPLD/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior index 83e2eca..e6db8e8 100644 --- a/CPLD/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior +++ b/CPLD/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior @@ -29,7 +29,7 @@ Performance Hardware Data Status: Version 1.124. // Package: TQFP100 // ncd File: ram2gs_lcmxo256c_impl1.ncd // Version: Diamond (64-bit) 3.12.1.454 -// Written on Tue Aug 15 05:03:30 2023 +// Written on Tue Aug 15 05:22:30 2023 // M: Minimum Performance Grade // iotiming RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO256C/promote.xml diff --git a/CPLD/LCMXO640C/RAM2GS_LCMXO640C.ldf b/CPLD/LCMXO640C/RAM2GS_LCMXO640C.ldf index 20835a0..aaf6357 100644 --- a/CPLD/LCMXO640C/RAM2GS_LCMXO640C.ldf +++ b/CPLD/LCMXO640C/RAM2GS_LCMXO640C.ldf @@ -13,5 +13,5 @@ - + diff --git a/CPLD/LCMXO640C/RAM2GS_LCMXO256C1.sty b/CPLD/LCMXO640C/RAM2GS_LCMXO640C1.sty similarity index 100% rename from CPLD/LCMXO640C/RAM2GS_LCMXO256C1.sty rename to CPLD/LCMXO640C/RAM2GS_LCMXO640C1.sty diff --git a/CPLD/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn230815052236.tcr b/CPLD/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn230815052236.tcr new file mode 100644 index 0000000..cf51d68 --- /dev/null +++ b/CPLD/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn230815052236.tcr @@ -0,0 +1,5 @@ +#Start recording tcl command: 8/15/2023 05:21:29 +#Project Location: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C; Project name: RAM2GS_LCMXO640C +prj_project open "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/RAM2GS_LCMXO640C.ldf" +prj_run Export -impl impl1 +#Stop recording: 8/15/2023 05:22:36 diff --git a/CPLD/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn230815052239.tcr b/CPLD/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn230815052239.tcr new file mode 100644 index 0000000..2c943d2 --- /dev/null +++ b/CPLD/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn230815052239.tcr @@ -0,0 +1,7 @@ +#Start recording tcl command: 8/15/2023 05:22:18 +#Project Location: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C; Project name: RAM2GS_LCMXO640C +prj_project open "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/RAM2GS_LCMXO640C.ldf" +prj_run Export -impl impl1 +prj_run Export -impl impl1 +prj_run Export -impl impl1 -forceAll +#Stop recording: 8/15/2023 05:22:39 diff --git a/CPLD/LCMXO640C/impl1/.build_status b/CPLD/LCMXO640C/impl1/.build_status index a3a7abe..73ea0de 100644 --- a/CPLD/LCMXO640C/impl1/.build_status +++ b/CPLD/LCMXO640C/impl1/.build_status @@ -5,23 +5,23 @@ - + - - - - - - + + + + + + - - - - + + + + - - - + + + @@ -31,7 +31,7 @@ - + @@ -42,19 +42,19 @@ - - - - - - - - - - - - - - + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO640C/impl1/.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb b/CPLD/LCMXO640C/impl1/.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb index 085d429eac00e43d373fed4d0f5e9df9fee0e707..faf0c974f641556250b697c1ff0693890c09ba68 100644 GIT binary patch delta 270 zcmccriuwL4W)ZaZB0Fska7(txLI~db| z)Mf>ynT#NMH*+$Go~*#S9Yoz^tp-tHY{?*MH(Mfzl4FkmQ5Eb-AnFeLJ|MMu4#!%s z0p477Y#{z=0SN6aZXpFy)@s-b5*9J~$qJ(REx5t<$XLdLWUC?6EeK_4l?hU_#EO{- uB)QTi0mS9D)dibu<$MIBb&@Mc(d2B`J`nZW737V{$!=9ZYV&=!hIIh5Vq}v5 delta 270 zcmccriuwL4W)ZaiUoPgY>v4x(Vi$Say|mmI>{BJXmYk|ABg(x3i8I}WVb3HwfVkV!#V(gB2*gy diff --git a/CPLD/LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb b/CPLD/LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb index b71a278d29397168035966e95405b843027f4f00..4c69f53b6f127b93e913baba032b663155c98468 100644 GIT binary patch delta 308 zcmaEIgyqQ*77?`^d1ejXjJy|}Z>RhRi83=VwoFzO)Sm3k zq|bEGd2EwFm*)r4 zlgs=nLEMjiv0&kV)QyZFK683A2Z-*--wNj27aB4_9KgZA!Js(#p?%`!+r=$xAT^0q l>sdjxP(v|@5A=$~eJ1$?w^;Axu{;ur@&kAe@}2mALr~dl@T4jEjMbfqQa4 zyZz>F-a4=W+=6}}^P&YO@Pg>Ka`IqdKBY(yH&f{-h`ZT9?I{z87T2^91JR-O^87%0 za+zNxi2Knm7Azc)x{(pYXHIYC0MQ-!Tfuz$LPI8q12`Bs7!)Tzv`^f8ySRl7q$aUy kJu8S7YA6QrfnKqgyuS_X729@|$pYSasHz6hGEk zt4(1aY-5;l1``IgDh#F-Ymfd=@dG;CV4bvOKZJb{aoZ2UzZ-K{eb2ek&HLw`_q@+} z&N5lRh?~tW$J)B*8*Rt&GfsYCdiq| zzXVp<1~R`Y%g}GHGXjQlrEP9sG~4oX?_;7<9m@LsN({bdL#zMgfId z0TX)!%(n#*7JN!~SX1$l`hU!C2?3*>8ope4mSc-?12u7r?UD2l_Ec3Vl=!>qAdFSl z+2&Sa(mgserMf=B+um20UGW{_NPjd7_m|wIL{`WI%l9I*uP%pGVF6!PXqa1ihGAAH z2`6@N0n#t<{Ic)aUyL8eYQIS~rUM_dFTLM{v9)e6^vmS_SC5pS+$$DpPbuYdq>Sot zfv$$2ukLTk|6g1sOf5f0u3XH3NV~wBEA$eF0h-WY%qvT&4dUVYEc^XY6RyPs++N{^ z%_|>K+D#3%OmR1vFK9@U8HNEa$yf zyQvaMgM~&#UHELKE-+GyVIq?v@jTO&f!kcZ(riP^C5RzNKw+$Zr$+VSLdgl5(tHXd_dQMa7k@V+GOR9}-Z{WD; z-h+fv`jdEKM~GZFyYq!o_AIo&bC<4Z^Q3-wZ1*L;D(Qd|dt!W+;jHDZy-&5Yo1;zr zA>hG>Sr_3@=|9PMsvx+}-mUchMeMV4X#DKv+XSo**P!p<(NcF7{PAvxO3B4cn9d3? zs@yQXu@XKQ_=nv2_iow!57SBUJ)0$i)(<{t@F5)|48oD&Y(uu(QuTwQ&JV@pW`HF2HwvFr%;)YPzkqc#i^wGBjnqjoDX z={}vA?9Pwymj5MYR{}$f4#sov@cDa$wjw52ffu2DO(m>~3iz^0!~6?hGt7!);M7ho zK-vX1!YA6E@C%f2B~K4CztUEtN_dYQujO=vLTm!;H)@$iZq+k;c~w-yPr_Fz46R6SdBg^685EGKioiaKuQzeoH zi%p99?30~(z_1s?RE!pn!MMeY`9z*&Dfv4`D}fPcZKi@`dp&UaRc_0Q^vP9HEduYP z{$%9bYwr+>b~M+*JA$!n2JO~nc9)WUaHTtpue{E~=9gmy1RUF=;e6XyPO<@7QXMv| zUtCU};kL~hFHUZ#dI}TW7W+aD@+ybsO`tT>ynY0oxFxLJC$Jm`F&ZUpAZf(9# zW$L~R7CUZ|3GL2H>?$Rl$85LKI}z|*ix;-bw{)@Ihv&BLVhk;+*yM+!Z~j3v3tf3K zPFM0DuXGPGG;R+QWZh5@ezY^BMtb^4Sep&_rRTI%aV+~OV`*yRI~rM^?L9y!Wgvs6 zc1FmAw|70qE_&?kZ`~s|U6q_49@}%CUFA98)ZPUD%W$6M?tKfhbef|}{UPMTM>!AS zP#O4~@l-+hZRfVK`xmjz$)WMHn{N}aCR&Gq14r2xw=MYXV1!D^Crp^l2{3BBFu9=` z-W&Xz%!NbONvsrW1pw44~g+1w@B-X+Pny-SkmRtf-LN)IOh delta 42 ycmaFzhx5rF&IzJS_E8(fwI$hVG$i`<^(O~PT22h$Z0?e5?~-KP-X+O&D+K^kTMpR( diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.pad b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.pad index 124dd5c..ea76665 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.pad +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.pad @@ -6,7 +6,7 @@ Performance Grade: 3 PACKAGE: TQFP100 Package Status: Final Version 1.17 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:31 2023 Pinout by Port Name: +-----------+----------+---------------+-------+------------------------------+ @@ -349,5 +349,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:31 2023 diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.par b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.par index ac4e203..7edc928 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.par +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.par @@ -1,6 +1,6 @@ Lattice Place and Route Report for Design "RAM2GS_LCMXO640C_impl1_map.ncd" -Tue Aug 15 05:03:25 2023 +Tue Aug 15 05:22:28 2023 PAR: Place And Route Diamond (64-bit) 3.12.1.454. Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir/5_1.ncd RAM2GS_LCMXO640C_impl1.prf @@ -92,7 +92,7 @@ I/O Bank Usage Summary: | 3 | 18 / 21 ( 85%) | 3.3V | - | - | +----------+----------------+------------+------------+------------+ -Total placer CPU time: 3 secs +Total placer CPU time: 2 secs Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd. @@ -106,7 +106,7 @@ WARNING - par: The following clock signals will be routed by using generic routi Completed router resource preassignment. Real time: 3 secs -Start NBR router at 05:03:28 08/15/23 +Start NBR router at 05:22:31 08/15/23 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -121,9 +121,9 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 05:03:28 08/15/23 +Start NBR special constraint process at 05:22:31 08/15/23 -Start NBR section for initial routing at 05:03:28 08/15/23 +Start NBR section for initial routing at 05:22:31 08/15/23 Level 1, iteration 1 0(0.00%) conflict; 559(84.44%) untouched conns; 717961 (nbr) score; Estimated worst slack/total negative slack: -9.776ns/-717.961ns; real time: 3 secs @@ -135,42 +135,42 @@ Level 3, iteration 1 Estimated worst slack/total negative slack: -9.822ns/-765.746ns; real time: 3 secs Level 4, iteration 1 9(0.03%) conflicts; 0(0.00%) untouched conn; 781820 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-781.821ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-781.821ns; real time: 3 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:29 08/15/23 +Start NBR section for normal routing at 05:22:31 08/15/23 Level 4, iteration 1 5(0.02%) conflicts; 0(0.00%) untouched conn; 781048 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-781.049ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-781.049ns; real time: 3 secs Level 4, iteration 2 4(0.01%) conflicts; 0(0.00%) untouched conn; 780690 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-780.691ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-780.691ns; real time: 3 secs Level 4, iteration 3 4(0.01%) conflicts; 0(0.00%) untouched conn; 780715 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 3 secs Level 4, iteration 4 2(0.01%) conflicts; 0(0.00%) untouched conn; 780715 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 3 secs Level 4, iteration 5 2(0.01%) conflicts; 0(0.00%) untouched conn; 783401 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 3 secs Level 4, iteration 6 0(0.00%) conflict; 0(0.00%) untouched conn; 783401 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 3 secs -Start NBR section for performance tuning (iteration 1) at 05:03:29 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:31 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 783401 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 3 secs -Start NBR section for re-routing at 05:03:29 08/15/23 +Start NBR section for re-routing at 05:22:31 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 776978 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-776.979ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-776.979ns; real time: 3 secs -Start NBR section for post-routing at 05:03:29 08/15/23 +Start NBR section for post-routing at 05:22:31 08/15/23 End NBR router with 0 unrouted connection @@ -188,8 +188,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=7 clock_loads=4 -Total CPU time 4 secs -Total REAL time: 4 secs +Total CPU time 2 secs +Total REAL time: 3 secs Completely routed. End of route. 662 routed (100.00%); 0 unrouted. @@ -211,8 +211,8 @@ PAR_SUMMARY::Worst slack> = 0.273 PAR_SUMMARY::Timing score> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 4 secs -Total REAL time to completion: 4 secs +Total CPU time to completion: 2 secs +Total REAL time to completion: 3 secs par done! diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par index cce2773..ded0f6a 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par @@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:25 2023 +Tue Aug 15 05:22:28 2023 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO640C_impl1.p2t RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir @@ -17,11 +17,11 @@ Preference file: RAM2GS_LCMXO640C_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 -9.822 909228 0.273 0 04 Completed +5_1 * 0 -9.822 909228 0.273 0 03 Completed * : Design saved. -Total (real) run time for 1-seed: 4 secs +Total (real) run time for 1-seed: 3 secs par done! diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed index b2ff5f6..37c0f68 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed @@ -6,7 +6,7 @@ NOTE Readback: Off* NOTE Security: Off* NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * NOTE All Rights Reserved * -NOTE DATE CREATED: Tue Aug 15 05:03:33 2023 * +NOTE DATE CREATED: Tue Aug 15 05:22:34 2023 * NOTE DESIGN NAME: RAM2GS * NOTE DEVICE NAME: LCMXO640C-3TQFP100 * NOTE PIN ASSIGNMENTS * @@ -1742,4 +1742,4 @@ L000000 C2594* N User Electronic Signature Data* U00000000000000000000000000000000* -451D +451F diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp index e44642b..8b62220 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp @@ -17,7 +17,7 @@ Target Vendor: LATTICE Target Device: LCMXO640CTQFP100 Target Performance: 3 Mapper: mj5g00, version: Diamond (64-bit) 3.12.1.454 -Mapped on: 08/15/23 05:03:22 +Mapped on: 08/15/23 05:22:26 Design Summary -------------- @@ -66,7 +66,7 @@ Design Summary -Design: RAM2GS Date: 08/15/23 05:03:22 +Design: RAM2GS Date: 08/15/23 05:22:26 Design Summary (cont) --------------------- @@ -132,7 +132,7 @@ IO (PIO) Attributes -Design: RAM2GS Date: 08/15/23 05:03:22 +Design: RAM2GS Date: 08/15/23 05:22:26 IO (PIO) Attributes (cont) -------------------------- @@ -198,7 +198,7 @@ IO (PIO) Attributes (cont) -Design: RAM2GS Date: 08/15/23 05:03:22 +Design: RAM2GS Date: 08/15/23 05:22:26 IO (PIO) Attributes (cont) -------------------------- @@ -264,7 +264,7 @@ IO (PIO) Attributes (cont) -Design: RAM2GS Date: 08/15/23 05:03:22 +Design: RAM2GS Date: 08/15/23 05:22:26 IO (PIO) Attributes (cont) -------------------------- diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ncd b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ncd index 405a3aa5b24a3654c262d61b46b40f69d2d492db..05a4ffee0693e8b4f1f1500338803a51b70b5b05 100644 GIT binary patch delta 42 ycmaFzhx5rF&IzJS&X+cdYfG|Kmk7w|>rW1pw44~g+1w@B-X+Pny-SkmRtf-LN)IOh delta 42 ycmaFzhx5rF&IzJS_E8(fwI$hVG$i`<^(O~PT22h$Z0?e5?~-KP-X+O&D+K^kTMpR( diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ngd b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ngd index 05461893d7778ff80bb96d3a958d0e278910e24f..59fd1e7472b577325d8930a160ff0baf5900dd34 100644 GIT binary patch literal 160693 zcma&P37izw)jr%H?%RkgYK>aphM?H94~kI3%m4$!(98hhf>W#lva|@Y2vY9GwcWRA zEj4kEwo4KdO;XY9F`dcsCNUbVB=0{a+L}qXaf>nE^W0Ony1ILK{rdNVsq>t3&pqd! zb8p?Mx^>Iz_XlcYH4QQKJJ`tm(Z$h_&Yt#|k$a2BL)V|TeCg)ZYnPvDnis9uc;V^| z>&>>+OV({zzuX+xSby@;)tgQ<>n7IJPOO<&-%yWYZ(3Bbb?esNwHL1Fy>Rt;>w8zO zUb`Y@r0+1PaNU}Q^Wt#`{?Vgg&zW8GXSVlr^z<%l?`oUd4TkTN(cLnqc191#KUpMU zQ*Udcr*}?EqIY^nCk#yA>L^CXwC#z2J=fK`_dEl|haquUL4YvnEbCr?Hdo-%M$^8>Y2C24XT{pyuHL%3SoNZf8R8o@20=Yv#1>4S z)7sO!EN1-TB?tUn>sM@8wq!Y)Xps+c$a>)?3FYbqD$(2!t6utiyeK*`(ZYsY;}xOa zdgQHzxva-YoP>pc+8)i&AxyCLti-OlX-P9EVr{hOX~! zWwxAT3RmKuo9PJI-O|%rH;KvBY84T>*X0#y@&8~-Kp)DWy051~zSNhV*#K?TLMi2G|>;Cx=D@D{8&Z1}Uk3zFS1# zQf{taq*;p1Sa5(1T|Zs*qWx?|bhCq1FMT%f5L94D9fgNbn4KrR!VF2N@E9)yx_a43 zGNJ+n0u3KHkU$q^8ydMF(xgP8ugWW0G#+IZk*;2b`SJb`3EF*?CkX6RTF#EpqU8v; zD1m_iTAs*+NMPA_9fh!Ur{M^0HX}Oe5uQ4p#J?On)$No?`kfI+)DzSWjvo^axF90+&x*3|3%lzYWdVgakZhDI)rVxXUa z2#tmfeV?0h%(SSon9A z?~>(f(40o@x2^)3IK=dQVg>|Nf1o+2v#;O_hsPfV$7tlfkAven7}@u(CSXn9dsd8A zHr2tEzIWY#V3jY~Le$c+pI8CG4)seMt1>k8B{$%(&0a7Af?e@@mJm1$dTs;Zks{Mb zpSK6cIDF1g(zW%?Y?y_XP$-bz>Z)U$Zj9V3p5P6QtnMO5sQM;mQb;w^uC9}O|hY$cQnNq^tzgYWqt4anqqx(98ICV^mpj4C8n?ltp>JBHM8W_kLKcJeZWs&AJF>!W)#m1}Uh?Tq0 z4=9!AM_ZbrmR)v0HPGq@-GHhm?^nL2m{hi>Db$y~(g`TlejNc7uVLFxbA?w^M_aD6 zgsP2`n&)eZ39vSxYE=4NW(qH^yuHTJ6l;IN(-f2Hd{R1LTLnqmzdRzTG- zsn(l{swoB;BEMGP>Pa<|!ZCQXFLG?zBNcmnOCsr=C=9wk=cxCs8@a3@9~J zvL!BeHJ?@==>!xw<8g=x)zsIprCa=fs)euV8#GmenDo7C20X~sxWO^K>HDWGRGq4i z7SsWtR_d&Gf@A8;*=|6ow(T;7s^##cE{29o2``402TZVXDN6+D%rE`mxRIO=PpJ4L zR(5?rLECLU8SC^k@HLm@>gMJ~-4k;!0Rhz1EIp~09ksSTWY;>v4x`a%3(!;(EzlVD z!~IOfua#NXS)NeAESqO7T3-(omDUAtX%AoNDrR^RPEYg|&=5$gp`0N#xDsz72YH%e4qZ~(ZgL+jMnO1#Ls7_iyvWek+%~s+iI675& zlv9D%(&rofC=MVYKyBEx7g$On08~v3=cBHI>$Lyc&szbb5u@Vl@qO=`0WMy$&1;z? zX|DvQ_jQm@-{O?uN}l7-cEC!1q_xxPtIdGZ&=mI9pV?YE9%55{EmxLveeZahQ%u_$K+KbPZSnTOW-Gwz z8-h-#TfW}boW_6?jgM*p_>lGksoG{8i}c-QfYlA&UMszTk9mf!?Fu`eG)TNbD)r*;qhbZR4nH@M@ zY>8k^Z8(hOt^|Zu)T3&#Fw<>(P$23<5E`hv)(wgVZltGbpy;xiB>!d*oI}~2cA%gr zUmMqXnqF#EaEoW>bhBT{4bLv=%bgOg8e4eg-sx8280*qd_=yw1!E7LXgDt?M=HTQHp|dnL zDHsma*^_gh^le@s-c&r>uhLj^&?ljy+ZDOu2=S9Zs-dPR^?hHY7{%bkSJO~a7y9;1 zSNIJLdS;@>hBLrmV+Chk)VR?Tq&6PR8hcmp9+#oQ7~%PH!{= zEL65>)r$36S>HS0vUbdG^xd^|aHa$nOgZ3FO3Uf6q;J$nZCF|Ed6U`}&F$tL2HX|> zF=h5R>-2Fg6UI%O(0byDvFa_oE@jR-{gQDl2R!UUEa z*E+uKL>jksPjZ5agfpS-MCc(O?87~<1Lbh&5>@54=OcxP7S#=NAg}En*Tw?kYW0?t zUW7Gm0@@%jVT=PtVB9zb>ms}SND(%gGEFt=H;SzBV6=?a?XhLPsSCk0DFho-GyKZd ztU#UxTj}Q;qr zbhE_MKqXC4qSy>9A^lkS&JHPhwk-6d04q?b@s0~Xxff~apwiRrkf-xDDD`KSQr$zf ztTck~mi8s26ua|{mK>LNzb!f7tTtdsj~?v+ltDyw=q=j`9H69_L|dNmK&w(r`=Y<9 znFEq?ull-N5CE9Q62Z7+g<6mm!ieS($ESZlB(|p>&yYRR1D4iQ>9QQ2Bhn|g7i|WA~wi!3iiI!JQ6!t@*;Qi7wk`+>t0HR+n_Dq?ujK;ya zBx+Xm)=wcC2DX?5IzmC@s28V9w^UX|L5~L*Q&p^C?3DPRa?$KpXEj9i5<3u;+(et8 zMuuC?uxKu-l^`jO@rz&hD1x4>IN>m{%K^&thr9;2?h8ygS3Xi0xdT0LZaWK8ntOZ- z1rq~4#Nth++{8Q`Gp!>b8wm4;i0OwUuQ87* zlAMem?Sx$3Cpf&wywX%$vtfycpi*ubE8c_ z+ZJ>!=xOH@W9c9ZTU&eAuh<0oAPelFsst)XFQv37m>WFP8}CP;Wk{%RsDzdx!K19l zyile?JrO(8jsWsV?7^r^S8pxv!Q>8bA;;=lJ7_7%iv3ixy zs``%LdE5d&QcTsBo^M4UJ~~`uM}T@pvC;KR}tS5oP z=_U?`^z=^enbW(PH>a+S0=(Mkav^cpeAIN4jUz3~jM?l*0G!qqT{r+>G*)`FLcH1~ zSH*NSFPJ+>8$C?>c1ImD3r@h1mSRGWvx+qDzKxC0%(i3gBA@woEJ$6RnJViXq*i9& z7{AD84jvPcXQ0MK_%!Wc8v;YSC2XIP7}DK#b|aTs94{1;l#=&Yib;}}jGKyFrspOz zy)wnn?YhOD+)Eb)4-GHiRm_IWEs(~(jH5F2-Z1vKoG*Yj%eeMM^ zb*e;vNJ(w)?V(bDliIz7u5 zKBR-O?dL%WA{^SD&4dy`3w+^-GqnUBM^X>6K=Pi{ew<5C{dC_NRK4crClfHU1zBrs`JU{-hnx_)TUCviM5qT5H~BHu3L(fg zd)6rhd2cg)nOzF<)>`^HHv&q9H2h3o!P8n0O_rVEXc|VVhdxy%5Db;Gc~0pjf#}l+ zwBPgnnw0iScX$ebIu?h*zJjBXWmMN!Fg4w~nh{wN@_TU$#K`?i(`Td8VyuxG7!ciS zwuy!o+{fXmqsxxbB&m_<((Xq94$>dE0ETu?YZ-^2a-?`FRH{2y?n5c01FurOV58g+ zlsg+e(nz;iij<02bE_W#IH75eO7Jw-=|m)W9-D4P0H$iXD=NZ3&2}Rq>{(rA1YnVw zphJ(<1oK2UKsZ&H<44#$g{`#%poY_~+{d6;{Om~_2k$jQCVS_NZbQwn~kz{1=^Fl6D^=T_48Rs4+Ama!~Mgl^Uf{yrKaFvl5o{xxB$0W6~Pj+jlFeTXp_qa0M?qSK~If( zA6YB)BD*noIn*R8CC* zK611iJLh{bPm{%g`x8JB(`rS$Mxo{hD= zb!vgq7*8Y2_Aww>ch5#VE$0%b^iESFwY?mF^~3!wp(I1t$ik+rMXAZv1UuCUzECwa zjJH~v@--l=3Q$KcR{H20?#G!SUf0a-+M@;W%S-j1%G`6xfq0$n=~tUGZO8wwWCw|K0TbhXUkY2r{1n99nHH2Nq| z0m%XFrGPxMr=wL}_w95-K2nn7j_HR8+E1;=8Rdo$np~}KJ`hNd{5+!`9+DeTOLs^G zv!!)jD6P7Rp1rVXF0}{e!Ir+&I+<4}6LIXI7_UyBRg@Gf2yFZ6J@UxHV+ zDIV^Xn7&}nB2ce8poX$*W6b$-0)%SOAOYX70bHflQK!G=;dsp41q{+?;@_W(C8?bY z=F^N>1b)J+t&EPdl3L`N5X?l%V&C_Z7nd2kI);Sh1VGpL5} zL2$0m9aNw=$UHH)2K3P2+5Cf0Fo+ky8X~y)b#G9Cnoz^~-3Ww_x^r*%S_S7c)k`0V zN9drx3^$4C*G@XxdfDbj`eh&^b6+{A76<+nlH$kNIEkZoDk*g~#xw*cSv%ybvolX- zxi^3Xg%qgHgnx2ML3J8^%PR%d`S$O?qQ%vT`Ry`Logx3=mrAPB>;IaipgM2EFn@4DOx+iG3uIu7x(o1dGC~LQn1@m!7G8wEUM#0BynQE>QJ3CdDe4Jk zUl{HBLz(dM`|rha>H_>LnNTK}byY8Ypjdx!*=^+h?1yrkDdhfYhP1lEc%WEUIQx2N zAe0Gb1h2cm_G7@uA(o8pC=19gjG(>K7j(7Gm$!+&PqEg{g{^bu_TVD5deKePMJWtY zKk#upy0fPnr6)Kz9?694=<1l?yHK48p9fZwrRv1}id%-NyFR}(%TW$RKdnGHVr3y( zq8D#~;I2@GEQh<7{c>6MidU?1l;_PK+T|z*yKnksDBldo{m3gr)oSe@*ySk4&m$^O zfX_j)#EE8_`yIa=rNiGa%TYGYW96utSv+Nz%hH5zdgUlxd)_Ta**F=$991KF1q#up zAX(}J$NDdqi>itFJx-adTABW3w;W|NJXRq~hwp)CNo`Fux35B$uI+HkWYrqg%K?^X zXr$iia#@;iXSrw-+wmr=Oo3X6e_go(wOsyYuS~X)iF1Qlj!Uz z3X~B($1Rto7rkCN%0@q@0%beQ_seDJu}oAWQ-ON=*5H=Os+*F{PC3fj4)M!SR@Pbp z5}j?9i_$fj=0;3V%lsF3<+5y?ITa{Pm}`~GG99`qWZ7iX{Bl_~Lz`QUGMH1na+HlT z8DL53s8<%IxaBBAe7aYT(u=8PISPBzcLOZd+ra9YD`2>hzQ!vTX8NUXaw}k}zR|9L zVO{z6co`;VrH7O1A>0C2~a{=|#2b{gO3Sg*4lwVpzrdVu05;71Fe1wOawRsa91= z)61oPg*1b<(yD;jRJ|22m$0VKHp`{e0?za73YZ;gqEimjkd**SW5$;s)8|+fFugg) zsel>y#eM~h=FUyDDqslP0LyBmkLUOmFzu@tr)$+qMZFc$TqKb`*C|&LXUG0oas)x z9Okt^dY)Ad^I{;~?N`9`y~nA5*{)|)!fe<15H1O24d3)mSDcn=Cb78}xE0bg@Jy=$ zW&?HtE!C}F7oO{t!@Md;&#QzHoZQJ~g)~+B1H|H2;I5kDy1RZ=9CNbq98p3Xqh3(NxK~8WkGs| zR{=9!Pj@R|`aZ?1fa&CEeg#Z#PIW6_`hHp^%#fX6mrGMe+$={qi%C!LD_|IsJH{%9 zX}NK?2n&+6&pShqq48|uUg9lSV6ALmp^)0q>@ z3YcaeX;r{X;>u)D^HI~SkY*%@+vPA{{!brSAG2UJ1j?+*q$%8cz0o=2W0my?-T4vk$i_r0ILbMOTfQ zzYXy#r0LDUl`z`1?>`VO%|I^F>-(=)0nsP|1f@ z1xy1!bSq#wKLlv0C45`5?_X90j27(sq*9t*%7fm>d|B1E!p zfK`XLDqy6;Z=G^9j^OnD!>)j7_5jdQC)HBf-#8U8S}ON*vjV0ezp^S|c$52mC5$G? z{miM5MsRY~egzElrf^B$Mua?xQ8}f${TQx)7{{5~+KK9AtnoKNx zp^fJ|#5&vCu(-y^P4Pnt&w9CeQTkR04Ec6vxJ6d06^I0nIM`qi6crC_+v5@M4Rp0<~%`u9=@X_`CGgoZufRY%vt z-qy~!tymVDzS0F4QCr99W3I_BL4myHDMVMn{2nNUm?R(gB~t84pI9XjQ~hJ71Y**C z;*~&b@Nbk#slBAWXO@Vmcl|BO?c32ctG#u;TIX^N@WacC~> zILraEiw_z=Sf;Q$=7W{)w?M5V3)`p7=hOSiA)nR$671L!9b6Ic3r`(Rn**UvAICrG z0J_i;6yQTRiVZ=6>m>t+c?72SVDl=lpELuiu_lf;Z1LC_oAv;g^FKB{!ip4iRiJw1 z0W-v$(=3*n(bXnvzSD6}Yw0an89UPta8@-!6Ud_UPi=7a?LL; z4()yaaU(c}4d_T8?3G|Nd9@#bf|iEO>5HPG0nQ-r<3{9rxsW@3XjHU!H7hybAyVRtbjgm_8IpL_ZAf``9djRND-(OEK2)AFmXH zQ+@w-OEFZ@_aD0yV;!HBVeBwHW{DizXoOP&QEG%6KrkV9lCAk5CgNDR!eQnX&0)kx zYYubha1YeE)7xr#>RJ|oKAE)YFJxMppy)LqgvH9v3n?^tZiK0Mtl^1}V&x5?B%H`K zxmuF;kGBJgtOaE4r)-%8ThgzRxpF!Om$4=eO~vYO8jSp(N;C?ei9>0VDl22jq9#+d8KAcDA>`{&cMcMCzlWMk-=LPoZQHXF^Xd6Qy~6 ziBug+Q}i^m1V>oXO;!n1Pf_-X(*Z{+Qgz!UPz^=d?<~IruO-edEyJ5?Gx~sbNOwRo zq7_+^?r=*W4)CXXB@lXUle($eVV2@(*nhYhl6N8A2PqZEEQYHR(lx#Yxu7>a)e1@4 zWVs>9206_RN!1R1NU{wYoseXT=PXU~MFX^Ek zweaCRw-i(}vUmJaP_5c{7g)5oT47NzOF^{);9a{E!~zYNnF>L@2qJx!udvnY)w*c5 zQ?D0Ac*=U$R0ukStT4&hA*~ipyjSF_g%ghsg#2*f!~;bvTsZN*8_KDL6HoR>=wRW- z(}@rZ7fyWQg_v46@va-vYT?9VsR$kDd90{ATsZL$8*=+Faf5dV(&w0}WY8K+lf@+n zGi9+CmEo{9Iz*PK>|f$WC76nKD8U}WjGAX@8MTxveKtshHmI4S-Y8!qYGGTh%P!I! zS`Py$C6;lOTW(y0X8Ad0kOXr`9oV;h;(!Oje_1e`FhzT5zsrPE~pkb zy=`eyE&myCHL0#=RG0dTU4p58@~%^Ysctd=NI5N|i0UN|B{ZfxN$$^{hE%@4ZEI4c z`@__tE~vt6|4OJMO!mL{T1w^mBdH=8r0AEE8VWQ0Z5Pl!$O`?E%in!1qcZ&~@gf;S z;~iT=YJu>hRYfw8nCt-B+QuB2&coqtOovrGjI=wh>}u7MXj4)7NuwQjk*e~3#$=E6_C zK$LGT_E5|%0(@%`*V+*TyR+8w4o0ruE{e&UbiV+gybIoel%3i7{QwP3fdblio)<9M z)q6zf!AChI2wSI~C%+5aQoNqG5z=Rw3Z>KR@KBjv;*>ya{lEDd3Sa7}Uiy#NDg?tJ@UIjcO3m^L3SN`6f$ZCg zHM^{6hN&s|(Dx@#C~*4Tu;m!--S-C^vJ7S33UCx2?!)R@UTNnZHX*S}#iH*u9L9#X zGJ5SU4)<;4HC&(X;CN+gduJ!sraj447~0xCx4WZ_SANHXj2+v`EIf{fLt3YG;|(X8 z*y1Qw>jFmpI6q+G_-IcZtJewC4$FVC0qi}E7yoKqdDnCQg77eWy{YntU45n2fJecHe2VfFJFvNy_zitz6KDDDOv0(nG#UXk{ zIs?YOEwtoR7l%d*eLLM&hcIe|%YL|T3ys>(hmI?;*G}#&6Cl)~-zj~?NlES`7p!$u zGTg$^u=v*IxOz)so&)lL_|_Hpfja_JY>)WXl`B?~^j(lcYT|)~(e>97s(O>XRExva7rcGTn#UjPmdsJra34>NDgZ4KeIf^NEm&-DWu@aVE z=4rBtgj^2SLEc~qxoo8hRILq?W$^>|1(GPcY~?bV@ij-2&06`tjP6+ixZY#NwHo45hXqbTc6+n8@Nzz4s{-&wmc)9UPc;q1X}}%J_?>p#-1TV z)kAy)@jWyyN_Y6cs01d#QUP!B0zrVP>if(r@);+zQ+&PqC~l=%B>;;HKG|5 z{f$5nG%9Qj+CZEpP%Qav4<)4mvF{P9gA)9O8{c&!te`=4QLz8VjIe`}Xh^oL7_r`m z0NODyBG6s*{sp(Fpxj8<5PDza(W>jbl2YPH(X+r+N=nA++)(PoJ!umiuJp#D#=)yC zYL~Dj{m_I+rs-zZ?teQfHJCS|HcW;Wp|qIFY(-il*(Om52&CkCD=I6v6o|BkE{vvl z&X39~-Dp)E5f$xPsvL@)f!IcDzS*uSgA58{p&6rq$A;;44O1z-##9A@juo^FoX~ws zoDg~FUtveYOJo=y4bYNmDyC_`#b~1E0z~gq_9;&YF0cR&2}HxTASdA}&KPz}-L5KB zWVT2##iYN8vx3cHnPM|2)@80@jnjrwl{8#>A~>)iPzIBOCZfi>eDH=wctK6KI>7f3 zJZSFFxRec^=#zdQ_6(iExf@wLs4{AiGW9zwFLkPMhITZ2z@)yCv4lLAyl8!ajg3x# zOG<>9sVZqSbs>&H40UlVpwed}{OIs24gI)NEFGk+%9dgmV0LpL5SO8`?|l>zGdaK% zvzh4{jL{HFPqa$J^f*@0O541Moa&U4uVUbXW+^wQLHnsABEmoOBf<(wZ;C=?{kqi2 zF!_FMN3_r&X#(9bqUBI$K*q#7ltL$RAWF8507P^wrDn_1O>zih>utx`cfbV!d> z8SooB5Rvpyfr1LfyOhP5f+bx~b7zvvghR>DAI&_^)kP8Hi1W&<6a8YzAOnc^ zv3>Z_ESHBouz6V(9K5NK*X;m#%M1?wcuoD#630zn#hYrOz5#jT5K_>R@Z^0&BY!HiOfuyb6SVt~tdbi{;3ZLezuY=D z^0QncKL_=_ZPAv~9V6dlgR)6kDI-541)ZMap@z9hBlj1d)Lb9Z19Dr}$TwR?{*7Z4 zeV4rE3_ME7V+_;<$p#mc;&t}JE8oa{;!$$43!MYDIiftfwVCBS*a$5DI>oD)CI6*U!`RuYQKCywv#gQSJ*~= zJ8C$@V!6vvUlLjlR+3ks+$FHH$|P^SW8|*{b%>(2dq#ep3rSUOmx;EC<3@@q=RkT_ z3Y+iwEcd0jk#~|<`lEQ4JD_~%RJO_Q`{dDb!Mh8kFR{(0LWLSk!WhZRGEF zWP?8=|4`D%--dDrVst_u-#>3xBWYoqdWE}{p{mm1{Pdpx$fOXdZ z9@%)**ykJhYoT$pvLx*p`5U3;a8=v=14jOCTb`R6dDlk${qiLv)Qz&YqJq&%%Z<=- zt0j+#jQq`2s2tQ`G1hQ1wA_>crF1?7$(tS762izoXu{OE>`4JB&&Yonl83qxe-8fr z(isp;8TtDlc&MuG?tZucC8JdZ*Jof{Kg@Jl-8~j0T~I!$FGJ;RDNOn-Qt5<|zZaC@ zC`pw23UnT6lDc*P|Egq@0waH&ji!m=&Wb8`g9)TxcIW_}H1gM5piE_^wC$?HHq{_D zRYpDqm8MOVcY(SgC3}+qchR;U1UNPy+b9bY5=O;C4u za!c39e+x>!jk-^WQOS#_;H!>ocVgt9^^E*8D0hshcmqcMyP!^VNj(9cmym7yjQn>@ zBmW%C9OIMsoNMI2o{?vEM*ex|d>%T-IZBITlygO(=6 zdj{p6iOFYijQsBg5SfI0w9UxBW*PY(lBXnpBmZ;oe{VyJNy*>$LlRV-L+S@6_&)Ac#Ypu-=MO>EDlIQNM*b(zQm1&o zPJx${j|Uq0AG`P$M-3*+{SrF=$CGX7jQlI0evrc56N|h*#*KV7Azv4RRnYl!XqlpF z_*urt{|LOPHYHyJ^)m~%yH&YAnDB7`-{Mk|KS8-yY}_DMy#4_r|2njEI4t+NZ{+`w zk}u{N`R_x^Pf)JSRy^>3LrIU+FI==#2A5nusbAV?!MJ?36H-84HRYR?@Frp8-$>wg zpepx<2feUtrqcOp%E&!fJDKB>Q%sKvv+D~k6|57NGTN(L0OIn5ZX zwvqqL0w49QcOob7t`QwyG71O6lp$4MnX0-JgrQ_Zj7o+$s4^)#5upL8(wF`C;C38k zJ-n;0B#+KOdO$XmH40-rqc9GYZ}zC=B-<#Aa)kC3WulgC` z6E$Sydz`QnHH`D+E1YPdDx+Yc+?5t3CpfStiQDapI>Lv4F7~PL$vetMeFO3_Hc+VD zgk>qk8w1HPD0hQH$z$S(Q$lu3Gzv3O?zn#NT=J%P_y^wgHmTW3qtKC&k4PGYsVLV3 z-W^IyZIw}&m6BycM!_0@G_1Zwm7D6KHDKB8KDD$bj6y4Hxh+PjrQawt#*EypO0qR& z6lOTGbuurxwa(!p8ZP}+7JO?~j zeXmL0EE9EtcaNf)AUWNYEgp?R18Q&cA!$=`dK|WT_zt2+s?mpKRoI?HQ8W8N4aheV zjlvvA&W_1O$VQ>o!9VaGj3@wvT!_yYa zO@fwYSJuE9h0`n`(D{U_eXfQxb{d6c z;H^sF?yy7NJkuzg1?mNp)H#k(=<#GLOrwy<7=;A`vMsAonD63W99ooQFUl>n%OcY#^rmDT5WGnkh1GHSDlBYt zjly!&@N%NDk16W1u551j>7?y{6oNXF%>yhh=o1SG-x%wxGrp>wAvUlm4OaiegF zE8h=>E#P0AlCKQo2sK=2VQIN4x6L*RYpOu08g@D0W#s$FMqz7}QE+U$7wE8ttpoVy z$Z{#@^x@wCJ_`^d?}~&`*bbdzEJb;+)0K_>q1`bGn@riT-zcny^g7t0E(HraKy66K z_Wh7b!Rokt@fB?X-Wt@8q`?O_y z2AYzxMA#_ofTYteD};^0{owC_q?+~=?g#HKNUriCr`r=>jo$=8-zePX$OlV}!rcj@ zaHlC>$TbQ#gLjPuN|~8Pb+>r(p;M>>&rQf?(njGb$0*zymv0Okg|uZ9?i~QnrJ1g0 z6mCEbJ1kNU#KEhQkF^?w`%*^X_I}x78MtE5MI)}DQ9=VAUxGQLB$-fV#b4crFNB&eK+d_~2*B(S? z?EHmQN*C@LVzDJKZM#Qs?_-DESXt$7t``hnqH1g7>ibcPJ%`wHGD#I`*$8ZWGCwzLtE) zA4KP#bzeRt@V&#+lJB{LNDkXFYr=VyF!J+MyR!Co2djOL3x9n6#Uk09(K_E5OmgUg z1FC-{lBaoE@?Cq7+J}tzcopv@q4v&xEm<%Jk&Nv;cfX&DN;Zu7O|XZ|3P!ww&DW`{^# z<7vsy2eWgJQOD06A(A^)%hS$b&LBF6F3wHBaKTL8pe1wrT7yUqsouxz6v>UM<>}G> zgXxT&^k&0VB6)?)zmz=0;t}h)T(ung>%q2yfL)>LW?!!|HOA>wO!d}7Odhf9c8`Bm zS&K)+cA5N3Y(JYv#2odP*g?KJ1oovkkBA*;YwU7|N5rap9J{@x6Khg%`$(%*+rc3eth;_ri|kv-lf zru-e{#`X&QJsjTU4)OVdE&X-z&yN{=Gn8*Ygr0XjzL96mJrU{tz;lR;KcifEW;fiY z=c5PYG2KXu)Zy^_E(ayy-LRklAM#~kf&$fB8SF(5o7GF_{!=~XgAUD9TJm@IQxmbF zdgpx-!B0YHRfcecO_$KpBVwT=2X3-@P;M`T&QMU<2s1?oPuhid8U z5vDvvl%N?&K1F2xk)U0lp$Y+Y(C)F|YKSRSd>{#RS`KYU_eYx@51&1cp#Bk+=%o`z z)<_apt{&-DcGGGTt{&+_kM{X!C-nK4;lnOP;k~OnZ_?c~^!Wq?=qvHS-0YDE<+Zp` zAwC~fB~L&DpM5?7r4^KDTE@+U9J=%_D?C=a9?Xu!X#xeoN znF2;KHgVEgM>6(kTQenN`;zFG8DhK5*lJ`Ug2vHS^*z)2BA4cVXX7kr+_$=O^FX;v zW08?5#8F&2Y9Q(oG~*$(7#McK`>TxX*rb3Fm-Zfpn>G0dQwZ09W{OL9p@3--+pSAS zmyIjc+PB?~D<^iE`$OY?)t#65e2+X`|Y$Pns~JE=zaksQ98W&xNnI|$GVy+F5R9qvJ>LOcI(p7UTNYTVSkZJ<6js$ z0U8ge?!2U;FOBzPse-sPx+>~YEnK?8qcM@bbVtI-jt{_yOAB9E{HnM#x=Kpm)N5f_ z&VLgH@LB_YyLHJZi&FI$M(Nap4tWI{53KIIG+v%~6A+g>Je_zmOI)gRxcY*|L|meU z6I=l!E=@aU-fQC0%rck0g|OB+EU;Ub%(A{T*7~9-9p8M?ZP0j7b?0>zeaS*3z6}i$ zrMiJ=l;TM)A)zr5muR7-8l9a8#iTDyXj`#JT&f$85;{sRRvFoNlGtutI?fCeZ!FJO zW6{RyV7J4=@TJxYXgs*O^Y&yACEeSz7NYcwuU%?KqCAk17c-6QX*SlEfTt2DTi?%> zFJdmHlxo((r7}<0090QYC}FGB8`?MV+3U;Q}8qH*}h(>&BFT5vSMJ zE__R^T*|goX=c&s8c$>5^mj}nJ2^vaw@x2F$Q0$o7(?zvrYK|maEfx|!UfBq@Q~`x zYn&j^L-n+5rX!1!WE#?(pqH#YG7WhS**en^P)$Rat)tCjhtK$op#CY;Q868D9=zA2 zYczv@71Irvb%~mb%bBWvl?KfT^3=rSI6DllI*T8JivRDa^h9&TBPcz*x^uhEX*7&X zrB6jbpGOl(cu(t>p;fZD*5x`ZRvhW3&!g9!8pbT_rl-HLY`$7WmOU*f5q47tgQ-g` zyA9s4R+&rI7rAue!rJ|y@zCndTfOqZwAGRYW8zZWmy8vcnm~QiqcIVez85#Lt(Jh1 z9G&xi`-_sJabGi)mi+VRm`w`XtxLznN?khc3thVKjy-Bo&4}tw$1Qj1q=D!uuQd~O zsoCQiI5AN7S}y0yo-`m}#HA_wB{9};BG#JGOmXQY+sHO6ExUE;ct5sxFnv^GD4x~h z&0HWS$G&KQIpF1~)IAdCJ{>M?YW7qI-3uBdT$3$Kln3;vT5ro5J_%kOa`n4Ou{#`K zzMVlnIs!&KJp?_cn7cB~?{TkmUd6&`pW-l{m+2Exm| z30^p^OnY+i9ndqXy7NmIPcL$CtZyo0TM)+YV17Bu#PFVNf#ctbqa#Ab$WHfU*`ajH zQ(*izOnt?ED$0G$h9+dKR+j&Y3l(9Al}fH;gjx{{6mvZzl!us$%JMIu!c$C*$uN^K z(U3}?8fM13VYJogQj9jt`oG7U{mxzT4s?&M?%Yz5$qmTeClWf^aoBPnnm|P%JFTB9 zD8(wB9{GnIBioSCriSCqC2g}N$+RF&o*G1z7OumDCStpd<-}qp*D!?iZGRI7HSkR# zyPY2#=bZ8YR3CUc zcU}=Ice*Jl%U8wCnuNHix>~j!PCsSq@J@2Mn3blfEEcC9OJOKY3K;3Wjo(_gKpd<| zXr^@E$CC#1YD_}@WZcM3a*6HM>9}2a3nT6zfK}+S(vM%W(4vIU7_g&p5XWmRINksULdR?E(DA8D4>}x$ zjq1+pBeMm$U)5mA#AuXB=T1j6d=Fa0xhY8o8zrO8ahlX%38jnASQgL7&gWFDTc zdV`?;mCTMtu37RE&EQ`$#a-sZlq50bZmm-p=SIf486`q@8;fyX>$MC=;p*zn9cKA> zJq1ntTR150wxwiobP#7x7Dr2*XSlLBTAJcyn~S4qQ)Ik;xeA67Q^qTmTyLFiOKD8v z{38!>_Cm~MoPQoOvNLcLb^Q1JM)qWzm~y6bi^5)$sVY^76Uv`Y_nF>?>)(?``jV1#Pe~W=e3j~gDNS*WqYB{R9 z^F|$LjNFpfy>RvG86D`wRkB810^OUDHR|H(xi;6Ri?w<@$TQ1(EdjNwzfKw1#Z?*; zSAR^oOo+KE6God$cQo8jQ2&a_{Y%97T${M~9IH(3X2y7`8S!~aEcAKJm(P9{g^$L~ zu0(mTdy-L~XTeJ~Y&q=NJ&3`LNgeF7{AfS#0ri6*HYF|4lcb?EUXq&w)~uqpRLu8L zpj^wTzLV#8aF(wz3HB>+yhmZmam%lCyv2g!lTlk3Yzs&b?DjXNp9PHw^qm#KPT0~{ zCCg`7@?wDmdtT5C670neUo#NPLRZ-r6Sjcb)j!7Js--arHr=0BbYBO1*%5Xh1@*5O z?B6-a7>Bs>dFo(3oA7yx8}WIn8~QwE>-^_Xcnr>1sq$c-6Oa15#E<&CctA!u8OhFZ zB@v_rmU?`(f;L5ZHe2AFxPZ|XSmJ9;T7Vg)9h$+XX z4AQeL8;+muMIDcYj@P}sIE%t#(X%sw<6+O9XUTgi672a2X$ECqw$qQgIy=SpMrcLE zRSvTA0#Lh3_vZ&~0)rgwR;Q1$D*w@v4C`pK;n`KcC8&SJV7~^RXQzlOpHFkb_iS4n zc4)Y&4knx2!v}~J9ynmRKw2o{sz+yGQ;Zuw%!bZma5mFTaiHwNgn>6BR~V;5!pL?a z7%vYPYA+@uJI51>TQ?cmE>~WfISs0eY&RO_66%W@0i^0H04L+b1VU$%i58c`dsu3{#_ zIB8&ISpE+t-3T!cg%vgjM#Ck`FcSO*TI?4qy^-i;IN+rx%8JK;F1timE>Pdu_DT; z(O{+%UVFDWa5KCG*e>vb_U(oBevUEwtJPQa&cdVu z2x{bdWYME---f~ya6`o{dL;9vPPR1AJP0}RXq4lp{`2Y(dJ379{F)OQ$ji58`%D$uXbi)#CGYr2gwKk}JATpviGJQaqbZRV z_yjRM!xm7d?uRLKL1nXY(qWo@oS^u#w_~R4a(Tk7h6(>L;fBoo7~tcQ*a) z@*?4#?Szic`~B8WQTW8_&O2h|j?Wy3hW8A(!yYUr?+!G|JEUCp(z$4q;-%9iL;vahzI< zc|EV_c+C~VzJ$W#;drV%uP=nF@5c3DwJsxXU`kZC!|}hRSZ^e%ADTw?!q5?wD131< zyDlSws?{W~r%gHPMS}WQlGkVTP2Qjx{7a^|`w!Hx-6p2o?Q}zT6DGWzYjIynMDyIfK`eR+jcQhg($dJSSc%O-DgiZ7Hx z53JI~^Z!U8_yYnW$y^BXJya;tm^8)?L~EleJPa-=g?vL5N-3vYnzg&Tf|%BP!Ck>K zu1M?)H7DV5gzmlJId+FBZ@#JMs|lO zVDzrwMTAs*Fq|_?51TumKj>2#mse&qbEL%WOC^JR#)Eb4ZKgvd&Y7GTAhe`f4;-_eraRG`@bRUwz>jp@7eJ#N@^_h ze#Ng&c~`t&ozP72{-2(aJ>Ma=Tkm^{k82jVNaqC}{_)^2ct7Zgw&Q?~-$Ua>I2Ql00u4Zto|i2I}rXE(d!2z~3|M&|mAC zy*YENt?sLiN6-{oUotyZqv>Qzh^6i@z7z@-DylyA8ZQC&fJ7c-$BuyCWr_ z_O}3=cexr9fB$6}S*I$*z*SoXWG}QeCjPRmwkbK~?^%OPna_>E-*e5#)MAMlI)1KK z+YF6$)t$SXVmDQ>$!@ab&h03ZqtOOGdXLv}WUYs|x+c!4rC1iadL2yLWC^HUWq3CD z8WUIVL6bO6h`Fj)k2bqMzhE>${VUEdINw|oC$4;6;)G+9dPsGS_eIyayB;NlUj>eL1x(ZIGFuPLMq}dhy(M2p92e>-}%_xpd+`s`U1dMdr3m%?^ z2D`rs1DCIva-I7k3aseY*hq_k9-cx67$COWj(qkYfmTb3aZ|21ha7>>2N%54?;HPh zC~Tfq>BF2+ZV7!>*`j8Y%asd^ zn*{o1xW6eQh1C$DSmuVGwsCn)_$vnbbEMs>BpE8uy>{gVl6nPzgV%5?bhU2UA_HX_ zt2K>CBr=*)~HQPkJ2KXiCv>?!l2=?FkrUcwvtW z;%RG4Ddv70*)?v6;YjVuk=<-+OjV)=xui!-I`bg@s_O*$8@W7~_?tPrm(f(+`Kjx}s0qpC@~Mm4MM+yVlkie3HPeUw+it1ocm$Zou5W zDORl+{2NA}Mk3-G(0cUCgH?yz4C4GfSG{Vr2PuX;P#qxH$?G3aG=o4|4c| zxW<&?JeP!Qb3)8Tc=I=)8P{1Flkk2Usc~_Jm~woj_x~@QHy=CiV3e6u-I-35r}K5_ zkB9n!aoIiu`_qA-yJfFLsvnF@5r40CWRDi{_sRs%V$d4(cb@?xdtFk%&|k-ZT8)Xn z|4kTB9%3&2{S@tTwWBfdm*=%B6U4;dLC(eJxd=4VdAS$Hci3+awNd8O>duGsDJhKa z?E~_%ip2L$#PDw^?e9JCx60Q3_BqkVjyG0u7aC}d_?y2O_T4@pVBl|_*RFR4f`Q_~ zo-rePkEi8SbE?OVeU8SIzuf93d!uSAw|^>Br-Kb>d`bJgvI( z>uwNe2uVNQfFWbAgbwu1Wc011TYPS#Bev+4;!Zo+4a8K>Q|+bRHrkogSlEvbe}AM% zDsd?QFQt3vl(P*pEjfjO;BwLFMi$v(nv@=_O|LMRz01pGJQ=l=mMeS9d;9 z(T`J^=q=c*;<7kI`tjAsfgDms^7{IO?4l)keXq-F3EC7H(s=78l@UuvLDL*Q;ZpzX!Z@+IW?Faw8*TAHKdX!Q^dA$!v;@?_{M-a~=!9keUc}~6WR;{MIyuhj)rjy#s3(U~VjvdFG3O!S+J0Gyhqk6L$egE$2svwRI z8b5nYfABV*OX`Mr^!>X_ZEkG^t)l@UO$rxJP)VSJCR=Bw}SgI*)L^e zqdu8j-)bE8yR+e^n{rUyQr-DTvfTSGS+b#_ z_$xUxUqD3f`8 zSw{B9Q-)@59FT2E#phc+?v@8V5@*$9++HtxgDYU*GdJ0TB8|y-_GBC`41}2WdC7w- zReZ_dFZ8)^5DMJt5mP>I_QU%G>UQ21xcYyuPVQP{{RgGnG4u8Vr_n?zJF_<-Wrqx? zyoA%Y1<5Vxe0voiF|p#}6Vk%b83Tm`jHL6>eKx-@>3myUGnE$gBR%W}wQ}`#hqwykrdVZnRjIqh9XxgI z$47HoJ)^qwt8Tf^x4E)KqonQ~i0LRNFf@BtDw?`Cr?_Dwttd`LxWi-iHdjEMx+mE< z+w^O!n7VhRLQMO-K0c;{p#FtEb9>0_&8mR%d854>pSM|q`#i4u@F$>kCgL19CCd)o-a&tFe)BT8Bq@p)p($X;#=sC^y^pKr1?R`mI9LaIli)6l!o z=4rW+^$HFCEBbs&(#U>2L0EhqB)7MD=zka45uYz84pHWsO(Rgaqq_4&vpmiZc(O86 ze10r04~xa;XZxcMgumueA^jXay9`FRzx&54LWFHFzgOpKS_St?d7y5jnKWsmO z`d9RM9DM$oPh9zYky{yObt>9wMtqK(iVm+@`!^Jxh5ObO!?KH5ekC55n|;iU-oCvb zx!s3&NPoUB!%anLMZ{;`mUW4#z^R5)ch?_NpbDnLv+i|>{rxE|7y2BVIdm04{VV!> zO3cXKmm#iv-aN?sT3t6D=9IiG)4BcR#V9iy;nindJ@#b3YRX2(65gkL*%Dd8`%IPW zkqXODu?yZCn0JWdUqz=rA+TL5%MbVl6r!q#<6N!sl&>+RxNw+dWS^-DF_(?OOHDVF zQDeoYDeq8>fqB?Gylv@vdA0PBq-H8D>dwvs31YiF4PD|_ULsv; z1rw+3#U6U`n^QB;GY6MQI$L$CXI+?H%+{x3vTLw}@JUPdES3;HM`IGg<7{+OrB8)$`yi(vwd`~| zVj0dDr^dqBQ`4{w$3SCOb?2iM!|_va{CZ1w)B8=?nO7Wt&XT<##MS%I3@7!AWudEm zNn~c3t0y80?>DszN>%>uek1#w6=JTmg1K$%;!g7bih#Ffu@KvnTl+YW?Q z-Nf4Eg{~excEoU$nOoiYl1|p}Im3f-xpO1k^aaFmv>gnc*}ef8lf~6Xk-De&tUNLz zeFRq@j0>pGJjYfU*%us*iK`r$`vyWxyE^8{+FJ?gU(wY#+UwB-apfu=Mpd}FQ@eUG z4uU!Hh407t3@1_D`Jf&2OC6T%LsijdXOH-jvO!cclIoJqkHln8WpO+c=bp;Y8g-mQ z>_b%oYR8$TkN6rB$C>ueWkSqlIv){(93d5ORY@W48QDynn2bop2WVNM5$Vc7dg$dy(%k>Y*_XgeO%K%(RD3?ieQRyBZne~oGj*-n|M%RJ zliZnM-t+&<=L7TRo7{W9-*e7A_vR*(TnKGZ54|uE`Lf3wM~+1+hv581!Zz~d{m>Bi z&|6D-54{6^zQIME9%bA+T>XB~J8W$Lf@`wWUNslVP@5{!LG{q>Gygn6i5 z-4A+)D;WE7cnQa;vdWj|JN2= zmz2?QpGEtW%8RV%R8C#;JtyeulJAls$KHw3vk|d=JUL{q6+C`AIY;d67Dp^~Z8Vza z(>xV<9lx<@_CPdLT2jU7;pQC$k3lVdyDvI%A;<6Smh4n@sx!g+@`7Ip@p`Am`^lzl|%DwX)e{%W4!oCiP|5Hibq#xe+e~ZW8_{RSS z_?voFG*WPqAL&k~Ge$?7!#DT8YpHKV{`QIb_98mW8vH2WZFS^RIrZ>&^|ExMqXU+F z0{h-k`yPSmcPhw@|Lt(nD7blwOLab1phv;uFgQZUtIHdI!fpU}}B~ATkpv@6%3jW|W+KPfrbp?AdDm%pkR@ufgI-bhOanqbI z>ibL_?+{4(>2o7=lmjg)u&rfuJdzh|nn&1Z-{@$^UwoXh20a)b7GVs9&kO3$BF^>D zz0bqWDEXa>bSR5Ei#K@{rRSLGKVmRj;^J@V+Z|81e0Idi;3>$xB8OUVjM4Fo$LWr{ z(W8O>>$kNfdOSaB;;y1Hi#k7g0!7(FoG9`htU?f*!$rj^(LLhkj!ktT<^?7h1!6+(T1JkP==Q6c`=PI)bT+)#D;w$V8Y&!fD#` zY*8>4J_PkW7z@8*0~7EoTnMLgsC=xq&l~$JM@5ID>q{1l9Q~rJ>wQ`jx}ebUg3nLr zVZASw=$SmC_c@#1uE6x9vNGv?5k)n3Fh07z^0I z{6sBcT?$E27uD8ZcbT)x3KX7erf&~oSv+1ppXYa2a2H*h?D7IY4BjE+a?c-DN0SKm7? z_2I^=d`Q^G!_3x*cl}?t5jJLZtGllF{*42#O1zv5by~Z9Y)N2CF>% zy`5OuZ(yQ*16-(5?)6sW%Z(3ieHUd~u=*@P z9Gwq8gCM>VVavW;mt&P2#2pU5Qie6J3+R_T)VLP5r1_Z?gSzH*Il(w=cTHky;Y64h zdi&^C_WOuH*&?asukvtcKsYNTwbv*9Z*%Me-y3=p>U7NX-Ko$NGEMDx0ttE-55G}Hvqt-q4$rE+ zJbil;X2R_FI5o#^Z$~e{H=bj+zlLbJ3FXv8w7uQudGxy$m3zI!-_#tt{q-b&Q*-S0 z7gOq$Lp;ZBf7vqH-^TNE-vK?N{pEc4UOSJzJ%ygNClsq$W&0aNdL0oCgAs*%Wj_y7 z^dy5JF`5%YMuhpON?~u>f<<#|ND@rRr`KUZm|#kpD%MfrF& z1Z>F1lP+%F426$1)34Sh{PrS3c2YrvuZ8gF&eZlNi@J}WP0~xrh(#=w-dlz$7z`_+ zR}i+Z^#o(7mBNz*(KKr519 z5xEl4qtco+ZR#=m(SfqXnx~?Yrvt*3=3m9XP+d*ojFl380^{%The8@I?oYmL>lLVG zp_zU;8HYLta0fhoU^2X&ul*f(b)*&kI;Q>U1U&*LycF5)Be~rKJ8ff=WnT5^m4U>r zTVhiLnn&TfCuwvU46CHKNTOQ7)Ou<8O&8J#Qby<-sE^yN!2@$M)B-EolAWrx%0WeH zk+6n~slQ9q$Hd+g6SsL0LVwEQ15uR=>y;@&igtu~gxy$x@W^^94C(!h zXo!wefpNnwT`B(aF4R(2)F<`|UD@S%sA%(``8Dukv>e#}c1~LdZGWy9-cRDe3GMG7 z)^~@;mH9hH2eisWRAS+@Jl4L(W>AFZA^h#g7%co=V9yohIPNvO!^iLVg0YWj6>4-q zn9_S|?BjtR)IEw9Q?wSaPIjkPy;wH+YU5xOItf8t47)m20;+hx@jzwgw#TjX)u;Ux zukKLXcrV>CfTrMT3;*42WhZu0&*7}?u7Y5yDtiBF$8Taxq|SM; zdxP0A0h8ExjT+W>Fg}N) zS?a?O-VUiM>N}pa`5nH>$L;I#bn5`?sq*n3eFjB1`SbR?V5(yEla7aV;R*GMU^F4e zai_wq1!#ycrT0#^KActJyc@pSP~u#5@mVPpMkaYOQyb1>ll;0{_VJV)-z>nwrxoMG zX}0_~MX$mo7IFTdx8-(>O)@A_FNM@8Il)*eX2%`ViV-G09&~=vAW*hAocD35MZ%Tl zyR7Qvkh`7#+j7XE>5=PD=c#7;2`@B7xT0XT{Kw<#%d{R~wtR+uP75Hk9AdWoJ^V(? zA!f^0FpMmRm@WS(@LPdt&A@C~pW!8scP;w%5#kC*uBPP>v*qgof1~9Pw1C(dU*Knr znJr&fX3HnxB}cR6p9qB=F}0MwG!|D$kLsI%c0bF@anl@d zr=&gopxx&YNc!oMQu%@Si>^_U6$InukbQC7M~VO)$IBtpA^iI!9|sTvOWiFqYC1)E zPn$&< ziq=E+OHqXkhCKvpeN?Sr+(WJc_NfzL9@$_j%6_S|#T{dF9Jk)5<2V`E^?EmSo5kez zksqMUX~+SR{E#6j`N4cHJuZyNfmklS|H*9mI7P3nrY+WlM}JWv2Bp{EOa96U22JeX zZEraCd;(=ts2A=$Ex|w3Mg)f^pSX1D`_S)6H~X zhwhE!{wo#V{AITM%Zq=uXSV#iNY`(uT}|%SIUJ_Q5J8nGk-MoV9|#H}cY)n{F@dsG z$z3t%?4h!QJ|nrf#zRdLrsUqgfeFilm_MzjAu7u74?59&aihM+(X`+UGySYv8_nPO z{DL6jjZlQI16wi1w=#Tv1Z}wd$t00nzszb~AZ4#orvN zRxpm{yhoKsm`7;=FDF40f>9%Qwxdf8(WZp|c>{iaAb{}uJWcqY-AMTK!%zPw3NJC! zYcRYmaq)LJ48x!fzh|9={|A9QHzUHoh48hJ@K2KQC0A&{w+Hg5NfVBjwo`=+N;jTq zA?Kz9W8tsn&6aO#gufObwI}5`7XI5JHAI*a{y==^+w27Cg&Wi#4Ns1zXPPIW^inhZ zR6bOWvmth587XU)&u6k`OUaIZ>xMj=k57lqmTxTjRUFY!@lM6*CG>Dgz+iYfTz(3C zOSWLqsqcXM7oI|=zErR5+y;l?N~ce?6V~w5_kO>=SF4H41D57(Z7H|k?k8P{3YTF) zz>76=607+1CKpL!UzWl<8(j+JuN-|M^RW%$ADJp!D$@3kcZoKn@&Q z%BAY$IM_?|a4R=w=%ob87Ip1P29D6`y5 zzmlqz`VCwtlT~=7z$YCPf6$QO9!*hZwtNV0&Q75oT`C?{(DF^5L6Q2XLlp@Y_u)z= z!bGaId!mg%*18#0Q4%t@QJ$ zx(E}^!~3UyL!fMtX1pK?^Hh&;rTNK3{c!vkX(snR;Y3pVOyA*Ylv!z}pG(%}t@jX>_6pj zTrt8t$^$b{_5)imYQ(4+XUZMzgoDzX?S>A!&Ix^(-O?rBQ*)XR=M+s8Vo)@_1*}^}FlgdD)PKS0GYOPUpiD3eyH+MD^j^|q5U4poNcE4+9Z`lz{g(AZWCx;&31P* zKW39D8mFo8STk-GF;NABq8WZfMS`&(;WJbhVIpBg6 zr5OSEVU9s<;6+7(^$nEQZnx5xOdF^MUeqf))xb-mH)$cc}C?ZWT>423_012QdOc$y67a1oL53wUG!WZg**mz(HkiZ z$~nFi7=?wxKU6K+hIJ-($B#%v-nr0l-V9d zJ*YP+=t12FQe~OQ%x>Z7A>P-apMJ4MJyoGX2K5l%q#ziFI8HUPeImUoQYclS2|12a z^FZ6o_H_tTk^TGzW>;5ICw|sPc6CDG+Ra{*7oK_~3ZHAHpDxtotd<`jpeLe)bJkWF zZ9bLV$~P*9+0<^_fAPC%6NMNQahN-0x5@|><*YtWpYaHRvXRT7Qhiv9mn?rlcF-rG zEccisYV!$Wxo-VzV>G$<8Ap?=y-cs?2fNKe;q#EZ{7`QAGCi0Petr>hsUKQR6D(Jr+sE`GJmv1O3P2eZd=>5 zLn&QbbFT1|*Hy|otK)=yQTlw`7og>7iX%z8~Px zt29LY)&*Vt#xC7SK^(Qncc8S7%b-O5+vp8sn;PE_IQOjovihwHvQw$YV>Zm}#x7wE z)ju6Hyv2O*dx!3Uq8FR#b(vV*WdX%&KZ|}*%y)<5Tb^D4goR-r`&xd4x+gtJZ<{6P z5&NhI>JS=K+`w;zsR{Mqka{jLBDa|O5lb!57I%D`>p0I}Qd#O?IzSO1qzH1Fi+n1Q>_Y$@0 zdlvWx9u>ST|AVu~q(uG3Nj-5T0xWm9#8C@gyJTj2h9;53HNt@yix1S)4`^NXrAl1c zjU&@=*InqF*+f7E8mqS(blr7bs&C$T_q$Q`rC8vqRp0V`iEn1(u1oP6tKY=d)o<<_ zm8|kmtRAeU^|K-|sMY&gH{4VQFqvn)o%=2Tp#&qyR_$9}Ad(rU+}OzSv0px}YodXMA|(WK?}Qu1c5cBHz~geeWDSm`oyn zH|ULr>|Npe9Yax7{l2G7#ADz0ftcQrMI!aqkEiXz>UUF0sQ~+abjHl?>Qf~R)xYR9 zi2N7fxz2q*dG+uoQ1umN`fayXy#;@K4g0b0ha&66k-xK}tKSZGIw9mO>T&;$nYD^U zJXTMUKQt*=q<+?GyCzxv&W`M4^|PRUJDaeE>N{%Ys4u`por^wc(6~KOW(81%=>S1{;)n`W`EgljOwK(7_^AYf)Ee0jvv7Vj%nJri(ddDF70gu$f z^0Jdf(?oYvfv|?6UvTQrFkcA56Rj6;>J=`AZQ1jf6HrgyO#dYlO2t9EI5gDNPqc<- z^b@VYi02uh^Wae`V9<$HcBqT$lt=qpG$_-F*6@sA(TP@a(PrBaC>wQCukv*_RQb9SsqDLCudh(%DvVxGy2Qobk;>t|wwjwA zk&5qDG_$)PyG>8xXm+7eiF?tY$Y)SaC$9jn5h=kUm5ZM~{%-`zR;6T;Kz>(R$yTj-AH=yhBug1DJZj*c26L7{G6DAb>aYTY|Y~ z&ZmXMYaq1{x;BhQGdl+H_bW)HNQ8ep{)q{^gKs;y^iF6#eK50T!0%|IC^NG|AlymN zri8QG@tk2)$YAJp{P2US6^tsz60t>+0^DZ?Z7>6=ew9yb@>}0Qg&ERzlZhs5j z9)M6*%et?`F+Ib|%|PK_;F%)DI7xBO9RjI`x*~j-g(${17CyAZD@81Ihmuh_I>XGO zeoT|8As&MwbwS?D4zmPfsk8_>v=m{U3gH3P-e)dXx@ZS&abO>fig!>2ShHUp*l&Pj z=b3p<|M`ca#%r-IR2SHz^G4-37>fgYclbBX%-h2WH(_Ez;b&$C6{rg^H5ra7$HE_@ zLr@Rw-CaIV3+#U7C1-PBZ%_M_Kn`r`9fJylH4N-GH?a2in)vrkJIYxjTecdBdag6m zFXUX0c)gv{7N#-S6qhBlBa3nz2lgR|ijfXs%E_-<^@05=>3xktQiPx3M#A^{nAW7pQ=q< zJNkTs6YCw5i=PRM0RwsuM>c1V?vy0;)Of6rZf45v=rbrDy{ZI{)+jsz3deeK92BaE zwhk_wy%~YB#fghdvQv_9c5R|QrfGq9HSY6>V_I9_ojho04-~!;n*C67w7_fR<0mDc z6_;KcNiD^?@U#OnYvdVJx|nZn(cyKMf)TR3bL5~tk5CEO6e>!a&tAN{?4Zv`Y58S1 zdMB4KrSu;`Rf=9+N_3T?S5Dfb8%qBQ3qe8bUhb4T7miz90qPTMX)= zcPa@MoiWUP>!?jw^e%zyWYJ`~z4C-L6urL2)Bm!%5`EHrr?{xG4Wje4qQ|9-%BrM@ z-pAKPPeO>to}Phjy$frlU&)!qRDbI zJi;1^{>H5i?{b%(p3}MyU8}#zOn+La6`gU7%2H26Pj+BwdcT}eIRml6iEQ73E_x3~7d;W8Pc0EO&C}=D zW_G_EgH@snf<>bDzhUJp7QKffJ6SYYZlXn4L(%`K>C?D{r3+8bNafx~jlYKIQmyEN zi~Kta7M)4zqV1~eJq_V~MnKdw(R(5?4=ysOi_Rnki$wRmeZu1`+Rn&M7EP9$rV2C^ z{bh}(%Uy~-HaC&3OWk6oOLd8CYQR4=VbKRvd3uVadwNQq%)@jgyz!7+Gdne4P#1kb zL9j@4s(sJ-EP9G1J6SYYZc3i8hN3H0)Tim;Z>ztuyL$NBp64F%F>1UOiOj0?^zJ32 z((1@cW|JMi@5{{Ee*C^K^u&}=IkCueMRet4bjRIG3~FQY{sXr|(z-cmW}9r;xdZ+% z%8!(&Ucp%O39#HjDZ(0y_9D^rXvWoFLNxu+_^k{_Z!~vf)Oeej{=p4JgV2dQ+Pp8kiD2_;W(E3Yd_$$Pp#hGu?araupACGAm!A2Ibnj6vZ3o-S!>f?l4(1S3gHGG=ylOR|$C4J=@uks++1mwu=Tyzje|wDTqV zuSAW%HPc`DwO-mk#ZU2LFHJ}PiUV(Ij-EZp1S2nv_TkJFgPNqn=Zw3HB~ABbCkLKK zUdj>HP||;EB>m6t%1eWX40#VV{?1JQn5>mF&ZFN2iI*lgx}^OA`i&A3j3nWwGud$- zgPNq?Th6vw(ga6#vX{o&7(xTW8cO=1Ch(AHx(K}8yrrL@#^0Oiij00y;O&S0G9e1Q zNl9JOj1>J`i^yn!hhGR~_ah9TCTVWo*@7iaO3F_55`H(8ouS;?P}29+l2+sAGF?cT zwRj66afg}ySE|-aO$DQJ7W~YCH_g>0?Tx^D%VC0%m(D@gXetQnC_Rigvv+v1HO-Zs zEa^qqVDB7Z4JG~HSEYy5KXmD()1T|{18Tg}On+Gyc+Ci|vptctCxR#LOB0Ipz6K(r zd1+}1=^1n!N^PJ!RV7p3~G|*ezpIuENQZ$Pf^K|Zu8CT zfj$*zC~2!|FSTverKANnsh_z1!AyTwC+T3vsGMetm-bHRlF}C4l1yYYFRipNcXSxk zB=uT+LfDt~rhTfTDM=N&PdRN7)=*NPL{;Fm^>DlL(&0C3ISn=5ZKnTMm&6Z1sJ#|t zyyhxNvn;y0fRdV|^1JZT0XBo0q`~XPhC^*L?TaO;iwLtU!Wv5IZ&yp&7B=pDs5KLZ z4nd87G@I#>-Ai2j9c8>}C0w~EiI?U=L>zbv9Q2k4F(j1Q--zAa`^>s;30pC0#qXpjN zyqTR7f|{33THiW=B^~N>3F%8W+Gf@%5Y|{yO<(HUrI%)3J(3ps{$!>mkn!xMVrKI5x zpZzsB+=JPJS1W05-l!}}iljrTBrVM27jYJ|m%ytmcg*bEe4V82mhEA)q(iDDl^;as zTc`>&_EMrc@cKGk^(A9m58PpuxYtbor_M{WAnCM%NIJTzFP)Gu%3iTX(kj=?&Z?KR zYWJDtdCA5B5ITvhoB zIu>OF8{pD$mz1dT2=jndmH|7wC>S+jRL%>KB5lIx5<{C&_Y0-G#GrMrDO+IF3qnJI6u`)hTt+oObYo8=)+@@c6Jq=)%hRz-H&D zKtmT=L3LCP_PUakwNBX#H9m+l52rRN4|Dl<{w%2lT`P{tIVmh!ctl2%bX5`^&1F!F z%6&d>!Tp0IsU;~pdA4-Ek5Mi~SVKvJ6V;N2I$cTHZ_FXvp~i>crJz>Q2|2#9$CBm; zx}-xhM&)#i$mo(v$ZpE$6oV*6AGcd`QzLI^3trtS$}6qCnaSkOF9#hj#0HVlr+?>4m`{2N>cx)XP$^=9>oI}>L!3K zCB9dgC1o8w@J@u6&Q38w@lu8EPHw4}bmY^gG_jYlmJ68DfNlB>FuROFG8q#o9SOW%nZxluuwES=-DWiC*_( z4)wr2(PHf;IjVv|EeyMV&}}*|)*j=_PO6g^Ymcx6V?TCtsdmB|iXLT0qG^S?4fmp~ zr#~7m)*iO*gkGreaflW_Mxy8E_^pI2`oxsJLY+a_#-a~*=xv6yX`;tE7`H=9XrgBv zyve^<^oc1h5kALHtx#u5g0bjfKE^hOu!f?C)rcP9b|reh+fTY2H9mn8GRc~e=p!+B zZt9DtXBKtQ^WgVb^sxx#{vqAS(;Gqbkwmw;cTRlcK)jQMmgQ#_WoNuBKhGD8MemI8 zKGq|wq3Dr`8c$nYiO%#J`!Qhp1m7THZY-9))y?qMjsS0Z-dbksbR(*O{qN_f=#T}d6il(15o4e&| z`}9!==#NU`>ElAtbA0N>E0VhCQ!ILHFiQ|mmn(4QQ7O>{%TYSM-AZ}0PGr%?xw4b$ z}~CO?nY7MUyU<(t_hs*;AHx3{WA5A(Vby>idtU!a+%&F1cQt!OvJ55;8B z7kRqqTuv8#W`Q22$#kRe{?IeCZb~#nq8HxM4+f(|c9ADLW6`;sVC?B#;psCAgf$jj z6W+tS^t65KZdakkXUz29leMCA2|g!d(M$0y_Vm&~7o7{}L3m6z5>01eN`mUlk?0YZ zY z<|i;4i$2qiU&U!=Pj~PbXqO4fd`q2CUqD#3%$aBJ`U`p$CwykhP9>@QzYNtxSVKu; z?7B1Rw$TBfQMaYs=!|-wuXbLCdY(1Y>oxCf8Bo?e9|`E6=$ZX3`gUqYzvXsufltu* z9Qxv8DB*Y$DOE1V(b@#x zU3{T080)d-LE+a0nLJ;B zPPwH`4QnSnbno=Z4ujg5bH?mlKILdVoAxOuaj&RK<@v1CK%-ux&`>WP^Tto+0;S3 zS(58<2^kPKLNK0T6V_1D*q~Zcs>{GTWz6(4YHT-~ht~z(*@3=zaK5EWYW0jtA4Nv< z66KDw15~GCD;f=UD2@9IOFG|@oytq)A5oyyBdnpMoof4%+g0ElclG2|sPRR!xksIs zPWAQ0gR`n6U6eA)pW8%6lSGRLr}_+PUNXkbYh_7iS+bKQeO|ywpCYWGq#bMe(vCq_ zl18l=m_>~pX7dhpl9t00O{jqfvvW$im(IXMWiv%4mZTOBmV3mI5?$n_v-7iGWJ%|g zWT%o;>4EfchKkgNl2ZN#GQ1sT_}#rI!>?*T3MXQTm(1p#h1v|iG{--8>TgjNUzMSY z$g(`V!llb#vOIiFk{=L7$rp*&#f6!WayVS@kDHd}L5X_1c@i_8QjbbJX*S*}S>TJD`T=9hV~xUkt=0m*w<%#}z64=Pj27 zMkV19A$2OmQ!JWkT%KW2nA1$-vYcQXhcuDCLNQmf4^Q>Y0oLM^wtAcnl#O~~edwbOc0w_I3RDqktf;2 zKnqA(;a=u5sC#c^Q84!2#Xj_UgvH)loHMhx_=Isl1~q-GGfA_rvszK`HM7}}aV!eR zU*>6{TO{3<)FoZ%A|Oj5=~~aIEDnf)CW%(Kf0<`cmvmcFu&|Gz-(Bev7E3x6=D5}) zOi8k9B;g*k#%aS^J?ae;-tKvR(sb!Q;g$LP7S0-`SbB-8j zl4yndk^+Oeq?;VUSkftwbg4yHENMjnGL>{CX+N(n^RHhhee8rpl=%mZS@|2(^M=_x z&<)j~BXS>WEAd2w8a1=m!9l;a<>KGXHocIYC}_QkCK_!e2BlZg6!AL6OZ6ZZ$78&( z&OCS7XLO)!Ro!d(dn@Rst!Rt8*Y=*7z1gN6O65eSIu^%!oj7jUyaE3~!8gt3P3jzX zolDF4;s$tP$6Z-tjMki)%{z2l?6@<5txgf9 zB#rZHBn6#FI(FRg_n_cgX7grVZ7g2y8|6ofBI%kymvmXeD6c@AaxC7MFe+yT#2}Vb ze#AwF^cmD8T@wh#v3S0Ngh!Aj>E?u)y-{K8C08W1O`ymd=NbIRhDPO4{l~i~`nK7O zg;YM-#IN7d0fpd=5b<78Xx~&c$_M4B8TGaeI>ab{k)gvF}opu zc1CCT-A6W~ws*|tAyzG&OOe2q_(JDbzEN&+x#f^C59XKVtLYpRnAu1`Grrzw$QoFHOkX1Y9+Q#g$CkZ_zXtY@y+aQE@6t!zKLo& z`*ucW-g|=!DEJq%dD~ zRyCai+)n6h@k;-{qF{h=w2qEvQAQFv9_Tccs1=P4qs+tqZYc%9JM zZ0*T!qPF+V<}EU{*16s>%HJ1+&hj>)cRaQ0Oc!AyelFQ*@@* z#Lj`8IcvgSmXQZOG@A$cwREnw@LchX(76V5&Z(hOzSBq8TGVuGoz?&ri|HJcsJ71J zL?_lc^%q-Qg33NJoBP(q&aEDPv0V~6tMQE{#JY9L@4*tcdJKwn&H=^M3RBjZ98}Xe z$m)d7{0nzmgxWqfn};Q9t@CTdPA^~R{5mkoOB`-Fik;pmGy7}8H0vyb1AiS5rsy1C z*U;(AS-X5dNjdQeF76a->9i${%1~SAT$@7d_;j!qJ2-L3wj~)9>zo0LU7I3I(V0?X zodf(%tg~XOLj^yDvw~VWzseXDBeo7==j;LG7ebq=;Lq8AwyI!lUX_Rs1-MW@NDrqg6~ z;;d8VCa@lpSZ_9OovWquhXTE!Q|SCIVU#bThIKl7WZcj`d4PK~ps zcV?Z@^G>Ge|GzL-u1kY|^5}hm5gml`Qkz?jI{I#~#GgC{h0aAe(8&?TbgXLYq@7Nz zGwPCqKSjaM&E}DXTI<|{P~O`UI)Bb1ls#%ibJjktnZ2hblyf$mm8Sz0o#vpL&WuDS zbWU9RvwcwT-x#wJwR9eK=v^8@r{EjquE1DCY{)N=&ExdxY|D90tW%=OK3PEfU7o@v5zp z>C8I2w~XzBf?r@|CaAT}9Vvc$0iPcJ9@e?QrdBjMzje&)9VrHd&SKZh{@y1{(V6K~ z(@A$m=hO#Re20Q%T=1x)^H9ks|0C*I_t{4IWRF_W=zQUu*@sFD3Y`_e?z0I~bf){& zbY}RSIO~*&Qx8VLirKuWTW1~5C>LFE)}2YCyfQR|^sMqHh@Cq;YC4XcRhF5(Gf9}D zGovPUW_CtrpN?hsqTqkbX0tBu+#eX_a@4c#D;VWfd1^(o&UpYCCd{`99cfxgUj$0P%RE|N|K3rr_==?kd>y!vn zbPf)x=^W7+ogEIg)}Y{5X4Ct1*7+^QtQpY|dZ!CI0kslar{V-=_O}*;LgzeK>`s?3 zMd$DuI)`@VtmPlKtV6-C&8A|a);hn<3E$uYyY{cXvX=C+4PrEEuBB+jLHo;p>ucMC@)S@D;k}fLFbP-28GV4c{6*r zqNwN`;Z!^8kf0Mfo4LKFqPB0%rYD_RI=>6(CC5VNi4?388X}6FgNhiRf@(Uyvdrug zDZ&(;LlP04mwYTY%}y9?b8P(44zE4!;oG0xX#I1y^hgf{zcZWKleKgnN*d)oqmF)m zf-d1vE3tLT6H>@KNe0C_bmPhW1Tmc>+-f?r+)n81IxM{{3jWt@dc&`!b63$QPs)gO z9>n@2UE&S9O=*?)caH7NMK+4R@CGZI|);fQLd!{&I zoqIrMQy<6bboNgmlnDbUbm%_UdtAa4okRQ@>ja(9ncK7XC=~n=pBKX-%E8?zW8bR9md` zC-kh3N;Pz5z&dwZRdm$7p?^vdrs&M6byjEAIqcZ|&LzEO(^GXl>yLp^#^O-8{`^RR z?&ai`rK6V*fF=GIFc?|KMH(y+#&l|&b!cbS>E+uyflfEj@oHn|o+Ls!C3NmbQrtSn zEk|_rDk4sj)pRy4prfk;nNCpSEV~nDoxOSmZs_f{k=eAqP)p|lA9*KAgTE=#{f{+t z_Os3G1AY~q@`oN~h(*E_otB!|Im+pT&Qg2FH0W$>HkIpo)??^d8DFe(uR|ACx#h?@ z2PDnxV<8wiOZ^h;UWY(MXRcjsotDm=b=2?nJRfwrn@#^J)>`KeHkNKJq4OX@`8O$Q zMeAAP5XyhB85BA~1o5ym5DLO~H)pYO!!p3QE)7e9D#iiS(X44m`S~@;Lc}h{}JeoHu zeZ!U`I^%L?)=yT`*{TGJ`G`)|ucm_^3^b-Q_l+e3L1!~Fy-~83&SQa5ZjSQK6CT~* z$t_284o28M7BDEzqMu4W;Sr{+b5xD9oJ1#d4zqgo2A$2#rf=)$Jf1PidpTkq-=#bF zxaEk>zOI>lJj0;Sp*#0{moPa-Qy3tD&>EZDyY) z3?39`(Vc29=Lu7Ej`pgpGtceBSzA3gYclHUWj1|ON2des$wYLX!33izpjNclIVfRf zJCY2BbktpD&twQwbf7kT*JYcUD<5ffLZ{oO!*4{@5N4eLxTh;?4`=sg$Q za^$Q7Ei?NzVVZUBLp;6a5yo_CoV6g)37zdGoVXe4+6vYw)zT>zaB(W?=p7}a@(Y(* z(X2DkF|)-2gJPYV16Zs?n4)uBP;H&#olfW+@WCkq&_L2``q-_d^Q2>xClogb4?2`_ILZ?>-@suNs=~&ftj_WLTa&PQ*8tC*foBmhltass_>3N~k;nJ;C z+;T)`KhSyCV=$znULDrq5~k=ZsG+mK?!-FF57_De(CKS7eP5S%p7F8H9t{$2p=aHc z;+7*i=NHZFGd_bt=a#&geJf9xqBFmS&ce>DGvdaRF9Dsc&Gg2pT4$|=b#8XWIxpn# zUILF>j_6#C*jXEbLgx=jGy6j9togP$iy!jaAJ#d>!FlKW0QbM(5BiCI+k8T^z;!23G%?M>S#uPGVF(WPHA`+R}FwL!O;ZO^E0>&JsL z`a6z%IAyG#roO!kTcO6@9ZMM!< z2a(xR}>7rfDACeI?A5>9)Yqc)C+fx_+Zv>*+HMQQ}v7TOQ`Lg3}LEYoP;~1 zK$rT3>i(1v7Fj?j51isgdEk)SRy>Vr24IwxxR3I{(}7XB*cO#9rl@zLI#3}JAsFX@ zJyG8}i!h~kkrTa0Xf?^)(KXhO^&s3IE(*2-{y?^M5iG7?eFNp?+pXh1-8+1w*o&U*+{PyR(5mSg{6)6d@PotKPHpg_ zrM5*d)a(QWMkD*2)!Oe_*k@aeUVhjSa91tc=XvN{RTBHWlA~w)i$z|CeQv-$;%H`{ zvW!a7A&x32s5n1w<$0SyvB>do>?@(^v|lD{Hfhyq=*BjP1 zJKP)A&x}K1m-b^`1HnONx_hR!&%X=-zksp&TPorMz9XE1(agT;)BTPKY+A(A^zP*l zls->)g1-{*fvPKUpFgg5=0gHytLpPD=WjnocFj9Bf%p+;s?sQb<0BUGf~h`VX+r$IUWzb}j>9Vxv+cfM+~?a07z%TQDZM8I z)nor6n1$^oQHY*gyH6%=4gV;vC)!?GcUrYYOyaMj89RD5;S-9Q)sg1!yL~ zWB=bQ^(`Fx-^VCGGb0}Rzl5N8?EfIe-+1i*IM3gB><B?aTL{~QF$*NT7&l#`vh*|EAky=@6RB(vl7+I{MdJu(A9gU$42 zh1xt2z+l(F3*1Bgp5*x8Jn;9DQMpF78~1|ptq>9rKdl##Xa258tFG>Yl6$Y)?T#BBP~ zs!a%AxcroHmiw{AFYKm%Yi7U9aSGtE;O{tcy(^P|f8~wJuM{WE6wi5P_6ro%O+hc| z{@4;sB?Q&^zsyCLM*;M84kBH_!h~R(*?;8;Q^HU4>ipc+>O=S$nxEU2#llCOzVi%l z8EQ8DH>ed}v5m^*ki)`1LAYM+OC~I#2j3rM!q4FKK{*lr?~GCYyU#qMvmnnmvlW}c zFcVfNn|(qM``0CrWQ@MwB;ePuaY%pV<2U0)YAN<(rG=;m3@SM)P_162^cMum zRu$XMZDTOD##>cv_wP5lZl`i_`Jb@JS3VU}N|)Q!Ie$4kODBH+zd3)KsiT`AX*)B$ zxg8oH%K2ZQPkTkl`Ty`#ehzc~KOO$YIX^%LKwb}X{znCR0Hoynzw(jc`QA6ElSTl} z`TvGd=^N+#uRV267v}sAi)Qw3dCB?j*+ykD)#*`(`#i_bYUG?>Lb*jrGL3e=cNtvs zMF{HWd;dTMbRfUom~;L=9l_$9ALIn%oKMfA{HP#Uob&%0m5p=$H&MG&U5aKp&-}M9 zWv6%)&2;+qHU45QQO-a3xf6d$pqc%!NS|@epMnDK*@AJ-r$L%6c664npI7pW4HvyzEyQ36#%aTTC1{ zP@FyiKgO5?<-f?qEAwQUI8f9NTt5WyFevcJ4*SN9Fki4wGlIo|@_8T_2g+?ePTXLs z(6RXB`aZG+iv#6TU$8h({*e-FibrMN4It4LEDDsB_t~onB>nUm2MWz5J|{?F94IR- z6SfeH1BD(!{og!e2$ZunkUOuWL1U$><<3h2AiQ*Du9$WOL~d_3m6BmZApfY`=_HCq zx!saM;|Jd;KW2vmgVWu|YiK=cH_oKx*AgZQF&GXE<%c~p`-3kSMnul8XO~Z^%aIs) zdFRQyp6XHw*$Cw^a!_ERX~Id@xed^cr3#Vs_Uf>WW zP-hEE#V{vOXX6}yQ~ko(%u(OCUpN~F_+c&hsD9yW23tmxW@k(6ixQ}_Re`^8zxW<$ zai>DqFPv@#)9DfQi|=gH*_7(^C}zGzk8MS2SN+223ygvT3$}4iu&7@^l3?5~R>S@q2ZE6_u5Yu*>ruaeNI5R*7mzC06c1%9+gfJ!`>5Th zUmUi2CpDQcp`Qwb{eq+8uu+MB6KH0?v+0v|atB$0?E0-E7A2Q)evj?Wm z<~FZFt7(XnaEx+ChB(EYhg_BYK44Hfj|c3>lwdrar1j<>!oq0GBQs)M8coP?JlFUu zu!KXH>MAP|^}^Mx>KsoKuFa~pJewZE*=+}81}_vYvnr=M#65{}N>27i53}PWw=R=J zn)Tu^2DMq$Pg@RkHi6P^C2A>lTp3HB&ek4-no?uX=GPG@ zTb0s&H;x`EJLt1Ysnc`v0ku0>=}=^YO+4DClwMT7MzY>UFw?r|`u!474ELEnb1Sql z%53^h(wjUD!0DBwXH9UxA&8KQZpSwYHKdx7c0TCzF477rH6Dwnr%0d*1|@25Pf|sK zan#}*5!FSQ7dmyj!v`HiploCZmWm}-v`Dy;dVy2#RC-Tw+s}2UUSmg^7p~c<6--BC zT_|7EZ=Egj{CjNGZ=Jpwdi%6!?&0&ZmZ)PPn-uZDu$&BfJ>mXq6{pw&W!zGL3W+F@ zrz(v~#zxY~2*wt`T{KP)Kf*j5SAH;{CIn;QH|3GCu{RWco?S1TR{Pq{x3y^2mi<c*!5~fr zuQhwf+(87&Ru$6S4;uP{?4Zxs&jX6oG-1lm=eqTB`%@%e>}zuS#(tjFzF<4lx1*Wf zu~6&hZZ^HBNMd_SIA)(HwtKmpyOp1vjV$fjpR;8Sot`LZrl<^r|GL=>il4{B&s!42 zHgL)JH01WeUm@m^pRv}2CInM{F1Pt8nOzTY`$#6!|(s# z(2I)FjWGyzytA1eoQ&0TYWf}yZ9xv$DWFu{2j8wq z$<>N)O8WJi?+Rom+LU@KZd9BcrW+wBS1YjehKgt-F?|E|al6&@>EJ#2WeDFyaj}g} zaZT5^zEgH>c(o#*XyEay+zor&_}ze=LEvX*x>pcu-ZCJS$-K5)>1>oYDpwZ7<9%`* z4V=yXYvD0+ikaqdEMB4t(Z{L!>I}MOgt5mdGWz7?IM_=$-s+#~_A!C7#UB5!gR?M; zaOLq!y~M`Ix$ncjd0$eDf5|OO*jdPIvyX|782Whg{X`?*;;Ra_VR8=_vvdGe=GcYhJ zV~aArZkHm55{uYr75u2f8Rao3-El++(wo07!9JuU`G+YR!Mw5zx=y+=bc8%%m?DMl7Iq?ob7v0HbS~BXC zi%E#fF&KK39^8qdg2AKQ<(4~}KS7{u3iZOBo6g(KmmTyOyLJ$)JS0?({&$Jnus@!e zA3g#l_b}6aw7}*5I1GNe7QxB=aky<%hP%=qjS@e0k7bU`&^yzaL)0H>A~(zxVpNGy zN%^KT+!l=cLs=zYIT#Qkx7zqmhce*S`0khQm& z?pumOll$Y)9Ix-KMnTDFn(EIjvkm0V~#gZ4{bq*V}O7onCb&-S4jMdx6+KX1bpjN>p`r z!^L1Kfev`9qDg2sd~N&X22Pjg++Uq8X{Y?BuQ>K=6d7lx2PVRrL-lY}l2>)ucss*D zzjP#-jmAE;0N_zCH(Z`zvMI+Djqr@-3^jEgT@ozn+?jdZuOLu1CD;o)_a3)Q4`c^@#&LoL5NAi5Fjg7V ztL$O1SKDszq9N+6`3D%NW`da>k_uIJlYwV|MRO^tQUYCZv6d|8cR8W55eiAXv)f&# zTp&B>Q=x9SbJ)47>cTwg1KsaB{?*!&%7Zj3a|T$nPigyQ8@vZa8rbw&~)fB(AZLIshTdE*zUTDswZ`o;Hyf1rj5D24y1AMsBqQQ;DlG zJZU;(Ex}m8Obb|^FeTt>r(xzDlRo-tG&>Rg@I!xusnc{ufYysbPn_ITXV)sk5Q(>l=P)X zZ0-{;J?g5oy7I$~4@EWmnXNQ;yUE zuVc)zuU&+;ywJcu>8nB$vv-|a6ITlnSHPd#`nV6b8->;nG+XJk>Y_-J*80$*)Ec)w z+|w~`eaDnwKe_b-Z#d~vw0@A;+CO8a&vv8&t@Sa!fN|>sk~+q%@B07W`WDIA@1yl; zX6t5%x^tomwAOd@^p-g44%$HLySZ}QPu9NCcf)=}>j#^y+oJVL1F1l3-Hs^5+IK6- zfrPR4R8BByeUk>c6Z!wNQj|cC-?RI!pwMKt_I1qkwNWhzTIb*dYI{<3Oks*Z6nbsX z1e8F>I|9bEb}tI{|3_==cl*uUT6^XNifQei)Uc4&F206^)|yZd z47937?WY>$`riFcxett*%~k`3y4aNpv@Cj11QMhER(uHkMHppKeN&jHBYYf>hkIva zj~K7Z@o?jLlb=Hs(=kU%n&}lesX&XUak`DdEIPg@2NENWs9in5esb$aU$)ovXnls+ z+7n*8#+M4T)+gHnQcK*MQh|w87mPi}pqVJDr0)-z`7V9!juo>Z!}KDH!a-1_8# zj&bXI`+`a9Kdm>d`p;QypfJ;H#qZ(L=R~z=y=j~)RmT)2*cujw?e30-g)Z4GDHv!~ zh3&r^g>A3=$?t*DA!e)Tn(3cM6=-3*f0a@CThy2Lccmp_$%Fq?zY6o{xSv@%#$h`y zs!xp9W!N5h&%zVHa+cY;UEWMDMb)xJ)3`kf0>ySq38W2xlZpcV#MXOQ%MO{4MeDQ8 z)?pTgpoqTawMmZDO0Cl+0Hb5yypC}wPsASH=r|6hJuSh6(N7Det$UyN9cUeDwvK@7 z&X-E?8Rfvea)QQ2*(IZ4VK7YzG%TdGk0%&tRRxppHke87^ZlMzfYBVBxfIRxN-2-e z=$zmHOHg9O!8BE86b93N)tE;H-6y4&P_w%bTk8F7${^yO3V_WXz2v*B7Yd2uoW9{aH!SV>qPzq)`A61~S+^HzF z#YXXONpSsq-?$R)eYlEZjb z;Sn}B5HMyrE5d479+hZd%N<{t@HJS@!+F1Nrmwf8QjKNC5l~}k>loW|W;Jt9j^NLj zdWJUY-ZW_eVp3iHU8^YA}!G0XYB zV6`ld2^z3maPY_$ux!CB7Ph=1GKa=;rY*I`EN5qQj9I3GD$J8_{28-s%F7c1)M7#-uA7&{J4X~*&H;2lS1VhraukJyQyHe|P&Gwy&X9kZ2g7WqX~ zi#BA>aHVRJ&a;NuzJ`TE_R#`qbDKG?fVClbs@ot0H+^*ZlVEf-PFqT5`Yc;2&_Zx} zWB_8s!_3^A97tFgg4LKuMn1X<>*W*HoRP8=f@Hid=6^k`Zg*_i4J_xIt(zvmvM3d3 zQ}-h*0b{$(De2fYHno1FFIcUO8crBCD{p@fT0h2Y-3qP$+>;748_kb2#Wr&Ca-i5q zzSF0|JRM<-ZFFo>_SCXm)hLV2R~xS1#1hpLDgA6Z7g%+{162^A$=Sk2R@+nbxm|Vcwq($XPQ=QW-Tm-6QNQ z?BR_tCfu473|(;j!44gFMqV&QO$RW{26=;l>d10lh^^j3c$C%Lx869Ir zC%S?Oqo0!hdsrhzZ8Hb779sirxGpcXXtWmC0+Iok!r3~7F#j(v>Bljxl~Gxt)kS6< z>mA`9dK?&?h|>(X?)rjMsxiW4&2WodcS=sjnC0=7jxnQEDZzd+qdmqQIuMLbGF$1o za6Xc$<@!@SsWrCI@p&EN))!lXp>^#P)?BLI(f0lZF1}6s#d7k{$q3~lE`}7P0?r+bdyyWjxah-b99VZE{~3@Wx1+R7R^jgtOCnZ%+~IR_EoM_sm3;!w-!`$X6qI(=p99=Me7E+jDXY} zhy21o!@`bvTvV8j<3MaJ$#K=jGhTWw(ZI$1#&q8Yj84V%E@b&%MipqrTUy141M%4C zKx&(X;-ol*hsb$&lTJ^Erx4I21TQN1tn`zII3N)4{_yST(%*p|!PD$FBWo^0zF z+j3<=uxgf_+?{Y-{*af!@(i=JU(!ssWuyX)<)t|RW0tFvI>sz7@T)M7SYG7n7_+<} zYNVQ_x%7{Xde0DN*zdq{30Qh&`qrpYjpel^y(Nyz>unulmN)uUm`5yEyE?`!uZR@Z zvTPWa6D?yLSS~eN2PVw){is^DXfk|?AZnJvEYHdbsAABSZ~WPLTwWc>(bX)Cml|Fo zm@v@%94wcCCHnZCk=Zm`<_l75?C}dzI>xrVC@TE_T08SFCyK3&X9ipVfdH~ri7hUm z0!Bnc1Z@ydm<&c#z-^|*9T8)Tva0RAYur&$8%5kT;D(CYqT<3h#(nq3-Rt#g>~_1Z z->IrORVR^l!t;Fn2dVs0=e%#9s;*u#%%Drwd~t^MQ?f1}+zJw+>eIIaJw}s1V9AxOInoEfe)@Wz1L%`Ol+}+;d>D#lIh1j|P1k`3M zT&Vp6Yq^v-yGZ*fTUY3W>t}1{)l(LNt<$(WF$u>5ql-}C&--h zStn3Gle>GDc=|DnhgZE`T%SQ|YGm#$`x?j~UN31@`1D9uW7yfTT`Z1k=xID{J zI?xT2)KPJnLz!B8uS2Ac6korU4de3R41QAS@--*s46)52oqhrNbGSP$$J38nn1Hxk z5+F1#?@UpqT&^gTF(2QlSL5=bB7RcoGIoX8+g`XaxI7o;r31IgT8OyxF)69#of-;t z z=c{xX`+eSw0jW{o@^nhK)e0--~jmw*C{G`(5 zH;I^9Zr*3y5^#ADtS~8_UZoQdm$#%at8ux~qfE`W#icUl<2&_gT;7qvPbyu09XI0L z8^-qomltz)1k~~t2NMvNwW+#jz2<%n zE-&Hko<*KstP_x9g+ zYV>e`(A4PG5@o7JcO}c1FKTp`N13Y8T{^bXW$ayUkBv{A2QDw;?(R0+KRTF{xHPwG z#--^fm~crq$$UycLLa*iTKEZ$WHs0B1N~VucZRE%njBmt`!JjVW+4~Jc^{#WQ^UE; zBCO@w{lOezEeqdmHZozWsxRNSxO6}rIJz9ZF@_0tTM82-^e^FVUhW|jj?|a$%k?lx z7Kq`z#i#v7dV)Pt#zr}UtxVE>>dW^V;S;rqeCo^h8w-?;_eAW=G`8i-_h+)C-(vOU zd$W!;U%o${!+!cV2sJnPl#TO*#tV7F%5F90wu@Wx_Ud!U1yIE+;8qcqJaeRxDfkrR zR-4#Eb&7ok5jlN4=OYyT)aheYkur6ltSKR@I8f$p5VzzV`NlrCfXgf4Kq>I_O<7Dp zTt4X|G%lZaC{u^?+DsYqMctk+P^Mh2jCI-8i&=Dff6!+TxV#D;VTc(SU{d1pWe=fo z`E0o(=)s!T2DG25<;&WUh*d6Mu(6*wZf;!bCE&Mb$gf1jf4hPA>bub!pGh2a%z|ZL z?rQGtl;CN4(FWg*wl50N7cER?%r&JbQzd)`daKPCc{-1*Vo>AnIz~5*xeFX!!(I4` zcIjnBOhC%@Vh*8kv?fWJa|8&IZPuF94%U)20jhcY#&j~0+sy6hP@_4eD}19!toOh9V+TneFa`BIiLHR8=q8T0X-dL^|i z;wKd@=fqx7A9CR?yMW6Z;HfSg&&x8HfVh0cMrd3H1bxs!6rZiYibHqBv=6^Ptr|qmM9zViGFWVFX|-S^fJw-PSQ=US{SQO(oL`C2va{H z*Yr#olPBq>w+g0T`AK?O5s~4Qg78=x;wg9{Ut&Y}ff+!R1ZxJTS@A&)GVIKDwLUu@D-U@8l>` zE?+C#8{v{}B38M4%Rydw+{AwW)&H2CUj>&p!`)Mnr{51S0jcG48XA{x_}J0Z^7&F3 zgC4BuHAH5mY`$yK3yndx`(2s zmd_`#UxmxL!|a%qvdSuMVm6^vbn5o@kh_IMT`ZP@~(bEdhtm9*EpV~lCS?nf{nXa;5 zP(mnT<#T|h*8^k~Rh<+2KL_@mHsMonc^g!lt~=Q9>|6zZy~1syDz zFZRY9n1ZP_>BT(8R=SKm+IxO=!kgf78C;v^c>290CLk`K%_0;^%H^9LWy-&TzE9qZ1I9cbC;jj(GDuc~sK1Y`(3W`Pf6f5|=t( zh0D41e$0sPJN)Dyz~x==T}A@VLPe8We|vR*fY8)(S&lMQ%g6rak~KeV(|+pcc}d4s zxQt)lcij8f{^0U%s3jcZPiHVGspY^VLgR8=mNMmXLZOWL_)fiIEqTLlDf|RnR(BnZf!)5ByW=gMhDB3vEi;lgd|N^$sw0jYa8#sB zj5Tlg$|+;MU_WX{B38^us2KdKwn~?=&vp5wBgD5I_rX}hO8ImV6X+QU`|cqmF0+&g zmvj^T;?Cu~;foaZt=i>Ie{T02xV)dcyLdcZv@rp3`CpyYxcsk=9i<}=->KJscKKZa zdsn(#8F$thS3BZDaGB@s@FY(^PvrE7{~BOc_{GA0m7^Pb<+H&x zb8iJl55P}n*l-o;V**mQC_rfH_G5`MRkxpxr{Pp%*S`?)wujje^B9a?k0B3*}CDazK?** zhrne3Yoj(qT>h_!X-zGQCCZe`Ps*M9*h9S+ALj0ES)P6& zX;SOs`C|v6artY8GUf8)LK*YL9Q?$lOpW+gx?iQs-@O=@9coXR2`(RjCzT1Fe#^q7 zq?TX!2#w3nij*mr|3f6t)?x*RGqa7*)bdLYS*6RrtQeQ+AL`nG%a!omzr)jS>)kXi z8#@&-t9IE~^S4@JAMq;s#hzyx+k4oz!sWaToEVn_+7Ejk(mu-F85U0mDNI0I_R?96 z%kD+&C>=o$-q_YDV?Mr9ucDtE@y4VU0hiUSjZI7sYI~1r=4@->N26@WlQPJ3?%#l1Q z8r$iFW+b~h7+c|TZtQm<4dc_FgUctldjiz$SuJi{HvFNp3MJ*Ta|V?J6E5i{nNJBw zKtDC#ItTbkxl8s+>~BSyJO7YINbw|hk4(Z*p%WOFja>_v6dPDnuA@El&Lw`F^8;bNAur0ekC{xxH}UZ zy_LrV#LtI_ZAeQNtevgn}tY8)cDI?P!m;oO{60)7(8K3s(mLCNPc~{sTy@~C{vDl1jwp(G-S-|{@`decPD#rUa&ENan#twLueeCRbSVrv0I+@Q;yo` zSn22=zI5Jq#jfvxk!QGj1Q>aV>~YJN&b)C`iwg9BcffL#snh76Wk%Ftw-I&|7wXk5 z^QW&o5u$3I+U>EKS2-+IiKE4!W@0_TcC_ z?j9BJ^hcNzUbUl5%JyA4YWT~-p2ks|GM8$w5=HD+wWHDV$A1lu*1*!_!)*e_!z(&! z_`QVGOdli=st@`NY@~uIM;iyos&+L0;ggPqw9j)ln}Jc&VKq0;)h z+%b!>RgZ4Zp(ECU%a>s#E%5ZeEKEQ~cW4r!aHLk!9rBc^(cPx3pBmkPDePBKx4E%j zlkfgm-IL&`8AcaY(tl|oQn&3esa&Jf=x*&J#JcguZM-rDJ$NH8Ql`#8edAot8)e6g z?s2omE&-RXz~w2pTvfoN#ARR5Q=1vxeimiwB6{;u8T0X-dKIzC&E4lS?p+B?&Oy-c*h$D*pw-kTNaU3x~z*E@ovLrp9e1A;O-$lPrsPL1jOa` z0Yc+)yLGr6=+l1YLRV1{t6UCBV!v{i|8OH`^%*)IT)qkawE=4RVt@&VOO`{3F7ds1 z#ajN2`IM-BEiOI$1YA~k-8+5NUWY@9x43(30^N z>Uides=pFH!jz*-YlB&_J0cFb37>_dLXdfS0)s64Y(d0{R>VxNuK`0!vy+&E%CjmPvNPe!_$8z zF#%B@;UhHaBOS`rzVA^)R<(NewHk5a`HZ`V!MH~yOhDB4(9Ech(KgM#?~}rgRjYR% z(xwZje-00zQao+G@+azbdCY3mCwP>peIK4hR<-)VAqSre>R-T(hR@UH2QY|weG#)7 z^U(M>Q~A9D>{qq=&|il22KBGt z8=iotf3+|HQJ?4_H0r|cg(He`5xB7+Ht`h;~<{vfSnDu0xN!Bwj}(+-Aj1Zw^RXPyF2hk7`P z`V=3tnteYYpiIp=Cy%UZ^{tn7UIFUg!0lItr$6y90a4$-h|s7Xt(DBaAE;T?>f_TL zZvplHLgkCF*7+zz)DOxbH0qPJl2JcK_p4g{z~Yt%fcm%am=e~yA3PKy>ig-eM*T1g zI~w(45mkTFG3xrg_5t)Yt0M15)!(;D@C9m>?WAF2CQz32le^bB#llqItW8cF!+zFokk4`{tRA&U3RjBu{ z#LfJS3}rn%!S+X_ZeD%CrWFv1SaqZ7*vP7`(WZ+>iU&_2cMo!S`e!XpYIKZ+Sxt?O z)=Gk@8ttFOepRak` zu7aeP)s}rG9t@S=H)CciI>pQ`Y

    M-ub z0wy5)-mnh!Mh82Z${*(=L-lHIhB{cC#vHc>Ec^mLT#@1F-)zi6ZibFrhlRrf>(pjkj!U7Ov6U$i)^dzabC9i{ zt-13Dtp!`Za`(yrE+2}Rg;eWc&5W&mwSQylkN`W1ergIG;Uil=TV20##R~r$cjqK| z`tu@YA-0a7m1%kKFw?`1##SS>+G6V{&DO_O2dn+89lixyzjODJ1h22jq8hPv=sIj2 zxei8@(a^Ix2V1&hhl8Nz6iQX*GGd zpayY{?q>#ZP7$FQ#H?m$tJQ}%ecC!VfukDko(z`|pQSJJ)GV9L_{P2HmEqBi=@$@&O9{2;Ujc4aDt5E7;iIdxj8OqdU)~tLP^YNW} z6|w3v>wGOz>GDB8#^vBEroIj?+i>@M4{rJclUlnxCyUUyJV`4Frd-a=(0)CAu{Y-i zlqr`dm5^0ElG#MN8^C2-?#_lwl5e#T8Oh@v%qkqIxpf*Ma*KLS5nKyK6KWT|=&OU$s-GnA<#bG}!`e9`ZWBxNl} zrtT+eRPbW|!p07(y1Cti{Q=U6Mg1qkeIgGBs|OYIV_1tf+JoOpV*cMdYoO>*SH! zj|Fp`;M$?U>pR*QN6IxfKqv&%xGgA9rpE13ADJoFEdjFDj2!pm37x=5XYQT@>k88a zAx5stVKQT6X_7K!yBBA9eJ>A_k_mKU387KHMk@&>CJ@~u^C^K# zNIijWw(*nJ$~9)i%qd{5D|b)vc>M+r#*uPe;~^9RY64xh4#PPeY|6Dbg|V#}IW0eO zG#Kf|-MJ}Vzmbn|#KIaalJM3w|>-$<5M+7d)Av6Lv1jt$w zn9|(iQApMUj>SB$-#UwNMBpl&%m^&?uw!cigFaiBgk(LrdnS~qH?(onCAy}B$Ov4O zLDrf;{gB!hK%f_QFNDd^*~2)p2NyU9jlj)$WUUEwv)kPa$$E45G8@J~#}R>C0h1Yl z%WY(>354YCxaq#*L4b4j3>brU8O%lY;93Wv5xBO1thGHj=%b-OLbA=cdpayVy?u-$0#|Bg z1Qyu{TN4=bY`-KV>kE&#ioAYPz2`(=Q67^Sf$Ou#S`+9tWau%J6zoF5L8y zyab6nzo^A-NfBXd0$VOVc_R?$2T!QrIA~kIII;&fYE`obSEsOJYXa%<4RF(6yCpm@ zglQ`76LHgfa6<->5m=H%)|x=-%vlpbU@KVcU>0pq!Z@-A=WA#LZuGEYYXT>HHs=9I zwly4RFv$IUj3WZqSqP25g*LL*1jg{A_Jd^Gz&&3cuBmk#5xAg$$&A257P8g^hF;q4 zc1YGA?j91nzMC#B5x6&x$&A1qh}8Fdg_zqDI4AFVSLb^n*#Pb?wBVc^=sxTGx&*L9)ScZ|U>;4Lyt_ z0(bcc%^uvHMAn+X96r1+B-??zr`o)pXEBZlJX}O*1Rl&IYfa#o_ZEl}r9c2?(PlY} zBLa^V5E_BIOUPOi=>F3_HYD2-9+5k|?&B=R5rNw+ghaqY)|$YCJEkv!WJ9>?C3&6p z3gP-VxIKr-jKJeIvepD9E!7{f)!G=@gZnI0H3G|1$XXL5E_9evdCH!=sBa$=a6hP3^MG&_u3c{ z2$Go02&~E>YfT`zYIFvYjp6Qf@SR?*&P4>CC}J`r@RX0NHG#y!`O6{Mp4`0!j)VWL zOW;Xxpf)2Ac*t54*m}{FksvUZyLaYc4}6Rxd+=m{&T5SXx481m4uU+M2+ErhVH&vhgsBif|khF&7bdWL+iFqOA$kY*+InB-@L-OOw3r zTW1{t&uV7&;ME+$)&#a+ypK5P@6FvCVX63hT>{Oz97f#jli>6WUUE|{*%(Iz-uDq2fo2a`YXSpTX5kvAww}AU zXL;T4+87adF2H0);GG<@)&$z!v~zz*HVI}?k*C|FFpdbU@evweYyKUf!F+x%&Qh@1Hv|2XoufVhm-}<~rYx)| z0Va@NYAKg5+6axy*Rqr;M{jzRDM!y($iNX@dE)<6$E1q~90iUJfCs*?#a1p*Un^oq!Paj!z8+v~h0E#V@-ee{xANQQO%Or3)mAiN3;L;LO4H zKsfps{#BdU8#OcjWl^Tq$B#3VsrB(kMDo~D>*FUWgd*Q~PiTCRBdle8EEXyIz!Ckv z_K=zNF)R=!{|E;3OH!uR$Dg%s#aPFGJ>L1Ntwj~5arbVx1K22!Ny%6jH8W%Vsa6+k z{buB+B}`c1a{829%#7@opEeO(9tig-C0_TVg$anu?>&UZH!E!RS{HaaQ+qHxns9jC&kiOaF25`yM3?wpyh=wNB`wVVJ5w%y z3h)zfS>4e+@fxu@)*b@aXa%0$*v16p>gW3;Lg7dq-T(H>SRm^5ABQq^bQiOfsiXVz zJZ0+W{;(`o9o@f_>5lh=#&-dUZ8^Gs_9;_G_h$uU=IH*&CamS?{#N@FS48UQF8QWk zl~rt8yTfXz;-TEV0j?p9IH}@i4rVn~{KYF{f!M>ZGL)$*ep{qWRna{275P*ZKeuT= zRmHCY%2XBqog%EIir?6jsVbVMQKpLDVmJ6YtL4|DJ6(zhO%;F38CG`Ij{nt{Q}1I3 zLlqmKif}$GB{6|HVw^+)q1bD6zwk#u*?O&!PFo#YTkR3kf9RGAz-1$MmnV3gDX$r8 zXA@dqb;LOBEb3C851lqy%H&u(8#$E8v3A-NDU)OEY*bbWIo3}5vVL-`oz8g@+cMVX zt`e%K?iZXI-Or5muQtZ&v2OgILzz5coUVakbgcj3k0WpKUiUswMTfgrXW?N<2@{yH zZv4H7(2Vt;DazEf&mWmG=EG6sn1xKl%GJ?HIM@%ynp{Wz!x}!#-TeY^c^G$BSTG|Y zHEwu?=9r61bctQWt6=LjBOCwpF}`Y-TVH?lG;lc`9^=D|{CORmB@67l#y6O&Hwc>=gRhPw}WJe{<327RyS zbhZ&{myLgUl(if^$uj2SJM}7JRV}-v@Dp%Z-S6pYH*0{nqdgYB%!2#w&9o4C67V}F zm7_bTC`PhN8S5%o<1c0PRZjwb&11)^>vqW3y+?wh8QjfhdAcK{#!as}$s8hGH>b9Y zsk(K^(thHOhHkoUjlWsQW!=`o5B$(4DCDo&&YW^s9hf@~9)}lrdZ3MQHR4y*ijz5a zHccAXa>3(R0cFY@FHoiia61QCMY*P57I$lPMCOFk!O=|a`W8?3u`vNT7zX$VO}TpL zj)Eyiy^6G7Y`L5*QrHitSvCLTc%Z-KG0D|n^?2^y14l$BJve5rIlVBmoNIDkIa}os zf>rfw%-Pl>>>D}PoSr#kweW5DjTifgw(55Dn@s|E@^z`hpaB^k^5ET-9=q`xYu~Nv z7Q-nYekj9-_3jjsRD7Q<#*;-i!@p{)ffseCvGAG<(%M8#P5F{A9|XjoLw-d*dIP0b zR(Wzh&A6~}Cn&%ycsQ7ZB|+~O8LM7d%xlJKa6p+DE4s^@b}S~H*Lr@ zurKiQAh*JNS@-C4)M@GH+?+IGH8n6978Uh>F=V&YW{1xI7Ua&45dD{1KNdN!8^ooHJM}$@%Arm2ca!e!?Yp7<{5O(XVBdDv!aAZ)0qQ%jt_VF(bamvg0A9_9VE` z%<**J6eiFv4N%9Zs3qO7mVaYDCG`02Y2hc}@*j`y+5S}MTRR)>jPg894^e&U>K|Y- zQ^L(`%0vmdGti<;4eG!gvet~WA6!2fjGPQ#JlRmC0OQC)v$alUj117_#42&8uVsR9 zc5Pln1`7?JzBD7=)}F%Mr;=rd_r~Jz{=k8%g(FGojYQDx64cJ&ZUEDbtUM%WOdt}A zttKIZmgdEq+PU0)21b9~LAE9Oh^-}PSysHMod@>HS0AD@T6B?HOVF)3@ve40cOQqL zT(?qPQiW)x+mzA**r!xn&^{Q0_Ldf;(n9V&>BR+&En!rPz1#9K=v3~$9BXeEnOO8| z30jhqL8o!Ixe%wchb{Vu4TEHs+S9rFQZX)QY*K~jpj%4<)OujgiVK1ts%Y7;+MDniZh-eD1!U ziBlSGR|MUbl0g^1L70dO+8bkV8r>oN)?Ucnr(>1&vM{P;M%R9R-Dp=3Pyf_!r=cMD!z&^#GHg6>m6i(ta$-7f{LKLvUdY_pK#)o=1Mm*xtbBnGxE)1D0v|+=;Ts`((-K~Od)D#a*Er-JT)6Lm5! z==`7}=-z?=wRdv&c`q*LRM|)L!+pxgpu4#HVh|T}LS@jsNf~rEj8klon-zV;)}pjr z1>FNjt{F=0 z6e3n>mM0_NpOz);(To7KdAN=!#wpDYL?5xW1U;OSZyxg zXzV_DGJpiF%*rJ~0hbKF+_ zj}afSaF|DMXTI$mpfxNUlOcG=!LzpoT+6~eeS$}ydCZxB+put)LvZraeop{y%fiuF zf{*#C<4%A#VBvV1;GOw?vjA_%!m%E~bALJQb-){eWQySF?Yo=-cw_KgBDl-^10BHa zSeOYYo;7wh;Px!s)gpMrnHzr%xC10f5-VS3jd`{YT#0N#Ry2PO&bv2b1!;C?JTDMN6Zu&zJgEm?R5#is=aJ_mR!7P>UI z`|CJyG;GbnQ;6@{L;Mr21iTI84oGh2%;9?h?$5&0@&wPDHMTS00W6%IB6!b56K)5* zEelWf2u|EJ;v&G?v2d~HuHo*tIw#8V$gFwB}SU5jpde`nd z`_%UV@4~_?k*wL)-ETGE;V=xeCi{#XFa_|gEIfze3Fn?<10KP`<9(8Q$|pOByNBIa zxFALFu`|Yf0(c|~PtOs&@5K6>0FPp!mnC?_fN4hp-W_m};JM8%2fPOh&$S4i{L(2f z`4Tn@XC(=qn;a^R`O(muNY)(n`;>P8k741>9=s+Jd$RB?Y))X|6$ROv$igdCY#p?0$EL4vg6Z^98CdDR$1J!Ro7WxI*Z-4mIm#qW9b56FVut zSdyI#8jft8!a{YgkeI_lbr+tP3;k49kz^Ez(RF9oLC4gmE}|x-c^*J zp2os^eAzmkh4?{^O?aSubEPP9~&SBw811#xYm}RUd6)ICE2=~h0i#$bqx#OR}t5;@V%U5i@<^EcO47g zP@i7U!q@Wh(;HwfQ?j*~g-w=h-N?cZGO~3O3!k-R>t+_dZpoGp{sP%r!oq?lTeqJjudu zZONWuVdTkHz``F2vh_3zf6U9)Y8G|1Wa}9gbx+CGvn=YIl&$Ajv|%7yYgp7RD_hUA z@Gr$)V9`b@;zelr^3#`Clu)g;ENYvRtO;U^vh^|xe=W&YGiZ3S^$LqR`Lb1DQPPpE zS6S4?maW&oK}NP-XHmO?Y`wvv4l47TpkYb&7K_^3vh_BLx~SGWpyo;TE_|Aot@l{8 ziEJd^XW?%u-v=zJNlN%33x8MrK4RgYS;_td5k=W5vZ!MqTOUJ2Nwz*=(E!;N%k#^1{P%NI~MhHWUIuY9g4E`J&Oj}vK6vuD^Io}$ZW~h4=mb3HWELwXtShj z{=}l*IobLdG!**H z;5)adUq&#v=Zdy2$W|?jc2}$oizehHYs;ct6x)DB6LXSn$fCNUY;DA%9evr_m_>V9 zvek}7!$c!d)1F0pd9u}kMWc$cwF!&TDqlwyiR(J(*NH`AY}x9}q7ja4C4ngiU05_) zv92r{@5@iSL2OaBHf7PcK(@MrT2i)pK&vEMJ;AAHBx-uGXozCHS+tWQSsxY+wPlO3 zXlF~dIE!{wSvF(Qa9^^%z*Ow!EZQwEnZ=@!Ioa9*_AM)0{a7?vG!ivivgnwMY;DD& zgH&s47VQ^EwhfC8D9KiT7VV#stpO~W;>gyvESj#^b}W)8QL{aZ4oS*S2eRmJPqqdD zE6LVi79CoUtsPi2)t0Rkcqz)(jx1_WYzXLjlI_Hz#++;oWzn%I+1i;!N2n~rShSC7 z?ZTo-N%`q;7VVpptzCh6vNeK5hh=1IHx?af%hpI19h{P_QNZ%DwL6QBvSe!y79E|H zEt^Hta&VvLEILlb zPGC_sCD}yyRIxf1of=4%X3=TBY}K=9K~AJToq*NFcvLTpH64dBK7IvEV{~*pB}-YYc1J2 zl11m+vUL=Tt_Wo7XcqYy**bk-@y1Vl(Q3CV bZ~RwIw#M_upE9y_2>cMQY8}d*4ZZ&Z{&*ny literal 160693 zcmbTf2bdhi@jiTaD+vSw1`&2iVg(o!2y;mR6ZV1x2MVK8Kp?@bA_x@b$Wg3`B6`Tt zumppRvAxC^u(7!zIAg92<_892mSAIWU@%}~lE3%unx2{6Ihp_S-SdE^-m0#y>Z%Si z)6?s#YS7Zq+}@!7wi+B*((yMkDJuC&(c*VkI%R6+uX8m^S*8EZ76n(R&o02r}wQsX+_^jtBzgUw{q3$ z6%ESzN`VU39N&JdZ9}k6v97sC&YE}Vw7ETV`xZ=_)jhkH8Z@J~V`j@Cb3qmz%E6|- zE@y7v%nqk-de4k$)CU}lp3dHm-XlSuRn~OZ%!RWjwb@-nN<_M+E$Hc@N5@zsyLzW} z%$wFXuV?18x$`<^I+XLt=$zj(qdS2Q4je^Gngm}kt#@wE>{*aLr;w2D=sjfGypGw<2hOuucW=j{=H{bOvXUo zKT$E%*M_`>M>e1)QP?o(Z|0_zwe>AszPzthH$+RbF7=Y=uBj8r>yMQR;4=Jcp3(wn z_Yg`r-ZBLhKQ}~8kRSM|Q)>aBC%syzugz{jTTChnjLM`X-VTcbK+{=A`dM;Yd<6Cq){ z&j3IfSgo}jUX5wd@~|Bv7#N^sJ1;`SG9M!?wJ_VB7v>aHXZ@$D;i>F9f2zneJNDa_ zTu*6((C2v}pxOGoDA$~9el9{&W==a~+AP|S7WZw@uCMoTMVJ%LQ*s>&_w+TlMZ@4> zwiXLnZOt_DQzwBz?ZKANwBduYTr({`sLC~S{P{qxrvU$VNv_!mKdj0%$CzD0Jv8-T zTW}6EJB8OY^+`wLllqn{Kc3BLRf`&!+{%IDFMdc+^*iN|V4Xox2wuNel4~~d-^+5{ z%I^PqH6+-wZ~7rYSN>cKiB{OqSrMXzj?ET>CbzId%@s>0x6;&3D->h5=?pn7=!*Vf zfp8%7lHl#^q2F{hJc&MAmR#eqOQc)crm)xiO=$-7DC=lnUt_v~9iHIrlUSW)%1ow{ zOGFMDXxD4DNVe(m?Kc%oHBV`y3EvZ{rDZB5zu|?F?F{=rqjKQ+Z4t%LZEYlFWG$H* zkgvYw8j6nX;TQ^5``c9vMc;mHh14Xb@GC}=9u54=Gdwi3-{l&LG59blXT#e+77dR* z=jBKXvt?eyV}1WL<=Pp%-x;0F^k|1ls0Fb^IX|S@lXIqCLO^y$Ww^{Dw``~uJ-@c- z`SrvVF6K>{Y#}tJWQ3UQQ%%)ODZkyQYniMS)T~teLyatYDW`9(9_IhT7LBcX68^2B zkl2I%R$-Y|Jrn%Z3MHEv@<)wi3&Ydw87&OKKO7@T>WNUYMK{nMN_Z{pOuwI6AvKwe z{%%Au8Fz3(9!4yrilLb21NXZjZxYjC2Sc^f>ZxAHYh&nM%NvS=;6III8~goQKji5y z`G0{>b~A(gsx4G=D{a|Tg_1Ut>h+4Dm;j%+p(NAK-^LTl*52+M7>X%funk4adO=|P zZn2wb%bY?;u?Bx!#Zau_d1WM-RP#l$ISw+wmx~lxHMMzaGzQ=8>Qc}?I8X`Xx>XMV ztMWp%aab96)e)*4i>N_=^GZnRp^{mawGE$Ef0_>|l>AXB+t$ojKI;mnt%XD1>4lJL zW^%t(3@OO{Jk;0LOmE&U38z)pM_Zq9LIl@O1>rSx4h{q=q}a9tuUbO2V0h9C_=d)V zqMM-)Ls5=7d0PaVA@))}yq?67>cvonJ(X!R#t|OG&hdG(}9Lt zL%61g4}2tL%p|O=EQDcodnm1kl6c9xt`u^^;b`FDiUuaNPL}1bcBz$f+VW~BDrGiWx3U6Wh?dT+NG{Q@P23n$~{Y)f6 zK-a`@9vB*6{5QkyL@!xh3}b4%T?ldUl5O6?^qHh;aC+ZL`Stl4T+4I(85z{zy0tj@ z>~HFg_3JTWFQ-Oc$C~vXTwAXMTXWgJRu^hY#oQK-Lc7`<;j*P)t!{+b%)w?_CFIHk zB*vN?d8WoDNdL!8>jM0dsXv~@FvjBMyCCAS5aX2NA8u62jHIlS{7?pZ{_DxL-8MDq zTE7UZv?sNMU8%-Kf2`q8WjmFi0G*)4<}H_XI!RE?IRcJwEWaFj!lA*a=O`D<{;@HYwyJqr8q~X!o2(b&d-^GHy-0q?2*yo;`-VY(FFPgQVuyLEtXW_p*OKFXG&?J6 z=R%rmW*005^EF&Ows7fw+z>ct<<)*#o-2+nmBO)lQ`Vwt3gG?{DpsAA%v6QmOtw${!Y%pKLO5;4W7RO`RIe#r|$J zwLtUtLZO;&jynghra~QTNO##GY*quOfo5Q*P6;P}2)*Nk*wk=1(6gsr`)YN62q)H!G8HY#8{H66tV8 zM)M61^|Q=$z*EuhT9C;cb>O&;iQ_sa zc8wq3F!=uITIQ$&*N^L%&^d8^$AtCcx+bpg)V#x8$d3T8167`#mkLnklz^AIOr+=o z8Qlb2Kdy5E)vNQ5E<>~_L_3LGS2cxM9ZfjH1_HBS!bFxF*EOMgJPr6@7a9;&B%F!e z<6#~7U{4`CR1SyM>nbnU4xlMSG*&0KlA+z>x>>-ux;{}83ZV@`6ZG9P3wF`ZU7c8+sYuHhqEkmr zEPnrSm5_#$ovZ)?Y}nAqFgWYVv80a8w~8?U``A3Jhs{Al5xxs1B+7t8pCOWLOm+UZ zOG!0s0UD^=+h~lV^8R`Y(!&@PR->&&MHl}(s8JG{AO_WWGWh=6?#6_*)+jO;e_W{% zj6Br2Gx)(4b`4vn4y_kAyP2(*L{-84&<$9{)c%fdN|U8^v&56EQ>Q7LGHM2vco!VW zA+VwX$FT|&pcbaa2b)A@C0aVH^d>){0<9D$)PIzyK@&*p#jU%+@}v~*9p1l_)gh5n z-ETxF6f*RH@xgu-NXsCCV4?U;7JyN@xTb$YTB~E)$Nn;rOct=*o31IRIVKc3HwWK8 z)rqtqE2Kvx^N1Rbp@!jRJQLrUI(HBkhuGpKc?Ul@%uX7gOiIze-_oL}B_#)?mqZDD{w>9XOxR;} zT^zzO{#KK0Q6U2&m`jH!ivdTSzqp(z74~0=j~*u0`6+hT9@=YZ8q7EMRv?F-jj1I$ ztiQj?PL|hAlo%IeXE>V2N+XztdVlxbB2^lX1~rKMD^NAC@Q%b_tzU$%z!bRhz$lTrqdq@4a2xbDUV9XlH2YL26fO+-rm2}X8?uryXq$eB_Xx@~ zG#=60-G`tl>*IVx^3LJ2YD99SwFRh9m=8q$8*_P&xuqX*xftKP6p?&RV1WwDa)~_< z7?RbFtQtz|EgFgs=H6gQZ6Ph%41`dua_hXI_)KN9h+_R)6bz5mm&&9T%$SYV$+*16 zTiOo3nX+#5K~0@Et#@Y6td1GrPj<-1%jUV=J$N6CTeyL56FOu5tod`N@s07VTEl{_ zuD-P^P6mBP1?(nWVm36+u0{%Ghwt=$79=3Nr`#}JtB@k$tE`q-rboXK`*J=i)FXQQ zDM^`GeJywfgTUL6WA$A#4oCGbn_?gl{1!e78MxgFW#AO5-_wazhwtM$lEwG}PFV*+ zNVfzv@$KroLIP;xP1J@jv2wN+WWljVTAO|KY5VIVy8zJ#JAGoI;N|5!~Cob=1y~& z_RqTV<};x!$}!Ds8&!>I=G*r9m}aJq25Iy$19R1wW)5caG0i|d zpkkV)-ChCR)XT694qWGGX7{2=+-mW#8;L^FpD0Y`EN>ZytB9)~ws{fBhe`P$BKZt* z?@C0n(I>`SG`ZhqMO-%XfhrmJwT;d-Z7tz~+@Q68l@-gt2NK)^DXx1+xc6Chg5M-OpbZfy@PU>#8 z7Q~}9JbLyMpj1f1|6b8>X9t48vis!?4Wso-pRZ8@L*?O|Q{MD68^knho!c-bA|=Ny zyObq+u1P=LFGuXbEGuvT&OmJbl`QWUuM{ z`BD)VG!v@{fYV${DFJXEn~;=1sw!)0F(JZ0wU!eA=d#wM1dB8m5)#dv&K~qagiD21 zTu1(M3d@KeY%tEyS2)67G!^5Zzn>LKz~!MZ=N~wrLg^{YkX`JE zk|f-)vlSwfjkYUIdCc$#evXetM%sT_{W8gvfr zY2m=r!els)%0~4f+jY8!8*rPV4Y=PWAN^zQiG8iuXoCV?RF@v~^qhOST?6Xb`l_%b zHl4Rg-h*POn2(*YX$Xquw#Tp=>Wb#|~70f(YvA z!%iRb!2O}HAVgcIaIF7xB^1P)Gn*zK$&xKj&#b=A`Ez@^7h-MyE}@lkxG(xlTs1U0 z^LzVxX3gay@h%&5%N(%I%7fJe7SJUgu8ope9WyyieBIGSk(DRX==&(r$RtJEU}aer z^2oV8UHZOnJ2&DZCAoUNFNhGdpWgEI%}NBJ$@Tu`+Z_X8af8V{E`(YR@*}36fBnWt zfpitK_~1x3QboeL8yOx4@jrtl*4LKf)bfpu=;TmpZ-mFu?|Je@&%#adz*{aZH*;`z z>+cfaa)dK|{>+77EGE^&R>PQ+^+4z*3=?n(i(SNh)XKW8gzK@h=QBum*tmXbHnybB zm_H9@47@?XU-IsFuL7<*XLsVc$K48-=gyio8$zf!Qvun?p`~wXpU%!U?BZHwHhy7C zl@$pr<3?4hqZ|Qd-Yw*7AkL8YQ)H)N;Oif z{U9I-U7R)#td-)7GcxvSnXa$fuPMe}*0oV6qt^{C({q z1@wRB%nB zHf)=u!~SxQ#>{6YJ>7k5^X~>!od6LTe_yW-)SCmByT*z|n8fjkht{WOV=O}k2bRXP zo}Kk%c9d2XPuY4VTpVlCl6o3lR99Tjx5qhAomx`QYRj!!P|uL7yjoCCuPf_7J#Q`v zY9;jqxP2u8rWlmb(Y$&eJm5*gfENr=o|0jtf-v6_1-!z z6Ry70hHackCc6DzVMmz00hdWbw{5uULf};-6Rxcg7%#%~N?~ab(Q=0o>y1`lqbre2 zv?5qSxy@MLI0S=BntMDT=VAoyn?8S5*F5=}=>7u0t{DruX3n0=orq_C>V#4lZN5{) zb#}&F&YO=D-;TN0GplD_-vT`o9^wK_CZwLYXIXWqe(G~L6(nWxF$A79r(`)|%}>d4 z;WxWduYq&gVO~AT@#?Uk9_5l^j$4m%ushVQL-Az*c9yF;bSg*NE~g&l`1ymN9!1BT zZPkHOXzrn2J<2C>ohez~&S0Td+hkl>_#Krxlv~#QLsKZ{l<6tG95SZ4^&0eu?$o2K zECq6`Kd>I}D;!-z_~EW7Y0PCZJ`K2`N7bN92L9%W~JuU;?qu@4S>VAaX$k1{?W?^nU8zUu#c%9-l*|2d0oKf6E$yuC7gjyY2YYMsDU>V!Q~VT6 z)h7Y1Ro%v0l9S6Rn71OQrD5KCoLEXp(~{Ht6wKR_*<^kF})~%m=1R z3iU8Q%(afqr(hbmvYLYF<=V6~yB(zA;xn3l||reNB=u$+R~CX4eam~GNqO2M>bW;F$~spb?@Fuk0a zh8eWOAzT}IJjz$rw0u2`Ev(AwET>>v(o;>r>`+gtdYFdH^6OE2{D|gG!}O-BkdkHt z9-2?V97di@bz$vHH6={=kU|QkeLeXU%m8;*>tSq?P}X!m1v6w{uBKq7!}MYbrZ>}p z)&{|*?*~>>FzxOrq+rITkcR2bm(wuEuO9^|B@q5nz7B1k#2WW5)x)^q#Jht+J&bz+ zJl#*hxEa99!BV|6KaI8yPD`_056-8g*{%lzt!b%deCds6GKCb(=H5S_f@$E?Y6@lp zP6k@5TYoM*DJ{)>m{O{j=I6fyKdGc(2)Cz%abtj%%GV1|q3=_G))~TMGP-(m`85cbZeU^Yo}TAIG^ zmxgKf#57DN_boKkHQ*#JX?(XHW{vv+tZnVdY`+PG6ig?ZQ_{FCP}V*vY1|hmYqxy8 z68&BOm(tR7a<6Jin%<1hr(pU%uAG7yvIp&Ym^!vA)T3C%C~L=33g#N^@stjl_oZUJ zFmAt;l~3zni;OAMOXFi;W$l`V8H9WqrjNVkQ%aaS+xRJ%BTPOmP2WeSVfNGqQn}3< zw@eAQvBqqnp)N_-(@AAoiyvObw?Fb z(lmREdnvfzewLPIfIlv#r0M+UCL~=Fx&N?X(eouf0Y~RnnW+u{#{7Hbmw2i6wCE&PZDVR+aq+v$q&uN%mzEw&oVTXSt6?kUJ zAIkN@dhPXAAqCUy*QzO)zW4=8rJRDfrkxX{V2<+>59IG;`Qr}JkADx*x^PYw_hk01(x0$@B8sMUV{^^m zz%OG8FCKAt5s#CC&Y#3bWbNYJNE3?SJ;|=F4O$4#Tp0?XZ&fE z8c3fd)g9DgwDnmF*vOt)hfV96*WAZm@V9cU6oh)kA!BPZdqr2BGFtm;p|&Vf=ysMJ zhIW%S!Y>F`Cti9SI_J|y}wskkC48oXLjfO>CkYi0yP57j_co1TVyWn_$Jkz z%NGqGUa*DTGmrh{!91vyWa0R{_M)FiyTTY^(S+HhzObPecYI3)=?QuJNHLuCk# zIz-HQp~6y!%<9&AzOnYHl7`MneaW4%124KE&eiBWrYdI9!+CH<@+D2*5k1}W4h8?m zN(-Y(@PAka@rdT@wjK0mnc^IO4l8O|R2Z4fG2&NF3g&a#-K`YN&QkPKFkJVuK?>H> zz|vwGr!D^|C2$NI%=Ld)YcPr}EF_??rI~w+i)oxe{uf|rQ@GfNjodf~sTN{IACzjO z*c(5rh4d6#tdU~Zd$(2!$$;fXtr*AX&kHprY4AIBC0W6HwPNVCSOwI{(W(E`VXWe# zI*fIEm~TiruRHGBUJV9yTQ^i|Aa<*}O!W9f);9zvrvViTbC}uP4hbgv*Nqr+=uQiq zW2SdE&u#5k2>Nl-y1!7eVhD)(qg_L>vVVDoVr}=@hGI^AIWQC-K>s|VIMDnwqG zC6pxVEephE+Lpr>qFEj;f?heDgUf?1F7ukzLo=%sZ4$GOM2(Y34+HJAYW|x6=qZ}k zZat*x>sz9VZD#E}qS@)bTm1=ONdEaUc#9W?G zu7Nnf?+GN~5&HLJ{ZMtkLM@JlUFRA|zJ*w8N3>qWU{`{&#sUlVQT-mph@?$>RwI%P zGTx6!-45l5c755$;LUJ1j0p2olsA zA5L=P(ggL2%&n8uyEe{tY9;j!iwjgOsCNKdQl}FeG_ZNWiX_bjQ|pkD#<0JN`NwwZ zt|k#qSr^92^bV6#;u`hF2{)$o#))l`v~CV;myUHr8z;`L=$g>kusxN#W2^)nmfO~e zuxR7N#X*GWjT0Be<@Cmh?J5yJ+BmVD9bwVNiQ|cF%FZs|5a4OAucgA)V48FnlL#|q z8USQjD8qsCZqFdgbPM+QhGv^G6}xH>X4I>$k>OS@)C@A#%2DqQWe{#`Lx}{Z}l8$OPa!2zy`qdm|b8@Bf1Qemba zYef*#S8otnYS&;o`IpsVI-?(PDTnhO^=?$U@nl6KYnHE-LlOb4wu~~JeoGxg>g_*k zb%E%9Mt7+bq7r&^(EVg>R7_*Ko2&_pDvjx0GTJj(v{UGKWgwmJ%OXuW-Lnxl+9tHU z9Tx~&X|-n{o$I-%P_##Ad&fvbnZA;GHid8IBbRl7k3I)5OcE_Dv3pXwd+zUoi6TfkMKd2Mw>6;oInlW&MQ^%Ea z%>Gf;aPRCR@F52(^0zV-%{^u5npG#Cyka?2J9b!DfBwjCELrt@Bg$_H=K`4F)`$U_ z=fnt3XKmA`x;b6~)L+ugv1<^>ak@UzsEbSigN^ZFB@~~gzav8b{Iptwuyyofyxwvx z-liWTbmuinr=uYN2+xELA-4W9%RtdbJ=m$HV$jSbe{~F|KZY3`ST6QK89zoD99S6_ z(j($=fhi!Gk?a^=-3W|w;aFl#v`RifK}xXb$S&;JrHRjzuPF{={|AB;*Ra3y<(hN* zv*9&mUd#)Q!gr!@V+-!IasO2TdK|xMRMszX*)Y1Rhw3dYtncBTE8}`)*R&Zk8q`_2 z07O&QwAsBq-MsVr0n6{v#VmY`Wg5FWd+~)6O?=wZtgiVSR)6G#Ok6)Cg8Fj;p0GS{ z3?(<|iH@w6Sx^+7t9J`+FDUm@aC5prVyQ0g1p6%$$d8Lt#TH-mu`o zOvGnZ1CHi5$BBi6;n|S`Pgr#vv`&LthhZB$hxiv1@X=jt)}8Vc(N^L+Sv*y)#x&L5 z3(>~q{O)yhA?9$t+NK!OoYC?ijV3O2b}7a*Yud38({RP#!j5US%12g2qhh}yX4B+% zRm5dGyccuXp+AmEw%G?UNzLzAQCSWYy9OXfb?S}a1NV9``r1xN{hcnPo>)AXm zH|^xT{_|B_j_jn?=WSf>)Jb2S#T8Im@*=hE)XCI+u|O2t&{0WV;d&Ieq(jE{Ks0uA zM^OXzc%=?QY}nvOS;VL8-!sdZKX3ndh`ywYjFBC*8QMcRB zabX9p`W#V*ey8JXhZZLHhaOEmjV&Q3+53+QIiVTRr)f~9IrJC2{o~Ir7u&j;mi@dt8LCFHJLN(Vo4g`Bbs!Z`S|DkQ39MUCX5>=gV#_}M_X zEt01nhGpCB<@_4vK?Uvz`}B7Fft*hgQJ9QBsG4MlkYa$E2R zvacXql;!CACs4E*c|A*}Sru+{3JhWNz$95=J)L;3{j| za>$jYd)27Y-$nVGDG|0Y0tSTt&FLXh8q5_Gazypr?&v+4+ORI;; z9Wid~xDFoZHSi6a+;JWGah>^bUHNf50c9*M^}ikYu{h{tED6f~%r&w&`($ibM2nBb zAxXHv0WOsLV+C@f^pR&g5yzTUmU29~42Q~yJ_5^zjwyhYkiGl#yF*G979edyTKa5OzA3H#+ zcB;hHMxMl;CBpMmY`;+_?n#@3;X>#1BaKFmjUHDUYnKH1EU7rkG}Fw+{lmTgC$*?j zw01g4dA1_0k!+Kwgaq1tVKpf$xD=4c*2?1x1C^B5y5XLQh)$s=U5GT{WFWQ?v-o8x zBT26tD`ebRf#k57J_ilTTHq%u3Hufr zS$;Ut1o#?78$;2kEhzpSOhwuqjZd_JX9S0JY;I$mKc*7tlflEV#XnlJqgW%( zg-sWgpBY4T>T!miJ>y38iOx_Lm9?t6`oh-usU6~y5>aOArczNMba%D{`VZm~8F83n zlrrIUDug2etNgN;;F{QkBeWrPi{Wpx-Qn5~A?K@4lLZA!VjZq~JcYE@Kk<2w12T4kFHDm$eB-4*b& z9j?kAXvv41D%@rTWPE8LK_c`TvEqC=aM46KnzG7S5(Xblbprm3t8-tj1RmBn&rqTK25{R&4@zRI4B za#tu)YiyNWUyu_3YigT|lmRpZ<=a+C+mgb$FSJ`ul;5p=-fd9^DkmICau>&4zxWyxHwGW;v z$DhIXvdXS13=VuvYdIJ7otu}RiBs7Nim(TgcW9j@lwE6Kr<0aE&xZft-D2zdT$Me^ z!RcO#)Y<_5mE?Eafcwy-r?Ox5Q3|5>XiF}xs_caoyf)Gr zZ?359^(gjrUE4)@D01b!xys&VtE>k--_(*D9F@HR)ZHE}@yg(rYq<asrCV-d@6gkbGEt6(yP8Bd4frxd>JuPkdGO;XDu}k90wKDto6V4}ewnep_X~ z4ax7jwCg@sWxsEOXOnuQsYYX6)O9^s>LO)T1`3 zZUqBZYFW$1LVHCpq2438cK?@>j`@8Q3%mr>3m^=Ju}LCeo|xjQN< z`{Sbg9u<5mLQ7SC+YtWwC<~oG(>lLyqXi4{f)F-B%RQ*!=UV4C^YB>7&*G@;W1h-> z(*w_9eZS#<(D`$R)Z=B9^&L=J=d%TsRnYPao4lV@RrdeN^5aV?`*a?ZEgMrHRZ`h! zQ0@sWIRNP=Q0|v5%l+I(4X!-e2M)azYOp*9h&!ZM`fQX z;K`?^Ua(a5cMW(D?Nah-Pi3F2-~qWJ^+E~tRq=zH0jZznp~V8v(-b6MM19X|-gDp$ z+R$Q=_f$n?pY!mL&n5NC5^8YeXFG9Kg}12hInDbuB!681D-NkwK>ecvo~B+cs_Y*eIWrLYES3FxQQokt>^~v-rVYwvx!3Zr z2fWv8Qvb9ejT+vtNWG5Qe~%j8*3>(W%KpWZEkw9Nx%Vx6PwP?gZ>&LKCzC_!9|e_t z#|JMU^=F0uP{Z4r_xp;<1`Xg<$a~j=AGZA5CU6&;O5nL#X8;ST_>|Zt^-^ACUk3H2 zN9t|-_nt4mbBJ5%#qN&ZiJ(9!>e*ejmmBAsqB9s`JT;k4P}+v1k^hgsm-AC-wpChbtvbn+@>Y@{W)kr zo&PDzPnw|&%54?M$v7wnt3N5qp*JeGg|D(7Ir2tJW&exXE$}{Iec69`DmN@IZ-Swr zq_UqkfKufB7xfMEWUCu$w&1aa`lMVQ2IdAw7^sH zP7HSV&=TNDx|aMzsoW^k_le7LpH@J*g9D!jq&|05_QL{rq;gw0;8jtdo0!0le`)M{ zDwlz?pH~O{VN{uG4Deq`jyhJkCRET=l#__yHmI#EIgbzJ3aFrhFZgYi8;k#j!|0J7 zslAITmn#hVn_8sud6nA*MsFIB+TK#RT~Thh(mYS)wgxrQA+>cu<#x!+4=JkLPQJ>G zcjUM0RBmE`|19~9MwQ#fRk@wZ@*8_9HxATT7m^;e>;Rp6LCZ*8!}cZcs5-jt%mwZNPn$^W;YeRc>@%<#xB_cl2Nfc-x}f4!Ydl3jS5_2;ZWX-OG?h zx$P>X_9)>$OMdrF<-Sw|70B*Kw1R~?VaqliCAYzU6H9o;6p-2umW?UO?{R`xMOh`k z!U-E)v>UYSswg?33l*`V zCr3_9RJo}rw`)OuURdQ?Ky^aPSY2OxUget0@*|QecVJcJ_N#)@yaFWmb7k9*%I)i+ ztR)*pRqo3bl{+MmU*kg!mdbTE$j_mw+yT(p0^U9@tv<+6IopvV$559INz^dWA#ZA+ za?^Y{yHVw)fH%D?znF+CPzQtBr$WgBBnzm0VnFK9qRLH%&iyP>t)OgZ*;`BQQ$P)_ z9Px<_@ZT@bCN43CgZ9Kol4G^RJkq>R>RIO>-r9amP0K0cDtDA4$Fi#2JX__KmgU!CRBmyga)(3mFs)@d>T?R!40gezOslfj8F&PfH#L-eOCB3|Hk=6jbhXP;dII?=)NG)|cgXc2(}A zipuquVxFJOVoLWQn_`I{6N=mCTuy@l^;!pl%;ZK zH^{FetK2D&J{6XIUSzowiz>Iqli$Boxs`cn0gv0IP#-NTZk?x=v%x#1ijN%&q*hnZHqbeyq>(dqO2Ks;27Qik9c#-7gX+syvm*D;UICv{C( z<-S_L0S{W`#YL67z`rQwwG?rphL*h6 zQn^d3N*}STau?b#9MtIrYPr0ua@Up`@YmST6&~LRg3lcV#3N3tp$Yyh@$jGB7#_em za`d5-DFDe1^hXv)X?F<8O;3Mwq9>A_j*&dd8$xoEIs2Y;j7TnzbS|n4Avt2d*AKW< zBkBfE2k`0R%p3*Op^F1TE)Q)Oj8O42*W5)FEDw4}0$z$W%W62S%EnT7H z5x&v6Y$%<>&-4~wB0BrZM)LT|5Va56{co-4Ud+0g6(f1VP_<`96^7?UvRE>bYlqU= zH0PjZKl9Jaz;uvjGL z*!-80XFEekX3ns;{fkKUMUoc`ReRI)h4yzv@))20Qs*T@)!t|=A3j_pj}46E1!{=e z8y0WB*NGz8YZ=K4bs2hjoFc{^b76&7#1>TfFR}9@jO&3=&gK>6j&S%dZM-n9c|#EO zp=rk~xLPDnD;UX(g2={LvSCT*p+6AGQvxG-o)<};7xl}{Pd+>Ugh;vtBYCMkgyd$2 z_jZP@er9CnrIjHhNAB6+>?S(T^o`D|+#w`49rm3OUlYkSx)tcVH2**Rj1&DuSYJhf;fuNunE;a|$n`9vgF#~uYk*g5Q^e>S}-lBKHAd6_qaWM=h2 zv#%1#^U6l@YBhvp(~`T73v=_V$oH$AAtW0&Sv~4{(RqqxbY4G{WW#QIF8HBHo>(=K zH^g?{XqjBvCEdO#%I3jZC3mcPl0YL6vHtn?20ib(3T*OJ#(hL9Y7>O!lBeg5&Krl4Y&_t^ zv9m<-1pcEvx+WMxXTv#nFPtZmUvZ4&E!sEs*VR5T=Irg-Hezea#Msxr7GdY=5|q0& zDtkeZa>VX%c*U|M{V%cGqO#}N1c}|EuPAqdYp|P?E&^>GEG~ONCd&uE_J>#s*Y;bERQ87F~S3ds%DhsQ%MR;d|uaXd~F5GEI2eb0Nyz>yg@C$y=p+6{)R?;aeqGp)w;O$+t?k zY2J1vzEu*NjI!*-RVoxPRGGcGLbVab!r6=RR32d{p@Kk2oP!O1+Zx7x3~wqg@_dVwlltEn|6Zc zkElcs?<_OJ9pc*6@44x2`YpQpT_lz6xJ=vcSdq`i%sTaI6y8MjUtaHXW|NY<0TrLO zfnR(Bs(o(y3_f3`Ormb?@(n1hNcentML~st#^=k5Dzi-}7^>pSRH%#OjL)4jj{Yw} z^B4PkLm44=iEE$l3u-g=JMI@{Z0>JIvlnct`p@(0Gj?P_ULH%vZVorEgFeaF&GLM; z%Zd{j%LE)*5HOLkTddvf>yojXR}E7#_L_pqY?dds(Tx4BTkF#O-WR!a)RwF6g~pMp ze@(I8rD2H9jh42p0lmvhy0m-1m(VmO;nK~paF}jjHhRRxMT&jGLOJ{r2CPCw7s{iCly-TAUd3P>RYPOOt?FZhiRT`6Ui589uLzi&r z%P;Qqkhs)rNeSc9*L;=PPZ!vzOZQo|F5TyRkxS0{?f!~tHdp;$&DXoME0T*F0K}zz zyrfIbC2j|(bBPx2nm1NQQJQ?gip#{MeLTaI#JjzuGR-Ao8+GZSI+uPBe347zzcc1S zXdI>b@sOu(^q*LitqNh3f}~4h5v8)CF^MRZiz+j*C}1K=Q`}K6g;5F&Q(SsDuQFpD zVjFkKu8q?7zc5PEe|6MSXxu{emrC_6?TILrm5I_=Kj~77;_eGEFq+V4;huQ`6E3a1 za`RinrLn$YhAw$3)1n(@qb@z-*1Gh_7rL~^iF3I+*%EWK8RnxodQ3q!jYyR2a*azx zZXpo^W0zpzn1Zo7O1vdc4EwjZWS0$7T)N+eOGRQEb?G55a_K=Aru_ge>5Dr(wAxV1 z4(qY~cZbHURR4tyVU&!8nf(x#@7pFyJ0jIK`0`;U#;KC*e9@+5S_|CyLeuojb#H~U z@O>`&zAs=RW>zq6Gre~zv!i2}KhOmpsZy`O#OVhd1?|K(>huGu*69af^8a>v^gCzp ze$i6>XM6Qd+qO*C635nx4Eox@%+6NQ>Am6fcVT#q)10ntTfl_V$1dFOExmIo(;Ait z8!}6$ceYFcaT;5PGJ6+@ZPe+9hq#k?m`jug5b0=%@}P>AC}+)D{Cg$$ zU5c_fNtRyY%k+}9Czc@(mQ-e!qJVlCB1@`m_uS(T1kE4Odld?Dy|v%vr{S(A{?kme zFma}LeiXAe&op`F}d?f z!n+fKeS2UQCKC|eZ-n77oq$`lGI<)KEsVP9L8p%YwcbUR*(r*)1n-dsm6@Oey3ybb zSW(7mPagk5mkz&wJ)b9Tqxw%W-833`@~&(c3td9=xJ=Q;WG0ljeN3#6T)Gby=G~M_ zTi-TyjpXP~Wy2Jg?n6ZMnJ*j9$H(ei^4%|rQp@Emu`cNM(OcN!zO5C-mL541OjkhB4cze%u$zbZ%Nd)0UTAdlIgRN;Znh0JEDdd-x=Hdsn!JPn^Py>%A3@ zs`r-_RHQs@`?&at-gcUqs0>p^)q9I7vs!w#V(B38T5m&g|*QwnMq~`4ZgWw!?d2pvvsv%C=^cI9 zzAA3+ToN~>`|fVZW>#%lW)H>9tYU7|eebBK%#OZ*x~;^)?T?y&g*do#$uOn+ey4~< zk!3J(`dhw&c48Y1`BOtY!g$I?q`8eWS|9u@ULOp9U~4`{-cj{mln;H5`tfdM*@!Fs zc!#QN#Jv|5p!*eMBksKwQhO9-Bd+x0aXvTV(#}Lb{<@9vs4SrA$M=+w*VPE4;_Nr` zR32ee&wakA!eG*me}ihr`NVWTe#%QfyLr-O(kC5%GKdyNVQicPG8-jQcle|6vcJONi zaeQh~_NYss4^gs5T|(Oq$$@%TndJx2GM$%NNfsO3GgFHZrd|C-8BKz#P|hUx7JKjY z073H?C->tR8{`qK_W9``?ejB?@o(&e&%d#vU^^EabU3u`s`^hf19z0%T{S)*S_p?N z8k*_J%Tpr>_N-#EpF80FHgv)$%d!P{kCAKv4p@g4B22dcpDy*}Bdo#%;CL{rXfO#j zHveZFEvFqHw9=0MDuClE8SG!h!QSMZ+2f!Q1K2s0`e65X(pM$e-M)NSAiB4E2qJHXZy5=7(WNIPG0aVN{zMwq9z?Gq5|AN6}1bk6JUzL~+^6%m&EmaF{M)#+$As!mp z=>6%`$K2DPW>3|>t{gT26o_LpZ287aqCLq^-jN>Y@QX8Aka&vp6Sz6U7BCUt9rvGp zgT!}|ZjFE!=NVaM-D5@{SOrGh5W6?9?80Ge}pk! zw;c{2_??`L=LeVgog8gRjOSdZ?2j;nLGl=ock@_mYNPdZroyf}@y!!vQPBKprWx4x zIB3oP83zB22lltnW(Svu=}^8Dq*M15j^0e&SL4Zh<)(YRi!$R>|0#v~)LmATZ~P>6 zmnr$iPZlgEpatG4mK*Sx zopE@N^ur!Z`!b6x`G8SU`Y#7~}cg8*JK4 zM?pRzecd8;Tv5|v$@PkrXpob`3oL`_qg@E`jluEMiI8%)e6@0XV3+g|a$h!FBS zW0`>#!m`-=IaPl5%fE#8e^x59v?QSMo*l2~7%cLB#P6Jw#ruWiu}ucV``;t>bE?EP z>iwT9(X#3-3+eo}%^zLB#YQLE?2P>8(73Paze&|Qz0j49mc`AZ%Hrl*E)$^Fmrt0r zX_-|>fY-HY38(SRb7mog>%u#)ykMa?cvRUiwa#qdQm?_p>AwZ&uNJY5I{k(dIsIk; zU;m6e*B2Xgddq)h4}!-1RR8x;q*)Bj7Ql5 znn?5McVD_8R(xmkbEF{_7fk_i`fU`LtCeij>9^yj-}=4AUpxf)e@1%FALl=XYA|v= zVA30nb#H~yTpGxSn zIlgExnQAX9s?24ExPC%vZnhaLL+PCUcT+k3k=pr}RlKbTrmtz=at&W%Q8F*VoWiNm&5%zEGtc7 z*3C24pSp`i!~ezWi@%mpK(~YT`E3=AP5LF(KY}m1&mD91C_Y7PQ~lpXSH051wdr-4 zzN(zSfc}Q2UEw7+u@wE}CYB}mZm_|ob&0X*(*nk!s(^|6plR#(fTo+lT*2D3r*fbB zNL6KuzQJ@y&TVO9p{zA;v?KprrPq*pOL2hQjMk9%#69}NJx*ev+g1N{)!1hV^r|4a zhCJ4hHKYW3MP4?GOQ4TM3w)LrYonazigHz8T#%f8Ew3`iIuWKA=qo(99~MSJm_YZx zxaJ}Xn!hN}Jnq=x^foVAC^Kod-peRsTJH=r4M_g!Tx8?>BB} zT;>Q*`mp$PZjncUhzX{Fcs@_&h`fNwUVDTWVM?d(x1F7HyZ@M=`D5UssBSUiq&*CS z|He@rff~*&64PFO=%h3GKOCa}trD61VI1Cl+s?cfg{P?gyG!-q-2ex9o{5C_ysDgM zBH=yXk#n6SyyqbyuXjv%FDi0p32jP*cX)%!Y>3^)l|CXRb6!;hQH=t|1EVtMI}uhP zc0*ZZ7?gzLdjH8qMPlN3@c)+1qjzlM(}k(3|NE7Cf6ql)@{AVo_kyyV(IWny=gJu^ z;_s;#t$0R@g#H{zz6OVC{N1dAz`!H1S*zr+FPRI<5eBY4{3Uaq8(|e<|3ccIY8y<_ zne*B?x~%s1qanKQM_xD=f9OS1(&R~pE{CH1RsYwmdVfoW@M9GZm1Zuk$O%K@@99N3 zcSijE3Nj)7K41;nVi?LK{na0+lnMfdO_=?sO|=oGPz?{X$(&w{FmN&VRaNFIp~A3H zdDKm2jY~Q4*G?z4?!F(O`$j`_q912|x8;Q>{AH{jz50IqmAo9BBZ0m!P>HXSHspDX zj@W1VF;Cjbd?hcSaqc!acVQ@~lb4thbVnV9!9*dD5B0`9x5Bb|-OO zgJAF!Ey?RORo+WLYoZ_PN3iQH0ZmhUr>HV#6e3J9rhK}0VvJRY@saZyiX|N9y~dg< zG3_|kc&RVbKIWS1lVqGf4Wb0!civf-pzwhhukHE-$3p?V$x`Bc5oRkMi6oAn?6}gq(l3S@qm5Am3w^-MF*+=Ys&R`z1ER~oy6ah^7>$>Fqn8Ojf1kp z1d|y&2`aPJF?Q)HhMcj4Ce7zY21^ZE@(W!9C6>F|Cw z#4P+7=O@m>pT%B|8a4V)D08stzcoEa-yF{oN^O zol&$T8C9>WG9?I$&C&uF7SIA-gi*0xZk^&s7}fLpJ5*sXiRvfFl2aUF+TZ_L(Q;bf z;eV{Y=vIHn)u&h}T~PgH(~+a)^t_V1FL{*JXD+bipuRg@Qm2-a_rR{j%@Z^uv7CM$ zv6xp9(A?@j5m1rApbDYGBdx{>XMA7oi%%^Z=3NleM+atHi`d4N(|&^+J<`(8a-t2Z zp9Np^bZ1Y09`92+RR3*GnB9^07sC6Wx#l6(#Rb{0Do=OT`pFHe>mfNQ2>vLn`)XGkYWNPi{y)+v+cJw}5$Nct(ZCw9&?d_n%f&=4?m6g!fC1pYnjj`DF4K z{Q>d*R|>;Z(O}~J=Pt(i3bBoP|6eaXe(QVYPgP>#O58IaIQrm+ps-8zUsa4FF6-?J zEjeON#1`z>H6Y8N!7faNk3 zl{ph!aUVkmS$Ky$VL_Ro`6H@3d9E=~88;xVhwes|^4Z@65%-&5ty!D+P4Vcq@29Jn z<=v|P-b9v*&u3!&(Nqj4n9RwpJ|8cfvrevZC#RX7PeUL7Fmxpv(VG-}$_Jh4Dcugf%A8z{Fyr&izsOxr(EP5lp5QPLxr1L44&k4V2JgsDy zlDd48bhb}yW2x(JT1kZwzaIhLHicy-jLENUcfBZg0~AijP~A|Uy64!*jXy3t;wh^4 zvh6eL1KIealPPnB!nFC%CIoxjL!`_kLFX>=r8g)x4oq@ zw>xC$)Xk*h9Qy1H?ekW5XHP}pLskFHsUhkbOCL5F`usI?-r-f_^KCFT?yi^FJcyE3 zBz(?$Dszn`pz)axLcSIXhN^fVappFPp>V?IHP1|#snPI%vCmrO(MujXZErVPtBm%^9Din)D7G5JFHM#Oo%Zu!_} z9zLA;YM!QPUp&SXZ!a@9mm-X+^!~&viVNRpv%roA!B>mCEe_ zPDTFa$jYccC#Is^o}T|Qv>vAVzg0;2>?OaR_h4T9`1YDo^6uDkd8jC@OZd#kvR{R# zNuT-nRzuPbiazsB_?l8AXM7%c!S??oX#QfKCk9aB64yR&;ic~)hWc7&)0KzBUTTsIIYu8RgTUWkl8tS&z9)i*{ zaHHH1dX8BOqeug06!;T=ly{>qADZe3By*|HM?S1Lo`&R}uqt3;8e09YrlaN4(i?rl z)LQhDol7;g(P?Pw^phR@2#VYy`J1Vzhc=%%F%RW4Re!}SBBBsp6C|_sCX778Ft$ku zFSq!h(ztwcpbxeULwIF@2irnxGK9?CYXSjH2>Ep8COg6q5PcYO=5i~-D#Uj15dOem z62k3EDsyFlm`>S|LAslA+o``5Opdx~V%zEQ%l9||g=b+nHVX;dBgpFMrm`H@FGJH+ zjy{<;bo@F@L6^dD$<}L&Jg1j`iEO>50Jq8lhOXvzwpHdTC&Cmn^SY|aTn7iEY-NYU zv!5$n?zf2k;%+*wL1nHjlA(RxY={_JUKrz1l_R@pmFq{O+uZQUjR%V| z*D8I`aOmn)NL_B=5m&FadF(JNPq@nGGqEj%h!)cm!l)|WA{5So{%6;DTo?*&}bd`nGhQ>BJBIVLO)ZaQl58cj+dnkT;GK%H8!=~JX zT6(caG#*7m(=Ekh552Wg(?bi=L+`E7+e@m+{h*hkFOLpwH_G_y9GP2+#vz>^y1(8E z1!3P*P$m7f5MflWw~k*@HJHS5_p-`d>JZbh+&)Opruw0C-8-Y*4m!|6nYpU}mQox+ zJt8&Uh^nH~u^R73%=jxSy1K@@;r$*gnat0Ns|tk#4D&O4QC^{Fgek~#yxDu$sNZYJ z@P97$mbb^b!5VQQVc z6NFxaY0X?XKs&LG=5#iw+4%48;QjyG_`g{&rW^Il$C^=vO=0%V|9_l)3Ahv0`F<`J z5d~osAz>epO+XANtDwUsI|f8HK_j3b3MN-URD`%Mb&1v%tEefqZY?&oR;^kYZEMx4 zb6i@jTjSoU)l`MtT9^O(oo~LGley+z|GzwsUh>Y&Iq&;zbLPy+Ox;ghzOnFv7XQN} zE!pRnFsr(sBM|7@B#R95+9=oQ~Hta`gPLS)240y!vDH!GyLtZB|7e=GJkst z6ja?-n;!n|FZ{2&wWR8{TmHiTy4zuTJPMu{{?}cPGTBf03;*l3m+4XPXabH9@^ReW zfB*)Kh5vOwObYh*3_7JB13-*m!NPw?5=_fa^u&I*DZ&1l434!0dl_4~y=yaqJs)5< zl?Bra|LIBh5G>g9Wk2c{4M zjA1Ucce2Uw1aELen)E`%$nXR&k`9XBw66}J78j`OFVlWRNWH4N72b1Gepc7r1@F-_ zg7k^3ZhMZ)oz8>0YfF5M#f9R=Bt4#=I2k80OlhD&2BlCSAJJ@pDYEEYHU#AYtVkHH z!O(?ZTowiayD>?aE(-^wnhNh#gzz3kQ@9F*pR=ty6`X}CdwsHraP+C??(*cC+e`ZW z0>1FuvF#oNBMZMfZ7e3Q`z1oUKNwdD#~fbWt%PZxbJg$fP_qH1NaWomU4~uaIcf zU59P7(vS6Chi&v)CwBCmaP*)gQ864%dhbXvD2^s=cenvY4aXnIQ{w?vB@AfW}jJp-T%)(am?H5J; zB8Z*3DAe6#8M6R&Hx_6mF7-zP6+WEag@Gm*#2X{15qg^h8uBr2ie>@OoM2i2t<6QF zglPf2GEE~@&%buJj7T-+Uq==Xr=q>P+p3d+)ea7=dGhK2+VJ`Q)(3eoKRg|AD~t@~-xU*S(g>u!c)@!k$U zTJOpkSNwGam!3gDoI(p|WxsG3lxY1&TA|qhqlW2)AZR?m@GpJEUw2ndFn0D&VZDM& zm=@lr*woqm@(|uXWeD#VmZjkr4WnL`Vz44*3DM4jvpWlZ18A-(-rRfzV z)UP3q>g%08DB>O}(4oAeb}x^=W?ZrU9|X#$YH&7S<;Htu1N{yCJi=CWKS~p(L-~(X zfXNVapNV)YgYl_SdAfTnr|ot7&Vn}a@1UaN#d?f z)-NMaK7m8y|AN#XJA|{;re~L(`d7AeO<~M(j9<`y5so2qC)Uhb+h1ml27SpNV|%;5%<(rGV|%+lO7b@vV|%+lgn1`p z&%fz!pW5E;GTw{vf=k;PU4E|}jV-<1|8e!}i1d$Zz1{!J)9Z+E5F8=o>1{?3z@Rb4 zh77^<=~VyV_VB!5!5ABo1k>{4H|0r~U?h#k(5^RdAkwR^bRR~-iA8MU%sY@<`+u8=S!c%yxs3%8@>9CGQ``B zu|#5WiKv7bf)`RzgADo^f`x0;Y=GflG+L&{1FT3`hXQpWm`=mQuq1Uvm`;qL$)@Kp zJzyD{H_lNye<^l{`X~06w1(?*mW%n)`MWB4bVlWz-KhpkmdhfrWu@x%2-SMRcKHhEnl`c=>K6L$cK;Vb23jU8Zi}dZ^Y5f*2 z-^cIcn`05hP&nr9z1_QQ27MpL^95=)z|b(g>5&=_Ff>mu38O9qV;?(ZZ} zIE^3IW)+PKPQp(H+^CP=SK(OwH~G5P&1eXREJV5^3Y2W7t7$9;1v#-hcO zRrWbKlliwUkc0@*$vBQu(A$k)4#xkRWXE{Bv5L;{@jq>Pxg0YKe0(sZ{?7-w5qgm& zHN-n|Q|#k+QUNAD9{N(U2Z8bleSCzAT5S`qHIMv`xIPp^HFuO{Xg(|zID2++)m=Dv zmC8OQ&JHwxSq^_Ife_94DXho>Z^PNQ6r@aJ6opsl5evh;pA}G&%MALOb-I3@3otZ= zNjU8HHbLTEQnw?uN%h&PQU8CT=0laY`(Gu3wdPSNS<>Z>v=Gj+pv@hH7u0E_<}dfU zjO}n7npq9?$uQJ8fd8%ZivabHOA*{qxL=;9N^us2$N!*s;veKQ;lw}6aDiSKNbKrj zZJ@hIA$*vFk_5G^@nMY}2;G&EV80~{ukfYD1>2q>lVHK$st$t>qzP8)OE%t?q=rYM zk#`G()tpQnnQD>;JD>3R9DyoS^mhL@DHs=k@flme9Q6FYEn7MfJp%uy?RuQWfg~@{|}0EfKG-Hs>zAm9h3Bn z{K#aG_iYyR*p6dPRoUN`!^meJf0_@c$B=1YCG(*81|QSCOZM?ch;_W?(m#>E zQ89e{ixdW;zBNSn%`P>|I|9?f?}IV^l?gB?!W%tdw2umcv5(`x-f;=jdWVNaetRD3 zZY!vBUZZ)k8{F#2vZZ^Ec^wCxrm`yS3#LXu6%RPxp2uHhZ7&?y5dQ|RFVTE?E2SU0 zqKc$baa5n|QTjmte{~1|cWk~%r14^w@n(t{i&^$tdc>Y+V6Do&Y)2xk(!S)+M}md7 z`)fF32N_)9TLp`y^vtDBstSIsf!QDCDUpVGRS!)tC&w1#PaBcaqnI@5@HS5LVhScngcJvI7bt?NzCMva6+MiViH?Ptm>91S@ zKYXLu%J{KW!EZbeY4kB->n_`?v`RB5QlBhPLji`S=>4nIT!4wxvzHDbX2BVf+x9H*ln2fIBTRGrO;3kZIw$#?o(`$(m#6m!`_mzn zy0W+X8<`HN?CsF)NWSIhRWJ#jz`KQcI;64>TsFx@^KgVrhu|(Z31Bds4j~zW$#e*b z5lp5-NRnWBIwUb3R&vJ$LqPOxA)1X2a#>5wUie_4WHOot5kX65;` zBM6k~Z!jH#dz8@a2=)RINDQ^f7J~6~$bvMIBgGhM{gF-2uzEP9>z*-+8nZlg1`cXa zS+7Ykh!u?KkV6cUc#lTYZD^H?gWC*51DzO5CeuiMK&qK2T zrpS<2A>iBP1FT4TF_cCZf^jj}vw#ADBmBge_8oQpyOvp;I%i>99CHRvX+=NqQ6cq+ zqSCfZj|=l_!0vBAhQ<(l603v46Kv`()D(XOyxNu-l&q%_!Z!qAN1gTbXGdzUJ5w_> z>iH8!%!SqPW99KkyMC#j}qa8l_`LB7g_fcZ=0%zlT`)cToluZqe| zIN{jdOTvemvgc)iL#9P{P+BMy|}6rLQA z3%pyR=a^^*#SmQZydFIkDFy3cid#|0*z=tW^we|WWSHWHGBwDcnBrfkmfw^DOi?sr z42i}AtVr0cIqE_%7QWr~DxC|2Y2lNb`q@2-gdYW3;%9eqD16e}HeDiaP}v8psPIn6 zpyM51c%>DR6Yp8~M{4o3|F~Q*^LBp=6VcKFEqwR4EZgKm(cUv@4rF3Ul~|G@W}$!McRC2pK(qEQ zUBp(}*}NkMj=ln{>97rV__sH6h2$WBd+KDu*9McDAM^7j>9jwks`GeINMqs}> zc@H#pw#q(~iAvoA=GBWn@)W#ZL#q6=3u7j@moi$1a%HcyN-QrBDMKm^PpNHR0vgem z8)zuN6k(LZdt?Gkq)wc6UOxim6H1+*^w1;WTI%%gsA5Me1ozRVd#9^lfR2S==iuOT zRQ4%JW|{4(^tFtcjmqG%O3Z{xc5l+bdEdQrLic8@Q|`wx=c?@U zxoF+Ot;0mhVU}K{Pm0!Bi`0YMaG{e|=?C}D$cwXk;afUGR6^m2m1*$HGbq9b#;Dl< zBjH%+rv&dxX0J1Z%Ai-BubKeXjhG_*-&|5A$T z?Fxrc$WqdIl|z-U5VX9?!45Agpuz-i<; zFM8t!H6CDS9!=+{3&FHrUC)Qcs;S=DWnb^Ce4uyay(8$C66fKBocHx&C$3c8 zGAc2@AbPu@)i~%DE&PG#8|Jjk6_U`a6H0u9P zZcSDkowPD47;85omJ_)qCsD*VrQ$?KkD7c9onTOhg^isk^_OR2=1oSb+M zfosDPFIG1hC*DI41dB^VLyM-vRpM9+1ynI;o_G%-NPBpDhW_BhyJpGW_vkt2O0VF6 z+mh4>oxOS4Lah_;&Z+r`=wwTx4_y+Q4hpDMzjvadhv!sc8GQRNG7Z92)@yU1gY{W-BV_W54Y&2UrbrjT8H?7NzuV+ zO=WmsDZrozGX^C%G{n$6hkf^y?8iymDFZ`VgmGkl$LOkG9+5o}z6!?D#$vCY_qR*n z;0sjt(X=l-s96J$qa7`X%agbvX>&Yva8V^zD&`{M5|;8R18fHQFdjP%Ne7tJtb=-- ztmgn|1AVG$){G%1bzzwQA13k=7ZtonsFph^*<>)eQ@>1aQYw_Y(|DQQ$QcuM;@}G* zx9rRHU#90(4lIQ0yu3>9BE6iAdNK;spV9q+1qQW1Vzwi7=#oR|N-X$jF{1ROwxb>k zw%{Lnqm-<eo5){@o4&DmHk5|s=h~(RyT!R^=Qluq&wmV%=lXs|+g$7N|expY`~8{WXZ1!>}74!0Krn+TS6prurGl z8tQ5E*Szl&ub%fHn!ZG3pOA7ETqh1MBg~ zT{ym#jQNhrKzRE14wDX+m=DZQ6TTAHR&>&NEJ+RdSg^#LniGuc72dXoM(Lv~^W0jg zJ2Tai`-UxO*AvZLuCh;J=y7!({|?-D7!opc-yk^i1Y6v9P|9@Q2%FBu#3$mu!yE=B zcxl#gP(iT3eZ!Z;-eLD0l#-1)c=Z*-2%E5)?weaHb&oE#q<;B>M;(u*uTa^SN>TNr zle~b3Q+I5detRtHXTrT<;TmV-Qa48&4fR-{QyHCPP}FzHd6ltg!2KTz3c^HKFjD_+dT>ZiD-`lAY_`Z*|E zC;DEKAtx!*Fjb>$AKPN& z*B#%s4ozRFvM&^(>Lx8(ct{&?!mX!k$$ak5ysHYWP zm7|gjnvp-XELfnv^F1fHtbQbIGt|#6Ao6pB)%5+m$Q9$Q?rsjOGsKxO;YC(@PBwJMACczo^-RYKRhNx7VyjhMcrAX8vd zbI9)kb*EjZRmN%lc0#BqPs0(cOst5n(UhpH>t!vbqvcbja^s-R2g(Ne8>(DndzGm< z!k|)F|8UGH5@o#sb2Oi|6YW#!7Ql5X`%m#|Fjkoe&mD^t-~gUpGy`~sZ3ggIMWIeK zi~wGU*qi7uXa?}~qF_M)pZvv;A94WCuw^3$FlE75ZNeG>43X)mYw`PnBnPlNI1J$U z{SzKS<3Ci{50&p)KOQkifM>SBSUgkUpg>K9aCisZkIc|Jq45p8y~-Gi-_b@7;MNMMKL=l-=4lo>y`;<9qJiv;CJ(H&{1mjSq8SOb`!nBj$j78@R z;CLGm{05@YpCVD#o24M8S9Cf2H#qoum3`f)%oL*NzeM9K5k3WlXigwJ?`l1;eQ z{A#W`uwRGN<^#Lk4F~)MNB>A=-%Ca-^C(v(RzNfd_DuLUoba3u(cv}J>;k0#(J%sg zF2sy-88icXW=60eun)4={E-8Dx+5Douqiuc7YM5v*#9U+16x^d#r&F8iUs~XV%uVx zHvX~7{?CpE_NTi3Ir(*dT) z+jPDjlMApSVOJJ0?9L0ufxQseREsd}eT0i)d0qy~zAwa53(=VNXo6Q+^eQ=-&o(D`kH2I{FEn_g%6=L1 zH3t*Ci(%eZgGgQIV3s|@y-PvHL%6}0F!3B0+Udp9U(~4oKb^la_Gs5;c*iCiMd{E- zthv#R+NtrUV8VqCZPQBMD^!>0w-9j6m*}3KG@OH@Z&KO!C4Gaj$M_7+OO}-AQ`2UN zKG8Kx^g;ypiX7Tu_fk%)#A*cGc;7Z=i9R*WI~vzZTb5@&;}R{`9dfNqb$%h5Yo`IaxzYr zRAO^MJbes&8;V{4zlWkvM5)>s6@6CHtE5Y4TnGHaMJhpbnRJXRSa33KzkTfr7QG-X z8(B12?!**fHBZJL#HvMq(4wbT4xD&6TD(PN-!4Zzy~I_CELtGGa9Wk8=O<0k^ND2FE0s!|hZb1$Vgz*P>608&^l?ct4^cBjXTW!*V$c+g85_N$A$sOBn{H*% zCpof_MU&-@(}t)i`lEEUr^|LrqQ^d+eIDHuFaT?k(@QOtI6Ez(SCmcB^9!cvc}Rrw zT&5f3^jQV3B8hH_ma&;3dLOm^2fn8Z+=>)3vfR89VKqg6>Q;;XDAtnbIV<-bgcg5_ z`G9=X)2G7IBXM$OPp>MOqF2H1Kf*ThhgVsXBl8e7!_yOyj;DTyr&pDDM?-Y_##26L z(W`ltBL5cN~|kshs3hnPPpuPHQ}m~~Kr|lB2+{CU^Ur8p zc+c|oXz^z%`}edj8dkdlBrr(K<3v;rL|dkBk1x{G|CpdZno)^!phO1QhRn{Z=e)&! zJl5hP{EUrX3Yk1C1V3gC)+Bq0U?1HgTOWLW0<3- zLDH8#!9da~Fg)27)S|2WlN`p|AkQnz0Yqaoz& zD*GC$ufEk5NLrqxhun#zWyr@+(#i0ktXK&ob<22_3NSd{B-OpLlvb1buNj)Ly zDxA4>G|(QYUyRO&BVT zwgmp*4oUoqPN(~Umy?ZJ5*`AG{IUtFDe1paNrjfYG;i#fchKUU80e>>l2*I)yCCt> zDM?e(DFynC5|Ig?cbZs`Mz*^Q8j||vx;)F0q{ztGkA4(%N`VfjDXB3csp7OGsqgLG zr=i8WRQ98CRMK4J68-Rry|hf3lIG^==UPO@kVL-_nrky?NE&=)dXyh{N;a~WE};YR zgw>R^%dXC)#umNQ?yF7Dp~bsZ_SIZe(sFp>q@qYV%`qiq%k<&{CKv?XnP9lQz@Qz(r~mUNmU8(EUdd6jILu$q!Sk9g@b7cE=J_nK#}etpiRiuVhZ{ai&Qt%aAeL3ud4 z%1f6Zvy{aI14*l0ud>!=(2%rg^n#07(%DKjvZNOruX0I_u$q!~$Ev;bx!sbav4y4g zqs3oh1d@zOx)>Gj^q`1enl`<3o{Jgo43RMc?`-8&E>1FNNSgoIp%1d8OVhHEC0$YW zDm+H5DXCJbmbAM?NsIa%Xrr4QDB@OB()mS|Se4P-Vh9lUB`o4wa&L89mTVgiQq1mb5D(>9ZES)U!>S3|jn^ z%6{CWJe=>S_$z6Vv^i-?I@8A8{4$X-B>f2t&vzI!B&FR}Shw&Kv(wZSjpDUb+c3INhZKYD)SdRxRn90$Ogi;*H!u4aR5TQ`-eCDBcmbDEW8eQ8%q`nE+$ zZQmc%72W(+W&dSIC9RL~0~tA&)*;kxEpuXfm0ViI|L!nBkraQ+_A2WMs}8(LD^Gr2 z#S758E+ZS+OK)eWCBkZX$@)4My>En9-6#x*?y@}d#UbNV$J5Zx{kTt-@`d;}4A6xN z-?RV{ko6{n+@a_)@Epf~=?yH4p$u~EbOa{N{zFR!m-7Xpr{^$kP14ml-TTR5GmE?< zPuRbJts_Var-spCni>zVBCy2CHgzEwb)phy=BXpX^eu+3BT@Nntfi>zxJ8C?;@B&*laye{3Jvlu^SWOpx zTP@%1Dogx3f0lG!%9L~&s{gq*kqK*u{{8;?5`#vfjeG83 z+#aB)JWtc7TFH{uCR9OXwYOW6wEUSfW~0U5;aYNtcvmBYWvI*x*8f zYD=;rUh3Fl;B~quelc2nSY_X8a?-j~@lpbobRohpl(e~^5)xy9muQK=rHU9QS(~+FBeltswHLX9vFKK4WP6UVnxZ>|e#FGIvO2mg%}}>JY+4Vr z_^8V6wxXiXLiqK>DUC&+oi=Bve}u3NMc)9ACmf;{PRrwcgcuVR7&LOP)1#Y)vFNkY zvN4>Y{*e-lMGr}Pl^aTg)fBx?=xHt5%C#hV(Wc{WLyJ3A_R~^S^iSaFJxU__N(5jy zEq_(n6nzUqdEX*YGeoyZd6l1}7&Js5dBj@0BbcV;uT09uQ1n%0!C17N_bRvK39BjE zDh1)KMYm74BznZqz5Ad=7t```_6x%Ma+@FB&z`=yXo|kN%F{QM>5>0THwf>&i(ch& zn?XbLvdP0vV9_@hWn(D%Y9$zp?uGEasZ3Z+(d|RgI;T6>E#>sY(Nnvj#m7|k-%Y}M zYn~tf%c8gW;mtX{txELG4m~!QsD+-6ziD}ut$79w(Ib}3KuhH5ZE4vU=Jd9R=rLul zaP3q0MJlJLH!%F|b5%<%p(M2|`lwNNxY z3Ab{K&7dKA&t5C>b1xEoO;I+6p1vX@7<+nJ+N=E7A*`n8MCfT9-U+v*@Lt(#BCX!} z16GIFQPEcx_yMu(>04uFcwbR6MPHSp2g?#QLv*`5(zd{$A$mos>pxiZt+WkC`0sAg zx7w~K3C5xihHHFX!!(InC_4Um&a2#*WY7@Zeb5>EvgqqlvN81ZR$DL@Jpnm=t2Sp% z(eX%l$DNi$kNRZjP3Y!ND!VHe6@4wd`2(f-#!yZyQ}hpDf>3k;b$+a3x>CsWRoJy9 z(E!Wwd3wxev!<}MPI(etKY?{X zt}i;cU3V))e~}i^SEs{Qal!-$Xe-U6WxN%C%Z6J@3>v;2_w=UM=~bLw?*wIvmzSJcYtlGaz$%IX@f#2nw@v?SX3Gj53&LhsQ-9E0cM7ln zqb>MQKI@C=_#0^Cr%A%}#dJK@RBAluOWnVKao73yMZx(_@6l5`;Fu>>!@hFz2__G& z0FP%g%o2?k!gu5%?F#-oNQ-2Ys}RIj`&2Nta8>-;GEp&v|Jn5_R}iK-vv8Uy_-P@) zAXmk|#eO%27@FtR#aCIfAM3p~M;#HS_3q~cbxB9-es)XIx+T5*WE}mJ%6=_%DTvk^ z&}le%aE)U|Yu?7sjzN`#1kp-vy@4>TE=bDyy~qBKqxBj`HgW>iSzaY?6IL@?JEf~7 zb#hyhWIwt-ffk>JBpE&hlD3!4$%AVl@G`VVWW36?8OSXV8AB39;Px_uMgn$!?)b$l z=~~*R^=e7+FX54EGlbQYWXGx{*)4i$)+^Q-Xz?$qp)M7zDc8B?*sq>|%RuFnxxQ&P`JE_KVb&vF39~bdM zSmI(x(vt^2D>7(E>eg+|%`EBqvTWqQ+Z&R8tPiLusaL6Kh3{qi6~2cZRQOTbhM$GT zJ@`iXLV^naYvjxeMXB(=aOftooXmEV_>DSufQDBoTKs@0KDFJ0fr;EK_Z#CMH~l&- zI=I5q9pzs*0j8*$)19#$r2s?oc!o!sx)4lP_{64?SGgxen6B_$OTk+E*Nafs+wpel zf83W-)-N5L&9;SS@Yegyy%Ps2)zDFjJ5uHy^o3A?lr@&O^KJC!Req1~{AXTFa34f( zDr3(KZF``s5?ipB?@KydC1~3BdLQIQRQ$sfHN-n|Q!3to2JR~n)*6{f4PpT(_IsdA zn3mMdu9jpYDr-tQ>g}En;K1iqL#vGM$3W6=;g54|k@TQ4CH>r1@ih?0k{&LpL{1R{ zopCH_P0p+QHpQSR=|O)-k(7n!es0r#p`acY_v7~Z29?)wM>XnN0e1Y;Xq2v6OaBrNpaDoFa3#bkZ z?SPlomjX$7Q_`cBik}BtagO2HO^GczVqkb_6TC#%!BH36`nyeXZ09$0N1AR7*3q0QkL^d?JsS><{Y^FOA(bCHagN=s{0kR!kEDj0%L{Vz z?{+91VB<(Lt7xEcw_;H00SysR22Iel?5ZzCHTTJnzGJ(?qo9T!Qj0i|Xn9W-?EBpmpP zYOr!qNp~UM?ktL=`;(@m`wNIgC6XS_Uo=|##j=WjZVBxN zP$P~jQqTHr8~QXA|EG;?FEhwHs`yEu_&|;@O{b4o*k{qFIXVX(-)jaAd==Neat;dU z{Gv#wexb7yVKU#LUP3zhWc~}EqtICf%kE6mj+)MZLbY}JIn7w7b*%FW9QYb8bxKj| z++L#7w$S;tQt^~ay%==pM0|URL7_9h=v98L2-9>9ia2X%b9DB6b@*O5@O9NtAB)nt z*P-)}(0KsSc#=!K7m2W55LqCMtrLlzLCw*b{pEz4ao|5xL;EJq`i)IhP3YX0 zQSrID7lRJfso&UW033zRDp0&HLzt#>h*NEyLz;6|$Ke}K$AKlpPCja#-ywF^WrWVd zF%@4_pk53*RAYYUFer59S*SY%F`a|mYC1!5&BRXM4OUki_?Bwe&yCXAsZ^paaMm5J ziqoC_Dmrzr#7@Pa&{>#5Jh_Cib?j<7gVW8>nLe^D{k-^Xj0Kw{`Y-eJI~uXh?}{qE zI7hu0);Twiu+1|lbk1^MF&$5uPBK?b=aA;;B=29e6|KFa8hYDN>)csTiC93#1)Z}? z+_PUP@vgljhLr^dh0c0d%nj)bDpk`N+8mv!M;!DjT6-6x7AH#QuCj{%HVEZEgU%Y8 zdlowJd*PV7%GGo-u+E=DI>Vf5I>VZyvtO$&KSpcssfIS?D4iV{Ol_3JS&!q}#(ad% z$FRhXOf{WzK=E;naqJYT=?t-(iJcj5k4vGo_dzEerSm+3cUMv9ybkMZ%2m@z)WZ_b zy9|o6&T+lU>qWveok6i`I)j>{v*q!V`{2M2R70W(oj)Vddk1u$$*K5ehk7wWxr+tI z_@K~PoAfHr+d3>l{{UhR$9WblQaj|D_uC&qST|Vwv7HFLa(xsrZ>m>cyZl zCWo%e42pF&q`k`1DZ(_J;kjx$qno2M=9bo-aNvikA+Dlyp0Vg%vO?!IrQ+wi)Qdp} z@4%}(qb(7hBu-0tmDd#Q$aD(TbPmfkW1UX>Z+sgEexw?@Sy4JqW$3l1Lg(cYtW%&~ z3_6Fx5>I6q6zk+lUghNyVVcgN5jvyoX6TF<+WICO__1o(I~Aq#$0WU>Q|RF7ck!%E zy%=?+X+<&mqyz z)x8*W4v8U@OK1Qbh0eKf;B!U7*g6q9!<}ZVbJEaOzsG@};3QFuTIU&r@~FUBua;4F zEb7IeGbxYQDKjW^)>~fXRgE#7ShaOV$C{xt{eo^UptVm`!=AY)oj>B7wIn6hc`}dL zhA5phtn=dg&g4z-)1v-ItQ-ot_a0i8nBI)6p9+?EmR{0UWg zi%q?R&Wb-&@G5^TGAMMmz=40t5vJ*kjL;d?oU?k|xb_ho_!$zt37x+nm&$&GqO!qO z4N>d-z35f`QlPHGP`)TlI}@hqjBu-+HL}!F@YS_z-TIY$hia%5PiR&gkZ1r`-_;U5(bhK;0=t={#v;-YbY558rZ8 z>wMxMZ0%|~7bLxkr!h@uj9qP=L!D-mgW>BniY6g{>Aa>T+ST&t7%{go7-E~88;BM8>E)%8m2bZ2bD0Kd0!C5)# zC3IGTo)h>7mqDSku8dIDUe(q)qEJm|TyxeLcTw*r&{_r7X%ahsNn-fr2%Q&mDt?jT zp2a%xZ(xbPB&+G10gJtuBTUno5OLO6yBTL4mCk&K0~>LYXc9Zm!dd4B)_GQ`_$fu| z#jwr~QeNfRG=pND)6>8-Z%ya$D4kq0bOwBJ%8O|2zpCM_Cb9D;oFvAk#X2v*R;Nd- zLvJRo{Ha_`=W1B&g(P9@EH`l0gLI4Q$Qb@B;2-ya*DoD>Gj0NPSU33;9QdVb_#hpP z9fjZ>9XRW+4&B0|UP9}{hdEwFQv@j1xip5-=+KUAoiKKoj?)aCqaW@5HV*tB=#-;$ zyu6B!x5PS6m1rd|^}Kf9bOs!T1HV!YZ#Ypp z&%quONT)Qdr9C9I=-Q0UM)*XL8TBhx8W$IkdtGjxu9uon%#zEKVT%tfuE zGAh2p7CNuPR{u*=F9w}sVF{ICQ0UMK)Yl!tG@S{#YC2AH))~0yoW(fsTh;JRlQQ@O zQhRY(=)8n)ue;QXL1!uGJfRr$>FBkgFKLYFM4UCDIXVYiaP&9Sfoga+7PZdHF%@4P z)SXvSw3ahMXI>7&bi&YtScg_^zM^;3bjC-lb9i&k>OX(}`)I39HT=tp(s?Y838ti2 z=kG~cWf`G!a?-0jmanEm>nZ=Pchq!_h*dl5s8}AFK1Nz#I(?P z)uQ!}5jw{sY+ue)(a|d%U$qF+bSAqII_YNU^z3u+G|;J64WBwuI)8(8R^^1wV>aDf zjnG-0MpEai>Ci919$nlPqgSJRo;TE z%d5O=Gw9PvY(#j!?-Hiz;4aP{xbLlK1gDo zJtK7ROA)N#K#lTKwSNucL$ZaF!dT^JYfHm*$1e zI}WW_qmM!7MCDc9%rGc)Xr8rSl&I?;Km`{Ig6; zsUmb1Td=ZfZ~*Q( zaMs#_SNSYoP3I?Y;9EJuG@YX(u`@T_44wV&N#MA;PAc0c7PU?ztaDRfosU57R}S@J zIO{6JNuv*nb$*^iEz%Sk_B5u2&q&AG z>Y^qOwx7`1ECPf4Pi*ykL1d|60U9Udq!yUYzLjG+Pjtr6c|ig}k$wrJbOCH^)^e|s;ZzwLabOxxbWHhR$a zUz2)I2zm*f(k!u8!AMho`)i55ec|#qnv?P>AL<^Ig;OWKeF9Ja8zZ$BT>AEgtr8cO zz(u$DIr@78`d-xE-pJEqFK`g-?~})iF-jzWK?o|7U0=2kPyzP$j9?#^WWSe^g1v{W z)F8{}$BVxg>_^hLy^m=(z2D19uyR_k=L75uSFpcYvfqbA!JaScCU&HTc9@kUko42v zRv_@#3=T+aQ)C6f$PSpVg~-pbmG>iSU{!1y`{fyf+2^{^4DnpNlNK2QYs!PM-B}bv zoFV&u+50O9>VgbO`VoQH;k9a?S}Oh*M=}HtnTu~jD-@vcCBD6=`wg=o{+A*KRu+*V zOY&N#n_UdbQvoJx)fUC3A4Q;i^Z~L~ZScU}%VY!nrHwi-;(tUBU+C6!Ud(T@B2QTh zTnNBIEo)&eP}w@&=Pfi7M`_CYDuX((tDq9sxuWtt*mNe5SETYySp5!#Z$SV@k*h+XPJS_D|L1a9vr5#v+Gze!C{Fbozb1rIt zBiQx~SqHy+KXcsvH|YoLpfA~YTaxTUv!-9+Ulji8oKMU2ukfSoGp``_;Rh-Q_L-M! z>A|}TuRQQl(CezQUFGbBb$i-AjksTdr?QBB{)caiU>{J1)UOKWYo@-1wJ<}2k4_6Z zTt9CGO)%&`gEnyt#QZP70`*56fA$yrqNT42asaFEnDZ*%I)v3!zoYh{*E!#~XP(wOGOZxuX?TYrBR*ly z|JkKO159#$a9%GZBV2#<0h03r-X6SNHqc+%$T^Qi{^*)8?c-ye=qg-gEh_mrznDe? zT-gpD=in-?uDi-w;!w%?#{F_CF(@f2_bX%SE`@K39Qw6|_jbbB?E1Zv=Iar^L26v% z5S6g%B{~;8G{_(~sp9`g11kp@8pfStSn6vlz>1UvkKx$8lY(*1|FGayzQGZ`-bGIJ zxqlJ3`R9KBl>hzC{gdYn-vQn|PykB4*MoEaw?%p~qkryi+#lbHW?9vShu|LZcJ-}Sk_u@3TRB%sgzjhz&K)93!icCi0NINR%Ue`Cjz*NBzU zeC}`TVB@_$j(_fNY@g=mN$PWd;~w~S5)4Q756=BBILHhiG|v5y!8`JsF8TcZWk#^@ z-2Y!&FdF*k@fd^&7M}aRE(;c%`yo=W;M@Ho zxqk`*zJo28KKCb9yB=&I7@zykv|%?NgQ1U;!Fn({_Go#!vM>uBp8Jh;>MQO);bK^_ zCrX~26_G~z+}~ISuWt=A#Nzm%07Vd!_gvxy<)PZGRPFO*p ze5&d|&&_i%)f9e$I*_>Brmks=t^+5gqeC5KEu&NZ387qk2`>iv-Ez)aQ0}F&F%_Nx zS#B)PPZ`%`ukv4fyRR$-;hQv<04@lf@a@&SKL}{t-%$zq)vT06dggdzEKfwWGW?5Q z(*55MQ-1PSzDWoB6$$$kKJ64>ToA4)dgzGu)54e7O@*J}LiplR;AaRg1(k5cLz8X< zm)k^AzEU3qZcE zL_`DO394pahL{$9Uk)RQRIneKM>#G~7lLWw@%I%@l6pTaJl#aN|JIi!=|DIh5D=c| z;x7#91TMD9+EVgx^~)Y_UFO%YX*rE;iYoqbj(ZQ}EOfla)@7lD&>&SiQd5r__FDqw zqqRzG58v4H9N9pB^+q|z&6>Q|iP4R$w4Cw4fwr+yx4Pz^2%r34YW|uhmp%gy2dHel z6{-1+^+|f_q160+99`G>darR$o4-x6$n&i&{mrlWt#kAMNdJ1Tv5h{?zus$X8{;a^ zHNT_(&9C_|_=TmQ=0kA%pyu~V;n5l}uD;%D9DqGHxc>ECV`rNlMoAx|=3kfgAb>%$ z=I`SO7F_Q^j9@{{ha|zc=Kl`Wt94GWpyorKU}4Q~OOSSKSo1pu7}tDUzBRTh2m6)% z&{_V3eGh@C`5F2f*8DM+*Vrp17}xyu*l&Ptg!bc_pT&NiZQc(xe`#c5U@6S@e+iU! z+tXv9sgKI;DT5^$95fEK_$R1jDX+1wcB(%y&^Rb>21?(I87P>t(?4s~gM-FIl0$p?=r3*51vJs$L9XNo z(*<;SBrjH#{%?6PY{QwW&`n>J?VXGk(4<2zaF7DpU&puP#UW{(372yL9mwDO0y;QD z@3N2rI>0jXqHoE}i$S)T7jai5dZi^Vy1~Ca@{$*WVc;&v1TLUGOZ?gi&Wl47y;p-w zW8_6!%WF(J44Qe-KPgz47l)(;3-e-NfN@@23Ic;OvR_z02UvoIdC|8dSeO@sY{7J1 zBswRNXRctupn1;6Cq7P~*Vs+bUq3G>4tAuLJ~Cl8Zya2bjhrBGe`C)8<4Sst<24@Q zH-ZFNS&ELTyq%Ahkszz=FhO=ck_tvu^>dcp0F(B^^<_Rfsv45w(BK5=<V?o^ELse>zRv!{kYjtA?Z)l;pVu=IP}I7-Z=A=Ei;@hUU?9j=GTj zc&zb79(6k;-6>s!rInAI+5X$6b)3FFY7kAxq+JN@_tL2NRio z?=q^=m%P1`LJ1aP=gm2D*=GdGhfoYddE@c(`(Gv-=&#;b^fxB0hFo+b7lj|VUSl7J zwrQoOI5m{6GhJ+qAICp&EUrcB2B>UjE2^|}-k1(;JgA7ZQ5otfbX*)$pN*aK3~I-* znA9_KI}<3MDy8i|>^)aD(BCSh%eS?@JGzmT9uPydhsS-TnL>1q#M}9l@@Imcv4R+G zd;Es0(Z@jCDptNgOnUJExjUS<7KY&%?uUrJy{uh=u7)6nX}*A?wuenKsML8Vo}MDn z*xg}}8^Mz+GhSoARDdapTDnFY;s%&F^|)F4+)ki;$PO$OQ>=|WY{IouPiyK_dQY*k z*5$(RHD6df{gN$o$Mql#b(-YuK*hhuX3ax$^!91doGkLQmiRKT557HwoMsDjhx@N6 z5vR}s^eCyufzU{xZ-K-j8)xcVfN2X{2|>wXfZ<;%em|_zC%{rurKXPV)Jk#r2G#j+B{Skj0qlZ|q$N zNau+(o#hWs2ACx8`Y-0rAy7V5A-$q~e;VfnpU}_!(x}-Q*K$w)jxx2K)TJc*X+OtrglP}J5t7Sx(_H?pS=}v< zocug^4S{>5EC&O&Nfy63j^la~)E|pnX7>)y&OL);?DnXaSlX3wj z?p?kheJX+SsdDekb?fu$As*EEf0cTWXpu7R7xy>6?}y#v2l{YS_> zSY=VDf=5PhG><}Z>f1Kp?KO^9Dse42ThTWM4yCyr7C$Vn;;)s6TVx?9oqORFB7hpKFk zbf}(7lMQDdhtl*gBzTQS6ltuoJhpR-ogwVAZl)Dv}dP75k zZO>3eqkA>iuUWwNY7R}w#@mu)8ZzNT{EL*=PN2UWziLAp4 z0VW==>)RJo>)|K#_*cl~(Kc<-9^dH3>XDSoQl8thj6tVyYyRj>%W(NymsHuk!gzLj zm&u32;gf(#CdeOy;%O*a@Zz^e?TtQ$sqFr_ zFi_cP!%O_b4X#RuC2@BZt+3M$burrrW}K!|OXN^uVWcU3)X_M+#Gp8BHY6OD3@}9j zJrFiK)D19kTKxSsM-nKXz-bBc{L~WRTJzb3;7N1(d9!B~T6zlUk{hQz3#!9a)=q_@ zIXg#KbmLs|akAokq+6os6l}Mp{ig#q&cwD?^F$-ePPzf!IKpC3H^P}{C#GM-nK&7m z=?MRMvk4jlPbElWoSihuJX7yiJ3C|F@2ulobVPqNeHezw1z&1#))`U8E16&{_V1B4 zKiiQ#iY0@I{ZmXUNW;f-5LXti`m7VjI?=`>?Eq6+#*Wm$y-)lvf$|~2FfjeukvjOw z&Anv<{Uwyc`5;(hnoF2=?HRT6aelIM9!HMAQkk&Y`1v?e(Qr@laZCmeI4?>*&VcB* z{r8Xup_rc*~RJNDpOQd7bV4Xf9rs9|6HKIWreBO6lF5%jrZ=4&K z{6n8ycpTapi;$bU=aV z7^Usf5;e%6nDP=EqY@{;6igYXX@JpM3t0>C5A)J=!5G@ju;3GDZ-zyfw&0fZ_e<_x zlQVHNb2w(d+-PzinfC|H=-z7_i*%*|HESA?qbUxi5QGN}iI2q2%u6S?9y*V815A>8 zpKSv>5GWsC9R1mmI{f|R(`5twB~<4IEx2nOkt0m2JkM>avcMO?$dB;$*sAsm|A}VC zsqEg8>2;#yaD@GFRZ5sdr}hi}LmkOSGt6r)J5p9`^)<4A{_2gQZQ1L6Ha6KPg=^x| zlT*=+ocA;;J6sdd+H$d)1Btok>@^mBj#t@sxgf*+!ZoI(5(i=M!2^j2DY|(nh3oJF zo<5cr;bXCFmP=fW!qweHXq6b0!bLYHCuq_n^Y0l7!9LjU@PfaeUwMzsAxiXqTEMwZ zO#w&!GrkA9Jwj!tIZ**e*($N3ECP-~3?7V}qh7tnaj3kPLk`E_EQj8!#2kW=&_a+s z%Jzx*m3MpwSl-82fWCAeR~C#taI)<+&Tp4o!eA(!_H?z$5H=k>!VVLE}fNtxp$J z_N<&V5ewG6*0(AO=x0Do)pt=<7{00cj_E24N2&U~s*cr0uJ4?a{U}OImOHE(ERT4) z?~lOJQCsgXtL)`L0|v{4Ev<$u_fJ+~nq__Ue#ElX*Rq>VV`jNuNkARTx1rEzgE2$v zHWzmMT|rq7&^Y)$8&^@8^*6SC4lF0At&icPbYai{@)%2X!9~^YYfG!d5*Jy(_Ai*& zZF;|U0_iem+UQrcY&1Wy^fdH7QEhzyH6fb{S_nMZ>Kj+U&_?z7Dohch)}<<}DBt)m z9m_V_Bj_aR$$L{ZJh^1=Wp9DyB(?P~uF75+G+=mg&z$rZvg{P>s4=CzR;t>sDBt*R z$g*8VwtR0-F8OMo2f=c(+WLEB_XVyrV0dybM?mU{J=v~gV(iJiZNa{G@26fuZyT?h zqPG4irm}0((ty!>>p)Z3`@VVEkudK4z_MW9yZ0eqo_Z*HKT2(V93DEeC=D3Bw@(Qe z_CCxqG4B14V88F(d#5i>dK9UsO&YiG-~wTI{?Gp56;Vuq4r@p!M=CztH<_v z1iep#--{~y16LX_dLNt?FzkI;*~D1;0ssHnGf(Wf3cXKPTknPTTuvG_dhc%w81{aE zYhv8{a3$FH*1rE|<8ZZAH$!cGzKrS>&^LPT+uWwCB8_~4EO7tljo!9oh%0wCV#Sjx(J**Iu93A2aMzq3O?|nWqQ=^KWyZi9& zU^H88Eh0xRHW)z=%TSyMdzrk zFXU8qv(a5}KD4D#-8)y&?nwcO5xZ`XCD`}weR8X@CG>u@+WKNaWzUe~@i*)}BPjLc z_g*kDzxSMA-@Eq>kHjBF?cCA}G8dzdX?NMW!FF$vo~$q*Bl3@Hjmw9K$QzE;>ycxmMpFq((_hD)*~ zX}~!7_sjMn>q-KC&)y5F|Azy1qxbo0>vMUP%{$V7;kBUwM!i3*wI3Gf z3>gj0$c}_Dqh8pXKf>ty1=DHww4%}Fv1;p+X_dVyXxX6E&6TD@3O#ZmCc$)|6=FiG zuM#X8Os6Dk)W8FW48vlAx&>c@}!n;#$pYY z*^LvQ1j{9A>r*+Ey{s$^7%ayHSjcirT0o6ymQ(S6{%8y=%@1MBazp?}S)N_X<9lB) z8I9K+2am&+4Vj>Y;A*jcQhhKaV2v`Xf`<=26|8V9Sm@=)l{w}Gq=cJ@J%lSYjYoRJYQ48du|Dol~_##Qf!JyiWz$J~z-WkyLbFn)W(aE>~NB6I0pK3(|lw{+pE)Ftpo9*Ti_VH9jR+)J8Q24DHvf*n!?xp!Yny zR+0t`8;ylp{)9G~8T6zvC3_hA1xJM#+vv!g>>XwKqhyU@az*W!F2> zfWdM_TELLyih!4}RQ0C@yflVys(z(o9?L9GEC?25d3~`4OS{e5)?j%OGQd^Yjg~ZE zusk8aLY9kN0YjF@1qX*LkGIYJnB{Rf!J;gGQmZhZe%X?>V0p6I`cMfh3(|nWazeF@ z@?@ilw(KY@{7Q%ZFO@hdv;Qe z(?#SR&{Fji9094*w=Kd}7fg)L%JZ>>KdrIfI~m$@c1huWj^lVcc@fR>!t+qa# zQQ33RjC_LGnA2>5h!I~Ptt^@tm%8PaiE+xFmKW@MGm4F#giErzQ&e_K8uLSPJpKlZ zmgfWw8KqMu#*7vTq#ekN=9UB$M&GCY7u3R|&ZcU+MrAL8p?;#I6@%8IjDSRoDJ&_3 znAHDyZiq?!KgAMEXtm(Fhcipw-E<-to$BpsW2x+~gU$^`OOn!RXs8o{9YdB&)8>9` zsAGa-zc-_UXDnF(MyGkZ4n*$VD{bO0N(v7dmX`$$dq25kV%+;uB^dQ?&WGHfYSgD= z)XHbk`&w^Tm$J&<8OSs;e^FIWoJhy#r6*!^2l`d@%ZgPPzNz{(X%pkjKQ_RkEVpNB zusrv{`A34~I&asWuFBr$NTUYJlLJgM0zXxMTv@=7<>FKordghxF)?Pj09*JIWqCuY z2FnRwoirXSPxp4UvsL!CvNT|@T;>QEvRqJ&DPlfXc59)E&JCDLynUa-yjlky=ZiJ5joOcL|NpuuY6sn#{`9WHKvz%5{u^>*!p zK>Sfj8a0e}N?E|rc&k!Xm?GnyWLIHD`Nn_gSa$I$SGGi1*1T6er~d}3{0-i&15iHl z=uSSt)!>={3|Ssikli$Sw2>)^qkpc_H?j3)7#ZIqq0BCNdpGU z%c>dSo2tK{Bs+$-yf9UTfhG16NT(39yd*7PHOppJC#*Sak7L1dy|*i#Q`z63Y54>m z-=YKxZFy0l3d0^?l&Qkdl&as5G%;p*UT|zROYieP6l;{8jIw;zs^RgKR$p2)cQ#HoMdWx=8Zc~mZbrb6xkK{;(j88Z6hP1Poc8VOL>6 zzl=8T@$hOn%JTVq4Oi`nx-Muv{8g~rgfk@0*@e6`U<_U7=L95{eARJL(!}^YzM)`ZJb27l zf(fJVGn6Q(9&1K^16t>KyE>pi-jb453|bfG1SDEa;Zi5WWGJ!D4KW!?Y|aVx|3|C) zpp#w$tWPfKHfYp{vWqQgm3rc#c0lUv3I1T0fXf^wtykaGc#3~B9>>Cs<5JbGb#3)|(B_JXqVnhWO)QG#NH&?xG_i7z+Uw?5|^lB7( zX58OXRdcGUqfO%Ty!{8MeEOX8{dQG%b*DQMM(&yWGrC-bM*u)_y-`}Z+?1!P+T{%i zA46TJca2KkyUSbRlv}pTCS9j~k1j99xflC#gG&XBzPv6%P`kW2>SMwsetxK1_U`h^ z7{wL4{3aG~R1EyA|6FwW4;*nkL##+ znqrrMGt9Jk3m-w3moU%9WA`413Yad_1hq?rRP`CumKv%fRca zp-oqALzkB_uQl$MTk}-FaJeBu&~T{&1rsiBpfL3@8S35Oi<5nM6GqF0yDaN$d(KsZ z??acDF|V_WSAmp|uegiI+cL}ZM7bk5!>rGlOwKS@C|2A@KS99$);-pZ-xRu>d5z+b z%*Lsx(MQ)62vAC+fXo>?4xTOV#O|3Mgm+eiqHKDU0%Vw=IBxv zHC*19p{m;D>bQ>qcXU1al8cB_?=+Jx*Sd9KMc+zhdm5wzT#o70=5cg+CG*-R@Uu~d z3K%XoB?xMlYtffn1jBbwZ*|Vc0$r|&QCv}9?r9h_!yNKt-&@h;Rm`g_;FWTO3K%Ze zWC&`PSNfT7xi0F9LzlQ0q)aCJa-&16?4x4F^u|lj<<)p+0Z07qC>1b{imM6)xl4Ie ztV^3r9u+q$R@_JX1@+N>O_tzozR)$y+XqK-y`iqoXg9g0lDvZ2h&><|J(AZuK86uc z@AACKWFK7-CsyP#`0mTFcIOX5m)A1yfHWj;N>Kqlk|Dfysaw=YhPJ8xAeR)wUj*kb;HaW(T=V&~lhRG>mVd=K52Bgh@SQ^27MT^BRiyXXZ% zcce`wrtHwVtjTubI|$tdCKC_eLw7_?CLX?rRwqpM8fHQ0rh;a558p#K7`b&1-$S?L zDNa3n4{cHP_|FUNjPZJFQ#!8hx7b3Pl}6DnxTjqqV9Gl)JZ>fS;u_}FPeF2RWv3TR|{?T$Z!DU4q)>wkWY;m+c_HWqfvnap-a_p5*fAGDigrms{fm zMIQ;5TUDT7!sQx&T$a0BEvH(h3*Co>poM4?m=m}XmdA3Ojb9j8Dd4<75u3q@mSkd=x81D zTHqXgqeBIZ?po_p5_5FuEMYm5SQzul~SkxM*~Qf8cVnaL3=cG)i*unv^r?@_Ltek;~xoUFE3W?a<|o%>k}2U2eb=O9f7e1u|r~ydh0cyIi05G1P_7 zjd35#(mVOeb7fzyb7)PG%iV!j)Lq4V=|%ug>H(Pb7#+~@%Mwd~NQC{^Vy#Ywtei-gIs%2m@ zev)R@y9*}cCuvr_$2A#0NweyoQzqjlX;%FWM$3g?uHbQxY**Z5Z{#@pK1z&E(yY1` z*T{uV(yaOiAA23Ev+A$XI?ng#eb2CU;2Cxrn$dXP^7x_#Vlszg4Vwj|{wvG%~-mnhn@)mrul!N4EN0+YqWWcJcqXczd z?#lU?aQS1#$IvCK{-0|y>GBK3id_cw<+~>YV_sq2tn=gSI5VM zOV7{HrMc+7^wgRnmwS$i1)Oyzb{+j9y1W(7jTQLafSfL@`tl3(AQ$bjI_+Z^!K!Nr zsn0LC4;Bb&mmjO#BA3C}8B0#@wG&-#W?uIwBwx)^QKK(^aS3Xd-#I=eTz(q&F{2dc z+T~YKiYs!tXEX%#<*Z#+BP?+n-t$M7|H@GT!{yHfg4*Tol#dCQpAb@gsQ@;#%dhjq zxl4YptATm(X#CZ91uVA;P>Onz`=)t@9x#y=$DtdJpA)T_G#|8ii38!e#AJMdc=8A!g2WoS`*=&xBq zx>vt+d<=y1gpXkstNtlwGI_Lrhil|g>@x6Zci%d261v=iU+jwGmzIDE7-RoQo}hO5 zdCJEy4d?QLk0~#b>aqV)ttoc-bQkP=~@=q0aqf0!--*Kpb;j&qQpmy0zDe1nnz!#V0&LghL#E94I zmm^l>GI)M(*k|4+=<*)s^-V+a@i-MQTsBZpyKJSDw96JzN)`-VnhR&rW&J#HbXne& z@bV{?4@Z~Vm^U7eo@Z16b-RjH7pSN>QuviHUP1bt^DALi(^4gKM`Et62}LNGGjSzc z(>!Z3aV1>SFk>=tC0x@eWioLkT+=$HS>2UzO^dY2#FcPOs6b3z3D@lFXjb6_KWK{-<5Fie7@wr7hqE8UgixiK$0mTW2`GOR8@>&nk!XCeGE8jjBDf~ z;mxOB~&Tk7YTl=a@|PW$O&FVwV%^ zZmj+3+e-(W7muLJ2bgyV_T{74rbW4INksNhnyZI_pm2`wSdHcSSQc6JA90fjm(_pd zh;f%?J*hlv%hY$#<#z1LEM5(%0_r9{tFci}F5Hp0W~*sJNI2h|;4YiszdBA_pVc(T z)uG}iZozZ+i1b_#b2_gll5kEtw4d7K>G3Kds8 zy5my;`{426&;WG#5Y9R-B%er-km0hvV!~0HkJ@ihg4$($l`L46BIK)fxsOY0ie1ji z1h{lpEI9{VKFqw45lFrip#p}>ZVD=wHN6WIs9nZ#J{IV*ZfN4QmokJiTMDRO&atViY^~T zmjKEC$mi2ccB(1;&=O+TCvLys}9Kkk=1 z(p123*)Bm)yTp62bql$q_xx2bbZIV}Nta!7#EV^?;s*3(rw@AX!m^Juyb}S*C!0Qe zk8)W&l4s=tMzY(^mN%oLCz;nLkB(FUVI+Br>4Gk-t{C>u5G0rMp1=Nmmz{ks%Q`9+Y(6r9QvbvmrU1!Y zL>o{?MJ!H`JCa95x0K1`J~+@dncN54=ZKYkRGjo&1^*&Kj(PZV)X8Vj9WBaHhZrI4 zsDH*}($S!-$)uz14zaQwojq^%Tj=Ot%o~O?$MZl1%;U5`P&;ayHkowPg^(Cs>8N9b zVA+nkY-#llI(mkAL-7cB!KDI*qYfE@+EK@p$)uz1S(8ae-PG0yM~?(QbnbZBi8dN} z7N`C+8c})bp);%LXh8YUnbmYjn4(*OPYzx3CX_+bX8rdQHbz;JX7j)`2fqa$-Bla9t@OeP&o ziV!Q?QDs$lBRYD4c?TsRxlW8dfmlq@$zMCYJ5UO0@b89lglB zLD)z9eg-XS8gkTjYDa^Wl6EwjGL3`&2o+bhqxlaFZH10r!kZ3xbd*z7^`1RO+0>54 z7AR0V8bZi$G$}=}Y)4DeV+W(7mzg&p1<5xPRKOUuaV|ma$Vr(@j@oFI%;V&!9UP}P z?nwU)Gpsr*=z{sA9{u>kzyC1rFx-!RpnQB)C*8wcqFhN{z>EVQQ|}}daaoGcOwLgw z99mQC^7?$hW!8X;XD>pR|7G4$IDPA( z(d8@5!~g#y`4#2kt2zQkIYhZ5d1)|SdEiWr?om-QPLA$y<=@|LEch|`QhPFf-!Swl z_FEK^yHo+A-;O3BdHIy)XBMYGkot|)3`zJ{md6c?m`slDB$exT39D`j`Z%=oi80@z zONJNGaY%lUqoRh(A$fwrQJSkC8TT>ZEbjPNmfp!%o-17*5v4U{k8Ype&wdGA0-lv3 zko-JG1&q->I7g5x$rZses{f z1nS8}yF4WCW56998uu~GLY6a`bU8t>Vwd*>?Soan_T}e_*O_;41tdRBQBlL?A&P02 zhs6jACR~m}Uvd#lxI8RlGU;+goLI5T`+`RN)KPuLqRTh%BRN2Fk4ps%moqa2wae*I z9}_N5$orV`EGf^GE@wLw=Xbf6pSP{|^vQS=AoM2AgDxb0rhI%==adr^B$o&L?y{`w-ZL8=vKyt|!t0e7B)`a00poZ+Btej?%j5Y>*JSc|J~?MHc|0Gl zyq4{#U%1a}=;&?S2l05$s{)3jQ&XmrbTmI=GU>?mIhT&+Qds$)4UX$@ZUc1m4)c!8 zK(Z#LD(EwsneabjinQL@9L7tztX%p0A8Nbpmua>!DMxPlrdRdALWUa?Woc4$xG4E2h1BA z$E(aV6)+r~2?VvHxp|XGM{^S zxD=>IZJN)ybTlO#gal>m$5#0+9SHLRCy#1%lebaR#gV4pGfXX){jR zninTVwo3lB@PSR5<5Sqs|KZSKN4@J(0rgi)tY*4TNeq6c3tT9V%+5DXV}cwfc!*GO0c} zO{{EnXTYc=s(;SBaj5=YiV7I&r(_6f^#zW}q8GC&m~RFx3B{px*XNT?*9d=jVu(t=_aY z`X5yPig`Wx5f`BXhWdqBf?7S9GMVi83lu9`z24KyQ8Dy2K6{T~&pTAqP+z7jY4wF^ z3e?-af>8MvTSG@r=!ELK@aa|zlHaCOg$n#+^+t?;*tyUlsMS~E8o6loB~fB!Z~HN` zPuq&>-!N|`9`Ek}6)?8F$|b1PFDRHy_WW5nVr8o@oHb-6s(;J8Q}TH0Buxbj^~DZB zt-dT}GO7NL46(A+hhKCI-}e78@60&ffKVN3s9zAFs#<-i3e-KnB0+IwtM{F-xDBd* z$Gl@5yh-Cw0Ym+=EJ3ZF$e2uS`(hPWwtDlYLw!;Gd*&rvNdBk_80r_LO(i+otjL>8 zs-LHl%T_-jUhiU5FEDRm8j@c}si>iTwqknQ7bOVlo=>^NxVpai$#w_+Qox8&W6nYK zA8@b3asMz*1&j}>DImy|wx;<}xQLMYpvr2_a|nvKERVY+Vlw%0`s@U;vioS_7-to_ z{E>NcafJR4EzqLog(@JV`{<&m$?A^GII*(ToBVjlCRE?eyyGKy%b`F8%n`~H)avIe zCC;S!We&xatsa>@_ytto!@Se+*!Vn01q}6N%92(;=P%S(B`CRU^>I)4zZca#=A9hF zV@8P{XJWKGnLKI*6+-llg2xfQL19tx++6Z+q#7io+y>AJV72OCy1+4 z#QvVG7Pb5H3E~f&AhLKf(4{Jdtqn1P+SZ!5W@PJzsAgpA7S)4)&(eJ;xuSA@p5z&!o9tK_i=M1WK8C_>`uc`J&YH)I0bx`p#sKb)|EMeTwPvfW&8~I zCDm>CuUtf2mfmS5FSBlq(V8Nc7q<>_S^xRYBhY0%9JMr5HIGmM!{rrYEkR>Z?_pR$rYUShjl8%19NeH(=grQK$;Vseqxr zCPz@K-{zW3Zu{*Hv9i^V=+rWc>J6FaMxaXn{fwc$IYL#n`sHbpN%i#!Vr8q(`K)R= zsyAX@JPuV&9V%d`Ul${&)vwE#OscO<5i480N4)YpRNser6H`#tRuwSRZ_iRyt-iKk zGO4~!#c_3G{>Qpjn&+n_c8Rs zYO)cN$s_Z=I5E*jkEH_thmE#P$32VY8sk+uKvgrB^2B8SsSsG}6Ziz*v6`o16sQe9 zlqW|2!v?E)v_Pzsk)^3FccGCccmoILuW*9$3?mQ6335?sRV$7%|;l_bXP)$oS8O?}J8~;k8u- z?mz{qWf*x7W#pobJP|dS?73`;m^Sioj#w!pE8iKzhbw{;93EyBD$g*2pN8ue!-&tE zH1c$W;G&{ANXu{;4fg$f4=!>bkv-AC*Y)4AE>CY1Kq8l9*;Zxj#Pw97jao# zinlnEJJ1%jqEvUadS=>GG}i*pMp>w86IF@))2Tf0@6Qm_-L)lQGTB{^xx|d_N)an% zWXAShFQJi^*h_h+il{te-0q4{F>U0Ln8~D(M>52;ksZqL-i>TZ4O`cEHyUZhyklZ` zyemy))Fasf32-ByVriV>Qp8FL%z1K542!kKr-22$M9WZ~A#hKYpceS2ORSVYlQsjc z#bRxkcbtn?70^1eT0#9Wqu#`ae z_`FlGSUWu1N1&<+rQxgUq6ecywZKDaQ%eaPIlbpBEY_ZRQ=>QrX{u!iJnj(G0y_xF zCpv+b1e;Qwr<}wEIxue*3be?nM7;(71cF*%s|qZ&1t;G*;|CPzh+B}wb61{f8C$ST zZI%{zJWGM41g0N);QLst6Z58}psI6}@(h7HGX%9ju55v}caD4xi*;t+JQS$!P%T5? zt^z?V@Iu)FGuEE44U0wbwsi)o8mL-^z`cn-3p}G(sV*A#Ym57_SQi{*9D@cf)iMNL z%MsLD@Il#I&}Q0zHdw4H^G>_|F z3n(y@VraKw7~m{l@jQ= z;5a^2^kd%AC>{rSs$~eg7$v9$m|~>_5^o%N8WxK&?+V<4_A#nu2)yAE)B<>6UAL4H zsC37?hQ<0bZ>nyf!Fjz-+!u=tV&0Y5MIS4(hQPlIR7?x( zRDpXJ*zQcIJ+u~!4QAdN+>5?cW(@&l);OcR>5ynCfy2kx{MvK~^DaxHfHG?curw9Z zTkukzSSf+ZZcSQYv4fen8U;RdD9;dhRY5KAR+Iuu2`rs`XnQO+lzA(0kUz;&o*@7V zYJu0{6j(}NhBK`{78}OA%W(|8N>QFEkRYf9K5&SY5}5GSv`$!TIPMi(01(p&R6Yg*W7IW~F znSrW5s1&}cLH;aCR118OBUVbFazmT#SnP1-Ey+XGZ*j^q1Zsew7TBF9R!X4lV<$e2 z#YQnNg=6q@it-GBZxaNyz?T_fr35axJHjWsBXBp(K(bMk@(h75V+6H;{z614fpbUp z-;BjZ;~?X+tTw8aA@F_b&jO#QZYw3Q|IXQ0V6h`{F3Li(i|P$SAg@&Q7U)TUW)?b@%x3?MctG!V+;N-PQ~;V{7*S4 zwFRN=^Kp(2ABE=w+)dkMsg@z|gMwNhpP|4~0_T5pB<6>Y#xVd$TFR^;@L8IQX@QR; z#7YUAe)oJV8lJ$sYx9t79;G}(;0K4G7Wk?_tdzj=R}bfp?RhOcTit#ycMfp3&37toIc;L=-zescBPb<3{As>k3p zB<@a?IVx&A5~xlQbw}YQ@lHc|UDA>f$l{N;l5D zORzses(}7!%NqWKKrYqb6*o|PmTiBkG$9H!m4uN?2R1H{SK?jMgO$rhf&Swr!99UO!hivv6>Il zn)$|h)rmpReFslDX$JPZ+9f`Iwv^e@>Wja{v7)YBDiD z);7wUOwNzL6-*}P$J!7faa@Y|v9=`;RP$qPyWQ^la!K#`t6=ETT*zfHlYQABOB`L6cXS`$ zu30~HISX%Mq04#^Dxi<<+Ilg9TwNaB`#B~PM|W+*sL909U0bON@?3dzSEkH3adg)< za7-qT?nZ=+qq~{G>VDX}wsC==y85YYmLR5&?oM&d=;%IB<*Fa{u5BIFab@=6lI^1= zVlSS^yd=(%4JjXA>;9u4R@*m1RQF;xLShSLFZN0k;AU^|&kgp;x`)4MPm;+O^rtBkT)`Xd7q05u-#&s5w zonutM80(lrP>*$Y708(!>wc~oC&#*nkIAv_oiO9%SRWWMnH=kGd6UVp?iJOH#@fo6 zOr8(h0Wm$+z4MyUSac|PoCXci?}HJm9$%mtZftcrPP{*FI?yGRC@3oS+y( z3}LmMaz2K-to8su%W~GmHRI%1w^Izq+V}*!mpQyaEXl8ZPG;Vvcs^{7rD;*;L%jo# zOA6tyf}u-u5zHvX*KsCYc6IoBzLf2hxlI|Rukj&9t?Fpbsr$@!S_BB}1n4pE9LavA(9 zY)AjNA0P2K_|yo`hYizIz;M|EJ;+77?3?m2;WDOti8y@6YPoj-F{wJZ! z({aRec(*UAD(L+(nj)xOMhiZME?I3`e_WQcHhD8ny6mF1$?tM6pB2wID#Guo&c(jW zV_#xvTGY{VM1+ubc|^*`l&++E@5=!R$}M&o_@6ADf6=_((d9gRf)ItIK2VGiKUi7U zE)P+@1jBc%*2$Cl-d*-jQyh0$*6(y3c4yn?(d8NV+}nX<2bT&McLJgjf?Rz^mODBW z|CI}8;!Z&Az_`i8oq*a=F=A!++o0!X-Hnds<6VCjuPIzAVD#JJIf9};(%jJy6(|^R zHYnqZ%hEgf%H!ldI5bLYM87?f3H)DeXRfgEBW(Cg=G~Hkqi5FwRjer$4=J4Hx*tpPX@kQf2p33n{ z85;qT*QBW`ALF|JrP#h9YS6k}2CR%rG+YrvU;6g?UM8+1Zf~$1D=%W+9eA*{&QJ+s ztOged>ajX3>SJm%B^7a5iqK5%QR8y7rqr%Iw#TH`(cD@16`KOyRd*@R*tLf!X>D#; zLI>jYJw~wFqY5UIM)*@6xrotO;`&EtN#@U^GylDoU2t^p`yhAcgGQ&GD$8#z$pad! z4NjdFUXq1ExHGJXsy{JGW&HaiI53q4NGn zz{zy(fZ40DLlex~jD_0+l`uy8Naa&^=-31W3WjN{c6`jovh+^A@;KSa<1@6zKYy^` z%lbw0=HZRiaFThCWFgr}6);?mQc$}**dZnDa%kGe&?T$I3&^@fyF4mFtk`AX<&)K? z(+TLZ3XdGXk8n9EV7NTYua56n?Qj)HE_I^3gx`uT%|*K$oFeXb3718JZgWi@+I=Rv zT+F;JE+qGLsep2+L7lqtEZ6*fmm^|Sle^s8f5<*Af9_9cZVB@q#G|Qkit>!(dstpW z`mBC<+{b`->xhiW9OgZYYQ_}bh#%f3C$QJ7{wgI@(U2##%JLfFrOeBwf|3r$ zRJqh8wUJ57n71A~_^*{l#fy?!h$`W8@${VzN}BU0r2?-KJ`X3xcu>-D(ITbhGHE&U zZi)sa%_>f68Ru2PE0}kCVA7NnkKs#QN3<4x!{;+E6AMy0Jtk9BQY+vfypnl$<${uC z3pYHjPN}V^625?W_XgUV=kf%;)FrjZ@;BiW^R@>nO)2mgzR=(Te-pkCw<$2mjqn)0 z)Frh_@HgR$a8C~$+~d+bhA(wV%>@XrV&1L!ppH1TIH`q9x|n%47lM+G&j}f=WB~`^ ze=zU*R8Z1M86LwI>WCPB6TXCb>mor(6SF*qFLg<_RJxRT4<&+<+?2@BNp0dBgfGL{ zG;o_v7KM2nDJ4YG<+w*=gOnzvc?@6blG;k8D{ziT2PMsPM21eXMV0WC%-ax{bebs4 z6T@<1X&Di3u43MOPLR@xJVY#%1pHn2YUbVV1|=Pvl_^T8Z9*hngNJ)yM@)_tCAEr( zq-)V01Sy^Lr=++@N;7X$;BGWKSESTBE0R{@XMs$R(%eFEl8_Fs!9yb+lr$w$oRk(y z*WuwF3rd;?lvKAzSaFfGmU&wPw`p3wD5*nCBwdf=R0vW!AySmoIU$nPG4Ia6jyPVp z5pi-iYFiL*ZorSBkszg|4v*nW-EP!DCauT0JR6jBwumv3+DF8j8}X_k9+Z@Dcnn|a zl-k9`n+^L+c{!fImpY}6G4W<2^KOU**;^=LjHC_`@#bdi z@<>q9(kzeR3-z7=;Z1mc3RF7B6&X6I6L1i|1uw3nK}rkaMM)h62xoAcazRO{d{I(o znRF}j9(96}F3J=ob&^S&aSE#lN?IN(N{S{p2;YWE5R`Owq$sJg0O8x2_m~@$RFyAE zYM169dwedYAfyCi%I#Qqy;%jsym+ACpZY-$Gjc6prnNvN~)WdJ4vPc znfF3EDCq(bBZ|vCqPNnLMm-%-qRI9Nf*T^ zizXHjN)Iyc*-TKb1qNMI|k@P4Y8o8jPYZ66CJp~9qhV}xLu8vX?-y`tej{FE$5$gNSire@qu!cGY zKK7GV!;yx-IwWFXtNUTUAP$3dc;3J*-LXl;^}rgHGqAmFPzS`7V4WH@@FKhKP{j4Y zI-y|TUeEM+A8`Y)PRSa$RlClQA#MoP^q7HX{Ji2A#Erl@*)?#R?FZl^j*5N2nwv6k z>)|a{Bin}IdW#6xx-GY4@5Ynx8aSvz$s;^tuCT0J_}x`v-1ZUNS;w1HUA38 zmSDLB1GjFKJP>g!ERxqaJba{>w_AfXGi%`Z&TgEy0qa;}qLu}ws zuVqtyL);O2utG;;;^C>g>)gD7_gORLd&K*LHQ%g#SamnvRo%c^W{gg_{m{l2B0d1D z6=v33!xqe~kGL;bs|;M( zr0c}Si2H$c4(QsIjh_*psA5>8VBn@Rmi>y+{jmqr1|B%C`SpkgfOTfxz-{N9_YUHL zD48;F<6o_N5f1|EeAmF!_Z)$=VlWO`g@Jp`8PWvt5R5hqhR>NW`BlURV{}4Cho)~^ zcsk;tV4dM;9B#48jv*cf)&)@mS4Pg>iFi0zRYsBUSo`R8h)006C}KoUo-QOuf|W9( zm+k7`45JSLYjNC&K6*}zRfrG8A}IrRUb6pvhz|qnVlc3~Z~vnaJLo%W;4vd-3`Bf5 zSZ5j0q0Sw;@*`~&ST{xSwW8t(u-3}fXs|BJaa3_6vb1Q80qY-G(HaZZx}0c@1MAX+ zXpILe9TTmiz`8-Ujs|N}Mz9HBT`pS_!Me&3Y!Xau=p;3%VcaNL~9CI zSENL1Dp)tVqIE1-H^)WmIIuR#xM|oDsN#6Au92>K$_6Rhny!4`mZABfgM%!r8ABCsBj zac6;bdt9&tSWm=6D+$(ZqES(WmD8fR7_2P?(OLr54%s>ztjBYLorCTpqO}xr6QZ>Y z<0Ly5tUEG-orh{U(OM4Hldfp3z>K_Toe$OnGIu3zr6bq{s4J_az~T?LaJdkyyV9a{ z5m>L}MQasUxwvRu3>L_Ye}MH?MzBk;6%(yX!FpB3T?W=OGVXG$k`QaJ0E@|tE5UkR zva7&)F(%et4c2R}Xk7!=KjqqMk%3@ouwIsHSA+GeWNW~BsUX%~2i9|rXsre74biB$ z9;}^N(Oif1@}hMEW<*45Jy`F!qIDy>iiy?+uwGA#)=gL?AzB;Jsc2N(4Ay@f(cFYj z%nG7)3s`UFL@NW~^sDr2;N@fc25AvIVR!9l^GO z^<7r9?gZ#MYA-4E98h-hW8 z3W(MNVC~9@)^@PIa7F7uuw;>nhrs$KCfLJZeVY=kN6>R#v>pZPec5^ptRHfMJr34~ zGUEwkalv+=t}OT@W+ViA3b#mRJdInP66~K~eVi7p99W;qwg1Ac%n0@jSii}&&w^bM z6YM!;QPKK0*!AD>uaz(WJGHh z*j*B$^$pkuMn&seWO>p0AJ|=G?ss6f6R6^Qj7y7V0qp%_qV)sVtz_Jf=r1eSZm?U( zxIJKZiwNdns~}p{VE4$2Rt>sJiB>Jxom|oS3G4$vw0;J=jm-E39pnZ371eU0^&8mT zv!eAo*u7$+^#|CN%Uk#r2fKY-v_jZJj%bC!9wjnD^}z0*5v&sIetFTVkFA7gH2`}+ zM6?=$J+>fPjldqw8x^5_aIIwff*p$s))?33MXL$eN9IIpKd{GSM5`&-hsjnmupL=B z0`|c&w>ehHiMSS6B_UcZ!9Ls-tyY*D6Rp-@k4%eJ8?cAVwHDY1Wd*ar9wb|B!5%E* z+JQZUudE2Q$5ukLI$$n{R!4M@6Rl3Tl@ZbE4E6{|w4%sTqSXcLBch_!73`B_Yk#n3 zJA!osdqPgM4gmXvyl8a?dq!Ne4g~v@jA-=$`{=xA^#nWah*mGKk4lSHZ?N5jX!Qa6 z*oWwv33x)a-uaD>qSIs z2)fUT*1?#Y5v`$MA6F2qVHhV{!@(Xe<3@meYD%mfi7mPI5Zoe;Dnf^XJtZ$%hk-pk zEm{uPQ*)wqIJ%06)+n%-$<`5IugnNG8ti0Bw2lP({G4cw0sCB6w8nzHC@)&$z&;}; zTH~=wL9~tn`<%FF9S!zrS<#w+_2k-#V4o$|P6B&wLaaRo>=nFG5sG7OPP8V2y)Z3W zQ!qoasi>9_>{zhpM?~v5u$M zlM}7e!M@fJt+`-d92c#5*vg648DMY7h}L|}m6gu~d!sAZ0hi`GK0SIgESu-B$I z3onLxBci-jc^ZT^M?~u@R$UNP>OaJ)e;2JrkF%Qp#rfJsf3Vv6z+3waW3^3WYYcw1 Tl%tA$X0h51Y0)|nS>pcz?%_?1 diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pad b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pad index 124dd5c..ea76665 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pad +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pad @@ -6,7 +6,7 @@ Performance Grade: 3 PACKAGE: TQFP100 Package Status: Final Version 1.17 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:31 2023 Pinout by Port Name: +-----------+----------+---------------+-------+------------------------------+ @@ -349,5 +349,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:31 2023 diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.par b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.par index ca08894..a861001 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.par +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.par @@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:25 2023 +Tue Aug 15 05:22:28 2023 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO640C_impl1.p2t RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir @@ -17,18 +17,18 @@ Preference file: RAM2GS_LCMXO640C_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 -9.822 909228 0.273 0 04 Completed +5_1 * 0 -9.822 909228 0.273 0 03 Completed * : Design saved. -Total (real) run time for 1-seed: 4 secs +Total (real) run time for 1-seed: 3 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2GS_LCMXO640C_impl1_map.ncd" -Tue Aug 15 05:03:25 2023 +Tue Aug 15 05:22:28 2023 PAR: Place And Route Diamond (64-bit) 3.12.1.454. Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir/5_1.ncd RAM2GS_LCMXO640C_impl1.prf @@ -120,7 +120,7 @@ I/O Bank Usage Summary: | 3 | 18 / 21 ( 85%) | 3.3V | - | - | +----------+----------------+------------+------------+------------+ -Total placer CPU time: 3 secs +Total placer CPU time: 2 secs Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd. @@ -134,7 +134,7 @@ WARNING - par: The following clock signals will be routed by using generic routi Completed router resource preassignment. Real time: 3 secs -Start NBR router at 05:03:28 08/15/23 +Start NBR router at 05:22:31 08/15/23 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -149,9 +149,9 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 05:03:28 08/15/23 +Start NBR special constraint process at 05:22:31 08/15/23 -Start NBR section for initial routing at 05:03:28 08/15/23 +Start NBR section for initial routing at 05:22:31 08/15/23 Level 1, iteration 1 0(0.00%) conflict; 559(84.44%) untouched conns; 717961 (nbr) score; Estimated worst slack/total negative slack: -9.776ns/-717.961ns; real time: 3 secs @@ -163,42 +163,42 @@ Level 3, iteration 1 Estimated worst slack/total negative slack: -9.822ns/-765.746ns; real time: 3 secs Level 4, iteration 1 9(0.03%) conflicts; 0(0.00%) untouched conn; 781820 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-781.821ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-781.821ns; real time: 3 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:29 08/15/23 +Start NBR section for normal routing at 05:22:31 08/15/23 Level 4, iteration 1 5(0.02%) conflicts; 0(0.00%) untouched conn; 781048 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-781.049ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-781.049ns; real time: 3 secs Level 4, iteration 2 4(0.01%) conflicts; 0(0.00%) untouched conn; 780690 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-780.691ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-780.691ns; real time: 3 secs Level 4, iteration 3 4(0.01%) conflicts; 0(0.00%) untouched conn; 780715 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 3 secs Level 4, iteration 4 2(0.01%) conflicts; 0(0.00%) untouched conn; 780715 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 3 secs Level 4, iteration 5 2(0.01%) conflicts; 0(0.00%) untouched conn; 783401 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 3 secs Level 4, iteration 6 0(0.00%) conflict; 0(0.00%) untouched conn; 783401 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 3 secs -Start NBR section for performance tuning (iteration 1) at 05:03:29 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:31 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 783401 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 3 secs -Start NBR section for re-routing at 05:03:29 08/15/23 +Start NBR section for re-routing at 05:22:31 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 776978 (nbr) score; -Estimated worst slack/total negative slack: -9.822ns/-776.979ns; real time: 4 secs +Estimated worst slack/total negative slack: -9.822ns/-776.979ns; real time: 3 secs -Start NBR section for post-routing at 05:03:29 08/15/23 +Start NBR section for post-routing at 05:22:31 08/15/23 End NBR router with 0 unrouted connection @@ -216,8 +216,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=7 clock_loads=4 -Total CPU time 4 secs -Total REAL time: 4 secs +Total CPU time 2 secs +Total REAL time: 3 secs Completely routed. End of route. 662 routed (100.00%); 0 unrouted. @@ -239,8 +239,8 @@ PAR_SUMMARY::Worst slack> = 0.273 PAR_SUMMARY::Timing score> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 4 secs -Total REAL time to completion: 4 secs +Total CPU time to completion: 2 secs +Total REAL time to completion: 3 secs par done! diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf index 5fdb83b..8539360 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf @@ -1,5 +1,5 @@ SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:03:22 2023 +# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:22:26 2023 SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; LOCATE COMP "RD[7]" SITE "71" ; diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 index f6f527e..9757fa0 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 @@ -13,7 +13,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:27 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -192,7 +192,7 @@ Constraints cover 489 paths, 2 nets, and 407 connections (61.48% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:27 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr index 5ebed7c..2686699 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr @@ -13,7 +13,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:29 2023 +Tue Aug 15 05:22:32 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1149,7 +1149,7 @@ Constraints cover 489 paths, 2 nets, and 415 connections (62.69% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:30 2023 +Tue Aug 15 05:22:32 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html index c5459ef..04b8fde 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html @@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:32 2023 +Tue Aug 15 05:22:34 2023 Command: bitgen -w -g ES:No -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html index 3bd2593..da47985 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html @@ -38,7 +38,7 @@ Performance Hardware Data Status: Version 1.124. // Package: TQFP100 // ncd File: ram2gs_lcmxo640c_impl1.ncd // Version: Diamond (64-bit) 3.12.1.454 -// Written on Tue Aug 15 05:03:31 2023 +// Written on Tue Aug 15 05:22:32 2023 // M: Minimum Performance Grade // iotiming RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/promote.xml diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam index 99dec6c..3b97902 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam @@ -25,7 +25,7 @@ FS_610_add_4_8/CO0 [ END CLIPPED ] [ START DESIGN PREFS ] SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:03:22 2023 +# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Tue Aug 15 05:22:26 2023 SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; LOCATE COMP "RD[7]" SITE "71" ; diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.ncd b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.ncd index 43f5ab2073024f83d3e89b144bd43421e8eea0f6..6e41ec921d3806d31177a8a46b9e54421f86b038 100644 GIT binary patch delta 38 ucmeA>#@2U?ZGtG1^QDdA+LCP5N=khC`jZ1CEhh$WHg`#G?~-I}=>!1xX$)Kd delta 38 ucmeA>#@2U?ZGtG1ebh#AZArEoCc`p){mFrnmJDesign Summary diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html index 3b975e0..add4846 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html @@ -14,7 +14,7 @@ Performance Grade: 3 PACKAGE: TQFP100 Package Status: Final Version 1.17 -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:31 2023 Pinout by Port Name: +-----------+----------+---------------+-------+------------------------------+ @@ -358,7 +358,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:28 2023 +Tue Aug 15 05:22:31 2023 diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html index d480245..8960d78 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html @@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 15 05:03:25 2023 +Tue Aug 15 05:22:28 2023 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO640C_impl1.p2t RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir @@ -26,17 +26,17 @@ Preference file: RAM2GS_LCMXO640C_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 -9.822 909228 0.273 0 04 Completed +5_1 * 0 -9.822 909228 0.273 0 03 Completed * : Design saved. -Total (real) run time for 1-seed: 4 secs +Total (real) run time for 1-seed: 3 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2GS_LCMXO640C_impl1_map.ncd" -Tue Aug 15 05:03:25 2023 +Tue Aug 15 05:22:28 2023 Best Par Run @@ -132,7 +132,7 @@ I/O Bank Usage Summary: | 3 | 18 / 21 ( 85%) | 3.3V | - | - | +----------+----------------+------------+------------+------------+ -Total placer CPU time: 3 secs +Total placer CPU time: 2 secs Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd. @@ -146,7 +146,7 @@ WARNING - par: The following clock signals will be routed by using generic routi Completed router resource preassignment. Real time: 3 secs -Start NBR router at 05:03:28 08/15/23 +Start NBR router at 05:22:31 08/15/23 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -161,9 +161,9 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 05:03:28 08/15/23 +Start NBR special constraint process at 05:22:31 08/15/23 -Start NBR section for initial routing at 05:03:28 08/15/23 +Start NBR section for initial routing at 05:22:31 08/15/23 Level 1, iteration 1 0(0.00%) conflict; 559(84.44%) untouched conns; 717961 (nbr) score; Estimated worst slack/total negative slack<setup>: -9.776ns/-717.961ns; real time: 3 secs @@ -175,42 +175,42 @@ Level 3, iteration 1 Estimated worst slack/total negative slack<setup>: -9.822ns/-765.746ns; real time: 3 secs Level 4, iteration 1 9(0.03%) conflicts; 0(0.00%) untouched conn; 781820 (nbr) score; -Estimated worst slack/total negative slack<setup>: -9.822ns/-781.821ns; real time: 4 secs +Estimated worst slack/total negative slack<setup>: -9.822ns/-781.821ns; real time: 3 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:03:29 08/15/23 +Start NBR section for normal routing at 05:22:31 08/15/23 Level 4, iteration 1 5(0.02%) conflicts; 0(0.00%) untouched conn; 781048 (nbr) score; -Estimated worst slack/total negative slack<setup>: -9.822ns/-781.049ns; real time: 4 secs +Estimated worst slack/total negative slack<setup>: -9.822ns/-781.049ns; real time: 3 secs Level 4, iteration 2 4(0.01%) conflicts; 0(0.00%) untouched conn; 780690 (nbr) score; -Estimated worst slack/total negative slack<setup>: -9.822ns/-780.691ns; real time: 4 secs +Estimated worst slack/total negative slack<setup>: -9.822ns/-780.691ns; real time: 3 secs Level 4, iteration 3 4(0.01%) conflicts; 0(0.00%) untouched conn; 780715 (nbr) score; -Estimated worst slack/total negative slack<setup>: -9.822ns/-780.716ns; real time: 4 secs +Estimated worst slack/total negative slack<setup>: -9.822ns/-780.716ns; real time: 3 secs Level 4, iteration 4 2(0.01%) conflicts; 0(0.00%) untouched conn; 780715 (nbr) score; -Estimated worst slack/total negative slack<setup>: -9.822ns/-780.716ns; real time: 4 secs +Estimated worst slack/total negative slack<setup>: -9.822ns/-780.716ns; real time: 3 secs Level 4, iteration 5 2(0.01%) conflicts; 0(0.00%) untouched conn; 783401 (nbr) score; -Estimated worst slack/total negative slack<setup>: -9.822ns/-783.402ns; real time: 4 secs +Estimated worst slack/total negative slack<setup>: -9.822ns/-783.402ns; real time: 3 secs Level 4, iteration 6 0(0.00%) conflict; 0(0.00%) untouched conn; 783401 (nbr) score; -Estimated worst slack/total negative slack<setup>: -9.822ns/-783.402ns; real time: 4 secs +Estimated worst slack/total negative slack<setup>: -9.822ns/-783.402ns; real time: 3 secs -Start NBR section for performance tuning (iteration 1) at 05:03:29 08/15/23 +Start NBR section for performance tuning (iteration 1) at 05:22:31 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 783401 (nbr) score; -Estimated worst slack/total negative slack<setup>: -9.822ns/-783.402ns; real time: 4 secs +Estimated worst slack/total negative slack<setup>: -9.822ns/-783.402ns; real time: 3 secs -Start NBR section for re-routing at 05:03:29 08/15/23 +Start NBR section for re-routing at 05:22:31 08/15/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 776978 (nbr) score; -Estimated worst slack/total negative slack<setup>: -9.822ns/-776.979ns; real time: 4 secs +Estimated worst slack/total negative slack<setup>: -9.822ns/-776.979ns; real time: 3 secs -Start NBR section for post-routing at 05:03:29 08/15/23 +Start NBR section for post-routing at 05:22:31 08/15/23 End NBR router with 0 unrouted connection @@ -228,8 +228,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=nCCAS_c loads=7 clock_loads=4 -Total CPU time 4 secs -Total REAL time: 4 secs +Total CPU time 2 secs +Total REAL time: 3 secs Completely routed. End of route. 662 routed (100.00%); 0 unrouted. @@ -251,8 +251,8 @@ PAR_SUMMARY::Worst slack<hold /<ns>> = 0.273 PAR_SUMMARY::Timing score<hold /<ns>> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 4 secs -Total REAL time to completion: 4 secs +Total CPU time to completion: 2 secs +Total REAL time to completion: 3 secs par done! diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html index e2f5eb9..01fe3e9 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html @@ -62,7 +62,7 @@ Updated: -2023/08/15 05:03:36 +2023/08/15 05:22:37 Implementation Location: diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html index 4f20fac..ae537eb 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:27 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -211,7 +211,7 @@ Constraints cover 489 paths, 2 nets, and 407 connections (61.48% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:23 2023 +Tue Aug 15 05:22:27 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html index eb0175c..aa8741e 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html +++ b/CPLD/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:29 2023 +Tue Aug 15 05:22:32 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1168,7 +1168,7 @@ Constraints cover 489 paths, 2 nets, and 415 connections (62.69% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Tue Aug 15 05:03:30 2023 +Tue Aug 15 05:22:32 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_lse.twr b/CPLD/LCMXO640C/impl1/RAM2GS_lse.twr index 4779f7d..7774bff 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_lse.twr +++ b/CPLD/LCMXO640C/impl1/RAM2GS_lse.twr @@ -1,6 +1,6 @@ -------------------------------------------------------------------------------- Lattice Synthesis Timing Report, Version -Tue Aug 15 05:03:22 2023 +Tue Aug 15 05:22:26 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -287,5 +287,5 @@ Timing errors: 346 Score: 1874657 Constraints cover 476 paths, 187 nets, and 480 connections (64.3% coverage) -Peak memory: 53284864 bytes, TRCE: 1122304 bytes, DLYMAN: 167936 bytes +Peak memory: 53297152 bytes, TRCE: 1138688 bytes, DLYMAN: 167936 bytes CPU_TIME_REPORT: 0 secs diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html b/CPLD/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html index d5f78c7..5b0fd29 100644 --- a/CPLD/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html +++ b/CPLD/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html @@ -9,7 +9,7 @@

    Lattice Synthesis Timing Report
     --------------------------------------------------------------------------------
     Lattice Synthesis Timing Report, Version  
    -Tue Aug 15 05:03:22 2023
    +Tue Aug 15 05:22:26 2023
     
     Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    @@ -296,7 +296,7 @@ Timing errors: 346  Score: 1874657
     Constraints cover  476 paths, 187 nets, and 480 connections (64.3% coverage)
     
     
    -Peak memory: 53284864 bytes, TRCE: 1122304 bytes, DLYMAN: 167936 bytes
    +Peak memory: 53297152 bytes, TRCE: 1138688 bytes, DLYMAN: 167936 bytes
     CPU_TIME_REPORT: 0 secs 
     
     
    diff --git a/CPLD/LCMXO640C/impl1/RAM2GS_prim.v b/CPLD/LCMXO640C/impl1/RAM2GS_prim.v
    index d45f192..5a8f76b 100644
    --- a/CPLD/LCMXO640C/impl1/RAM2GS_prim.v
    +++ b/CPLD/LCMXO640C/impl1/RAM2GS_prim.v
    @@ -1,5 +1,5 @@
     // Verilog netlist produced by program LSE :  version Diamond (64-bit) 3.12.1.454
    -// Netlist written on Tue Aug 15 05:03:22 2023
    +// Netlist written on Tue Aug 15 05:22:26 2023
     //
     // Verilog Description of module RAM2GS
     //
    diff --git a/CPLD/LCMXO640C/impl1/automake.log b/CPLD/LCMXO640C/impl1/automake.log
    index ba3df24..64bbc02 100644
    --- a/CPLD/LCMXO640C/impl1/automake.log
    +++ b/CPLD/LCMXO640C/impl1/automake.log
    @@ -7,7 +7,7 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
     Copyright (c) 2001 Agere Systems   All rights reserved.
     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Tue Aug 15 05:03:21 2023
    +Tue Aug 15 05:22:25 2023
     
     
     Command Line:  synthesis -f RAM2GS_LCMXO640C_impl1_lattice.synproj -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/promote.xml 
    @@ -244,7 +244,7 @@ clk0 [get_nets RCLK_c]                  |  200.000 MHz|  106.792 MHz|     5 *
     2 constraints not met.
     
     
    -Peak Memory Usage: 51.215  MB
    +Peak Memory Usage: 51.176  MB
     
     --------------------------------------------------------------
     Elapsed CPU time for LSE flow : 0.609  secs
    @@ -407,7 +407,7 @@ Setup and Hold Report
     
     --------------------------------------------------------------------------------
     Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454
    -Tue Aug 15 05:03:23 2023
    +Tue Aug 15 05:22:27 2023
     
     Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    @@ -441,7 +441,7 @@ Constraints cover 489 paths, 2 nets, and 407 connections (61.48% coverage)
     
     --------------------------------------------------------------------------------
     Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454
    -Tue Aug 15 05:03:23 2023
    +Tue Aug 15 05:22:27 2023
     
     Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    @@ -554,7 +554,7 @@ Removing old design directory at request of -rem command line option to this pro
     Running par. Please wait . . .
     
     Lattice Place and Route Report for Design "RAM2GS_LCMXO640C_impl1_map.ncd"
    -Tue Aug 15 05:03:25 2023
    +Tue Aug 15 05:22:28 2023
     
     PAR: Place And Route Diamond (64-bit) 3.12.1.454.
     Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir/5_1.ncd RAM2GS_LCMXO640C_impl1.prf
    @@ -646,7 +646,7 @@ I/O Bank Usage Summary:
     | 3        | 18 / 21 ( 85%) | 3.3V       | -          | -          |
     +----------+----------------+------------+------------+------------+
     
    -Total placer CPU time: 3 secs 
    +Total placer CPU time: 2 secs 
     
     Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd.
     
    @@ -659,7 +659,7 @@ Starting router resource preassignment
     
     Completed router resource preassignment. Real time: 3 secs 
     
    -Start NBR router at 05:03:28 08/15/23
    +Start NBR router at 05:22:31 08/15/23
     
     *****************************************************************
     Info: NBR allows conflicts(one node used by more than one signal)
    @@ -674,9 +674,9 @@ Note: NBR uses a different method to calculate timing slacks. The
           your design.                                               
     *****************************************************************
     
    -Start NBR special constraint process at 05:03:28 08/15/23
    +Start NBR special constraint process at 05:22:31 08/15/23
     
    -Start NBR section for initial routing at 05:03:28 08/15/23
    +Start NBR section for initial routing at 05:22:31 08/15/23
     Level 1, iteration 1
     0(0.00%) conflict; 559(84.44%) untouched conns; 717961 (nbr) score; 
     Estimated worst slack/total negative slack: -9.776ns/-717.961ns; real time: 3 secs 
    @@ -688,42 +688,42 @@ Level 3, iteration 1
     Estimated worst slack/total negative slack: -9.822ns/-765.746ns; real time: 3 secs 
     Level 4, iteration 1
     9(0.03%) conflicts; 0(0.00%) untouched conn; 781820 (nbr) score; 
    -Estimated worst slack/total negative slack: -9.822ns/-781.821ns; real time: 4 secs 
    +Estimated worst slack/total negative slack: -9.822ns/-781.821ns; real time: 3 secs 
     
     Info: Initial congestion level at 75% usage is 0
     Info: Initial congestion area  at 75% usage is 0 (0.00%)
     
    -Start NBR section for normal routing at 05:03:29 08/15/23
    +Start NBR section for normal routing at 05:22:31 08/15/23
     Level 4, iteration 1
     5(0.02%) conflicts; 0(0.00%) untouched conn; 781048 (nbr) score; 
    -Estimated worst slack/total negative slack: -9.822ns/-781.049ns; real time: 4 secs 
    +Estimated worst slack/total negative slack: -9.822ns/-781.049ns; real time: 3 secs 
     Level 4, iteration 2
     4(0.01%) conflicts; 0(0.00%) untouched conn; 780690 (nbr) score; 
    -Estimated worst slack/total negative slack: -9.822ns/-780.691ns; real time: 4 secs 
    +Estimated worst slack/total negative slack: -9.822ns/-780.691ns; real time: 3 secs 
     Level 4, iteration 3
     4(0.01%) conflicts; 0(0.00%) untouched conn; 780715 (nbr) score; 
    -Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 4 secs 
    +Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 3 secs 
     Level 4, iteration 4
     2(0.01%) conflicts; 0(0.00%) untouched conn; 780715 (nbr) score; 
    -Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 4 secs 
    +Estimated worst slack/total negative slack: -9.822ns/-780.716ns; real time: 3 secs 
     Level 4, iteration 5
     2(0.01%) conflicts; 0(0.00%) untouched conn; 783401 (nbr) score; 
    -Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 4 secs 
    +Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 3 secs 
     Level 4, iteration 6
     0(0.00%) conflict; 0(0.00%) untouched conn; 783401 (nbr) score; 
    -Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 4 secs 
    +Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 3 secs 
     
    -Start NBR section for performance tuning (iteration 1) at 05:03:29 08/15/23
    +Start NBR section for performance tuning (iteration 1) at 05:22:31 08/15/23
     Level 4, iteration 1
     0(0.00%) conflict; 0(0.00%) untouched conn; 783401 (nbr) score; 
    -Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 4 secs 
    +Estimated worst slack/total negative slack: -9.822ns/-783.402ns; real time: 3 secs 
     
    -Start NBR section for re-routing at 05:03:29 08/15/23
    +Start NBR section for re-routing at 05:22:31 08/15/23
     Level 4, iteration 1
     0(0.00%) conflict; 0(0.00%) untouched conn; 776978 (nbr) score; 
    -Estimated worst slack/total negative slack: -9.822ns/-776.979ns; real time: 4 secs 
    +Estimated worst slack/total negative slack: -9.822ns/-776.979ns; real time: 3 secs 
     
    -Start NBR section for post-routing at 05:03:29 08/15/23
    +Start NBR section for post-routing at 05:22:31 08/15/23
     
     End NBR router with 0 unrouted connection
     
    @@ -740,8 +740,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored
     
         
     
    -Total CPU time 4 secs 
    -Total REAL time: 4 secs 
    +Total CPU time 2 secs 
    +Total REAL time: 3 secs 
     Completely routed.
     End of route.  662 routed (100.00%); 0 unrouted.
     
    @@ -760,8 +760,8 @@ PAR_SUMMARY::Worst  slack> = 0.273
     PAR_SUMMARY::Timing score> = 0.000
     PAR_SUMMARY::Number of errors = 0
     
    -Total CPU  time to completion: 4 secs 
    -Total REAL time to completion: 4 secs 
    +Total CPU  time to completion: 2 secs 
    +Total REAL time to completion: 3 secs 
     
     par done!
     
    @@ -798,7 +798,7 @@ Setup and Hold Report
     
     --------------------------------------------------------------------------------
     Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454
    -Tue Aug 15 05:03:29 2023
    +Tue Aug 15 05:22:32 2023
     
     Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    @@ -832,7 +832,7 @@ Constraints cover 489 paths, 2 nets, and 415 connections (62.69% coverage)
     
     --------------------------------------------------------------------------------
     Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454
    -Tue Aug 15 05:03:30 2023
    +Tue Aug 15 05:22:32 2023
     
     Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    diff --git a/CPLD/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior b/CPLD/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior
    index bf3668f..aa1e0d3 100644
    --- a/CPLD/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior
    +++ b/CPLD/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior
    @@ -29,7 +29,7 @@ Performance Hardware Data Status: Version 1.124.
     // Package: TQFP100
     // ncd File: ram2gs_lcmxo640c_impl1.ncd
     // Version: Diamond (64-bit) 3.12.1.454
    -// Written on Tue Aug 15 05:03:31 2023
    +// Written on Tue Aug 15 05:22:32 2023
     // M: Minimum Performance Grade
     // iotiming RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/promote.xml
     
    diff --git a/CPLD/LCMXO640C/impl1/synthesis.log b/CPLD/LCMXO640C/impl1/synthesis.log
    index ece5a9b..cfd0c9d 100644
    --- a/CPLD/LCMXO640C/impl1/synthesis.log
    +++ b/CPLD/LCMXO640C/impl1/synthesis.log
    @@ -5,7 +5,7 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
     Copyright (c) 2001 Agere Systems   All rights reserved.
     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Tue Aug 15 05:03:21 2023
    +Tue Aug 15 05:22:25 2023
     
     
     Command Line:  synthesis -f RAM2GS_LCMXO640C_impl1_lattice.synproj -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/promote.xml 
    @@ -231,7 +231,7 @@ clk0 [get_nets RCLK_c]                  |  200.000 MHz|  106.792 MHz|     5 *
     2 constraints not met.
     
     
    -Peak Memory Usage: 51.215  MB
    +Peak Memory Usage: 51.176  MB
     
     --------------------------------------------------------------
     Elapsed CPU time for LSE flow : 0.609  secs
    diff --git a/CPLD/LCMXO640C/impl1/synthesis_lse.html b/CPLD/LCMXO640C/impl1/synthesis_lse.html
    index 5a4ea61..0fd8a3e 100644
    --- a/CPLD/LCMXO640C/impl1/synthesis_lse.html
    +++ b/CPLD/LCMXO640C/impl1/synthesis_lse.html
    @@ -14,7 +14,7 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
     Copyright (c) 2001 Agere Systems   All rights reserved.
     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Tue Aug 15 05:03:21 2023
    +Tue Aug 15 05:22:25 2023
     
     
     Command Line:  synthesis -f RAM2GS_LCMXO640C_impl1_lattice.synproj -gui -msgset D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/LCMXO640C/promote.xml 
    @@ -240,7 +240,7 @@ clk0 [get_nets RCLK_c]                  |  200.000 MHz|  106.792 MHz|     5 *
     2 constraints not met.
     
     
    -Peak Memory Usage: 51.215  MB
    +Peak Memory Usage: 51.176  MB
     
     --------------------------------------------------------------
     Elapsed CPU time for LSE flow : 0.609  secs
    diff --git a/CPLD-old/LCMXO2/RAM2GS-LCMXO2.v b/CPLD/RAM2GS-LCMXO2.v
    similarity index 100%
    rename from CPLD-old/LCMXO2/RAM2GS-LCMXO2.v
    rename to CPLD/RAM2GS-LCMXO2.v
    
    BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Mon Aug 16 21:36:33 2021
    -
    -
    -Command: bitgen -w -g ES:No -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf 
    -
    -Loading design for application Bitgen from file RAM2GS_LCMXO640C_impl1.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO640C
    -Package:     TQFP100
    -Performance: 3
    -Loading device for application Bitgen from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    -Package Status:                     Final          Version 1.17.
    -Performance Hardware Data Status: Version 1.124.
    -
    -Running DRC.
    -DRC detected 0 errors and 0 warnings.
    -Reading Preference File from RAM2GS_LCMXO640C_impl1.prf.
    -
    -
    -Preference Summary:
    -
    -+---------------------------------+---------------------------------+
    -|  Preference                     |  Current Setting                |
    -+---------------------------------+---------------------------------+
    -|                  CONFIG_SECURE  |                          OFF**  |
    -+---------------------------------+---------------------------------+
    -|                          INBUF  |                           ON**  |
    -+---------------------------------+---------------------------------+
    -|                             ES  |                           No**  |
    -+---------------------------------+---------------------------------+
    - *  Default setting.
    - ** The specified setting matches the default setting.
    -
    -
    -Creating bit map...
    -Saving bit stream in "RAM2GS_LCMXO640C_impl1.bit".
    -Total CPU Time: 0 secs 
    -Total REAL Time: 0 secs 
    -Peak Memory Usage: 46 MB
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
    -Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    -Copyright (c) 1995 AT&T Corp.   All rights reserved.
    -Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    -Copyright (c) 2001 Agere Systems   All rights reserved.
    -Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Mon Aug 16 21:36:25 2021
    -
    -
    -Command: bitgen -w -g ES:No -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf 
    -
    -Loading design for application Bitgen from file RAM2GS_LCMXO256C_impl1.ncd.
    -Design name: RAM2GS
    -NCD version: 3.3
    -Vendor:      LATTICE
    -Device:      LCMXO256C
    -Package:     TQFP100
    -Performance: 3
    -Loading device for application Bitgen from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    -Package Status:                     Final          Version 1.19.
    -Performance Hardware Data Status: Version 1.124.
    -
    -Running DRC.
    -DRC detected 0 errors and 0 warnings.
    -Reading Preference File from RAM2GS_LCMXO256C_impl1.prf.
    -
    -
    -Preference Summary:
    -
    -+---------------------------------+---------------------------------+
    -|  Preference                     |  Current Setting                |
    -+---------------------------------+---------------------------------+
    -|                  CONFIG_SECURE  |                          OFF**  |
    -+---------------------------------+---------------------------------+
    -|                          INBUF  |                           ON**  |
    -+---------------------------------+---------------------------------+
    -|                             ES  |                           No**  |
    -+---------------------------------+---------------------------------+
    - *  Default setting.
    - ** The specified setting matches the default setting.
    -
    -
    -Creating bit map...
    -Saving bit stream in "RAM2GS_LCMXO256C_impl1.bit".
    -Total CPU Time: 0 secs 
    -Total REAL Time: 0 secs 
    -Peak Memory Usage: 44 MB
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -