From 43042eb1ee8d96b38d9504b978e82f52a57f70a3 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 13 Aug 2023 04:35:35 -0400 Subject: [PATCH] LED stuff --- CPLD/MAXII/RAM2GS.mif | 2 +- CPLD/MAXII/RAM2GS.qws | Bin 1718 -> 619 bytes CPLD/MAXII/db/RAM2GS.(0).cnf.cdb | Bin 20609 -> 20857 bytes CPLD/MAXII/db/RAM2GS.(0).cnf.hdb | Bin 3729 -> 3750 bytes CPLD/MAXII/db/RAM2GS.asm.qmsg | 14 +- CPLD/MAXII/db/RAM2GS.asm.rdb | Bin 809 -> 807 bytes CPLD/MAXII/db/RAM2GS.asm_labs.ddb | Bin 2706 -> 2661 bytes CPLD/MAXII/db/RAM2GS.cmp.cdb | Bin 43113 -> 43596 bytes CPLD/MAXII/db/RAM2GS.cmp.hdb | Bin 18491 -> 18632 bytes CPLD/MAXII/db/RAM2GS.cmp.idb | Bin 2715 -> 2736 bytes CPLD/MAXII/db/RAM2GS.cmp.rdb | Bin 14334 -> 14329 bytes CPLD/MAXII/db/RAM2GS.cmp0.ddb | Bin 75980 -> 75782 bytes CPLD/MAXII/db/RAM2GS.db_info | 2 +- CPLD/MAXII/db/RAM2GS.fit.qmsg | 90 +- CPLD/MAXII/db/RAM2GS.hier_info | 64 +- CPLD/MAXII/db/RAM2GS.hif | Bin 596 -> 596 bytes CPLD/MAXII/db/RAM2GS.map.cdb | Bin 17885 -> 17989 bytes CPLD/MAXII/db/RAM2GS.map.hdb | Bin 17622 -> 17730 bytes CPLD/MAXII/db/RAM2GS.map.qmsg | 54 +- CPLD/MAXII/db/RAM2GS.map.rdb | Bin 1261 -> 1261 bytes CPLD/MAXII/db/RAM2GS.pre_map.hdb | Bin 16354 -> 16587 bytes CPLD/MAXII/db/RAM2GS.routing.rdb | Bin 1572 -> 1547 bytes CPLD/MAXII/db/RAM2GS.rtlv.hdb | Bin 16271 -> 16484 bytes CPLD/MAXII/db/RAM2GS.rtlv_sg.cdb | Bin 19001 -> 19161 bytes CPLD/MAXII/db/RAM2GS.rtlv_sg_swap.cdb | Bin 840 -> 840 bytes CPLD/MAXII/db/RAM2GS.sta.qmsg | 50 +- CPLD/MAXII/db/RAM2GS.sta.rdb | Bin 12936 -> 13084 bytes CPLD/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb | Bin 46337 -> 46432 bytes CPLD/MAXII/db/RAM2GS.tmw_info | 6 +- CPLD/MAXII/db/RAM2GS.vpr.ammdb | Bin 636 -> 647 bytes .../RAM2GS.root_partition.map.kpt | Bin 2656 -> 2654 bytes CPLD/MAXII/output_files/RAM2GS.asm.rpt | 12 +- CPLD/MAXII/output_files/RAM2GS.done | 2 +- CPLD/MAXII/output_files/RAM2GS.fit.rpt | 192 ++-- CPLD/MAXII/output_files/RAM2GS.fit.summary | 4 +- CPLD/MAXII/output_files/RAM2GS.flow.rpt | 18 +- CPLD/MAXII/output_files/RAM2GS.map.rpt | 36 +- CPLD/MAXII/output_files/RAM2GS.map.summary | 4 +- CPLD/MAXII/output_files/RAM2GS.pof | Bin 7861 -> 7861 bytes CPLD/MAXII/output_files/RAM2GS.sta.rpt | 967 +++++++++--------- CPLD/MAXII/output_files/RAM2GS.sta.summary | 30 +- CPLD/MAXV/RAM2GS.mif | 2 +- CPLD/MAXV/RAM2GS.qws | Bin 2366 -> 1876 bytes CPLD/MAXV/db/RAM2GS.(0).cnf.cdb | Bin 20609 -> 20872 bytes CPLD/MAXV/db/RAM2GS.(0).cnf.hdb | Bin 3750 -> 3751 bytes CPLD/MAXV/db/RAM2GS.(2).cnf.cdb | Bin 1595 -> 1597 bytes CPLD/MAXV/db/RAM2GS.(2).cnf.hdb | Bin 1004 -> 1025 bytes CPLD/MAXV/db/RAM2GS.asm.qmsg | 14 +- CPLD/MAXV/db/RAM2GS.asm.rdb | Bin 808 -> 808 bytes CPLD/MAXV/db/RAM2GS.asm_labs.ddb | Bin 2692 -> 2738 bytes CPLD/MAXV/db/RAM2GS.cmp.cdb | Bin 43708 -> 43943 bytes CPLD/MAXV/db/RAM2GS.cmp.hdb | Bin 18515 -> 18445 bytes CPLD/MAXV/db/RAM2GS.cmp.idb | Bin 2711 -> 2744 bytes CPLD/MAXV/db/RAM2GS.cmp.rdb | Bin 14330 -> 14300 bytes CPLD/MAXV/db/RAM2GS.cmp0.ddb | Bin 78215 -> 79262 bytes CPLD/MAXV/db/RAM2GS.db_info | 2 +- CPLD/MAXV/db/RAM2GS.fit.qmsg | 90 +- CPLD/MAXV/db/RAM2GS.hier_info | 66 +- CPLD/MAXV/db/RAM2GS.hif | Bin 595 -> 595 bytes CPLD/MAXV/db/RAM2GS.map.cdb | Bin 17878 -> 17985 bytes CPLD/MAXV/db/RAM2GS.map.hdb | Bin 17657 -> 17600 bytes CPLD/MAXV/db/RAM2GS.map.qmsg | 54 +- CPLD/MAXV/db/RAM2GS.map.rdb | Bin 1261 -> 1264 bytes CPLD/MAXV/db/RAM2GS.pre_map.hdb | Bin 16737 -> 16551 bytes CPLD/MAXV/db/RAM2GS.routing.rdb | Bin 1504 -> 1545 bytes CPLD/MAXV/db/RAM2GS.rtlv.hdb | Bin 16396 -> 16248 bytes CPLD/MAXV/db/RAM2GS.rtlv_sg.cdb | Bin 19108 -> 19078 bytes CPLD/MAXV/db/RAM2GS.rtlv_sg_swap.cdb | Bin 840 -> 842 bytes CPLD/MAXV/db/RAM2GS.sta.qmsg | 50 +- CPLD/MAXV/db/RAM2GS.sta.rdb | Bin 13222 -> 13197 bytes CPLD/MAXV/db/RAM2GS.sta_cmp.4_slow.tdb | Bin 46377 -> 46383 bytes CPLD/MAXV/db/RAM2GS.tmw_info | 10 +- CPLD/MAXV/db/RAM2GS.vpr.ammdb | Bin 636 -> 647 bytes CPLD/MAXV/db/prev_cmp_RAM2GS-MAXV.qmsg | 224 ++-- .../RAM2GS.root_partition.map.kpt | Bin 2645 -> 2648 bytes CPLD/MAXV/output_files/RAM2GS.asm.rpt | 16 +- CPLD/MAXV/output_files/RAM2GS.done | 2 +- CPLD/MAXV/output_files/RAM2GS.fit.rpt | 205 ++-- CPLD/MAXV/output_files/RAM2GS.fit.summary | 4 +- CPLD/MAXV/output_files/RAM2GS.flow.rpt | 18 +- CPLD/MAXV/output_files/RAM2GS.map.rpt | 38 +- CPLD/MAXV/output_files/RAM2GS.map.summary | 4 +- CPLD/MAXV/output_files/RAM2GS.pof | Bin 7861 -> 7861 bytes CPLD/MAXV/output_files/RAM2GS.sta.rpt | 896 ++++++++-------- CPLD/MAXV/output_files/RAM2GS.sta.summary | 26 +- CPLD/RAM2GS-MAX.v | 2 +- 86 files changed, 1636 insertions(+), 1634 deletions(-) diff --git a/CPLD/MAXII/RAM2GS.mif b/CPLD/MAXII/RAM2GS.mif index 3382c37..4b2ca5a 100644 --- a/CPLD/MAXII/RAM2GS.mif +++ b/CPLD/MAXII/RAM2GS.mif @@ -23,6 +23,6 @@ DATA_RADIX=HEX; CONTENT BEGIN [000..0FD] : 0000; - 0FE : 5FFF; + 0FE : 7FFF; [0FF..1FF] : FFFF; END; diff --git a/CPLD/MAXII/RAM2GS.qws b/CPLD/MAXII/RAM2GS.qws index 5479d5556a264106701fd42d25218636e67ddcb2..c95d0a67102033b5f5e4bd35ef482f5b09a834f7 100644 GIT binary patch delta 69 zcmdnS`dRC1kxx3F@l7=g3CUE=nKR` z5G1`%A=VaKS$T=yIYdFvVP^ihoS8ZQYwmvRYOZ4><+Z0R?Py--ctgmxE>+Q@0)^OE z%jhEZ7(W1&xUqatgpOU-2bGaXb#A03Rms%U{yV`AG>@o0qS;UDB1|3Lz=j{L;2oIP zG;1|1~od883Y>Ym*b&rTvA@sM};z19YmUNv};IeKQABUWW(IbQ+vr zpAm`QG_B-jb-YFLNc}$R?E zO=&<)6)K8U_+)+ZA$!(&vjC3Nrn95L9Mah#%ZD^7_t{=I+cz^_kH{VTtg~K%{PQh; E-)N9i#{d8T diff --git a/CPLD/MAXII/db/RAM2GS.(0).cnf.cdb b/CPLD/MAXII/db/RAM2GS.(0).cnf.cdb index aca770c8ce957a72db58b88934f86e7656180a16..7b5cb9597b13cb54c2aaf4a15d19a02cdad97eec 100644 GIT binary patch delta 20796 zcmYg$Wl$Z#5-tu2mW1G%1b24{5ZpBo+}#}xEbbEAJ-EBO1wFVO+#L??yxe=M-h2C_ zXY1SPt*Ne>?r(ZNzg*adJlNk7z#J7E%zqw9h5rsPeenMq|6@Ir{`c+r-_c!hR)We1Q?crT>7+%8*H?BCU8HQX*gtv(@BQBwLyJ_xD2Zm$n%=3!2^U}Is? zUEgi=k0=(+gaKNq+g*f~|K{XyzkVUkep{Yl8=%qOoke=iX%%ccYzRv3x-LTg-m$U3 z0DST>jlIy*C4b(I2z(p)y1Bsg=`~EOSExnuzUnq)o%L>o3wIlU?JRnGG4Ob?2JW~n z#FWnupT#?h&I#QW7Pv05vg|elh}XNVUS5$!=?QV}OffrM7N4U#b+&bV&n-N*Z2#Fu zSdan)bHj@OfLWh;gul<)Bkf*Te!CMF8h~9sdGlSyZM0sxh9jmrSQ~i!=vnEDB#LWJ zc@ku^zVcpM@|}D0_VjjYMIC%ILb^Ri#b-P=r*y7VF#IHPd(miqeM|g%IA%bOsp`0; zl;dK+9a4_Y7OatMfJ2_`?cq?5yM0*4#56c#rl%WO1nz9dQ}_q7WC=bwDkxyWdfUpZ zYO{hU;oNOU+4Rh~O|YgemdM}$0eK1?Vuo5BK1@VY{{_x*3irca?R6Sv5y&-a!`ZhT zLa+}*?Gn~wDl9u+?a&~WeM#41+GA*X#6HJkj5!y8bT zGKtTQ7&wtbL*R@gmz%?8o(6TC6v8uIU|-$Mu^|215*Ob5!xa|6Ca3LvJU_ey5f}O| zn}9GIwTeiCcBIl)+i5~hspK-=;Sfj{c6>HGHt=@5iQTmTPg(s_lequa6Q-73m6M_ z*q$)RcA)Fcn+O+GF5#we|DAdVAkxyj=IJSM=y)t)B%@BKB=FgpU=#{y3#%~3pj!^w z07e}-ehEG=@Ml$TEG7?YGn?-L*O`cPT@O!*fCa>bfx44dP``=WVgABuj14$g39qp* z$$ICH&QOyA6Z`wUZhtm1#zDT_^EwNmpM|0E74DwrCKIc}OJ$@_2-@yFn zs3PFUokObPrm#_fr>)Az0*xQZ>ehQB)6JNkM`|jiBC3C!BDM$o-6^H5j zH-eXD7rwjiRI$x%=c@=}Gw26yEJOY7wRn&hcRp?jaspP1E=WtzUc4Z=uj5XbhgME( z`U~qzbwsE~fA~ih*NK~Hq8d**apI!aDbMq2*T>(een^56CJf zxfm^BSS%RoMw0%hnbHo18j$lKY>~h|af#2r@gcD!w`CL08z3_C&N0-R?uTY$=x+F= z^@p=ocXebpX?HwW()YH25i+;_-(1XZE>fWL1r*D=qPNnh*)}7dYi?R>&2pnqA@vf42+qN+m-BjDE)bviw>^-cbI&mT1 zvYc&zy8YgQ&LI@7XP-uIwcTPH3H9Ma6HxePBb{CkZRcitN$zjj(QG5Q zo7HW@6H}uXN-~7}oIT!aHa?LxX5lf*DYr&rpx4G_QY9fwxAEZ+L4lR}iCAdTWj_82 z6)#su#@NONEVq;)CN4o138$q${9vC4p^}~b)Y)vm@ukkf;jbw|?C8aJIL^#7y=IlT z`hREp;)~oQ+|%yaJ%1wB=)OFsbmm;q%)z7T3URuX#9Jfd0f1ci&z(q4|K!ISd?R(D zbgCWd<2fg3{4^gkg!TN1+B-0M>CnQ(6G}*uVV*Iw2LxlGQNUTje$kH&bQf3KWD`9D z!4xcf-!66Q3o>-_V|$QU5+5|f7}D@F#b-Q%(J2$LNOZ(zWS7&Pge4San<{IH zZDQCyveB0T?0J=1OVB#TN0I|3lH@yCv%lu3RwXY6ui|{mUg{mi5GYgNjkrGYpn(*Z zp_T7G{)J(ZNH_-NF=e|IC|YaX_E0}z6XWzzd1?|XzUcobejB86ZRfZs7&yerSiP6M zzOWg*Wo~=oSQOa{a6q5u92~h8ze^6B+4+XE*BS8wOj0?yS|7_Wk&!N%f9{xtvbKUu zJdL=om5Aw#I_UK}n3IbfA~I;i4c#ue*qcdbp?V=u0T!r)YG+Psou_9?^ISq0iEBs_ zo-iDEPXKkjL9aVd`p%9vI71k{-rCkYPt=>3VXuV2?qs#K+U)hlTa1)g`;jniOCCiX zI)n$DV5Kz?&Yy|_SsY(#Fu9rz57I7RzJq*tXBD19F$fbi^Y$MakF1x8)X*6DB>At~ zZoKSHJzhrreiR2xWxHw~^f}+c4>+dCUw@$|6i^Mc{}awk7Agl7>dx9^v7a67e$3A9 z)v&z}EUB*HsU&@GY6dbt+W>Di0#$?4NS1)$`T-?WbL$83GS5Y0-jX@n&c10E^n~pz z25h$YCh_y5MQP5lv!LuH!b@`CK4wPzlS$D6zR9Pvw~GC}ERgFlIFz%2UUFk`ntJ7} znwJiR!PoQ{go6}ll8O#3H zm|Xv`CG;tQDB0$3aJd!k7p+Il@DByRHC>v_tJ(*i5!1f?;GHX0MarPxbr!3fH=w9tJL7u%p~qa@$O*Oy@K23Co`B#C?AlC}JaQJX*0RjK@Y@1BSg)zV(M zt|x_5B7AP$|Jo-;0|nxRWu@DXJjs383&VkB)=T_9d+n&aVC{zyT5~;PxR@4zsW2y# zfbW2MS-(svLzxAek(Ib)+=*qDzEtrY6EkGA``X{a5|2T9n0` z2w!iwMG2GqmDuW-rD47prUf40)0J8Z38v4}zX&^v6gh5n#w+~Jiq+FTc3?n^cxJ>O zVtCLbyXdkYLvL%WDPz+r#b$YunI2`5Vsax^|KUeAn@=bF7UBI6#3@HRI zb|Pi_)s&Jh7Nva>41K!d{nbr-A#k@>rsY;Fne5oAixtVw z5>x*oiksnw@usUBp+rc{psvk5Q;?Y4Pw3IG!ND|OBN^}$@j(mc~<9C zr@#~%upW!>py)1Xywtx-8Kq-dNq0e+iA!# zcJo$HpQzU_;y=!Mj+7vUCT@dmX&y&{`ZgmJOfY#}8X!J&rYz98EbJn7gz^f36Xo#P z{#)#(hUIQ;rYMGmyTkGljvf9D#yc!G*xrLPUu4)1{u0oOmwVLCW*7@9IKpAc9+Ev1 ztRbCJV}MtXI|sj`Uly|emAY+Me<|v}UV9eQw72elda>#4<)Yru`}TPSJI$dimd`4F zq;#Ic!rL}JmGiuqbI2fOmKu4HU6oNeDrz_{f&>9#71vL;-fi|j zgL34X?^Ls~F88y{#hQcNN!JT0`^8wnK5gQ~O6CqR6^HYj5hU4>IQfg)6Qh4`D(_+E zcMLy6Th&h!h?eh8nWj{w_-{3EuXFcZ#8MN2PJuUhwd&^24!Ew^Zu__1Oe+afsUHym z*)Efytwk|`#!mJdG?DYXE+z9BY62R7; zrrr!CNdT;-U~4F`N8Tlmt-n)9N7@`dW=gVS${mt$;^6;y zE5TNzU5;#fX_r7he4}w_?eGz|%`P65Q}$(DOGJj{``+#8($f|G6ZHCrdK=zNsv>&b zw$Lxb+$^75i~W!`W5g!9_WZ-v4`9@7uK<4LRyr z7NUca`7+JfH+(+}+tG!l;1H8iWe2aGqJrPFW9n)p_beiM%ADS2Ru-K3GTiV!m8+m% zk+Q|w@oI}(rQz3Y5g)<;RE)T=ep-$dJJj?OwO`QVde}erO$d$_c7>%~VPW^`FF(pq zDR#(GwH?f%W19K;NS|PFn_8*S3J1h8^`U!lQ-|U-cRkc8uJ6(jjS3D_a0TXdOop)< zx{I;seTctJ9f^budHAwNh$+l|i;F@M9Ts%SohM74$8&LfDT=@Y3t`2#y?MZ?6t42`vZ-1dKjorHWq|U<-nSg0-u6 z(qz7u7-UAsdVN6hsvz?`Szv^}wHdK|zwNsA9`;D|bna7STCIy9N@h9x?jF-SoyU z9e2WkRwFiCKC2du)L~E2j!=ca=i9UG69FH3qR)+M_cJv1OBoAM*-fId7aG^?qx43M z?FxG-WYxAM#1K={YB%XdJ*8Kj9-do-)l5wC8%74C}ffQdFQYYkVb^Zs&#oHSbBf>+ju|AL>{6nZ*@+bCG)A`>7NFUa`LQ6Bcl z|Fht!bvVf=jV5_wxkRZ{f!Au1F|#xj1^LG3P!BE~#JAcV>M6?&o~pziSG#G!5&1R! z20~rI@3B$r)PWzG(Y1U}Q{*PnaI!wv(=h|*_6KnS$lCMQmp}iGx^jr)`J}ZWU*Fxa z`kyan#65DfK)E0=)X~g+2hK*>CR=)Gea!w%NACST?329>C`+A>Pm0R;Y2~bxN6_jmz#;hWROubzp=bx2&LK&%Q;^pabeIHEEYkv>6uGt61B{bq&iA{Vl?}=B|{< z#U8-DAK|&&y1}oqn1nj6&4e2ifiRJQtNYAW;CcPYqhvuQL%C;52Fib#I>?}~5Px)_ z^GV=HK`I*<9mj7WK1=55v-+0Y(`0bxm`53vZ>bd?+j*KSq;K#^MgH7p4xfp+pGtC6 zxU&Y$#)tS+TZrVy+vlFUbcm<14;PH#BL_syomHhI?r{rsdA*TSuE*HC7C>6iMmLvj zdHVgIAKAq_?u9DjjZzoGF-hq5=9)XBYg|gr^NW%Uv&EY~Q`yes^owVQj4lxHtVnMf zVo>edKZ_*kNywKngajblI}v}}bk0*%U~2qAnyLXe@m^qV^^+j6<>40yaRXDx%|76` z9zR^=S6x!@{L5LGQ=n8F#N1WMn&RH?b*bDydGp8*Ia>Ikb8To8B#>0O(AhXNjXiOz zfrE^DlQrc3On~!{6s$YzBGB>qTkc&}rQTf`GruXtlN_pT$Ht;exTnG;N7B!yT5?RZ>w*?qkZSrV%o{rQ*`t9%PUYzG>_O~ z(*b5ehU^(FKXa0(^h;43$66{MMyw`*&$G>}Pb=#l9}IZP_1cMBA=G!Xn}W?m^8_B+ z%SzgPUtb(UWm-V5JfXW}dL(Wy?Vn6yd@`!)aHzccrA{`tYpxzWl|U1|X^;1x9<-M^ zOl=`Hwr?g5mkq+CDE}>y*4`z9+gm&CrCVAnq+0J%hwU(u7cc(nU|(h;~5slwgOR6^P{3f)wAst>$G?q+ash)_-7o zju}y;OZ1qZxqIb5Y2v*2@Sq)idj)P~`sdEr31V4TVEfexA-P!ud>k_N9KOad9h}5n zjx&7+rE2a$gUkx(qh8o7^VWn^Akq(%R>_I&nza1irf|GwTMn?_2LNHxw3PMJ=o-seuA)EJ?zB7PEJYRD-YypK#s;c-sH7(MRA_d0=5SvRzRX_~x( zp=bQG8gb7z-TMncdruymSIDv%zhJG`ykYoz1l>BObda`pUP+h>U=Y zWU!&PKy>!hd!4QQMV~@g{#&0grdTuXwPFvyj^uQMZYW^qampknbqai7&G-{%*YRWX9Qm|cw5$FQ}2}A=*9I$p*wgR(c0X#cUStNJnsJNf^YOHO&8MUwp}$YJ{-4~ zTC(SpN;-JC+vyApB-gwQ-d;^nS$s<@7nu8_Y)v=>esmY;>?9sa29-zdht!YM{Eb`K z;UyeOb^t)b-dj(nuTRBBCPaFd=7wo9Bni?r3^7bdJq{&VdY4|WHVb)1PhzM0oR%}1 zwnA0M1-03CVVwU~Lmo0BZM5#V@xWm|T)Bd~#TX@HceDVNLfN7)^d8|ki7=Xk=k^$GPS>l48=m=TYsk@FI1}b zi%Op5W8)1Sr$Y2MdHxM|T!<>{o#Fj$kbJ`A&4B-Wlp?&DgSU7(^j!zFXgdWq+X@lmA{_( z)SPgI5BVy;%t>Ct~_4uwz7H6tZqMN z7px*Sa3W02hCSY#t$4xBB8(zv+|Y-u)Q5(`?AJb!m1$Ad1{*u(}9xLF!lzsq)OVeb1h4-iHtQB)?-7(02!&;pKM! z^5zFRlmGtkv?-*A-d@go%~}x2X(KR^Tr4S6p3zwfa+Y(iCaeGa_8kuHQjP^CE+#=L zm>ksYKGW&~_hx4_y3vIkGQ>-AFT0$?b=!F{|4dj#EZA;WHOWsY4FDekLsQ0{gSRR> z@g!xOe^bA*X_+BPJ!*{vC{W^<+#`E-u_}U`LycK4N;Fu$kZZZ2pce_I5}EZczT=o3 z_G1j%DzE_y0tXN~l(0u6M)cS(Jb91A=#qHcABX2fz1CK!=pT?B&_~5?+Uc%(!!i8g zq*SVFFLvs*0Ls5t#DL4?JM!W_SuHBe*A!-c`cExV2Q}(TqAHY#MH?!WRw8T$M0rWF z;i9G7WV0keQqY`boV|NSXj)hmzPktX+i_}}pY>io?w}0ev_mV1QVa8i!nUD;e-eAX%L`RcORTcgS z-=B>g4zl;SSShJCv%rUm0&N|VkPCjqX>Kx(OA*O&1R8(O%jA8utXn^~v}Ci7cA?%2 z#|ZX6Z(ubeGpR&W;9Y>LRE>7*s!Y>{aT2d9G+;UMv3^lSM_%+h8KN*z-tr}rI2DTZ zbi|Miro=5182>s(8(-}CcM+E%zeP3j#B%xe zcp|3}d>{1hNk^USF{+#<3W0lyg1-_`fBoHPY+aw4a}oqpD%wZ)p!Oo-57QYM*fN&C zG0y%~lpqfJEiIfG4peGW`J4Ibe0U^)H~IK#3k0By8R?hQ1vOE~P*!XC6Jq7Q>l}mS zgFzaf8rv}8R&r*>-{{7f0*YYQnv3Ie{`{pwbmW_5v2Q0cL?i1xSwUU*uzB0ex1xL% z#>8p=1hgToEt1NG0S!p-zjecIrcTne$DZScb%~BuFKi3p5UR~@6$t;Pe{kWvc<){Z z3y|C@aa=q&GSKsIRXoKlzR_9UmPEgRq@z!U^dH+ecA{=5U%YNH=ezfp!TVQnsIMmA zju96)ZQ4+G+!c2Fo{n$T-JN{H%&It&081n0>q1QrayuL+eqgbmo*y{H#7b)?^ zvM_eXvR#^YvGzKs0^34ehru?W@br^dfE|@rt7DQXq;1RnI{m8qVTUU%*G-mmsOI*$ zkM}78$y$)*C&J)a6`t&o_vLAmHSQpb&EjbY|lvK^mH zprkP3;@vy^A(>Qap$QcNm;A&5kaocB|JiU7+$WyM0N)|hm3F81ow{8+HqZErQXR0Z zX)6i8)A{NpZPEs|GQ$&Gh@b-MghEDd;x06aWIdtR%Nv)_@7k<;AmsR0q>5Q=Sa+^*5F(>|M{T?c5p8t=d+S;4QolBp z4S2JOq5+a+N5Kv>8_sb1;l@T)7&At_ctL2vXi#=;dWM3%=D+GS$am_Y-RjE{J{yF~ zD7ZbIOUtCo#KQy$Z=)_lHlp|>(Ww=ktS#t@LBH#oQiDY7gdf^+`(0GKtAApW@BAby z26;ymOj@RJW~SSjp|B1lT^&Lk1ufXJ!G|Rlzgs80z{ANiY)`^7RmYR4R(wZyqYwGI zdFO^COrjG-cE`O`3rixz%7GC*t1Y7DqeCAZmX#UTe{m2o7;Y*<4_^x8I=PL0Oib=e z4HO&)MYd#TG6eou;K?piHM0ul^i*O}GMl`j>79d|U-?C>^iTn$1JwzmW;f83Kc2GK zxPQRkruS=L^lZI;oKpR1($KQiDrl5Q+~&?_V1=2$U+P(I2hVWob;D!}IXirZMp_Ns z4a5NMaNJr8EFpudxr!*5clxf5J3(dRDJ1io^#sKsx){g{^$nZPKTdsKdf`PE3fPEy zTd4tVW96HTKvw`GL@!Ixdj-jVJLSM?;rjvqkVoyo@}L=`*JP?adi*)@4HP6;a0MR( z8tUVg0ZkO6>q=e3U4>P;mA>}DB%i=A>3`{qDUw(nG$iRGd4T69nHYkzU)Dxr62zHo zl*ZlZGmcqMyaBxrQf`skL#=6_z}P!?@eywizu^@6aROWnKX>ETdf^{n61PoU@%AC# zjf(R*lZ$004w2qV%5BHEl77prQ(P1nNv>Q^ZK{E1K9~h#?$4SU(NEJKkLe#RpM{d# z=P4v^x`*-e<_I(e3>})Fxc;;oRFsuMTE3{G*7C@7`EIi(vvKR1GTri^oQbxF)xe5^ z^Lo4y>HySla``X>3D&@arq4WD+7VhSw-I%QJU@Wxj-#EhL>x)nB2C?HZ2A zrh8n`e)u*TEHhD7I#hcL>jdFr%wnnbN}IZW7m};N#CncHowsQ5lqz5^kd{Q!Xf9Gq zMh--ZE<2HPVE%Yn2r@q+j)VG_=@U#Y?nZ`f+cLdDQ8@1HHhl9Kx^4C+y6GSfUEh8^ zFicy(t|cnTo-a9JsleZBFzebLINN-gRRRq1=j#2H2T)^_I9WCmJ=-S3{Cm4;Y+y1*uVvFwSsSH!JZ)zM=;4O2@IPitLXk=}u0e(TT3?5Lg zK12|?rVr@VI>gMAy9)ht^eI{d{=^ zNNlv%EEEmYkmcaFy$#l__DE+c%S^&jQs*- z#66A0UCOKfb(bls7k`ZI*%H&qoB^70zXZOT%Cj>oEe?h$yV)(%TeJB+WYHjiQy9*M zJAdVe8(buY`AQ6eSj(){DNrwXz177Yge)}-q=`AHa5lZ-TjvpVQMFugQA{OghcGqhvdn4&Hg#frK=l&AUf6|mW}opjr`Edj)V=xQq^B&^a0Re4gW~U z`80}$wD2rteVWtHO~d--~4*vA1*`=qqzya0;=$D$xyh zdn@7%L|g{Vc1K`HvzIbp~`*pY^PI;rmko&k#CV@kICaqI82iomR_sZfDByIF~R zgo;?c$44R!X3~Mc#%NaeKUonU%VRzYJP1-=U%a5>Wp@w{_;S6cVu9wvetS+6X>cu8 z={i**&_h*S#b-wCcj9n>M1Z^%m znV(ys&Q3OmE7{tK2j1mmq-!mL#hHNnu3!|U>{y+VZ$hJLlYDkR_LlO}Qr8dtuRtJNi>LfbiY zVH?F~^kW8OTg?Y1swr?AWt3_DIUnXee-oklRNXNU^&nu}b-)nXMc)^Tvy{n7cRg{O zgzkiTxf|2P4{RX}lMZaQ;-_$b|Abh@hBxleNvifkWfz)NHqiL`XW6mCntF+8DnDVO zG)7?jyZP@C-Ya{aj`(TRFL(9VX`}Y~zATXm&cI2YMV^n?3o>7A8TXW%s|+K%u}>~ zlR9%{1MsXg!mT47{v)7A&cvHX50OgWpfm}LJsz?N)`ucdT@;8ViCa0t`&>V!eX(m7 zuHuf%1Lps53mK%aZQ^}4U*A43l_`*XO06652mDR*9q&T=%&`4pii=xQfzfE1U zi<~=PGi9c2YUXT)kCanHSXS>YDNvtnEH?<}b=lq6LO$B+t}n-Dh7p<}~XXWXARJRIB4Z_C6#!q)mOD1Cg+G z^m8lwMD9jzA@~6DIS=_PGvSDKGOQbUg4>GTvio~8V@$6_KZQ!JnB9(2Hk|Vih_*vm zahH5vq#zy*a>sZNvd13F@@42e7FU4PjD(Br0%p0#)sUX6<*&7zUmMS!?=s9HG>|ir zH>1rx@GpmGo3QVH_Z$;soMRuu+3#Ib7MR`ZGhSRr;z%sN-%DoUUU&WLf?&ow0uPegup~zQBG&+}{T36s z{m%7)lt8;XzQ4_YRWDDP0@>5M2M+s zGz=~K-enBq4E34YA7Bw~8MRFOeo6a4s4Ju{%XE=&j7TcXRLI7}r>%q;(>>QP*Mpy} zq-Ub%pX~7v2R*bwAa-YI=Jf>f>uavwH6`JRUns0qaRwj78d@*ytp}9WEigXkjYL4a zULx|8KYXZc#uNbZeBOzaS{?%k?(?$f?7{2; zQgt$lhSzgvxg(s<;Eo4N_oCG|jey?nsEA>>4u_9T zyL}Y{LYnMLuo#X*^%RYMm_##%Ey$dQVc)9lwr6}<;#QSBS70K(^%o`dIH)Nk*@J--Q{Ls9^PQJ3twcPb3flr@VwiObc^)M4|6Pf3v%i zs3bj^6Aq7F+1T_UmI=w+6dKVW?e<)4I2I)w?^3;6RRz1VcoaZdudaR*xb{!G=zCR^ z&@ph#-HPinlV_#8hWe~yZ^*_j!&*^j-dwrL(n+7gPhiMv)cuW%i%~omG576D-Meo+ z-8Jac^ElBa)Zsn-#~QCpeor+Q+ZZd8AQFi!-y#`VzAxhV1m0{%-8Rm6DW!NY$HfsP zHZazW@&iyBVh2Fni*~{ZR5fbeub^?4ri0_pp1uGGpJWago0!Y+tez<@0_{ z)7{?-GzT^R!uY_#ztp870j7g}RudoRiKI|3zGDi5DzSt`>s z?q03)R6L)2U0QEOvMt&(>RaT*9l};Pd8Z8lje336*r|OZIt+5L(_q7Ahz4SH<6hKH z)Sac2l?-<+X_C*sOc^l6wQ0PXezX4>?m@Z;8t;}sV%X&-#gTUoCgPFLDt@!?wjzj4 z$Vug9YO49m)Feh;lI$y*M|o7x$#4@+@s#aZq}+f`Vr|FMShyA@gt;(i7TwdwP+5_c zJg_Mn$4H!Qlu;-XEk#&jdxT~ItWyUqXS%{i}m?N_6)sPTXQco?y)F7$I1_2IdWRyzh5PnC8a+pmeL z96v`CD12NUc)rW6ym?-cBz)w|+!xw{lpyWRG>kl8>c-%5w=B#B&%BW(P+5a%&Xp&s ziae60vrI-H8|OaeqJk$0m6>vNC7qSyeY>@Ug3%s?ixu7)>2H1vu0ks11tch=nU^sQ zJu=bUqc&U-PfeJp6YD_4k6`>$0ed_4{h*F8$|q9+^U1xMXoBa^CkMK|7vtW-;b@o$9 z<)h_A7X~PW>?}3N*GyHovHZ&a+2dVaF>CXW?V$#g$s{>7If=lx=9D~p)K9%3q!$d1H~PV+yea;Svf3WKWLsPrZu9KRfNg?2X}l;S-r0`$@sQ z!{ybE@4d*Rh1Sxdezs%rHyo=#>ACD^=dI`}f|C9LGqk48i>tt!Zyhy=madqHWrjF3P8Il0xB%-7kQf2||M|{bP#XM;imiPR1MG zkrv%B%h1z-m2zHXwJK2`t)QlR1c!cKlrEB8^MCG@3qz2hMX4_~jvk?Y8SAiz%=Zm0 z&h;0sOZlY}*vk9nu5AUSzo{S(qw5NhA{(e*$;5aHQTQ6^jJ^4U2hT}%$sXSSb%5Z( zbD8kMa{zWhFgIZnX=KGs69MZ5iXTJQev6c8pHOozmg~B>`&BwZ4Mgqw^cB?@Lzhh$ z@vaEj1n{2!rS8dN#-*G3L0W8|4Yk7FC0ST2`*(a5bS8S$T2|O}U3*MML3DkV8Tg=H z`6|fBe17Igf@e~RePeE-TVG`~Y~#q&&9Aj0RG@q?wI|u5E8p^XYJ%^|Gx4sWqCH)L z@RatP%F-j7Anb0+doL94LJQ$p**e`27L@w}itv3*Kp{d1#S;<9y~l0BgiFgIH1+I7 z9o9Pv=qbv`s`zja-vyv$u78LLEG3G5rHPu@r(lriL9Tjr{JPKfi(lC{=6|XbWjTP> z`_*RjXQ$`COYhr=ZR$t<$Lr4YCn_H+b@0n_9{TA&j{1+Hg0wL9Jv76$RBvA62HW;2 zj(C@IBf$HQ#wCU{BR^xwTtRt@l1J}+K9a_I(~t~|9*RNc zuXsR_a}Y4(g$3%Zeih3gcXevA1#r+zFzlXIxS=kA%T|XR*Thid8%rb~lBO#SF+yL} z2Q6M@AOO?zgX5d4Fx!L_4bmc_qE8SZ$A?9fx1&mXOzd$vZXX$Ttl-Bo;|QAIKASD; z57w)6h0Gi$9Y3G9`ZdI^@8=7sVk(t947co%!~zZPe^Lc@pscbfYU`tV0@QDNZb%ai zfjW|d3Qgj-<=HIpRiY$}YXRY>S1x~vlgh=8KIwt1$A_{vw^L%eLi$H5L~cQ{G2v|4 z@N0=^_ar4z$PC@dbXtPrufyA5VnVuy4!{5=EZxVr@XtRm_1W-;j#&pwKhpQFFgJOq40G7h z%|;vQ1l(lxG~7~G|LAIAUE2N@$M9W1Eu$WOPH;^_@pL-^(VSEPp#OJPHh^6oLPebv z6x8q)TDs~T6>AGYbu!!D(J?L z7@pdCwda%5+Im-}3bcOWS$oEg&I(&L(X_5rGI?U=_x*j{TR9nx!PLh2D>&3t9-IGRAH*1QEqYj??Z7u^xZvZ8!Yb0cC)tsb z)=5|ZNvL_&p>}1;pf;lgKdM8EH|t0d!}MFV!i)dazxtq5r$JF&CG8IX#6pD z_@NSfBE%NS`ck67Xs=KP*9b;0_1twde4b)|Egc(7Tj5N0{MiC|y%mWyeqU(f*ULHCY4XH$kv{ z<*YYQWf~@TgoZXv&A=?K5RfSy(;AslB z;k(9Lsp>nn_qcWQ@Qe#qbi0{(dBces{*v=Oc4++kT|wK}_vAWFb4Ql?%a@mXqD@z9 zs>_c2rjzMGaEAmIxx9RLy>7Y?fZH|9!9v*20gpP|Kq!~pwNjGbi>P|I(m>hUsLGpd z?4b9ILBOG1I$F#UF68WFsUAhJ$_#Iwo#cI^NGZ-@hU-{V=Rx2v*Jr_U;8%drK44F{-IlXTks0G6J63(?EV2)&@U;?2faPBmv| zvC+Q~fAeN{q~RAM*Acxfk5}P14U4A-k~!794-KIBHcQrN)RrD{8)5|=fNgai5Pr7(z6UcgS2Kwyj)tjXGELXc)#;N#f8%&h z*%8OFPC?$`%S(eU$x6~#z}SQL^m{Fu`*(7NLiG6Bu@h=?d{NZ2xke(;OOSUJZX9Bh z01YW`$rowjn(B#lxi*uwF$<$%w9>MwUjcADMSRw~OK-KU*))0CFoa+5X?Ld{s>R!; zBBOu02xUnAwonVv2w|7qdw*{ARTHLA48s?fC`Ue#fTmE%#+MZ5GXU|g*N`*9>W<1Y z_x00f8({C{g)4Mmr6qgOI9K1d^o144Hw^D7`5QfEurFuct__D7zP8kbRpT`hCGkNHFc z+1c+Q?NR7!y^g`;0f4u+cI;bHSyl-sGeZ(%>i(*IJ())=Rn&RjrhNQ}_%^)NZZW=P zaB=)k<^zx3yF9gT;2!y~8vTkS`;*xEGn0Gf0xsTiPbjJXLP1xfVZGyAb;!2 z0|{t)AaTaH2}LeqZ)`i#&qdB06*#z<->Yn|ILkeb@jOU#UrlV15jZ$G7cN>zIX1{{ z@>&czj;i$J1C|3OVo99W4u#GBxvBh{awNQOjmZn~oZKWRE0d%0n%rb`_{m%T(Arym z0$ZofY^<;HsgL0>ZIIIq($;;wd0OYsQ+OIfbNbUIHe@^uk%79c+kTaw>X(jw%5m-- zV({xeZ|`@rUqAk3d(#l@0=h9`oqLy{!%}#6J~AQTRC-?)v!f>XSb9Gk=3f~;?@7Pa z2O6|UbAFwCVg${nu zRh}?TJJOjK>#I7#tW|&3@^d;Oebc=n+AOgi-Qnm+g?~fG>7-z$mAmMTedY54;2ZWT zHqJjxHM;Tt+*^akInIkCR@!Te5i!c{GE#Am?xTWQ)7>OEv9wAwHVcL;T_#cWj z|1OEA1Ing}rYEL6C_ggATMZ_*MQXmEFSEPc6$Tw_7TPlfqNZEHo=)%vRqQNuFbd37 z_2tK`+kXl~qpT9R*XBo_PKSCOI$)=?i{6muf^={>;BWT2g7t6!=9n@&DpW+vwA<&l z`tmb)<82f_;7V&!*?XR1I)%AE2XaXL6&pU-M!EObLRY8 zZ znt$IZIHMDBwbOwHtBWo$@J8+m!?k2rm}5O)KJGCB-|j)Ds}9ih#~tYqQT?h!r%LTM zg|AaRY})nu6M0T3ceUxe9eG0stY*5>=_(I;>jQJNhYsHBC=auK*Vz?$`oMJ60lYE0 zbhXcC!5e%XQT{|RL5Go6&gkvDvdJS;)ql<^;Io7*+QQS<$ked#nJ0u5lQO zHUH4{3Ad*(%8HgglY;BQ+fMaA&=KZ_=-dcgoSx=}=vxCvq2grq$om z(Wz3qeQt`Eu2*-&sjhSwZ(U(F3TC}>7ag1wZ}?9q81?T8Gbxy^_Car5;|^n+Ie(~& zJl8}A82pBa3a!chk?elHjb_eHV_K`hQplFU^RJ0+4n-v@OG5KAhpwB zES&0iKM|gE@i5>ml4_>|40bhnMuzDaM-ly&{=pwKBE5FrfO*KpQIQ-PcY?p^iV)k9 zB8A~}u+Mt|qWKD?XbuPP0|SpKwSUvurjEO{#nVOC*k-5Dc7b6h>Xvjs{2{5R)Xp1Y z4tdrVghuu2lO6p4yeDw!y0k7dVh6+VJ`JL zfXXmB@P_W%=xhLuON{?(%B(T*Z!~tIcy8KW&BLwJ!Q1YRu9>*0b3ZFW?`^?DA9#2m z#hw0%3ASPM&a%OqF>|W`zgF4kgNKyC58jSh2hTcm2>jrct99_u90EUh?`j?V_2Kjf zFJG;LAA$bh4XkzWGsF2GynlwZ4xSi~-Dqpj|KMG$zox+!$D}$dJ*AB9zMnICW4w~M zE+lc^F?{B3$QPx)qkj1-@Q0B3V=k$({*|9OoJXPgR# zF!9SZOzawg3HLS_z9^%sd^?frhh0Bz6s~FUsWa z3`}jgcN=FMfn@uLWPihxsp|z<^l$2zkINIPW4Z$__vz#hUoHGWFH?{|q=ZM+O?FlO z4Z|}d@Xu%@<^2DK>T>>}K05#L)_p)8{=vUrYz)`H?x2CBg{OqU>;L<)jI~+1k}4x& zgzpJP$oT&$9ljrR=w(KqEQ3iEeGd63?4JoxHvVFSd0#%uZGRtXkB9aYgVGqc2;-v* zof#wBl-a-p1B*M7(I{h}7KlC8WyKnmPARj1u!RKW&oYaA;A-9qE7qv=iSlR3MNUiP z16S2A1=@q9Wi3uhYeXv^q0Mo97U#zA{i4P9rKhSdo{<=zmmgxKdM(~j^=DzjCK|?7 z)fcy`sj9`hs()oG`^e|SxA9x{#V@WFiRbZaQE>r9T)(Zsj2tnciMk^8YygTIuo{a64ljeqksL8*mdITPb8UlscyQckB8L8 z+-B>=ylry^=XG^@h_1ZM&pKWX2&Zq0Tqnv&qKT4iSmAp(k8^j1)AM<<1&-}Zlb!1V z&&z_^(2_+Q-v%FM2Nji)K3OMt8GPF|_4G3MHt009v7OV@#@fB9jk(TvnSxEnCooO& zF}8?HB!AXb9u8iBdpp#Z+cxOb^pe9dwt!2#CY{0O4b3$vr8fFAo>NL~=g;CGHWR8-+b0Dt+;XAIuYQoYLO$~e+Wgn#z%WT=kzR6s&G<`L$~Z3yr|AVy|J6Ij zrf>6Ky*n#7kJDay1dff$zRmM8owa$KS2LU*u7Ay!(3z*_zYuv&ZT59OzE<=*1Fws5 z@m(2sqw3GYxQmqFL#JvgAk15%Z1=KlyJ-3t3FY{C#np7IMjdd1%VC)k%7C0ttq>{C#np7IMeShdIUJ7-w zyMLdd`;>#ZX4?*`oP#HY%Fk0+DIj9Pc^ADZX4?? z`oP#HY%Fk0+DN6?9!b;ptQz(d$3Y5uDR_-Vn)*^m9n| zi*277L^>5C1%d(Qa)IktUIu3@fPZ5c4D)(`D^NuW?R3D4Oh!k=3Y|AX9rZE&hz@+! zX-Y?)WdO&t%9nM#p}bRN|Ez3X8LoB|zt^ffKReU@g#IrI*6RY^kpa7bH|i?&Kqv7x z6ZZV=I*@?7EtK!KSfnPi;Of79N*Jls9&?zJ&f(KHWL(Vqn83&-OHE}}g6(KX7b3UL5(tr)AfJg7I9(?OC4RA0> zfF-4HX0A#X&gZSLg0l_E=6^Vx4@lB0Xch%V$WRF6W6Ayl7gH)#YnHYxXe7vEloAKg z>-y3&10=j)YNMAZCm2e=MP8x~eQV+_m14U;e_mCQa%lUO{)6h@FS4EE4P?&AKc@W4 z24_ckO-O2sSU7^|x_(X%)}_o-4&P>1uA|`t$-yt5+-29>DnX@Xtt-*a(G2@WRH&s5W@^1Az zr1CBOWavXFjJnloKc%|&1h-3NKHUIKa6Y2rM>;m~0R4xRkE+jk;iDhVvJq>hgm1by zo)dl|=@qRJ&gr;X@_&Q-6M5`=s+$wwE!DlN<8d8%so+WRh71o2&vwD=SKoP+F9_}- z_2C)bIprJ5lzF8#bkRS2T~h|B>o#6}E%RK+L)wWWAb@sa->(Ddy zXv%61y84fFoROYyt1o&a-&DS$yjyvj^p>}w^Ha*<69v*cdVgj*K&Qu)Jxy$JOc^_T zq9eG#e_5F}>R9XT7tkldgMDtwC+zni^yhg5_<}AO|6Z$%9jBCezM72v$=H>ARXA@c zUyyu{sQ-E8jl%s2{;2Xb0|1;7LZP!%h$ct@{7IpLTY48h8SbflbPVM+4^`v*ymooCe8~Wgg z&M4n1GG*j>NBI$DY-4&DcC^(Se85BB3{RVtu@(B9(Gl9$i!yZXD04(6vm0gl=kkE> zfbx-||D^)oW}Sbi@;M#h`Go!vSJA~4mAMYMqVi7VyMMAZxSR4u#lohxx_Wd^J?R~X zdmFTrk&WXj<;{v?XLa1JI(S8v_jFvX4m-r-yv~oyA18(9r0Q4d{G>83CC2}e`@D?t zp4yM99(~b_qzwW%N0x zd_ncQb$>joBmI4!^Qt!*CsaP8c6g)@ILK#|XO!{x_jRQG#@!f4-q!h~@;j!I*;WaLj9vbJ00030|9AmxQcY_UQ53yx zQrnt-H)^P&U1-&!C4yoXp*kOLk|~p!^vy&X3V%*1*0dCYqAdy*bR&wOUAl4Y2i>T+ zDs`jXx$+Mvw4fUox~jP8xx=K>kpwPha_@QP-gn>0P!mlP(eas)g(hG#q7UKbZQmB2 zWxAd(Y)@92sSW9uZ*JxQ0WAg8@`~@M<3jTiG>QMiXV*Tn3`d&ly6U@m#S?zP6jG5| zp?{L3J1G29re6c-3z*$8qV4FSg;tPzth^h)1Z1(!7{_$>(Pd;-t+jhk+lihbdg2UE z$YLwaA-TS?y|oFAEkvB;FsY4_AZ@(JJpcr~T7VO7rPxmQ@umMb@o^@sbOEC)>4vdC zxJ>oQ!t+lJDaG<+ie90#F?BIH7*hIyk$;L)!eTr}b_Cs)#`^a$z2^UGG9)*GX#Wy27%n+nJ(SKfZT4y+;uVk{oaFF7(aKo9*y zdFta3!OkMt>pn z{_w9=K+wIu#a(0hs^W??&0(~qqni(T!n&KI4TYXQKv|Rr*8g0s%MIaU!n4rJ)(S%R z+4;FW=itiWGv~6|v4b?4Ao|{sJK2gOJoEoY*})Bu9ty0+9r?!t@`e^`x8|$0*=vLI mwc8oWa3*o#2q0h_14d);?i9~dEe+vIk;jNax;p>>0RR6t%gdqw delta 20565 zcmYg%WmFx(vUPyq79h9Med}BA z&5!DyJw2;>)y%B!s=enS8^$*aCPo@)qJ@3;pF>IEzs7>HZZ{o!Cn4*t(~e zv@79`tJb}jtEaZJHp8B0jI^hWyR@rkVBB%sWA*N?T|}6j{UUA{`2Jw#9Z>U?JeORU zgZ(1p)yu+IyKv^97oxd)&l;Q(8cNO>1xO9xcU&AlZhowEu!MV!Z5Hl$xOvcQzf43F zZCi&j1K8d#lp7FT>Ss-SZd)ztXVvfk0Q~!W;yQSZRhLLd>JGmB>!PsJn5b&nL_oq^Sxh|~)jqIu0*jwNKR+Vo0+UtzK>{D$h<8U{Ux zWm0bbYN@>rw=o9$+-@Lmz){Bx!48>w!}7;xwqL&rcv2_eCJ|c;MsjKw;!t4OfU%0w zqo!i6^FFtw2z1UMtwbX{YKXh3eJ%dh0cQnM|BRWwc0|EMYc+x5KbSp3_)11m5fjGC zMRrA(!;==)&1J-K?I*7(&eZwBCs=?}fkuy%xmr&E6aJSUlzWWE?cmvZ?K7MB(lu(` zDb;pj#+%g78oFyoICB*Gp7Lx!MAc8?CtaKd!&@H!$5ND>?0xevw&r~km}mgL*h$p) zx(HnOXAcq$mWOWxQ!XuMn|r5eTDHeSUrgAQ)Q1A<;ZP<&k~mC$qlf0h$^XvcG)F;A z7gLqnM@xYfH=F#3&hMYEG)een%#Ckfg!mWq%gRos1^Hl8Y*^!07nt{;%ofx*K{#oW z0`wms|4@*6S%gt5LUFUrG%@%0#1j6Y;3X0AI0zgr5Dj+ggIxO{AFFF1R?WS3>u{+j zY&=C6N!_(qt+paO9z{0UEBY3H4)T!U-W_h|U#`gF3_2)>t9Z&!j&0;^)MdPmXa}ua zsfltdOJ(U?>^1b;S51D^w)KD*&pxp-;6rsLPU-b*UYx9lEUAhI{1i<1ULzUJhj*&P z#u`CDDgKw3Xt@8NlLt1G zp1HrVk!gi1(m$N=PS@XqF|)^~X9x}CT9&M56DGJDgNw?>_HxepWwAw8bDn1}yjicY zO;CZ7>(UcUVUxFe10}MrmCO@ul{=~E7mPmoFQu=G#{>@u(rF16CK+GcU*+aGU#cw; z?j-`2brnBh?9=^S!Exh5z@Jr+dv2|!J2&wB$f{sr<*ze^gYm;_KXRjTu(#KUxrz*V zu9UyasSW3=XhbNs2=kmd#A`0@1N=w8!YbU&x1<1HuR+F^-Hx>m&4=7ujTP=%a2X@r zLz;zB?E@G2l;lrT(1Q;#u8q4Cs|qGPU3<2_J?Ras8T$^LnHrdH8Ie38XIjmd94xX! zX-rmS{52xov8}etJ$>hLhr>+$0zh?8u_S~~TBiHtm=Uc@HESs7u|Fihw#g)514 zt-6V#pK47kgg&_L<+~QeS6*u;Etupg(gUN8!%@s}Y5(1kRwc}|Xjwdia-=b-jq-3a zXS*|GOse(jb#7-LxS;2(^?VPYQxAK&p3Dv(zM&0q8NTaeAI4SRu108m9N8vu{W1_& zIA}$)SZKV6U%C20;}c|M3i4&sn3!#s)!Ai$ywaA8DhE>%xTtbgaH=%WY-IF1fHQlY zPRMw0*5u8gXoPs~UOu>A)jJ8%cw0X|JlJafLKLwzwzch8v3coU-roVj&5G5k-Dmc9 zK%H%LZ#pp$NnsOD3KmkO3>B$09Gu>~B=f85%~ncknfueHVJkl6uqu`fL0W!YUg|U~ zmI1<@aJ%`HpL_eJjZ@PI(eic>*HrS<5mQ&szx}o3sk>JVv+XJ`g3Cs9 z4e^?$cByJ+V7{X2V1EE{Jw!;?+zmWmh>Ssixd-~%)+O1ZiW4_(;Hv4Ca{mRa3121l@|@J3dG&b~4pm=-+qGnDW&~jq?gH=%>82G{PC*qLcePL4 z*QRXlOD<5Ug&toVEzi5yYTFTe@Ke`7JV$LdKr0}J&l}f~TMuD2JX|-wa*1w^;?FtB zbkK$tD^YT5Y>3bFx|3EaC+oLGwt@&X&tOZ^MqDMFCsQ?E+4ijuwi&NJ!^+%%LqYQ( z_DiwoW7FUaV0Nz>dZkjYtVyUbNaFR=2Y=H&UGaLyG5IxEs}NVKAS!@)6-j) zFLzxjP}{4E?UsKx4orB%;4rK}AdwViN^s1n#3}nq2GZf~mLsW8_2Xyh9wxw)G-Beb z&UbsB_XGDPXt&5?OXx?p*&-7uG4ocbE1o}{-QIl?79l;PYcGXMWOmKV7j*m%n%ONh zwp#$iHnY~s)&R3JVnqb(EDR~2%QOpfW+57P#E5??*kXJ?i!y*pJpe|`It+lhMT_>$ z(MOnjd;Zw(&_gE|7&&JDqQoY!B~cUX?X&c1%k_s_>FPm>Cv*av?r?J4w*hxdDwRS+ z;7WEvgl_Z0xy8rTt_ny#O0&XV54GZC#|D60B8URoR!2%sPIq_FM|-z9Lr?E5^G=-o z?fOawus_0}c$guALyI%+4E9E!i;IR0^!~J*8ZI86*dX+_+3mXek$jXy_(HNn9k-rETizKK}vcd9)Fu~r-6wdu*OeUuDwMGeB!quUjU68GG~1osswN7HoKxH zF+t*|z*nBzNs+h+?{`h>lX;sJ&_O44XUJ^~vgQJZP-;xew64D>R2_0O0)ZZ|jHjT( zl45N&38csUZrs`bO(@bhV->ub#<+aoJiB)quqmQ`>$zhZy||1leADv>4D`*hmNIZsA`A%f8305^j@5@1^`gvjAZK(YZviT2`g`L8u{TG(8-?SJOsjkQeqIsSW&KVVf-Xf+t$>ip3T*4ypOcOAeQFPPfkx*#7hLJ3{BThB-;2{D!S6b*IB%N?-=C_9@vK89d2t z`%5h5-3CS2Q6@ds9>_TzTN+LQo3h$9<30?{~A9a zC`DsQ5xy}n>l~t$hm{>$5U*x6l|r{81}cV>LVtJxCxXb1WNcq)*e2&_Zt^tdI?OhW&_DUbI@Hko=W? z+67_^e*lD@^Sp?OW*(>`rtAG0!2fcM@TMnbjL>ml^4F2`-f<#1`MqF$NkMif>SfX^ zC*w!ycaPW-=o{0PZ74JqD1#H<@4U*(cFlS?9BYyW-sv+hOBl0ul~Axki%i+Ji75$r z;fgjVjB79Bx~oiA#$9(;Jzq{5?#^bkXHgRUTEqcP8=PvjR5gvda=If&7BlbDoqA*x z)Ij7z#uKdl+{cIaA}tA1ci%5K^Qf{gS9wpT-4#ScDz@n4lMFy+`{W^_WY`P$i`)T~ zbe1N@hR(Zwq$3vAN_8_?}!%zpCFQ7@S{i{FykGWAFnhvi2Uq4=~6!6 z4+#QKddU0sdpop0bgjOuWd1T6pv&o_AHR5VG*8DC=}eg-kZg5QZy`Z_>bSy9VK}G= zR{rYjUPB7b0ETm!tltug{Upf^4Xr-9fD{L7N*V=P-kvss!YT=r&j+prH0Y!B{>IG^ z2dvTsmL5(6EtC1mUsSwt&zKEOE4Ww}cawozw_8UkdK^&XIJ)afRTNndmz#DEEKdp( z)|Uk-cH#@vZ>&0=b+$E$FM2REmQ$uNrXRsg3qHuMgwCb>Na5NLISylq^xM+%@8Ny$ zzoq%;->m#qn3(=(RB9#Z$qu6o+G{`}G|160TB+KuCUP>|Y>~?((WW!KU0!b*%rJnl zGpP2jPqWWD+Ox(#CCI^h^WrZKmY)Z{=pMe>)-b*v9j?cP&eHjy$<497IhYO6<Q`>GTlWi=8IKl4*Jv7--dZ7w|S5p@uO?5Xs)0SiQ6v9j$OZ6 z{!`a(w4$td$p+=6N>Ay+0G7RFUlbug%E6{X`T1CRfWu}h4(pg| zq0`tXILoq1cbO(@wzBz!{LiHEAQxxLRZ9iAn@*lC%8S}8qtZ^_VBo5ISeEd#Y+>w= z7NtccI}1jVXFCndq>r;ZJ$;fNh7-9rs(FM|sKwQB9k_P*_9?X#FmZ#-CIq_yv4=BO zqck3q*@0C$4tDu`VNO#l4_qF%5V`K3jp}hC6lU9x5!;309-)t-u98_6@@v7^`i(Ok zscSe-=``0Uf3wWzj|pU(@JK&csbrEs-VRzym;Kb{OI=<6#6~OBIQM^)^mIhuv95c zoLVnFY|Moy%2KHHphvt}9Ryl7-c9V({TE;^STg`;5xF#Lf0m7hXhUS=HcZ zggN#ZCXjdjDgiPsRN+HGq1W0dJpWv-tUx za}6iySA(acmD^+<18xJp=MR*kZfo^~!#AA(oW<+(vb=?e^5NdPRK@V$$O%@}C_1|8 zzh4cpDDAt%IDTv81wDa`;@V^wy-m1%J_3J4Sx&~(Zg|+S)*>P1&RGE@)Nza$%o+Gr zA4f$g*EfSSjM$i24uSXKJc$tsJTgs~QeCyO^GpPcl91;8s#6Bpj6!&+bi)f`{7?;i z89`ULTx}+BHkqyU7Xq2vBGfvBrrQ3(xFc3(;{d`$eHL+}EA*hZRn)vo?7`W}(Z==w zDAIg*`o(U-slj0P&_9sv?WurFc^6yBvhkk1L_DzeeLN8!K;+OBYsCVS7v#*saUw}@ ze1kN#<>NwFX{)NCO3>h_fuxjJrzzWLIXw69!3p81IZcisu_^N*X>{08(@rc~I{RC6 z9r)dRp>{OGZ4oT@(4b=v&&}Vc#EEqrc(A+xic+<{I>YFjDdFUHxqixWA*x+m$?5zk zJmxR8rpBB|pyBSXL+<3Rb3&-<;MtaXEa~dn$j=Er zJoxLZj=p!@eRh#Rj54mFzh2kPf*I3k&7Fzuir~`>P`nd4w__{M*6jRQDI(1-TLfTv zeiu>V({cvg1YL1dMsrwJ{ zbvx-t4MkRlY_dK4@9p;})70cqh8FTk@7*yx4M#U+qXZg+8=PhR<>Q1|kR5{kE@%i% zB5OW_0j7eVWop%AMYIX0&N{JO^nFw$f1mPz1jfq~2RN!j_6@<3+mjSnceke>NEYAU z9GUG3;0GLc8m!jc4rS#jcCHl)|9G|XH*PW81?#LU)OC_t`+9W1pNgU`hvGH5>a1fl zbdusP=U&nsUbUQZ^)>;ox&(+rr{pDXpPR&|fr1!%7-;|AlckyTL7_EdNpIFaehITe zV4OU!+%p5O%-=84ZVEAY2=Vj1;OF@?vSvTb#TX}NeJyS($xIw$b9edLh0Ypphj?DNG=7qPRlGZ5iKkZS(m}b7zZ_>dvat@cteg6kH=TZVCLA;-yVeFs<57BPwq%mQ}qOP?CLQHL4wr6h!Fv>i}F_zb!Du&z3*=w zvhxMTc{`*Vmkr3`xpsRhr3goWNp6ko0@u3-?#2z!gPYd!vnK1B+w<&^X=C}4@rvEj zZu>P`gh&Oar>i{sK%YBCXim9B4Ic|sZS}U&U~Lx)BN%3QVASY+=5XR6?+1Zae7H!w z;L^YL6IAg0eY!Jnkh^V>jsx`8==8bhwpx?ykRn=j&KoB5DZHEbds`G% zs`uyOw&*(nP`Q^plUHrgS^u@Mj{DmNd+_>yTK8Gv8 zbE%CKz4Hr7_R))sSSU*+|PTJ7D0f0^vuj&$oG_awV@82V%3t#6i~dcXF2NJfY3r!%8?3K?G7{Ntq$VaqH@4%h zH)sRpq_@;Yo@k1Y^%W`d9KusgOgfD8wq=sUvegeOXy#;HBCg)tvHb=DD z!Q>=M75c0W_{twJyT?(1Ig_GFpXPx+dyJZIy_nTr5Hr2Cb1e!h6HjPdTE_s0w4hd~ zkdW)pUYK$ASVxP{fV=id&`gKW2i{d6eWGP#tL7WB0LW7`;;#Jfh4GmXB4zuNJUq?% z@e5Qy?Y4R*@y?zFI*H8N(?GA5)l2vG2N&!Mu_8~{>46MB2hkPqR6L$cqw^Y!+g%Ft zsVh#>4Lmg7v$fsdF?Nq!!jeyrNDkY#A_|8S?MtTFqeuR$DNHbk6yq;HxXu7@t_rQC zQw4`=rRNUH&g&99SS*{p(f4JE7&sTCycR!wuktSm+r(eWy) z*G5Hy1`?qAg!YSVn46fX@iafoj}Fc9kCqX;tUwuLt?Op|?*(?QM<;y7HqJSFv@h_d zw(j5t_g-+o1qt%){17F_P931YDkt%2f#raA9&h~|JzNRNWJhQqyrE?wBxAj-2J42J zwdF6a^_TTNNwn>jQfoU_QR%A>ZH;Z-RDCsPNmRy<2T z`Cn|BX0DE`p9CM%`iJ4Rxe-1KNcujBwz}*DUaY%^dP;fuIhJ>ZGE+jP=o&E*h13xV zkB&9z1e&?Qo!nF5k3hc&m5`fuzV2;kFCB=xpK_c-H#w1mA7U!PVPcje zGWl*dP8yEKIn7BFE-W0~903;Oeww=ZRS?h^7;>3~n2Y-AQBRI6WdQaY0=D&^_?V~# zh+^2pq^Nc9Z`?#c~wWYt>V#CW9O zw|a+kqRKrQ)5lT9I5~9x54a}f)bveo`|G|RoTa3de4^CErpR_~k@~*-`ufclNwYh| zduaMZ05&m6M?~ba^sXhJ;6BHG_y5Y2-5D$0WbJbTKTac|%p(a{R;5V44TgD_JLw(I#gi`<&; zx_|t|*4%9>W`cjke~cUj^;xGlZf8q;gBBHU7WmdsbQWEU&0!biOrP}adywBm4~LOq zfi9|ki!4Bdln;$UW>!M^{RUd#OYNR|ibk|J&`Gb1Va&sUeCn6+JdQ|4uuc*a?}h>@f0weN>fLj?vg@Yf=~fwn2*%RK2yKug z(kT{~n~u@&fTQ>91>2PIKm9U$9~KrWg9Hqy;67e<`LKV>o0REe-r>h;rPPv}h7!<+ zpNrs?U4Qb89700lBfcSc1*0SQbJL`K;09uiLS#Zv7uG;N6{-PUi61YPus=@zZ@O+^$Ji_`4F`&LY2n&~+-t7DO^h z(r4YNuJv%#)ryekwev~_s4t|5h8*sy9q;m&??Qy>|!;#uyFie4>GjG|7Z2+w6l6>Zabb$^3 zlRM$MW5TvTfs5Ns>hgLT_lBB6Y(M;Tu@e5<_O-5R->EStuLfz`*5iI`*Dfyzwm@k3 z1G=iQGDtZn1c7iAqjQJDIwYabfK4*3vy9$JJf{O$X6Xids+yG@Sjbbef#c4bTC%|h zKPU^{k zcS>I}*9iJi;&ML(IJ+nd8}T|eg8Y`K8t*uHjVH=r$^V_xLf(!4Tq}35p|XNAJN2g{ zX(O>w>0VQpiXs``8r|?*vTNMOv7zt$CC0kol6)V4>7Wq(`p01@L(_kOB3j#wWJ=kY zv>#%(sGEMZX*%JqO~2cb>NnxuwB-`)d_dJcuS{2cnNkg58z!UCZVc5hvilq6zS&GX?xMVk}@4O%hIj_-r5ve#UF;6a9o}@VdhTSz=F8`Bl9DQCO{{h*f{`mAlr~geP<2 zODk~hi{qVQy`+v%1JdNJ0g*0CE+7dzws6u`!LiluacHFt+B>v`DE5MJtAi6pG5AR8 zxdgUBGnQApj&Hh*!W&pG+n$TO!}jGvcDtS_6MJ^&7OkS3!Nii#^=pphnDNJbB7Hf? z@3rbPuooC?1r^5$7aMm_%0_6{?xJx@Dp3w;qpH?V8o@RTHx| zq3w^lWigYR@QCIIya);T=b$$NiGH#no$c>1^$vhWQe1;v)zz9>VliTrCbYm4AUPZE zI$#L2V(F1_>M}P$plkodPSgnVd?9WnZ_bh*yraJx{=3adAuL%^ZIHLAk7uBr}qReRsztB8Q)&UIHVFm%y zN4THw3=q9rK1_Co62JogEx-a8V^=?CA)3*;(faVeq*cWl13!>k_+2(6IFi0QRX)zn ziDmD~U#wY%{`9P!5>~OZt-YwY>=86Hgcut85l6D)5x7SUhD$&3Yv5l?Ri}!NP31oG zC4BEI8;G2qEvcC3xN&Lymg$l&jgUhV@Yaz4!`m8-hfcvb zoEO6>&HQ@bg8ZH{_h*3FPjT!xv2@JZK!5QrR+jlKIY4Wk-;n7;HfqqanCV~#o$4W* zU9Nc2!pmAiFpU=SOiwI1&e9V(FJn@>ghVfeILc3?#N20vGD6c$L51w z_9uin!p*E;VmI?Ev@b>grr@h~f>-g(c4Rj@$Y(v$Um8Kt%{)QOu$AZHOyc^G$c;Gb zm&`AcWErr7c zsV5LC{sIx*0gY3-d1qx?zVtrj7n*HUn-DJ&=j*Ps;1|)U0)BvB$*FQ`SRz{Uy!Q=<=WMf#->{sUF0Gs9@p zyHzqxwwr9q*e*q&c$9g6DrmZdSw%Dp1J#>NgqX~WurKn~M`Gx?(>Hok${zKzuSwRI zh((g2djl0|hPX46UXCCG3wF^s&P@dFswiXM$YglIXL{OC^|7OVtLW0~K4m*-WEo~A zB!`?8?w4`aJ3czr+2#;yrn*4K3CUCdYYg?MzgkF!Hw>!+H#P-3 z2Wu-Qq(tb7!Q*u-G(Suj;Vfu_f@=pKBmZkfXLqC}3yD6y-@6oltp~mp)913Iq%Z#Ua2)L@$jNxx!x)bt;y3HE4}BUl#wyI1g`DtQg@Cb7R$n| z1Q%rw?oL|9T}|35?G%g+)F(qBJ`;+7&yNcL4q&UWN7p`Zxs@IF0a!(lV3p*w(m3QV ziQCw~6D}8lE(`gqDd_WK|Mb{jrDl9zVnWEhwu0?qmn8URi}jEuRvNM$KivLBd)ebF zPZQcIMpLCPdB7mzZE#v z+rFBh+Pl)iHOoC!BV=&UQ>3Mpy+rrFx^(?*Id`^*I*9%S&4WN0jVFek|L+Z-T2SuHb)fwK%-p||i^< zLYsNVzQ5xBvz@YH3qY|vaYVwj{r=xBWt|&^&V+I}W|Iq~OO*+?*jj$jQ6Ewk|578_ z2}(rw$r@XVu9xrrt~0&|fMyMt?Kr$&oT=<;Q;+qbla=zC>#Zxk0y@18i>>;ddpEH& zE$Y3^>Rh}hO*!a<3O&$Vt1SSGl-8lq<2|WeB6sP@0)0R^KqJd}8J>^^sRPt@9FZKo!O|=IJ@-!$bNt`;-hwsiyfsL5%U*(-TYU- z%V_jty?TVXyTP%F>V}$DMpB<)?4L*m{Z^=9_^V*%R-7YEw0d4YSUXP%K)`#8DU>_> zj*71niUKS`!=@}clSy6X=(~bw%?(95viLGt=fe;jcbSEWUSQ6}jBaT=ztD0?M-Lvh zeP_^bd9^yxN9|s=y1n{9tolA>rPY?)P%^teT90w2)D^(Q!R;;e8s`kEp9q*~J z12-T?J>Al)MOBE3!lA$z+32gF1LyZ$HGr#T$l#+4+?!zZ?%#g6@LkMpK{vHEGzGs< z41XBifIjEHeSh)rkfoctBPA+x&Z8f4exg(ezJ!Ne8L<`dFaJgh54x7#*%zwgN6WA# zM*tL2h<_y{9B!4VfHRUAquOe_`>9H9%o>2^imBf>$fy0$Dx<=8TlBFT#dhN;(WM#( ze=c7#SHDVZ!)XRj;#`POD`>s{Q#T0Sj;-EU%!ge$NXX5G*2y)@mB{S)mBRK~U33xh zBgC}GskEA?=%%cul|QM><6)vND4*V7{7M1TTmsG3jO1i z8bPHs`*0?Z%Bugx{Acm@s(2$c_HzEQPs;6{Q35$M@h5`7JspP6(hA$!bpH$1jFzxs z1#8BitTs?a)W~B9d|Cr(TiOI}O1Sp=>g1G29?bHM-5B1*Hm^4AkAEr8N0!yUL%Y^d zS2)3(!8$a&P6#5eHmt2_N=vi8s^}lj2r|TCsYBwBUO;OC{^0z05mYpyYjvnLpdl2kr^<{df zJI5&&%zOfB_2x(p?qbaSt+oW;`VMWlD)qHWj)6Wj65Fjzm$v*77G6c%yElyhi~46& z!!7s}@3C>5UPho!SmM?5g`}pjJe$k6k@?OV-%byjhv6G+@_c49RnyzM zbZ?{;n52VpMbqY_rr{sTnYj~lSgY(F9JY?#uO~Gy&jE=69Qy?d2>X5U$ET))OL9T{ zysQ!!d!__)w>R@f=g>E6%jo9>Bcr>C6FMr9qGo2>(JT?;L+QAhhymB4a$H@2thE^?0N9exVRX=$Ld6X>{p; z%aOkS9e#nhmcc_z)hM|jrrg9t5CRkukahADp%W*$%oM66I1DNtuW%~Ez*wfMX^@c1 z`A7{$W!x}yQFMHHj+RzBYLY8s;}U5xJ!2xYO+*zPGAGm8!p!#N|7VhQhqVRHgMqbdfAYb z^WokP>6>!Y=kBNzl!Zx!n2=^ozHhHc+Zf?!2sXn`2$th0Fo&w?Tw+SL>4+WAnUo*e zXaY5w<~8g(jq@;AC)HWXxvsg<5Y%`4w6u5qrkW{c{JSQ!H?Y7vBAm7T3<>_045}N} z#hJ!-$O2_SD?duK+_NMJ83Elg($G7BLyJX=h8dz8rhj9+7|$9|kNMxvGn_#!-3>Ug z&sK_4<1}DyS_ik5!XmR$14Wn!5C?EQ0M1o>MM80_2$vRD z$B=%Dm)g7X`;)Wo4^2~?kX67Gj?f>ctFNvn89(HEr59p|TM~*|FGN57q3}h zJ#V6>%v*37^Q6^_@-&Jh@iLh^F3%n18R!B0#SP; z4%dfyB;fFt`FJPZ#r`4g@SwrZTlx!5&?Bl^sy|xrQttvlKmYuqRvBGnbS8J9=3f=I zfAONGNUmLW+1tB@9){F+UZ4=tv}rlYsnj|pH!1PJ)4^$1PID~I@k&isZT1q8>`7Xk z?Y4}&w0HGH`bR<1GTfitTk22No{5$4D;oKL=QA@t>vL{My{BAs7-#ej9gTnF9k>4F zlE6KeT^t(_=heCVCPCq87o<5`Bj{5&SN--W6eiYNPL*SSr}_*&W`M?J!1 z@td+Na+C|a&t#LlZ0F`FCpdk8(coc3T0>O~teY?J>T%H>auve=y0bj}&Mh5IO4K2F z1aJK&9LC#$z0*Js?Hq~+*J{Mxsd)2_JI;PP;dL1ZAo`Vc-k*6eMgv_3QRiqu4cpAl z=W3JKNczIU+>x9CalZ!BkKDimeEDj)|P8N7H+QK|W zz>&_Dcj54KRF5Mzer|gG5lF4y%yegtW`}U}a?my!?#w_kdyk+mTOb(>nb#*5pLlfa zH3Nj?tgQ+-g9!C(ZLIiR7)rqR||n9Zx-2ECa@ z_VbH}QVHJO5*@+De-Nf2LLe$B`ATKp%Bbv9%};>qhn zsdx#Q6Zgz`>~$1`7Oe9KCicLd?sgb+kpLyt#sOV@{?38B?p0&$6ramyOFFB#Ug5Fc zyW%EXj7tiP#y_r3QS(^qGb;tbSqY%c!y~xgeoNFd()dzX z&%O1Srk;ITQpL3_2r{3(mxEiV#il{soRt~u4;G*EyUBdJ79p`gWN~Pan*dkV`PIoI z5EQG@Z(p-7VK-?L8U^d4qJM2oBPv%wQ=pZ^Dq)*kye%uE`H@&VJ+DsC_WAF}vnnrk zuZWKfs>7(1)#*4i6j%f5#dcSlJQ;wV0p(Mc=a^tisCDO$XPBW!$SEF{;d$7#nG?c9m)_SJ%qgCZ3xeWAU zkGo&p(CeKgXar6@Zx@`zT;so^CBePf8au1uY;`e${3+R#cG%VMflSBCI~*WgONeB* zkRLv{uJjcDsa^#zIGwe~17a43%qHAt2SQ_q?7+0b;el%-rNqyo%0 zCsV|bAXZ)x5v@!CIY#3nh@W)_6HHOdpq&K2VS0V4PXbX{tM|e*9e!;KZ}`c`HO7>m zj{ag=Iu6w{ZM~81Nk>T2$p9b^8W!V1Ss#8y;moS2Dco3ID2c#^Fxcmv{}O zdb8QfOxa6rY|4L`vP^=9!b!qjs`d>s&dXT*wJ?!6UUIYaBTVWz+-yK`_-(Gvx54ra zjPS)JeEG7RgY)NhCW_4q-!y*Pr4D?opY#a|MSXtM$*p_?j|Bj7!A$P4Rr>3D3TM|K5-d@5g%70`fq@rb!Z74(Y2Z=lrSSGmW!xW{^9YH&xurSl^u3xxur-B(ys98-k(BPeK%VL_3ip|icg79&o^17+QPuec+^3%soG2_Dg)Bmp3h!Cd#bbnxDj!l)K z*3M86h+%@mZgVP7L**Q7erlhyBR(r!}On*ND!LSj=?#U&h8p=^ex? z)q43{YNMIWkHuW{F*VIP8W}HZs;(_h44qQnf&dwJr`1_=o|Gxn;&vZ3Ke-bAUjEEU zPR(l&rS`jgNemxyUeR<0E)}_)#dn}v5bCrsSET$qo{(%91i!J5Ge}2BN&Q2GKG!B~ zI>_QzDT0b&rdlA>q}ZC{i|C=T3HT!(gFkq*p9Q+?VQd(>$&?%VsCRxlC}WMh3pTGm zJ_Hh_-$fv8t2)Hu!FOpI_ZB}7*bs#6}%MTRNqJvS9?VZpx*ZMIsBZ5o|hJ^y_c?P+(pb|)bF z?ycyqj=1X6RKy76%sAyG&KwjstOz+pVgMNQ#J0bKZ|%bbAx;5JxvzRVz6}RaP8c$X zj-*D7QAyC;e%BRv{@4!ovMPs<=HGJWo$}m{7%~?RVtXo>{L7L@#c=KMe{q9ubTpGpg$(CVg3zpG zj2mh6X6E&aZdus8+*%CSq@7GV0GTIsy=^1M%~}@zhjGFr>@JE+GYeb=BrE5 zJdWQVUJO$W^W)KJH!b%f+s5HxKHHh_*4^;4dD`+U(E49

k5P3E`N%Z^FfZ$ z^ma@z#6#n46hDB@F40+|BWV;LCBD7y=!`N4W1FrzI4?R~VHk7oNgl4dRSfXM{OyMN zdcSVEQ-^N!(8vq#3?2pgP*PnSP%*D)_n_PA&n|~qNyLYG>c>5_$0+Kmy-D%bbwph3 zK?lCP#&xRHt~Yeu6=qIYyMMx9o31cdd(h$4bgQ(2F+V^9!*swZi5ox0IX3c7=Q{s*A1} zO+=M0Fn#O;Z>;CK(wXb1gFd_gbD{^G<2~fzn!1Y)un)TH0)srvmR(^s_MmgL2TUKm zO?ITyS8u>|)!Y5DDXWaGyzS~CPgh+tOCHxO&XF|g{BykHJ_Pu$bkqT3XPa^ty|I2? zuNB4z1rX!Oqzc8FKYv^g_BX18(|-nOfM*Lc8n2lwr`o`n7=_Tid{d&>s^ zP?C9-B4jAm{JAYU+uX`b=B-^VdC>JbnNsee1Ci)_&3auhk9VYVOE6u>Hnzb}yU0V# z>B<{^fbP1`Iod-9r$qxl?Luc#{TVY|VDO!;x<=1k<28POjeq8pNu!K~dBL1eN0UdV zL;V^WTyc;|ql_P5F6k14@fv`_pY}0_o{uTm7;mHO;{gL-CnyY3JDn-@;|&`|CxW%Z zAkPM;w^3lgPwebMhraB6b%nX*V$~?Tp{`H$2U_%x^xAa*%wadr5xdOmz)_cgdB5Wx zFk|6U`3^cKMSre_^M)UM5FkeTJvDTIfyb2E>1tGZZ zd=#15=xmIL27ej!utLcxZ&W}mMZLhK7=a2dwb$F+ih9oA-UklGRzz53Sq-J{1m0t`0s(Pw& zkQkI06ej90Alz$*-kcM0Qv9t=D26|PPbueP(tjApz<*s`<5DC*hw_O6+)(5yz+KCq zZTl7Rnri_K7zT6f1}1)^hKXGxFyY=!B5nLTHB9geC|2wczMxF1CsP-n&HGIqbB|<80(f}JJu+GInZlYo0(EsQ5~C!i&g4nTssAn2<l8jD}6!L#hMkh*b*yNrVz5uL_?eH+ zVA!V2v;hWil(#^>dSSgBr%cU65qY}iD@xPPkp zB4fX*#ZOgxOwt_wocK0=%f9%<)gm)O{EAa>fN)vHSDcU|CUhLr1|5j(DWx{-Hm;g=tBrP>;lBA)l6kl4*C?ly+AfMeuDw!9 zZJSi-;k>R+Wpeo|KIHW>x3xX*_&ivFOT17@(oU4@##N!G=W*_7!O3=}$87Uu>>u%L*DkG`g=Y-&S`b_eEK%%G_{>?Y1`3)lex}#nF@?1eSbo$Nj_Fx z#3d3dLeD3wDd64>_2o9ko#`cqWB&rUBxB+QK5uBQNh!6_-&RbqJ++~;vk6G0)W&$Y zQ?#uQ)u|1ebH*)Ly8Q5?#MMRBnNQH>zw!pm8Y+wQvh&?Z)g>%toEL=C^a5y()3@16 zis(13>~VT}jC0@Szhali9DfftmBD$PfzRXgZRo_e`7bxVC;9BFdDmr>-y3*c9J8lo z;7zJOCmenhgAbjmsemwVjkNA%+ji0PF%rt_<%4$>^5;Tqg?dbsu+ih%2)GsI9BQJ& zre3kH*V(wubiy%dBbBT@)uht6ZLG8C17n}CvA{8DBbBT@)uht6?SDr@XK|U@#qNF% zXD;5R`E8qye0|V=wVAom=J&V;NK`l{Ta8~!#lC7RlK=88{h9Y~DYI7d^b9B0W0WJ# zIN#ReOtPo7gyD>EeoYtIF^&`Ov7W!W#z0@hBRFg{Mw(m`jp?&t7% ztSjR&W&>k9{|z)jAw`^2vJR;xmBwvRXK9R?M&c1owasG@j%N>8o1Rpj43FO=4YNg)?FwE-# z4kAjCLOUJsB7c+7QL#ej%}_^uOkdVvS~{52@nf}tV@hS7OS!JROXXot`NGxq;`dsW zCvexdKVSdX1Z&b!f_G%VZs3i&N7O}+gy=K76qiQ`o|2MWv_$t2^H{+svh zy^1H zfmNWkMww@XbqKP}Ss_5(5l`r@DO>02^M`03sa|`1o=zbl5j>E}9CEf%&o}^Ot%(y_ zuLzmxobv%ikOpi>1w49x?a(`K(*Os91XxlEXZ+&MT!ZsD`cim=KO2>O>wu){qh?WH z1PFydK7W?%FL+`~rE1O6wgrs@F^p2;AbMS2dS-xx7ffyR66FL#3Ao5h)S+)p+@(@% z_vbIFDpC$@-_~DH{e>diIo?3#oXnGs|Ea;*QEm{D+9DQ?V7j4KF@SX`^OVCk?aFmD zyuy)!=LPHfSsn4t%JQr*u3knrl_&mCxsNTqYf?Rlhr;`+@3q3GkNc-qVrS z{eMhJj#J_dURMczn_%YD_n^w>1b18KJWq$rA1hN{CRkz|`iC!q*C)dDuFg*>vo_%Q zGUP&MJgWzv=J~&?wiN=bv)_YMcUCfCho-FNprfDDai#QoTYb?V>#gg`mz0kv^W0tD zc3JiK7N7E3CR^})DANGCJf`evVuQoV=zsr?j^JV@y`W4#+M&zmU$E09^}8uwxT!L2 z^oJ+o>#EA9d7_@T;Il$VPR{)25V>IjeE z`dCMN6`8gvPfw{UMH`i>oSQOWJoUvnDfs z>W^g%}7x0T@;e$m6EGBj5R2brOK{qb!s^Z4)43kbr5;%O5bH4kXbI<)U)I`%n zba>|ELKCnV(TDKzwzP$BnVv6&?Yos`YD4o<6KuZC&ydoWSTxfoRCh>px#M*n7 z;Yf2`SEZL%d?5>_a1~c8RFZT9g}=)5a{zq+vpYt#9bL503Uc?ASAXN@fGpM-4vd8xJ>oI!sB-hDaG<+ik_jgF?BXM7*hIyk&08oVtmK#2)Zqe zWtT@nUVJZ_Q&c;*+kf$6@Lu?>A}!xFrCRp7=pNFGr0Mel%WLT$hPEbo+vP ze@fr{-FR5j!Ig}D8imm7{l8WLLHGI=w~ZxL#S>|o!)QwfzjWQ@3F~f-HWYe#4`opv zSl_%@mpg)w3Ex65TPp}%vh#C0&cT(#Bd4<2vAr~!Ao|>qJKBmPJoEoY*})Bu?hCBO z9r?!t@`e^`*XFCW*-L}-wd)zma3*o)03cu-0!Cx-?iAltEg@Zz$B4r9{s900|No}R BHNyY^ diff --git a/CPLD/MAXII/db/RAM2GS.(0).cnf.hdb b/CPLD/MAXII/db/RAM2GS.(0).cnf.hdb index 25c2cf9cc63a87ff1b204841a92ceb08b67d8fa2..b2231d6c29e6d0eb96e5f60accbd27857df55f23 100644 GIT binary patch delta 3233 zcmZ{hcQ70b8pcILKP_sM2&b-dpEpVTul_4bY5wIQclNS}eHP4_ z`g13qEtwj{QL4!#3wi+_D5;>@cAQ)mENa(}<=i=qMLiiHoPVA+=dzVif@@S`wQuhN zWcP$KxJekOND8TXC?7GWLp6KfiEkBh+pb28w0v0RTvpTFN*IEvY;T_D^@BDSrdu{| zQh;TprAxeo_ripPw*-aA5B)2g9i+;l2aDnC)zVptN|G``pRTx2{f@rhNa54p4<3XB ze|ZDZHLM;K*|zb_7RZ?9N)GmHQsL;_{C2j$ezmqw@_u}uHKWu-0H4;!GE&7@cQ~wm zY$7rJpzT_dJd_w#XIbR~cuLu9rd1o{D}NXt3|$isy+pedrOHcrEA;ighJ_a8man-t zEFLP!P*y8pbT}RfKKYU{U6_1YV<)RF?LmWb4mipAP2XxGJNgIotqUrVUf2X^Vy0-a zYNp85?7Vx>P`6~>WdrKkr>WWlt+za2S!#{mUyST}UF{EhZ~lpk?`2w39e?9LAhW#R zt`?u+D0HqlPO88E>#;cvEIIlb*cVT4xYc4CIK0c6AL(TSh zglfPYG^zA`BYGoH{xF?uZlgq3f$RPp z`?uq8_M6Iu%uVjYt;W_V z7D8$z@_NyNA5fszE$jX%jwzz^YisHk+Ugk(hC#N$-Fh+DV_PCA*2=F9k@CcG)`91f zyly2Zpa2+^jsSD5AMzJNAN_s&`fI8to4J zm?hNX-#NqVEvD|yDiZwQR-{|1m6W4-|=?YDaC8XD$KK z^=ZliiftnYy{}S6&-gI;{0*#t7ZCkA(x;ZgdiC;q!0*o2Z4-V8IwDSHaHQ0f{$)!y zeko8js0n6zHAF!S>M#v`w>|cR!bJRb%?@6YudE-EwC~mM68Xb#N6nRnh7-aMjNLpa zq7tiNCbpt>ixZTtxd@CoA*b4Gjui#I;iJ%=dKnWyKcd}Cx9Sk1@BQs+ic(*uoKf;K zkJj@Zw|F)=P^*eV8>=xruP_dCOow%h=yeFX9fyU_dWlKDz?uTWQ{95PdA|G>~QPpm8OAXx%={MO;>FHKY6*@;4fNwF^NfQR(8-ppiOrxffS{J~OvM~%jx zTr`?ZpoMlrda~SP)w+8Cn)$}o!_uw#YBel8ag>4d$|V)sH@@mEA^SU$srf|03_EAq9vXyW zH@T;0JN^>f+3#Pl204N!M$!BMQN2h81GOs>#Pt*G_;b}hPm&^}EMA??7kuCcYN0I2 zT^O&|D?{d%n+kHBAM+63jwR~#V6b)~kConY>^}sEa6;N~;)Jo8A`@G)6PUBj0mHTR zD*3eeF@w0OcKD_dxz^$-?f;gE$6O2}Mk4Rbm zF3mGtkuC6+`Fz~deIlj?2Rl*BG<1u%oq0NNo|dCOIGdKEJIKSg`(_g3Cd9{LBK59d zNRpb*kyWoxA}!HgkgeOuUo_GEWZ1~pY$8}Ihi4l6D@}7ni0ZouZrKF4WP)2X!EyLl zGk=qdPucpLa9DKwQhuRa`9D<;WC5{!z*9FK9Fx?}D@vzr!2yHUNO0I2%Xhu?jp zr|P8l`?5jw>9oCxAYfFk3u3LLp#KZ^Ssixfsz1SxBn$36CXq5H51+s@2T)iRJH3`o z7ml-O`B;72{^R*F;;De9LS|fJ!gH_~m>xbv$rrr?7B*#oSvm7kWgk_Prnv3&Dkft; zK6?h5Ypt_{k#|mF?R*Oy?c38a;*!s{((E&X`LqSN8?1@I%O~xlD47Z z&0!`f8TxFFX}w3>od%@;Wbz_#h7t(Ki^te`ykT*=*k+&%=Wv87@_Vumc$qXBp0TKe zEO7m?sbckAuR|z=G<01gdI@fr?fKIOzt!wnX4_MMX=|KDjGEiqVZiRw2gij^sTO9) zs$tMqS6zu#VeaqNpS~AMDi}&)V`|{^LDyKb9OI~S7xL^^1S93%MN{hYB_>u3#C-5` z0+GOFJhVt_CD0h8T7TV6@QAnxk*p6Va1TS zt5F3sM!4##a~$QLZAqXtvl7l>b!|K+zyjcr$&T>LaW3bq8&bNMn82S|1K-YZ(SAku zz2G8-G&krmz$Rc6C_MtuijDJ&e9{E&04+s29QpMQ!f&(<{6h}u80LYYOE>bVzT8W> zkNkcCXcxcbz0hCQnT!d!OX`#va6Fh(#5)3A0Oe&uZ3kpvlACUzvh!$|Ih+&5SfhZ~ z&cZ_YJt)wvg+mWB?W)Hp?QaD8t$*~MV{&i});Xvj?l+?S5em~+*qqW^6tkMvFSK=z z3XL#L{&|Su5l{iwbtZ_p3N$?0;CRxeOc-(RKqMS-_bYvJyaBjA3D5tAz(nx59<{Y> zH}lGI+YQL5WCa}WavW{8;9!d!N3<=7KHiC>U+p75U_hahPvJK#_;xNAeTpHIeaS7 zFeK(`pjj9`cgSx-d?X&)3+8y8`S7D$C{&q;kc?9)u2fQEN1K0!Q3E7WOY_dzR8Q2N z)V`!PH=sytHTizWpE|AxpmW%Kqr6k-0;YgM~8GnCo!{C21oFROQb5z zTqU_3lH9ReJ>DMunAEUVJJRi$q+eFOPqjO^1PvxY%U(4$SnblhZv$Rm+rmu4JAhk+ z(_oK9L0aWyX#uyu`< z3^J{?*lFlv8eT3RbW+NpED`XJ*P|3d^CSH)e>$nqGV&;&P#o}$Git9_$2skskd>7h z4ifrOHx5fGu@1X~uUfuhI|2({1}lo}ZZ?T$KyrL!#T#KSUa(9zWtfXcUffLEUS}X7 zk!Bmt`4Ggf2iN$elU01p>8#ae7%Z?s;EaR}^U>>A_{lg6UX1pl%ZoPb^??}Y$z^e{ z)XquofxVsl^ZUulP11WE^r$AKz4X4O+Np$+*?F$h*`~nBMXOH+WVvUak>pDmYPaPf m4~G>#v_>%*E>tCgg3XqAE7DWNL&vT>y6D$b>Kdu%@BAGhvre=C delta 3211 zcmZ{kX*kpk+s2U?3|XeKHH~eO?8d%im@L`1ER}ui#-Or|{$Y@1260=)5Lp^aWnUtD zCP2+?!9$8shaxc~K(-M&K@=Gc8WRJQU^F_EeP=q%o- z-wuI394affdRhMZ1Y2eue&JMW@x&2+vU<3U1JBH<{%}d$u2wjq7_NDhTZfZpR@!&v zREDE=1^=lKeGXirPdMtP#5S%p3=_7`efsF=&1wNqi-KB`i;n{-Jexn@ z9!AV2d;z&9CTqKX+R79S?FRhZ*Y84kn41s<*&M`PhaI8pQ2gVtB8z|sB0l$G6ZW}G zfTE^NsDpPYsZXzSAoF{^2&jhc#@`NJJ(`2HaS3tM&VVty<`-V=wG~nauf|pw##!Wxo3j8)OMFaj&tNY@Dl)YXE zAZS;ZO!ngPEQS@pKnx8dvmLs@*7Mj!WnAD9ho+X^?_S!fokH`MDx`~fZ>ZlOPtLE< zDs{njY!~CO=h~_0`xrPv4`>NqA?kJ!bR}-z@Ewgjn^yioFJ`rTwq`UPcY)Wfp}Lgj z<`~Q%u0RjtbA|DV0!%g|$q(YpyK*PbRpI5c#X@TtpNQ32#%GWBTE>;u8RYsFuym;W z6~E3l$xjtPc$)uRkcdn`RwI`-4?3Y@tb7RUOqVKK#icuv#*)cWkQSr7lQ48v;W%3S z5ntl{IM@Hv&x7k-Xz_a)U)GZZ&vlbxN0pavg8{G@Qylj>-Gr#Zo(}U?ImrMw2MZH9 z=P>{JL*rof9~0qB(bw{Ppv%nY*UfP94HJyM*t2wIv`qc5MKxM=Dv@cY{4k zm)6?n^jSI$RO^pO91NGKVNNA9MLt-lx(Pja3tKM}vWOIjpZ1t(4jk!DiwB9IR8YZd z$O61g`3;s3n)7!tb@dHPL}|$9r~QPv86l?1d<;5Nd0tA|mtf-x1EHSpt4+H>z33?Q z-ph;km;bIZ2A73v1J9VX{{y^eJc|JbPwF5HmV?xmk9p$GME?QI@Qja9Q~~sKDRWE+ zrpAbh*A5dXA^WJP#g8T_mkZ2LJVT2{wFyJ6u<{t0JVu&;C-*e2<|*y`AXAhKQNMu#`0l_y_RIIa(kb$3Y)ujqwo2-D%mvButg2JyN9T?9Dlt++j{nB1>&pX?wbF(0aH->Rb8KM#d%inGFcZN zT!-Zl9Fjxz;#v7GGD!Nsc5F13R6TF5pjOE|d7p}cOEWO&eU)B~B5dF-I=YzQY5pok zK~z`YuS6v7k|%VOk{-9MU<=lw>}-Uu{+q038MUUtKkD@HaWVb$Ay`OxkXk!#JFP0O>a7mWw*#o#nX8BRAD5E?Z5TS@mK#uvN} z6u$92hdZQ5RN({6S&LiPD)sDZ<;qDWyOgive?6H~?K2r+M;|D=SKAI)HsgiOhR-z0LHZw|)HwIY%H{V8~q)zmXS73(rKyv7mko5w% zUv5OhI~mLrXUiX;M)zdi!~mmC5*3oZ38U$@A)Y)zPKhFVHyL=DmNFSxxy-5bDBsuL zVFm9QL%Pf__N!P=@IMJNuTF2m)4Il9obRX-q z^~M2<1RU*}uaS&4kStjTg)%-qqXq6{X6bR_OG z688a#dz-|)!W%kOTc=E3sZ=%}6A)i#&)8@B7=8}@F6yVIfmzx$(R7+EKKY%nDCaE$ z!NgQqh>(T2PP93`rvSu)V8`}8`n)4YL+vx&Q(dej8de3>%iwy zWW-bXs9{d&hF(9FSj6GVUqsOFV@EjGLx0_KuPu2bjpvNcOhRf61nsxQ>s~-w;Otky@ zvLE5l&v>M=?|fQu%AiF90S&*7FWbFmQwaT2!Y7EHSQG=RDtl0>{3Cpsj2=b>3>Cba zRN%$Bw+qCayGYg;?0?FNwu;j2W9v@SV;|6#R8h=mBoIB`XO?s=$XNWy8{KBx+l4Ov ze6yMz-v`2m|E3f|=y%jU6&^E#KoS zgv1dF|BjV~Mf~j%ti}}Ub?O3=H z*LGNZ@7jBit1CeM1}>pCCT(6*l94cdw0F~I9zUxIVyT~rfa1uGDzKS6p*hIB=IU~w zcvjn78CT$CCU;2C-iOp8o}rE=^GaW544%|37*q!xJ?U)ETVR4X*RICrY#k^xFjBMA zc9{qNnGRi90OC5P6Zi*F9Cib;BBMjP$tLH-t_Uaq#bswZz5Sdx5y(mkA(3GOr&N5H z#~ECx^3h96U=*j=172QfyVMow6HdR)R={_BzG}ouvBZvzQ}w;6{Iqo@GBRd+H1t^^#v{P;zesI?g;#6Wy}W%Pufr4^~lC1RXMJUmVn z$=-pEpGbMn%SG$6P=_9CRlI=@*FAT5<&^vGp+RNEJC`%(s>}CHZC?y|ef~CZA>vTw z?KI!=DNg2lvPk3m^c|dh@OAzRDLlR8lUNcwwM^T@NsI0$T!yy;G%X~k zBN6L94LE;Kv9HY&gAVFcUjh5tY0RrPn|>a{uN1%MQXhB*M|w8bA12t|`F4^?GHV6y ztj0&>ckS2Zhj0+}Pc8PoQX z#O^j(p0uaWt=L;I_=B?eX>VNIJ+n&{p3q<4Bde-!1u5(~L3w4D@dFvMqZ_2T(fzo}~XDR(txH diff --git a/CPLD/MAXII/db/RAM2GS.asm.qmsg b/CPLD/MAXII/db/RAM2GS.asm.qmsg index 148265f..9f4d03d 100644 --- a/CPLD/MAXII/db/RAM2GS.asm.qmsg +++ b/CPLD/MAXII/db/RAM2GS.asm.qmsg @@ -1,7 +1,7 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691903574998 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691903575014 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 01:12:54 2023 " "Processing started: Sun Aug 13 01:12:54 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691903575014 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1691903575014 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1691903575014 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1691903575264 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1691903575279 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1691903575279 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4662 " "Peak virtual memory: 4662 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903575389 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:12:55 2023 " "Processing ended: Sun Aug 13 01:12:55 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903575389 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903575389 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903575389 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1691903575389 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691914219957 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691914219957 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:10:19 2023 " "Processing started: Sun Aug 13 04:10:19 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691914219957 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1691914219957 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1691914219957 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1691914220207 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1691914220223 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1691914220223 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4662 " "Peak virtual memory: 4662 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691914220363 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:10:20 2023 " "Processing ended: Sun Aug 13 04:10:20 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691914220363 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691914220363 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691914220363 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1691914220363 ""} diff --git a/CPLD/MAXII/db/RAM2GS.asm.rdb b/CPLD/MAXII/db/RAM2GS.asm.rdb index 5113c0c6d81d8d5a864df98dae2bf378f16ff91a..e450378e00a193c2d402a6e13b8cf074ec7d4524 100644 GIT binary patch delta 530 zcmV+t0`2{&2B!v)Pk&Yd000000027y0000000000001Wg00000004LatW@7`(?ASv z*nsgHCWL?|Kk>Hanii1y7ByWcB5W)b;tjIQEfKk-!O;gT|z&FY2qR_3|)ZOc4&b$rOypfA`IwDRLZzkf5Q{z;ewaq5qbKSX{Kewj@C+USMRUuU*Lx7}UTvu(xNy}#@V*S%WZ z4K~}5piSgwqi&a_dy^NLJr@|;r4ObG`xe-(sg4!u5z z!LTQWfq*~+eLnt>%n3cHqPK*PnM8(fTey8X_MefN)_;qG5|>!QxYC8b*mB&4A7-*B z-Pl{7ULPhCrn5e`k^Ki`#>Yu85YwIzqt~lb+-3In$fP{M4A|l$oMooe@Hs1uEB+vRuug?%T@E#d)E=2F`pdXGIyGv&D9rGW` UNMOkRrw81zH^CTw1Cvw&)%6eo@Bjb+ delta 532 zcmV+v0_**!2B`*+Pk&ef000000027y0000000000001ci00000004LatW@1^(?ASw z*kI#(AcTM?Kk>Haqf}^p%bGP55jK_z@djDumWW(Za(C7C*Ml!Ut?e`_gs|ju{JZnd zzO$9>PN(yL^bV;J$LZW96H1BVti+}TZ&)1XHlcf@&sOvWeShB~C6*^-u2>+|LS)S) zVK+)k=9oyZ`Y%qK*Yf`&xvJ$Ufh)D3QbjeB+k}1!)5J!s8M*+mZP5ZNN}p?Dulka} zC4Gz)Hkrb67!@T-!||siHlCBTDPfJD9ee5`Nk^_ha?s0`tQ!LPp z>Rbz~lyu`ly?@hZ?rE3=aq13FK16O3ei@J5TC2HMU#F%*yWO4F(@n*iy}#@V*S%cr z4c6O`pp@ARH@D$2k#P0hOp5MLA!lapSgwtj%9NJ8^O{Vm^PEylbqV`4e-(s!27V7b zf8Ym$ejfra=<)GKWKQWpCA}ql%mgyLW8n7b*nduDQh(3)OI%AY2oUj7{W!nH%Oa7ur~=>E`=M7=xDBL7`sPi_#N{f WQVU?n{0XP{ST8s{fZqU>LQbH-NPbL&IE1P7!2U}S};~rYZsz0FVGBO=(xL|2Z9*|QC@L;^cwk|(h&n(^YbynerLzZ3^rD#f5xFKNVx@4)!`*d6Y&l7* z4=)XtNs)uSEp2nahL13{QKvY*>_}SgC#oo6NcX!tHW*IJj<$e&^DH(m0n=;F=!T{Z zyMr3&h?;Ap(TOYg5qWC@cHsyxD=?5aLHL(v5Z64hEq`%`!S2WmeF;S0t^i9C@w-5q zDq9&d{!-%Pmrjo)t@n3y!-~WD5jtdG!Uy3q6WJjR~XjjqD}>YkNpOr5@EclioA5|_~TOurx)Uz9B8VK z8B(K%T(6kdKL>6XEV`G3Hw)cXcsUnPb598HDp1v9Ga`ZpUNDStasw zoU+AbYyt=9!dR8iv?uJ#w@?{o;T?b`lX9s|mY_DItlWYg?g5@B+C9=9Ndr6=5Fks)##Df$!)bmES4kI;B5%%W+}nWV0zwZa6NyDGKNE$`HJFf|^3`4zmS@r| z1#XS+)T;I(FgWYh0UaV}2VsJG9M4e=5C^Ki2)n5>cv|A0Y$yiTmU1Oq^YJg>-hb&R zbCKa-imgxJS1sh;Q-}(Ix2LLrt^LPe&UVk^A#B&ov5Wq$j#WvYnA>El7eI;6)gj;<8hCDxa z0LpN_60k0%cMEpJbL^3L63-(J!TlN*LUe6FZN820Zh;I<7VCZtKZzzsw~1z`DHq~S zp8YgFJq%3)L5>PnJZ&c{Yem*T)v*{bNX(Yk5!2#5yM~FMq;3y zW|)b0*`nx6pJb+MVZOj{`yFd(!81lRp7c=L4 z*nLLVui^t9g(^gD?{#-G)qgVxzX90q07roj%P0MdLTFA%xTC^ViTq`r!h18YH6D3V zPpD>3W4$&b{u1!`1-Qph)p&GWrpch=HSKBOdnMcvO+3dLMBw%+k#RAS z`~cF_1PhSol01)V>*Tq|i0IX|%$+Mj@s=8$h?t%{18*n!OvN>xWed&GiQ0)sKZm@G zW+#+vuxuMLReWN-^?z(AE+7~@WAV4c-XI&G%`?DJxJH?b;|-nyRs!Ckmd#&#~oG#|SZ$q96VinPItzi*tcx=vUX8!_z|l9)JE0TL@Y3pq(IcMsgpT zzgy?j5}|-$T;KRw-XcUUBex2OAIu_7ri?}ISFq|a=6mvdty;P;W4M24?qqTC2Ke>)5^rN^C&Q?7b%vho zkc`(Z!d(}RrK86c5sFy^PK=>G&(H!!I1248@pBjqML zOfRCbseiS@?B*KB^HIk5d!cH(kWtoXccZdzSBWSaC0aSjG+Vs=+h>_Em|OVV%6f4} zD8mr8^(?1IkO#aSSfw!L!z^CvHmoIEE)Ohqk2D8Txc!-mAy1l4S&nDi)$EZwjbbqYI%XzkerBR@@hh1 zMRAXT7nE~;j4dr_+vw$mnYby{ku#THQweChu4sR_Qwo|bxv$mgJ$ zTugEu{14;_R>D=BokKh1HcS5Sf25DxG+R6x delta 2570 zcmV+l3ib8n6p|H?Pk$;3000000055w0000000000005E-00000004La)K|f8oW&LY z&3N|P*#)wn7n4m;8qFHp>vBM8P6(;hc$0t~sme!MlvHY~!3~auR1|WEmX%qJUDr58 zBAZZ=iUazxii*RZQ1#$)sH&cP=&^?dg*2e5LKTM;5!2s%-+#squt>f3CA0J1yf^cH z@6DT;&kDdkwm_Oq{Gax6fc*gbCkA{EJ#rx=>)0z`^@KF%B>I%DDtZ;=JEIr_ zVp?5qRO9Vfb!%GO(YF69hnECgNd;rji>$H-jsVBmg~6PTvWrj{NR}?6ESX`TNd&Z% zNIWGAB)juCkbh@AV|Mrqc^GnRM9#h8HpK%e_$8a3km$&S7J(caEeGV-E2z-XeIS`P zBDX+y7XhWP-heEZjnLhdzN*s^%s`iQp1 z(nn@a-c@p|F4E3Q`FaJi6_@*?-Zc-4)!J`Mr%TwnCKnINy}k&vP|5%gL%v1*QVIPA zu&{>b)(!4>-Jew5Ul4gQ;oRiAFk&q}TJov%@QZtz^)K{{?Pr4WzV2p;?uJ+I^)WpGWcZ) zt~#6alvo~7{z-ue&RMhYk3|7XMuYA?z8Cm#Y8+iESk}48C1OK2+b^UQsXGBwMvlLE zom+APDX>j865WP&1h82x2U|8nNwIpVA{Uy7;K>EDY%E85pJwy}iDL{nr=iG4fyGIr zb$?dNajd_N_>SV;qRLB>)go-0`%$xSw(iHIo{si!jH&&+oO}=6Lo?m9I*TW&nQNIl z2^|}eCwr0Hn#M{Iwgr4JUT8ip%J@;NH}qJ)nCQ=KyjrxSS0Ejecao76v`)nSty79O z0(?zoi3!UNLmtk7H*mUu??{P~h8st}B!95fls9V<{@GZNJMRNao7kr1*%V8_nM!QVS-t+Qjkmq{xPPYg z%ZM4bC$byQAY|ln5uTY$E6R2ViweRG6goEM{C06R{+yGFz$>itqD6aL+>>fZ+A7$G ziuli2;BycE51)Kr$jz&e+e{|w#{~=0(41E$@l&C0H6)huH-tIs(^;nqe}b1o6)!fV zUK)*g)-CVB7q!CVC}OTlsaG#}Mt^*c!pLp_Wf_qFWojnwj9J#O$IeO$9szdF(7tzgLR;WXIRe~>=FM8XCaKx~xg+D9M7PvWrM}PjQvT&Mo#f^~` zfrxxOnqTe+D-DA(*t#skJEwSCoPHLcR)lQVv053&VgXwhv>*@x0*9YRf>#rGB zeFzm#=Z7h%*ofTi0e=q0kSSK^*)~*iq1-jnBUPf`5I<=%8}HIO)8WEsxJ_ls*Q5d6 zj?HSW%M88^IhBrWu&rO0l3m>loeU(d<##Q^U>;4@<;X1u8SQ{9drG^t^S|lREZ;-x z+-NM;(R&ttVI@2_-tqhEl)mv1p}htBg~hE(io2`u6`n~YKYxBm>C=dPLs?#kNJTk7ABV*iHKDW71yoNls+-#H5N7AFFmGi0l+BZLW+)#vN|5Ck@Scu5!FN^AfZipoCmPYZU1-%z1`O&X;ZfBBSCqXYUPybl_WZ z?hNtpk*LvF$9zNajup%@ry866#WuKhVR<*K%_7aSI7jb!&dKDcht@e0afdnQB<9Yu zYn5=jGJjHiRazF2m3!4kmf4pQTG-U%MrjPUv}Pvl`3N^FHvZyJ7HSq-eo)CW=j%m1 z_70z5o{tvM7WI{mENnL7q;*ks1-hLk&yHj*^YxFr9i_|*qx>RQj=Oyl1r(BiE+{s-^ zDWMehisO{h9bJ%uB{6w&1Bvz&avFx*aK^rRz$*^IUK8+ro$Ww}Yfs?Vte1xKSm%#F z40%ZTbR^Vy&|%iny~Rr~jt*q*By?;?fX!1{vdYp+>bXUU{_~--yZq#xo=5nmlPqvd z$$!u}df*kn+hzH$1TX^e6!DKJ9Ht`Bpu3OnWjudgU}NrJ81@9eU4eg1Q_RTmmpcA8 z;zV@{?+l31kDi|;Rn=f2&`!}Tj zSu-aekgJ#HeMxz7N%1H5)%{%E9q-G^J-PoxUP4MrLh6a6{QXyY_g}dBI^EZDboKrB zZo|lx|L+rg#s8nVK)L$=?EmH$rT_0a@Zv61c8G;ztU@Z_gulo?AR`cXlq84wu}0$tRkqC7VSmEy4(vRjV>3I-dJ8V^ zL&_Wb+|F-%5YBPOzV4|Z`z<=TA-v1yr;(?@$cZhECimZ70X(5!$KlhY%%4l{lm1Kf z%+J>PGuEywJ@X%T?^;usmkGiiK4b3ij+IptS@F(BVWl(hLAJ|9ads=1K>2b;UZrD) zdbh66Ue;mpTv(657Vb|ReX`_VZi>sgWbyew>pH!a{vJn09FW%faBQ{zWQE%*h$mDX z_6+0av6q3D&(0l>xEgA)&@O%mQuwo75M!${cZ@;5ksDsCO*kEhjQ&-! z4LFbn(ucpzY+s3daYzfitlGqZ@8>FXMXvx(e$o2q;68*;fTX{wE;slQ|vU`wJ1t0s}2)o2~=Djq7K04b?l$fW7Cvb#- zgI{k4KHXDI*a~^Nr@`LcoPNs8r9oZ!6lNI$ov5eBw%)AcXIO$VaoK$i4WnLh zxrEMPJHn1t8ijiL&{oyZx<3X&RVnkDrw{&K<~yISj7x4p5@imk)aNr^PkcV?;h!d>?UCkWNGWU=niAhb@@6qG=@e`1C!0p&I>66zYX(koT!X_+smTaEOvAMv+IIyW_zjiSLPu7_1l zt!>yj!@ade=4>4tzzHzVoC(l8 zj}khh?X%;x`X1SmFytem0S9=@jl>$%H()+;+7hPf$4bKN@u&VB7l2fHsQ`qipV{{^ zNoo>R&_Jf(DSa|HRFBT-| z{9D!~fh;y4i-X6Clyt3CmcwCK^UaMlezJG4r zBxkw;TGbtrA=St}Pc^&saGm68h>hGHJ=haweAX{lt_pn*MW{$bjI#t$m5({_!O&vh zFCi5NZ~&t6TUE6%Dk!8f9j&8$bh8Z|3C;n&tHMx-bog~zu8uN6j)+G%qYj6T1D@Jx z<1VZEPP-y#S8k9-@O10Q9qi%+Y*i^ojRCz%wRC$x$@3MV`gzFtrlDA=oZxBUa)i{Y#PKzT9u^M6xnT#}0|R(G!^O^Lu4?!jI4n zsmwg-Z!kvp9^`W(GI7kOgIm}Wb?UE3@G_zq3=vd4lEFHhNzCWdSZm!57clvsjKW^g z+G*(64k8)vJdf6cdTc4{@<|Dbas>U;h;QX^!FsSP0Bsc7sJXNHM<1G}1Owz^xE^z)` z-Sfo`8!YiMabr0d`b?YUtVl1%T5CnWAq>foe**h_U+I}nWh2wv!is$C>09%YzhqG8 zFh>iwY&y33wDM5!73ks~I6)7K^w^Y!D!DM8h$X*P22k`hJqDj&7jWAHJFJI*;q`jz4> zt?S#JU|XN1T!a5XdF#>XC<(pF%peu9|3Qgm^yhv9e&Mph|AP`mob3>gT0Akt`u{=t zmAtzs0Tk1G!T%t=${#_Ly!F;}?BxF-@s{9f;Qu-Ozu)_Rf%JbV^MA$R|F8BkhAJ$m zw-FMS1GZx0q{;|Bdvneu&V0GcE`j#~i{e87+5fpVtqsO;)%iB=b}WlrB;(`k4oGoa z^VaG4m+@#w#pi};z@aQmaIukO^q=O&!2D6LNJLSGb+D&<4W0ce zZS;-GcU$H;Ve`TfB}Iet?8;;3;;F)8ujWzMZuJ0!mOSTJ`3s})Wh5t3^7Xv$vT!zR zO@*`KI4SmJF|0&38`)-PkR8x6Dx$p^>Kie-ptDK7qv5;EmyNJ@&*q4&I0pJ{wlDZm zS6LKjdqN{nTQHR#)gsaenR93=qe|ML+36P?lO&E306g?-3+sP)WaoBdgZ^I2wBdf6 zgt+%MiIv}7$}P&Ev0regw{gHFo<|69P(1){8i>)Eb)1gszy%iyMr=igd--LzZUd#e z1v4F!B2}hd^Y94K)-z&9ze7Ll$Q<{mH4O~?Td)x2{nuc*LmvxmF_{j<$hv(eoX$Cox{^%%UFGC3U)^S85|Ia6F zssT~*n%scW=0-_OjFPkAW^*@EKEZnB>>3hBD3QCCOzIBptlH)thiLE^N7{iFZ={1e zc}|`un0(7vngA~zRTt>8p7+K@;;D}=s`d$Rt>4CtyD#`Jjb0rFJU?-;dm<3mG{PxcdmF8@LZE@z!<81;pO&57Co84Lp!TMiiUM z=kiroPVl51=t|M@^{MZ42iotc{>LaRt``lZ#n>{R-h6nk$yeX|!S^%zw7ls3ET1%b z5cg=CGO6$z6{x`Ru&M2*o!6I>X<&3)zj@kgSf6d+?n0bel^gi1o`pz_^Q^5rh4B?_ zjt@sB@f!Cjxvq%hfgT*+kqr(gt~y+AE?h7dV!bY$UQi$P(W_%gf#c~!Hs(lQmoXr! z_OSpe{McK+sYmq9W82T~KXm=P7;X(-UiY+__aV?HiSuT)$_?u`&>fa=(R|i;t{*$Z zf2iukj&L5`SrUEXiEKlDTx6b~TPUQ?!{iMJSA&c5~coM#4O^iuGmW!=Zs;#@Wa{-0M=M+JNbhfT;^`84>lrZ&o?$ zwt}5+ZhK#WShy1Ii18+6nA&s=HkorUHgED(w{Nr5yvBBxCEDlYN&C9-CjHj%UuvDV zI0q97E!osNRYxOl9hm!pByIWg_C?bUXRVlgi_AbyzTBCZ}tGFhU6?4O0d^bF`EB9!Tx~e2?{2UM6^*9WXzWD08 z?rQLCjWw#c*tu$`z~Zs6eI-Dtl4|lPzK5n#|W*cUE5Zj|=*BF`=6AT>< z2*l9&YVp(O54e!v`vTcI=mHh(q=SIJ84k`I_uwS4ulQX@$ zjLIFxQi%#P@{iI12M=C9)qC>GkKS9pwjTK?eSz%zzD=YKqhR^;LCDXCAI;y%Kf2nm zmg+D34laXhiq#g+|Bv_);KiI~uF)tIE+hg%IfSw{HyP2plV+&4%r@bmEG%lKG7O!q z1%8BseAB61arK6 zANLA8|9Zn)d3$!`>RvFsTB}Fk*$W+*r#L{j^@h(UIp=m|n?su_4t`+~M#iP8v!ph; z)y?bb7pml2BYGiH2@TFAAR`_1UjYkU+MhQEOk?RGR#5BKmf6plWviCgOFNwuNWP$& zke(lJO~+YP;L0{HV)}Q^@QJ*bZjh>3)!~i=^l+z*j@)|INW!!HkZWVz*mf>AX!DH8 zyghAuVK#Ze&D%P>yOhl=%)E%#9ThoU_A8{kiextyq@oxdH18}lw(Dw4J61vOm%{dV zLwv$j%xuNc%AoE#RZI{hWnEDFk>Qy5Jy71aD{(>c$j*ePgO*y{+q)pe`jKx@{eV%7 zrX-TT@4-`CYSiW;iGj^aPLj|p5qY_vD2 zujrc7oBIPdR_?pFn>RaBSkar)!lKN!cH8oSZ%ym?xqr-r;Z1YVcc1h_M0A{tx#VPu zgOX=1Z}b9=6`Y;Tr;a{T0lGzm#%~nU@pm&ePUB9R^pl* z>Ot)~L0z*@M8D&`CMB}HW2DY)eGb)@(z^e4AP=43OQvIW{N=(Sl;xt}JXt5SSf{!s z8v=8mDLOtVZBxtB$C~?YhMMI7i(9L_vkwPq%H?j~k*2H-3k7*)`NGi z8teXZ>2Te1HQ2CEwhlhYsMRRLl9KD*VL@u|3C{$75UwLWZX$ZQQ0{9>Yi1mX_#NCG zXBO0%JqhC{b*A&l!Y1|>;SRH836sT~d-(t*Wy`iQz=x`+XMac+G33|I$7IVn6NuR< zqBg=u&p&pEa&+ARdc~k+L|Z^Jn#Hxk`tt0r2^HYm*QD{O6P+x^9Mzm4uwFwoapWe_ z3xq^0DF^5_dvFGqlugS3h}$g3-=SyE=VBK(E9fJKbu9QvT`b=_f8p^1bVEtXUy(Yg zf>~I3XV8=t<~vTrbF$KSr$5SgCww36Ot)0pKP$3~GZa->_}hMKD_J&!cO*2`r9WxE z&VfI82eP*Ukfrso!0~T$Iv1oK?(8G){$=$gLXzzIY!ro_f6)t@s`Xo_sxAE_|3C!( zi}jyEfr&cU{lS0KLA%tmlGb)@Rtfo$!-;W|_Qv^mb0DgI$pid8VgxbiVVKznKAH3U zRtY`~*C2IXoFgCiRTD#QL(!anF#d#vY39a3Ot;=L^N}CqL}Mv3Q1#umudVl}?Ys6i zjs4~bilN;>QPYUWDd!JDtm7a1nWJA z1EuV3*PL$Q5+|Wx>3|3$piFo1Lhs2I>>=+sbQ|gFp!D~dp`>>P0T(L(%*s$j;{OU; zmKVIN89HBCAPZZfENZ6ld-p^-`umEV+ar{lm}^k}b32UMCl`A^(}cDTLp*QRna>ux zR((`F`u#Q;zML`VW;_!dx!_ieO=c{0i-8#jaKP?$uuizf=b8Sb0w8F{dOoXq7W0{w#0<-dkdThEEVWYVgXmkDKSs>|ssM&7G5)Jzqt3 z%$_Hl5Oa5|fvDV#W?r?CQ_hDnB6E0biKA|wZ~nsqLK5yT{uzuuAjk=OtLlYb4ACKQ zV|u^@67%e_c``(t@pDD9wslSyq>fk0$9Ck`cc{3v49SKb}FHrB9{%Qh|x-fJ1&X9Nv)pd9{NICpE`(8nV!WR7No!l)sH5HFjMIL4!22c z`3Z5W22VVv*Mt@OZr1fvj<@+pHmNFAcdcOv@324zM-PAc07K?i-wsqyMaN~L+ZPqp zP`^lN%e47gOpq?=kcGmE6TRzj!vS0^nRHUFuOM&VzObDrdl2&g;l*uCL)xK>23%c_ zzDE8KZX;iQ(>A&3~s=DR*J2+H8jP^nUT# zy4$?UK+!dXwIxrbn>#pX9YG2Nrh63z#E~@Hx{kEq%p&uuW_IX@s@PH0cjKnouDDRI zD0W75flf?o1prHUYzyCvQ4Ai}LapvqZhR2?>iY3xetlq-3s1d8s;l=B%G0P-qP0HI zBa$6!6Z3~TihcBAqF>t6QNp@{_CWZn(?5#v?&2 zl243w`Xvr%_KZlKx6U%z6Urgyg__zI`H4SRwQj!SErAo8$)lR+$SB@2A=XPgc58oV`BsxR}2tx7C7x(ZgQGh^^^@v!S0<;Y~ zC{*{5sMpDSt-~NP_@%-|L#XGQtN5FQo&J=EsHMG}97mH)3XDRC`b^H>bfNZ?O zL?-HfDY)sXB?;uya9RB;ciwU3v*uf-c<;)|pM?v0MlbfjGhqWgHKMDz2sM+y-_8Vs zPR?(=VoNOerEd{}5d-Yq6zU6xU0oPSig;BMbKQj}Kpi_9))9}g`B87Ri9dVpY0z;7 z28y|lg8n2L`2#I)T8Y4gjor-i2}@Sd|>?2cJ(a#I|x&q_%IS<;+(r;a_bY;xk z@parvUBxCkJpcewwS=BpAG|t#`4l-x zy|Q2EfK<||uk$6SOdc@X)T&tnl3Bja$+^sp^dv_uYaPt)H1=wr+-#{QOu38M@)%gi zuI`>T9D4a%UqC`)kPCRppzdk!d`CRrOYTwh!B{)XK_p-76(w;#}! z8XnX_xnhy&9OPWx$M$HSrZw}oTZIv8yRi_&hE z08MBj;@lK4e4>;~86UEFz;4WArDM8E4By`vWH6WR{&Mx1_5kuSd*A->Y@@R6qI{T=}=rBHCU0KddY%8x1IE(Y9iae97L@VQY-b<%rP zeLk;>5O|@&&u#Bzhhda4S6>k%6ZHwjgHz2~Z%RSi9XPEbmKI^5hVkmr!fCsoM~}gr zR=fdXV=7fHg{h@gIEy!pVR|SFlgJadbWL>Fk{0a_&xyIn&0oP;*f4xS_(Fjjj}4^f*3wv~JHYI)Lbhd@6*Sy^&Z zI(Wj~!>uldy+s%SIn(COo$wD}9^qhWS=JAf36?jqU<+kx!pKAzN^TB z+hM@)y_&dH^p3-g4N7213>_a9>OX>o<&2jzbE@7<)SISn$&jG*PG2DJ=X6AEFMqRA zxp@44TwONk2fsH+o0qTXQMvE^KIXHffXtq>fl6jS=xZV!*VxhN z?5gR&j1i0S;L5PDs26@(Wu=8}XK%G@Jy$(xDS&4P}@Ysn8R zS=-=JLsvxn!tM`UaSX=>zOfl=adODip9H?6f%d;+$Q})oXs=5QuL#M@^zuTC)nNSE z0Q)IptKaKiSsc9Wxin?|oWNMp)g{!YrFx2?9^Pg(jI#I} zCpB|&vE~%|Q37XCB9$ojJW^J-i#fQ{4VRh5a-$JT)Zt z>1qP=x1r)^|8g)Ni1j8~K@~rMp!`Et_&q;g$2-SA+6c0}8)digRnJ4XB$%7JH>^WV zt2hHf-Tp?*Q=3E;xySL6;~&=yYu2l90C^S0!h{b{Ok_JQ=U#5U)Aqhs!=69HhHyaea!oC@VL`k`G)iiI5f7r4f( zullxq7Mn`#PCYE21DZhNSY)(4q6I~Q`*o%@Nb*nGZ|fBFBU_3t#E4z2FAILLn4&X6 zC`<~WB!2%8>E^4I8ufzWa4%7fFR3YLODMMUznhDHt=#>@av?8IB!Wdt6qw$w>+Md`U6xKPI! zRM0)jx^1Mh^by}8++cXuo?kxzSUb%clInb1D6{`v*OlFlG{JIQSV_Eh%(qS!mE$p6 z6d=(rzUMN9XzJpP!Ep~Ppi=tkKe0-dopCE5>{yP2d;MM0QVS1zD@Ud2&gV*nAtP>j zJ0Cay{x%CC%Z4?mJ$OaqqTX26FuA3N-?_IONsCD?S$=N{KlfEytGFPk|8WA0y;A5r z<%8wn{;mAuQATp{!)@b>JEylz5R0wwz`m1j-4hX_8cj?N^%vjET(3-C z;nfMhi4F)E=_P9|x3^X?YsQ_=&Z^kwuTdr?5yBkHQicrRRG$9J{ngue@ABpg1K2QN_RGA0MRD5< zo8j|i9@aj$L4C)F>3Lb#WQ(V_w%(SIe`LhZPWz2~hw#n$q=)yS8Vo)wq%G#1e$6)V z#>iP(I!Sivcc5c+4ct3IZqOC-xa@Q2cZ!b4Bilx&(8-<0w-=1k7 z-NIs*Og8;|@DDNMWS!HZi1~u~cG<d*dwd3KcEaz0gZL4;?UX z((oBcmohsOCMA91=J=)g_pY`&FmmHLpx_; z`=2ajEctRIt$JdqfB0umh|VlDiR}}k7mItO=vKX*@h(|%nMnMu0 z>~)LB2MLuU!86mx65(}^g3Frfl>6c65BI0v}Z zj90qNwsy?~oFnZPUj1 z;+qBqYF|(oC)H%Im&(gxCwdgE-gn5xcyHE~@+IqMTV&GJYlxugG2xqcF; z^7_cUG)3!T$<9Rm4#Yw1gTZq6t;gl>4;=?8ed)zp%lvRAK;4=bP{OfTwGL0)Qwp@8 z2pTYY?ay7#jaI=U&2`9WcUP$vl^qF2t^S6OtCzhB20YFC5uo<7WwOljt>S9?+09>B z31+Q^&8cI|A}i-HR*V0kQHqrJ?U(h^GreV>6FQa{Rt}7$C^h=M@AtAqIqqNodHiMW z>59!Dlgd6d`+TBcacBH%0bhmTKOQ3-cYjCO*hiQs)objbib>I&@^dVHfFMj@*n_z_s2s zw-xcE6k^6a*WzIL*8U-AJ01I*_Czryt#n$?Jf1eHUf@2~>O}^c98cUtj5LSUIPxeE zCRCPQ?hTlUuxwi^kSI0>C?P2coc`}c{YPh-gxhxRLpcDPlEl;u36`r~xK%i374ex0 zA5Cb#jo@A}r(eHQI^!H9fV38IG&@>U35x$58i~J}QAEZy-g;(0?4(FNe%()%r-bDp zZxEtG(jVC@snc-pHP4H{?IDJffj(+LyWFUDj4``+^>2I`Ns1!${-=`R*61^YxMDAg z;kb?IS*~iIx4s-lxeKY+yQPl_MOFZuAHs5kV_AZrXuh~K28bF)f7wv0qgtdfG^TI< z_wx$>AyWXSMlsx>)(z1o@=|=rh$~5~ybs`KSvgRQ>basAYCXd7QvNZECrqM)A(1k7 z_g;nh&CJ9rzsK2To1D!C`A-wdA71n#cf#Lfvg1^}2{FCm(9APnD!Z}%4WjIO9M?ef z0m%9RPSUkTZt}D1>7;E8qe8I+zxE<9f9re|^B? z1bWA8t|h4sw+D{;$=}!53yi?gDq^WlfA`IKj;>Iu4+J`WDEZWa9rUh9jFLpp3wlaw zUPM~V`@Yigq;?5M&)fAGuwyp;CF2U!eK8`yGmw8!Tz44H8rpiS^0zT4cuH?P`lbd%EZifHGihw?t6x;V*PyZA_|isC89N3f;tbvh8CM$k>)e`_Fvm8 zoAOEB6vrOLAuU~rwcE>sP+h0J)=+hLT!{hVr9*4r;TfyWit*=HHq45RAux8#clus? zy`=OW_2p_q?oa=(B-swze`oR#5G+pygim}BKPy;}2(o)9m*lrOVxIEhr(4T+PV*1! z)sW@&#df;1iHe=HBGR!;3|%q#$5<$8q);D45F`?>&!~* zAo5k(KF&AZk+4nWybBUs(k=#JYRyCa-nGTNTq^s*)8T z8_ZvS5=8HUaEuDrn+dIBQFeDk#xCaPNa=U#Q@Fay6%aW=e`8lONPrpbPqZnxe}`IL zXAG%{2=5oj#+uy_Q61V^D{Le|+c+|h_RN?g!Q?)9F#Qugs#`ag@G0pA?eg5g1u4_u zw$MhJl;l;I-_?D9yiOzDkcPDpT37t#&L*u+4Hb||gT!3X?y$JLY9~yE^*D`NZk(v* zER|*7q3P$~K2y1oingccPWm;MjqcAV&<;*U8ECBWLkG&KiZo^?vXMyMF>sNOrjbp% znvEs#0UFQvi=mMBrywelDZGT53;ujBt?V^IEvfZ<5!0VWVBB7z4ZP?W5?9?xW&xsQ z)jQ4=*4y@RTsVF+_)a>ciUe1YdKp!P+mn!aPb|pCJ?9vcyWc?(d70iM-L9$98`pk#nxTq0joHT3O zjwD`M`P+SVj!A~qH+sR9rE+oedfTMxT@NfN3$YGJm58ZGJfLiBaO)*GzFS-sAm8bfNSu3cjSld08>x>a?q!Gf`^Y5A{e)TAfotI`v8n2 z=XZkqGpgG0E*vsS;#MCC4zWSV?89U!xwJ#k4iNQR84MmJvLa?54q6q{czHhI{D}+% z85E8em)Q>S$3bEi(q!dnQP8Gq&v{O(rbDRd8+3iNy6hQuCe(@#QQi~SK|eD?eS6F7 z@A(r{r?Cx)>BlL6Rjjg&3_=`x^}6&!W}K`LFsiNu&YNW8O@aFORt7&?lISA~ZqfoBO&#dS31G!97jN3~by z43wrZ2>^Uo9ray@I^?JtDnd4^4r{KE7^Qn(;Vll(9KJaVa_dl91)IuGF{gjpB3^>Ew8%ot^-*`?W1|TkM*7B4)hLE430W*|ye$Fsb-{;+%cQV02Gpfl9CcB?> zMBgCJ0G_ru{BHc2^)ITop>)yxRVRO0Fb@l;R7JG-Wj2<^BxPOLSyG9# zMYy<*YJ}uCvOYDgbSuxJ(433a&t<@)cvlz#=N|7iIPD&4S=4MPIvY@MZ=pvbrP-SyYx0RD7vKFNB*9xHob4o>P}A&i{HfCX_G?*M_7IAp`3ynhmH> zLZIiVdEVQOdAk68tM&_Vaj|lqu27DdpmN6cQHt^~P^#Yd%+qC{)oh>mrItSET@qG^ zoKj^iX_h}IF{GRDu1&R1w#qwX1-b~+38fMZ-u^dTHJX!h1V?GC;_^Sg%0>K!Er8<6 zrNds!c3@ASWeyL3q24CN@H^_){4KI2hLmF%QU(Dw6%|}J$Hpf1m_Y;x*B^lT_xLJ5 zl{0>%ocPaX$Y<%YgYs8KWmSQ{*t9-^3ic zJ%nQ2u6D5z#R*D3W0F5?s`OGvK97nF!Q+;$lMYH_XM*SGNvbmT9VeQosyWqw5b!sm zzqZxuvKNt}hc%mPQN{g#j4hTf@gK+s399qw1xOvgUf=Dvs)vMOO4=#@X}(Er>VmP; zk2j;;qmP!r*rnZ|x@oX_AUZK9L*hoa0NU>(B+#l@x#J`6c;M7QD8xwJSZ>&ay_~mp zz{GqwP+8_$zoSd)`6 zAGn@+Hur={x{kZY3tDp~?v=EuUtG=R_vxX2d);f9impn?&2qX)idoQF)L;LVoy}aS9Xq z%_1)c&Wt1PWTj@{|0xJv`d?3UpVK}W<>kT_U`+uW*H33eyA53&#E}}`C32b^-+i7r zFJJn)gAup#qmH$msB-Wsu(bjSR*ETDOte-?Y-|zV!IZ#5%clS@W4*p3ffcP2xM`$NJ9OV=< z(u?fBjvx1_bNDE4xWy~>?PIB`A2DgJNSEd?dJ~f8kPKR8Tr*H2a~|6rzKerHjD_l5 zhi**KUPP*5Tj54+a94-`7t^0*uVL*!i_5r_d~=t_7@GXbM|b#t?GDnzV-HQ0YsK31 zeimF$jq+mNk`Bwf?n?cg^Z})`ugY9=MUQtx^t)SaFX&BZ86bz)w360RXs7jsAywhK z~qkK!f@hvQ*^RLX2h0 znr;#M53P_tP1j%jp~HLY2dqmNJzQR~TlXYZo7Kq%L%uKrc|$5g{u)02bfK7)HWsz^ zX*a#g42eao)J$zspM&2c0+)c7{EgV0APk5Qc3B-3c{{+5-8qm(76QLVitSju1h$%mKNIAeZM@g%M+AKX-ir4!n5I=x&a1X!F=k zK81cGy>75#e_z_Ah_Vpc5gq!8QS3+@58S$;l6y)~r=GJJR`^-6L7OY#V0N{ex5&AC~oq+=;;eZX6{#Lz~AYcx6u>Bpyt{JA{GVC`~~C zaxO1|(&4K~9_D3H#i3U+$%N*xqch7K99B;K7gdXY_t=zwmzB~qq(bnY6*`)HC3U_| zjiKV3_*c&Xb?5NLvltp+ww@W7ui1bcIydFhq9Z`NNmbqLy^C@k49E2dq9+B>TaN3D&pkGhhM`0DO&(>neP4Ojkxie3nllGsRe z{?O07bKw7vUdun(?p)YE8o^r%9%j$Mw>e=>@MwlR&XPN9-?8N7djK5pCHi&dxX|mp zUw(hCyxXia&S~R|*(cYkIJkis5T`&+VX> z-o#|9Jd1>fjYXh*rX>zfC1>Ev`2_0YN;IkmDB$_v_ka`CH@Ki$F++x_&x)NHJ^pfU}(CF%rOv?r5V+T1D zkb;yzFtM%@$or)pc(8~5YaFOnT`hori}1+N&w#&c96}^KEc;CJ$CimOxUSO{hap2` z+wUHFjtfq5+q9XmL3z+nMd{>EB+X5ctiyd^e1ly1PrZL^rNI>YuV^HT+<0iDWT`du z$5Zm%zZ+hG8!hiyE~JP}M1V(}7M*0^Y*u7{14gTtteJ*=kC&`|xCp$c6|)*f1$S#V z;du!?2q#X|J}SjBg0*HiVE&PTn12ZHhL{PEog!mM%bi5bsKbCw^pvWtTtvkeYVbG^ za{`s87&VRoMY9gOVzQ`Lx5n6a4z{B3&0f@mJ~@&H2kpx!?ZqFksst&rmhi8s+*J%Y z_^t+w$LDILQ!@pU$LMys%3v%zhpG>$j9Cz}9SJB!X;Pp~GOUczm?~)kxObB@;~V;q zM}j831uknsUE*S&DSqiz;5h5v3MOv4DVa~7KWM0Y*8flaX3Hdovj<>9LWivdN7mwU z10C)uNe!Ab={eRH$3=av<1xNv7g%cjEw&YC_aEN_$-J|Lxo z0cN(9XtRwc`@bPrJJVnP!DN&7?p>85Md2bjDLq|i48P+TE$b!;x3e7DZD+qeN&U6I zZ637@b3O?Q7k(+Y!QtBqiJ+(~zeTjv0`}JSd1)b!PMp8FoY9nds6gz$P-)>k=T1?5 zAd-`6Gk{4a7cZr5R@;8qKG>>8N_x@m6&pz?vt24(dfan+512Lb$i7f}Wmy!)GJI^D zw}plq(mGyHV?z&;r7oSMwUWn%(bsJ6UpB5vFjC>u=MAv@y^+~8MZ2hYr*>Vt0z8{y zhGxruO+n{f-u>LDM125O!JJ+!uAq+Fp@)6OsgQr?EQ%=s*lrA^2`!=yTOV7xl8`)9 ztbxxqM6wRx#iS`;0{)LODMiDc!dL(2(SUY^0t+DZbJXcC_d|_W>L?w#b1Em0XF`wN z8a7VbcBw%*3z3`>0Vj(|pQ=t$PeR%VSpB$DHAuS1biLP9-=oQO#7nPw!{7bKsJ3oe zHCFGpNd0Yp-P+KK)rg%RHOD;O3<$e)en3t;veH#mB4+wbYf#V2C{$`Eu1l`uC8fz0 zvU(h`a64-++Nl(*7ia?mU8Zf9D~%N7yr`$sLDxliUTRNvMm1mNTK_}B->;83-(}xN^-w|?3Evh* z;g$KWG4VRq%~hP?=UuK_DyD1pi`Z{NmG>4!5o?X0DxGX^p>6-B4`}d03#`Iha$mpO z$$-hT6~GgIev%jOH7Pgb<85gY&PAwm_yEg6%Q=I_oAWos1^1<2NO5Ha>U+8{p;r{I zR=Hgu=OlBS-dsU%dfcIQUTnr({d_PT`0`FZ!qn)#fBo-(>m6lRJ2Va-OL#-9kr!Xe zgwPeMUE2y~yNQp5s%6VPZBQ>}>7O~hn;AfSb~Uyu10BkOF7e(Ay+_}l7|i+~%_rC_ zMtm5(I`qcM=(flG<520j)Ew4yyyceCwEgr(?PD6KaN?`nT@B|z9X0M{(H|8;r7o-q z1m1@W^mxWmD^KZDvi+NiL)3M2&W{YlP5rcEnb0+5KC)&b;#{+}$UkM%;qPVn3n{-VeBt?3P*U`vgfZ>jyEMjqt%mPK}_+_ahH?` z3m0QVVjHcM$>SqkOclF{tRUA9CJB9Y%mY)&(>@umT;V zoSJSmm($6`7hhH7=Q5Q#7IlWnsV0=awK~og23rRg(BOc&WpS~;ri;(oW}uG>_*gTw zS{U52jKU_e>TUSclZW*eZwJrYPTOoIBU^Sko)-=Ib#go-+dO#NI?Vb#DaQCq--w00I`|VtJaf^t5!4b# zCdVA1!S0QtD{>4NU=2b{!`1e-%KuL z6;CWBS?=bouwqh|@v(+v`jY6+mb|*`jELGBMv+nEx%VE@aoo7x1-g)RMUj~pmy!U9 z)Q9DPh6N1yeujxxig-lg7rvNyFXp#xUN>GrG+0Z%%3I_6>9Gwu2|NynyWEB zYu0C_W#Q-N99Ne&%+-F*TAo*@b!h4dZ!mNOlW92)j}v(*m3tqypvH}u(U`AzsUKCY|Fv#XG3 zV>3r?p>Etq--w0i*KW_xzkX19z1D2ZJ#cyRJ7|%gp*U-8dR>3gJLTCvN8ef)g6p=d z?xmA}l=zwlE~Z00#&3fh+``vx8qaQ4KwT7$zPLOy5uG2_SfG1m_B2wgtAgANT>PQD zdwZH+LA$c;A;YW6HR_ALz13zTZlb5}vZ5^W-@ppz>6QuauB#R)k3_zg9QKMFAD5!; zhWHv?qu3S>*^ig_wrs*3obn;r$-3t6Ofeq-p4=Rpoo`_86iOZeUZ+(&suO=u!+Ppi zix_A$uKjL(Q@}!t@k|8?qicu}%L7eNoId2&sn_L~%DALF)U*>A2=ZRA%Xe=*T#BkB z`g1s8`0qHKF!EG<8TlyI7*5Ck_)wu}dPee798}j@HYqYJ`*Qvr?Me-rm}Q**d4q?^ zS4CL6;~LdoD|sntHe2&07NX>d3IyWji(3HT`VIjTlFXy?>7#r)a`|2E#p`NF{Q@P( z(HG~3SrTWTrUuDvhQ6{}t+l6WZ}%GYian*g%#V{k1NL;18|K8)gGib+cg)?|bq>$6 z8>cJBgE!u%JDSwh%&p$ezmDKtTK`_BXk3f)>~M?$QYwu;tTn&_7HHWk({643GLm3SL(Ndh?RD(a=Q}e^@Sv9$j1qbL@-j>hh$&YVGyni0)w4j@9 zgxvBz6bb%`cJJu)n%{)DO1Sf1LzE#DvGURPt@O2L$wKd~G%mLN zq<3&X!L>|~n&-1wzW6aQ2;HBR5S-C#P!_fjc$y#*CTb{Zg<%9+n(JrF;(83&M_v#Fw$A8u?a=!YM(_&Tv~H2ysGWo|Urk zf7-4|m!-nw?$nA~RWffBLB2s?Z%Y_0e!+(fb_E^2yuDI7G<8rvhdvg z9Kv$lX~CBXi@T6xDQ}pk&IrzbGO9eXcEBSRoc$1mSsELG{GV(?>@j|{#C&hDdbQq4 zNSXJPR>kMY1S^$MRwM(ZU2lih#I9K&gRElKo})ApzcJmBvZZ@Lx21*``zmWy7G|v} z{2q9bi;ZtUnehQxrQJL=S&-J;OlIC)=>M)bt=XBN2X%+I)5+|GjmN~4W|+rn7}Vx+ah_YQj59ywxA}XBKx!mAva>#dJ9@ zd-8tGMn(JTRAB-!m$+ObZ}0`ug z%PriqT+-c;tz<*Sthf5_ZrnKGcS%=Mq?x_e2) z1(>TOTlM=JqrP3T?NOys0=7-Q-X}E=%RxtU9ruj$gqeT6Gi2B*U(9K-CtoXAXNvZ& zrum;;921@C_7|ixd7&|=c{) zE2N5zC$u&$s|Anm4H!*}uw_H^JzEpd@RtZ5uw6-j6rW3UvPdK0hLlyzg8T+zS*@q= zbpd5F;ViDfZ4KmHYMW4eS6Tjg4({2LAXa$J)g=7;DzCP|! zkLiokOKOr>ES;4kJO{rh+uA#>78`s~s3u4+4cf^T%WHH@qfUv${!6R%ZmPib=juHVI9qbcYc+nN^GRHOUQsa){)yMlmPN z=4~`TCpv?Y&&K9yOoQWxlI<2LAI5Ju>^ZwhepO@iniffT1A)<@`o5V-$lBe?7W@-K zB%i0Im-{Q>CONB8gOc;6GllmaLULvc=2kY*SD zc7*QVp}DG|BeE@UYv=I;<>=#Zh`8cAM@xl&F~KT0am!UzDjmu42aztRyq zaTOWTJn^wa@w_m(bGN(%7uEz8%SB6+el=+o#O_{C^Mo1}{bGvA4E_J}W>w~w%UXu& zqo$Ke=DKVMAF^2sd+ZKYe399555FBf|zXl(gAFW9c_yvOM`6j{V zI-LKz5gs};Bod%gNqJm(uP#Yt)!D-2Zp(_zKj~kyYmvZv$U(vAnstznHO7jUMGv?K zRmuN6&&zS;isgplV2hAb8dH{tNL6)S99fC3d}Fe0;tiY%O;qfH@0qd!TS;=**Pr7l zPROxW6pjh=ij1%~1+GW6#`i^La!2(ML79It8mFVlydJ$PcB-+6x;79wqu<9krq-2G zt3~-l9|E@xf)HWVoa9Q1rQPz>dNo|H(Ty)jL=W8|c>GT2X!5S`i3}1Qya}wV7;0tQt zY*GXst4t?|vEiF>i&{8d6#bn(&eA?2aHk^SWQ~sHG}Z&^5`0w+ZW10ByavwVst&K-W#S&wl%?%v z*^8&hXzlkra_SSds!ZNqg-ZYD_=XxtNr)9;jD(2sFvfhNl`R^tXC+md2T=2^8 zqVw;`c07MynbtnQrMxWdPiXeLss=Z{ z#|4LP#arQUW1gfle=SnolxWWHLuiMzA=%NC*58!4uNR#BXt2aM?bZrDsk2M6|AIu= zJi9?~=FEq!<3l;&uM(V`KS#9ZCP7WwWs-z=HmvuH=QfFZNSHR$r?ExpR#7<0r3zFc z8lk+@hyhfwi!)LO&H112W($LF6aGGNtn9NzXjiOh?_#2C9Fc8~Q{J8QF)5Mci12x) zoR1w_1V7!^1%iD4H>`RhQMNICwJ?$Gc!D`=9e~eo(dCMW9H`KAW=NYvV`)v7Ga`$f z@vfChmpuVWN7H4SC@g8=$Wtn;T6K-nf@~9nH&l-uz?UUCea2u=Fp9jPx>Q$u(a(y( z`A+akhg?fhV8j0@!8i6JAKZg79JV9Vh3{y1RhT$@_=Sm8)e~M&wAo9jqHXM@|g29eZDc92eYt2#xiEL_EyPBTd#j)Iwo{@VBRL4EyW+RWq$zfTE-a%QG`lNYbuXHqM?5o)e{|%h?hAh4; zPRNH#Yw=)laz+|0tHDje(}L6279{e(PRIkJ@*zF$h;TQ`@-|{yERk)9I?9?%i~U2i z*eorWe;FOq;=J&Q3zLfcc1cpP@?^eSq&nVAIM|0E`Bp)~o4QmnyF+PPx{jSu*&~{> zTD&$(k-SK7!+O8CPkG*G%c96=SqkcA)!DM@Qe9Q~f!?%9kb|;{S;+i;QGVZA>rK`l z_SSwe0p)5OTquhjm!d%VU%~7bi{s^*f6ea;1n29vRWS+Y3l3l725gTwPKxqmLgl&c zdF7nCJ%2gzqD}r?4tgz(cB>b}Gxsg&{>^yp60aToeuu&N>PMZjX#?ez+Uz|eo%me+ zr0xz_ajJ^-4%VGkI^0%*%xCG>c5YSHnZhrJB}qktvnm%szp@7R@?04`TGi!6V+CJ= z@=SOu#N7KE^i*ZiR8LP{Bj+#Gn?DJyzpJ>f(=cni7;pKK2mCfFfG#FgjoeB4mrf`8 z9yN*iy7@lAcglav^Fos2g7Y+x`TMy<-soJ^U0Yv>bW%w&ODNHYm9Hd*28$cKLqS9b z8S}7g&iGgrU#At{3dUI_VI5wr7;NlI zPTO6guv-xGFD!NlLPofv1T~cmXX`V|q|00(RL|v2mqqG{yf1n}*0ur_Sx4~Qwd`TK zY*$~NGDP2-F7uM*^NP{B@Pvp+w^8?sti;zzEwduUB|#+ijm=`cA>o-WYt`2d*X(S% ztP_4h>+h-&?R*+DqT(g#WI}$tSc9AHiv)*$6(P$-`K<0UE++&s825Kdus2RhHj4!t zr&g-1y|Wm+Otv$!+l1`7r9UbdudPxrFzr}nVq zgF2sqB6-0ktz}*9w_85oaqa9!;@r+)t|C9kbKk?i9y% zaYFDlwHP+|QOOpR?O4SsbS&SX#cFXRM_pE!E=|fAg(1VST6YK8r-y4CipH{&*m`L z8IG)sRa(TFm_cXU zxCS>Z&Iyk7=$pMBTEKB*O^buV+0=*74hT7Ig`l|>dj&t(5>fFL|HLHis)#x)E$~CG z#V*mQuO*rmtR=2)(c+lkSg+Coj*Rw|FLnxNS092FK=hZiU6rJ=?6*z8!!25@8;Gb% zi%Dt0`pw2f$9zGYW4Ef976%01T!SNx<^+Ocy(*&MxL&=0@9wg;r_3uHawD3o0O-A7 zgW$(nWL`Z)W@u~_twhG>$qSXuj`S^wJ)v;9pYaw6vOqZ1x)!nn;ra9-QM9`)%OtCi zwkDND^}+$Es;E9FOP(=$oJy}&nVM7Wbe{C6a4!B|Ecqe&|y4QgE33^t&1Q)e>E@I-aC>$5Rg z&XhTzwT`n=#b~l$DYFCx>>kfU*Go`jz1Fvwah@w6&o9m@!mQXERrEDUM4L37c6OMs($)xnjo%h29ex$Hn5fP?&T39L=id)R9fM z*jMp3U#_YsPwBZWqXzR{qiptHAj-S5IJ5u7rn}EHYYM6yM_X^A#_^&!lHo6j<0&13 z!e((?CSA6vms&A@t-lF>@twCy$1~zM%P(!k+MW__9H3lTX=RPVDN%^nn>8Ql%t~`4 zGm&6-IWD#iz%RB~&*q#JUg%!Q8gdM}YIjOlF*i)Y#cQt0i*$V8%!a5@OQEg#co?flw zQe|z53B%tiIOB-1V9&e)%9m@u&Qc~Z>K~IO|0;~JrwNsufWWj zJCubs8Y9QFi-Xc-P7Q9dT@!qh;8oS6Ah7~wcjli;e!40x;J8wnG3tia7X?4-yzJc_ zqkKhgCM*)1CvjE`J|+B(H9zv7dY}xJeNn?EsnGl_d6yJYf^u!)mI&@*gslQ`m9C^p4 z&n&~QC`N6Sr3X1Os_t!YWUjKlwlZTJCvOW!M%8MbY*tz>jtgsoD_B){f5YBp2wg1d zE(^ZH@z15uvyO0BbQaa<*t!(0n^UHqW*1%)*fZf2E0c{`yj`<$8G$@<3wpyOm}QDRfC(ySzEqc9TS5-{r zUCQ|tjI&C%Gos&jau0vS7`82&S0B*oI{9;Vf>pG32+mBPDkdTGfjM^SRVK+b4K$Z( z8X0_{_}1SCHaPbt6>ZKc$=B(sa>NmFWE5K`374fNMq#HU+*P5eCf(Hbb`1E-#$`~M zzfqQ?r|i~vo9OT~ry{jYhN6#PCvsSfn@5GobAhT@R=h0lR4~peT{ej00&$y22*zWx z=v=IEoDjz~HOi(7&&awcuNP(00}P{#*Xop)iZV)DJ=7u!jBea(pl|gq5iMjbQHyzk zy+dO&x3z*p<+^&}Rq&JIn1lx0TP}{1;>de7CWmp{ zBFvqFn;u{qg-wF*t9c5!CAmCxT9nOlK-PGVyJ|@uP%877--^Oz!TF!Cq2nUK=Q?Fu zWiXDH_3YrXnDBJciototw^aDXpPHR=O5MALk4%f~)b|!ujF#1$mnx%0K41o3QD%++ zl?qblLtK#c16q9{LT$8WRnH`5VKT{9wW*5fwO8=T3aB%KuNVBVuFQ8xvUUuqh>DPE zmsa$m?9>zvi78tW++v$A6;!&z<=hxtmI<%253PcyPScge=O~=D!X1T!bV1$T|1_`g z&gx2-so2VnX|zW0MS|^Dyz$?dgj<&cxy4EFh(l{(9ErL$0vHxG zj6`)E4Ii22+Ntl2BN=^zh*fpG@!LgVb&Y~W&M{G_%WUZhnTgbj^3eg=%+APGU-35W z$R}M|S5y(n@X>{+s;sd{S6#Z)IhrJJoCm>vF+3ERYRy6x{Ye#jL%!Y@A${s9a8fd5B0$|U_lufB&IqF%0n zFDrKWdO;qUQp_vgrQY&LY{B+Ci+>-w*sZ6oJT>O4whjsFn3~yTY23dzV<7Kkkaw`l8$2(%SA)?~2xsO`-gNv)&}O znuy(YgFjSsdAv2GU%y9exv{zv5l&he!kYAP|E6HksjYm)UivX)_=p)C;WH#`X0bV2#no z39~!Edfd)+2Uy$lyWzgZRd;|jo!~AReB4!qJD~Nrw*a@wI`!zDBHB9Eent0Ja^Y(! zb0r6&u#EF*JsVD`{e61DbL{CAeR|YJ8#-Tc|vcqZnf_RTFQS^ z3*7iGim^BTN7cUQjRlxvtbOhamp=dNmi*V3ZAxj%3`5>JsO`zwaLgbT$?9SLBDP^G zl{}~S*Ss~{m8cwV=po({YED{GqkB?zWcB?tGN_O(r?g#@h};pNOO-)&;RP7EF~>u_ zv)ZWp^-1kiSdjYq7i*_tkp3QYy{C2KbgHspI=4n2F-{uR2r$Q(qUEr*^P$$T28e`c z6f~(nvFx^n8!)wF2MsI)jTgUII~A<)Ym?fk7^J@k{YBb%^x129DDW@3IZIXAJxWhP zKKkrQ@iuGltP*j4T-zP)p!y#iH(uZ2h^`JAA($WIToMm0 zV`NH}Vsl3jS{O7+mm_dijt33iI2jg}!AEGEev7v6?68Ka>Lu%>p*_dgK+fUy#&$J^ zH4rJJL=(c2(Gda>W}N2*JnC{hXaxRivQhMhvQaeasi5!E_C{^f>7-!iTE{u?QDuze z{b4!T{v($8b)jhHT6V=o(;A2Z?w@9scvfZ!>b+ozr^(SicUriooVi%fXf!G2z>NrM7zCg~&Lo!cSgyyi2BrGWr z!qW^%oBrLEkbLb;gk*$Z^Jq5c-j5NIzpd8IkPPEOxXTG`V+IZcf6T#~kjx+Jj2n^> zieU|r8LNyDlFx4m$q2C+1Dd?h_%>w8|DNdHm5|(e6CsJT;fOd-8jRSD5t7G5A`eNX zA`f6lT7EW1j2n_D9oCpmSdI~rs~RDhTjLIA$vvp`z~A~Zv*rdUSm#c-O9S`s$PRf( z=0g=Wy2DxWK^Br<(FjSb5jT7syR4Bf00cg`)0j)X0&Cx$ko?gHS4jS@?9dF!WE|~= z4OL-yaKR(CV&IP77_kO%Pxqvrf!p%<^s?m5WJs|}yhf}|J+CDsAE1HzClK-Ogyc`$ zLP#QXIE3?2WsHIQ_e3KP$$Y4Sd{brS#r7^GqKPPYm6AUpW6sYLXC|wEF`JR z@xY(vT=KhUb9V;rUy$^{I(NdTEE$eK@CZ%Lhm|ox^7qxg8InPAm=p3r8@znrp2l3# zCTb6wx#V*iA;~l*54El=d5aF+_u61>R&Ac_q{y4}`zf`7IJYy2%=-c#w@!A@Wa_z~&y zLDN$ZDYwRi#G{AccebwBpf1OQM&Lg^d!6ggx?ktoq9zBmO(!-Iy6>jbOK3t*88Q9+ z9d(C4(*`=4b2AWSSWiLoDBy8U`!Q!-7*C&KnpQ7?MU*>W2}tL05v<6N;pc$GF^?6j z5LQW+;6+UpddNL`OO9fY{vLKeB`^=7rxO!sPsxvQZZ%b1T*q;Y?D^%A>*;O^Gzkj? ziCpU(mRkTgBw{4)T1Im=fnJfAUcR6`CE_Bi(Y!XSYCncG5GkZY^O%DMkuGbHb@Kv^ zh@;7DWL?)iveM-FiN^G-k!v02kco4SZDkB=AX07(KI?;zDU7aN*1(E6UT%%}4jMhB zcV0V=^#VF?kMN7F>GqUd>o|vcSRsyej`4(HXa+^3+!_<2L2qH4;5f`0IbLp!$w!zV zSYsV;)TtPxzXzSo{B`?c0|vKXjSvemXdbZ<_a9x>pq|(WyxbZ$z8Y$tMcC1AsjV^{-` z5RHQ7`Ir)8M5@eo;9-RTftOoj%$XNPyw&>?L}{)RN# zc3C50BJenZ`#agM9KM2=e4G~bUNGGE3;g7CeRDY@XhmFfT?c%OxOj%-Vxx3vZMD_D z4G$7wIkTgWuIXkyAblE)BP^}GKN)JcHS{z7zy8-}>+5a8&wE0C9)z2g2{^}yi;md9 zw}_O-#UfqP187cto94h`o)IyWTf_fDcDiHh@vCVS=(*A3^y>sZma2wZLlhp_drt48 zxiz2>rD=iON_kx~Hn2)qM&HrnJ_C`SDKU{0EwK(IX57FSNk_qGALGxj{wmm3Ucyf+ zynVoQ1f73!`_u#*ZjFSJS%d3b%ltg*a*L$)+6}XY;~)~Eg*9SL<7TW8ZC>;uC$7W8 zLI#~DlUfz*z|tpt1s!RPM=3V$=#M#Xm~e=MXcXcAYtaAc0g(rESt}7QIUY2^8n68+ zLuWjhpN%KMN41>(FIAiIM_S{fc~3FowvQNSgEi~IFVQ>lHhzvsh{lGNp-~)xHA`3n zc;L~#*;BB_H?P-D1>8n#e3shqThi&o24pCqDaUaO))-<5$gGt(f385_94(`#jEarO zo`Dzbi5Ci%Am329##&xDJ+F4WWIKi>2I3;OKzXoJx{de!>%y6}?cjDYC~Iz}{uj_f79yqDw}LbC0;2?KAKHKN*n4pK;(K&_*+*>9y6olBX6nf4 zq)EiCsbag$tP^o^!wA+d6Uu^2>rc~l_`2s8V%B6=wfzeuax(|y z2whQ+*m*(o#oE9h<1;BVKZX}nA_j76sJdU8>l0Lj72+7k!*W98fDUWWe-Y`NP9TRS zG469sf9|pdV?*F@#2SG=W**ol5)7oHtfBRZ{+C-q+pt0$5n)sr5gRxNk@D}AkmF+x zfW}?c04K-88eD@vnXTll>t4y@1FFQsC~G8?Y_#M$tPsb9Gh#Qw8ni|vG@+nLtZ9aS zyQ~r8Lg3}rc*|Q7xingS<2T5pDhBEAL4S&N`A6@&dP-{K*5EuW5XV$M#x8ow5Y(@g zq<@w5l$)J9zyizt``e5eqvb=h_d5-m_E_QzRsH;QHU7LIv~M0W(w(Pc#KoseuBRfK z3YySM@HKwt*cBJduyQ;|1pee@U8rD9tk zag4Yal0gyaZ?d?cmqd2NB3;&qObae}xi#*0{#fI$wNV@t2hd+A@Ztm*ZjELJ4QtRe zKTo8F>_)@}4nidR(2&K!BEirOs^M$S^MZxI$?;IHpFw}#uv2Vo5~51pUgw~*ok>;0 zt&y5$88j@A9}~`q-3V*YI>h1}Ey)>JA$merY+#8TuV#(?BA}A2@e*v&X^p2P#0RZ4 zVmv|HVfUdC8-Z7|#^$7>S>yG|7;UlfJhl1DWT^420pUC*I3Kq}-WY<9>sVj}g*dSISF;xbTNUZI<{wu>_?zjr-8172?`C4n2&~OMX!Vo{UpfqL-i{ zy(Dr#s?2uaQJ3REB67^+c)jE|)#RGCutt7Yc9Zv_$>@mX8YSwD9aV3ZcKpsTSm#rm zVYfzM{QTG;-+DQQBbr|VBYDU06ozfm4oBp8s23qT=JzytHTzc*ZR?=7!4b*+V>sfu zV!PqN<%lnkNJ)GhF)%ALF=mhjfi(-Te9QnZcf^?MRL4Z-e|K1;$+Fj&c~c)@Ie^;% z7$Z~iEzJ*TgFRBl2kj%qNoEN^VFixk zmN6^=sXQ*Q0wukuKD1?n`41F)Svi%khu{OPs=#D#XOH_TIrQ5AU2zB*fvCcmVH7 zzfvB`^8|x28-?)giV5NYJPUE7!Po=-(WOP<5Z=dZLSo*3*p(Xb>BapmU_S_*0-B7tgG$kp&0nE42NZYJ1bX?|^iaus~c7 zJC0!uL~>&!O^A!Ubj1ZRl^19bE6EyJYz+Cu3?%+A(HP!K5=ypK!FBHc{5;`y?8dML zBIVYId=V6H#u{Th7lGw3XPct$@tCaG4qr`Dbtb)HB{mc8o#>yfeEUpJ8npW6zX6J*)+lJ zi!@4+LL$-<7pX+0Ds54NQe+E~>z306L&!f~!+Gn4$ z_uA|CUVEQ&&%M_UJ#qzapuNXTQPVn-|M<-h}REEOe94UUs1iL&EsTL8{<7LYHz!T-~jz)9Wv>$)@Z$t z7d4IAisVQb6&LnC-d~e*z7b{#Pyapg$Hhg&b2*O8)Lk`H}v)VruH8Q^^3_+W8p`TED`a&&{*)H4?uzCV90oiB?_6V*eeWNT9@f`QzL(cMQx-xtNH@BuE z?6$~wA4pgIE#caibs$|m?U5@sNv?O9DbU*EftVPTHDksE4-&r9>hZ1x=>J~jD=D1% zTSG$Y-^YlCu3s6Mfzb2j%CcEaHQ~h##<j-0F1Jv)LHHeG6oQ<9-%a}J1=}yQSld=$dP%KsY znl`{=!*d4t1FL%8SaB4roHs^%(-J@aOhU}o_$NAN^8P)Q_!gtAv7+a9%Y>Q5Mw|-J z#rE)@B`t>?QG1Kg$sx8EZyQ$=mgVEtFb1vvvKf(P49tT@Pv98XjZVJZsmJS5 z%-Z!B|NAg30^q;6@n@oq@_!nn19+T+ONawlcvc0-EzJ>jd;;_1=|8SUgT`~SV-domeh;r{ozzmOPMg#VZN8rV^@+=$H^#;3eJp$=QZC=9CQ`{+K$ zMUG^a6$)8yR3;?)BOY?6S#y-LvC9!GS!z}+;D!(E7!OZ4Cj7ynYgx+)zM*$K#9V+S zzAj5X&ls~rZDD=_IiU>4$T~?B4v#q}um~%Hlq8ou9kCq!-M~7&KQn4OvW%g}y&)5f z!g($99LMqS7q~|`5sXPk&xrhwB*gydsI-D#Co*=RtqVLckJy~OU(O*c0W@J!VF4x? zDXC@&`Xe4R_BsAhoe0wQ$8N22OLGMMk5&F+YUytcC*W47Y3_Kjc5B48;`}ReY_ta3 zRQEZkHEUqCi08ORk8);l@oAY%kXxD~>?q$(T??~>Ywy-*h>khwVF@TiOWwel zhzA|4)^o!S%dfw+ErCY9^o&}t!NU?1@VLej`4&0H1e-*Fz5rO^y=u;{>GY2YkAt!f zq^r*tJSIlu3ce2*V}eZ_mRRVgI?!NjnoUP_A{db?Si0`0V5}8yo$U+Xeu~y$`@$^o zJdm{0bp+bzk9hRc{vFmu0hV~Xo+bjhr8&axgvKxQR~S4`K*-O%j%}QmKwJPL;^H5x z`<-Trn%0R%ICSAbf_RF3DK5gea0>b_=haPe9TN?5M-<`OC z>wjEeTy$yP)m9iHUG}gBBAGE-5$@z-)Q@U|25}Pc$IKFjd??ZEKTD$K4CT6POC&oE zi?GI~f=txTyJ%xEh!CyO}Ddg9;&`fc$(5$98eG$6wqBR`1M{MLR$>L(eW5R3L z#&Z|L>qW$d5B0iB^RBi2dnP*yBp=cOU7nCNQ>#`TDcuS>1?P9|~T zPjp7zvvOY&@nDA~j&dTv681o+D=sizzKeOw%n+ouut=J)8%IO;do3=Q6%h|Q;Cfaa zGeckr3z_csDe;*nq+0OZ`QU*&a71)}*DS$oh7v_$nQ!T^tVKp_I=}`$DZOv1;lC#3bZCBBGfG5YlP!MVnPM{+C8er zo=`abSy^K-|8h}k-*xR)i+!av*mwHg)4n;0NQg#>)^MxPMs`%ySgNay@yr_fdVX{A zZ)r@=&qs#7IVmoWb(YvSCts4L-%`j!9!-2T<(ip5TM4wqnH00<5lkl_U&I%hu$BR* z|43sn-iq=2iq**&%JMvaq%UM=Qm6c4-Ng*p2frkW1-RW-%nsk>0u3S-I{;X zVnnn+JomnZT7z){7wK_W!!20*zmLpui0wkH(WQML-OL`hi1&@k8HV#DtWo}8AC7z3o3vp?31Lu99M_lluEB`md1uG^?9)5=f+Lb^XI1$fr zo_Qvp5f?{4t%HCTtZl&QF|qvJ)HQa7wBiC1(eLrx`)Kn*8xZ57%oi3Hzag}zBpc$P z;WDqRPmAS>@%xNLt*nW3I7DmE9QU7wh84Ul)f&VUP+M7xS#nH#H>Ck%V`C_LGOYA{ zA~oXBW0veus6Gs{gn6&a^jr(dwOQi(PjI6Ib94EsoFxGV<9|})zLtiLwFGg|{C`v+ zvmk4faS`d*IX_hP=a5Yh<07}zEzxzC=KIguZ=@L;NOMYA%%{v{Ja8SMy!O7*8tqw< zgmbZ|u?BIGZx}KW+VK6jHpYVk{{H@I}DNSit#z4l|f5wyRK>T;@n4B19=2d ztQZ@MU0I=d9ghn*kN-s&rW0&`S%;o)EDhxh*R-?*ENjpsXZ&?Z%@1ur0&Dm^EAim_ zhLXI;3pkGFIL~}hje?Xj@@X(a!g2>}NLT%H2I$cWkINECjC?>e01b)sxX+-i1h+)Q z1BdpboCtbY;%hRL2K+wdE%>Pb8PNSqJ<1Xh5ABnMI1ylpl`24PX^t2k&HqYjS(qgl z;<4a$A~WVNYIcO7hy|btes=Io=+|B+je~~Z0kqGjvup|ObpUJf3QK%jR{U^k=x+(1 z84UCD(i-J;VxdEsHN8F5KQ6hxW7lZn`_6H9X0E;Cp&p;NLE{ZGCvou<&+-InjsQB3 zTmL9^^|yx8s9D1MyXqcs@t@?NcbK$j;#m`NC`DTdv@ts<^m#1N{(Iq5;&wlzycD}V<+xIOn2J7kXhKzVVNh!%nMIOu5!!~)QSeI}9bd7x$q&k>HN zA!+L?Q=_Wgxfb%{&O*gb`huRYD9-vCQc z{=r+%5-uW^@O2=z`MKBNd2aDGYT6fIiBF}mi;Ibc!~<-4&WG zufFi&3o|dh`r^l4+5Pcnw?F^ti`$>$eI+_xe(A-R)kF77ue`W}rwG5Q(uOX|U?}2> zoy_+z2bRPB!2Vo?W6etcuD3mhXGx9b2Lj)GTEldC2Lrx`Ik1IW$Lm4QThmJX+j<*n za{3-*fL+5Rd$pm4nYU^Yw}$zh-ZB4;-k3t{$V;pBO$VPh)a=)q-1zJZpGeuTk{7|} zU5B>!otiol(2F&H903H@tP1TZK7yL^hbrHrDI+9s>-hEe>{*MY2~EY#Hp%!3qU5KYI!RDcWViJF zDhV3mTG)F|k zZz^Z;r`hfFj%i;L(Q|>Qn)C~hgEY!@X1`0#lME3cAhvi>H8;BhC`I=P4#xk`hyf;~ z9{leCCs+JswKHk}U{ahm%7d}4MNbVwNjN`Q8H@xc1N2sj$h1u{*XW&UA3bNHMm+U2 zf~uf-ORHU!6I#40->uPJbBN2y|IWNaWdP8e)58F#>2jV@JAP_oPKnKa^QMnrse;M? zyvJLjM)~=q_b~2tvGEbeS=WdM%#KMd#*gkhsiuY|-&Q?spJ)0AMiFP$+_z>%Xy`@oO^rA zc|md>>5y|NnW4YmclMU^n&jO3nB~0C+n$?x%Xvp~`hzl`)y#J_2k;(mqE{?Zj`KSA zG2dBte8lMazPh)ZD@E{XX3@|_&ZYRBufg||^MR6@V^V8iC4Zv7W1>5j`Z-~%3IJA# zN%x=j#@rdGWiC$h7AFF@12;s|C6eT70b!Gt6K{3QA65Inn@H|7OH98Oia`xi%*W^s zEwBzcsZ_h1&~ojE*+q`PKvH6cB=nVSU)yE z(;1j2!j8rL{zbL_(Gbl8n%G-xaw9HQ{{>;Stq;w;isaY0u@E@TU&@!^NDqIgg9d=p z{FN4GdZ2kvX1*4<)4p4n?Oi%FFA0+xfc6imG+X~?pWpuKv)gB8Uf~AdLzSDY360>l zPV-wkx<~*`Br)0_!Mt_aKT)BLwZ70cnbGBko?p-F)0eTuS9Sdf`Oyri769(2!>WUZ z$jeV=jF%V2ztzc|oOGh#{#kKvXY&*>;(pB5Q48A@|6HTZF5&=yIjeZxV-BKMNRR%K zxKo3Z0nCT;*|tCv5pRW+C}Tq7sgNaIcYgXbe+HQGvXx3-LG$w*S^(Bf$zwah#_}KT zwEUV5%m0{Y_Otx2c5>(LWK%YC!+oc?4@dSPVY!uE_a7BaGSEP&WF=i1W{Oi7|5_*a zld|XejQVA&uZY&)l;zvVSZPi&oeZ;DO(_QqWA2eNHWuFG$Ml9V4=ejPCftSe=yR&u zxgYM=WqI@mOtTe#U)VpHo9yU4-Uvsh^>2jj?KwVQm*Gx^J3vND$w$^?$fIcH?=m}8 zYRPM*B@2&c)2rO;$vZVEoav_G%X&NgVYUJ){3tl*A( zpH+>eR2jH@e(l-(gTitT|!G!Mz1X99OM zt@wm6+x7(Wu+qxO0ux6FIanUd6T&>y3-hXS@?MPqT%+eibl68{#l@faxJLg{Xu}$j zLso~(fPB9#`o!%3+T(KcI@h~H8f_NMTfv@K{7b@WTOQpv$?~g;CsX{oW;>EcahH<| zdp=~R73>Mu?J8|5aGz5SxnM%kD^iaxs%`_A+k|;1V1j`++W_WTVczbAxlx!r{03Y$ zX!?2TNNe#KMTob04d!svZB^@uu{5DEB@^G4@!;YS!kraBTLv`RC^pWO8jLemXDp6M zchwJr-;r^nu-ldbbEW+5G;P3&ZEAr46{Q5ijHeF6Y0}d0QiTcn`m%`Bza#z~~InoRj$b zgWtjUb+xx?f;p|(ao%Q}%gH~)xAlkXT}8(kh6u>*{7U>_FYf#B%2rwaee_bByM{yU z1k+PBKZgpo0egXPHFrN(-Y1VtiBYD=0syk1#p`DD;4JhfWsMa+G0)K+t!;-Fxi|P3x1~^ z%r-WdbMg@%g8)wR>(bKC+dcWJ?IRH={644gktVcY(ckjJG%t(hl^`cHDNC_@jBlsc z9ZP$~Y3o>fLgPw^PoM7_WFbJ^21tEPEi-{87=NsGQ!-#)4K(2afW?S(kq*#g8|EKz zM$BQFn>4mdqX9k6Y8t%<)`9^x+^02R_L@T&3C11O9o&nJB^dl!1E5m_NKq#3+G+Af z2Dan}Ozi18yXE80~jOCczB9*UV+*4=Urr7hElI0d-FvTN748c(v)#0?iA;TpKi^9n3a1 zm~RNvcYve06N--Eb+?@RMbk07ZgWN+xD&;W;r9HgmMtCgh|n)_ApjHjSz)&6LTgpv zPOMI-91vRDo?s$@ac>@1?r9S=tneu8%Z&Jnh-W&y5>_wGAecBph1ycl1~VHa7b!>nWR)&rBygwOMlqQ!re%o3=&fp*Ej=>l2im;_UmX zJEr-{M0cVqI7K@f^E6@G>p)7@G?9*ds=>X3T36capa!KL)L;?;%XCm)Gdjx;u*7!l1W4OG;ZE8vwe3ZR}E~($WAYl{#x?sD|4?Z|MilOklAOU{lFCG4bGN)&p!Xc zvy~|PHO=<$;S>(xB^gyKuA1yWtn-$G(=N0k9_!qjjLrbPdt$-WY1xsQEHO-Ps+YRZ z<2e?v+HT$6qw`^U&-SKwCL|B&zPFR!bG_+7Bf^j9qHxEK?K9ov^b){ecI4I4e@OWa z-R43T?_z;JuY+y%vC5oT<$^t##cRnK1A3s9fN&n)#+%iTuO4ldFg}-{R%9orfy!IV zp7^OvjwqXmB`M}C(y*`#V_m+8AJ;9AQ$tfJ{ix9Nh;vXkfd2`FH`|&X*T{|Ha>r%| zOnsy=bs5yt00!ys!b%Nc-I_4OiWm4IR)mUdM+$0-mkkfNY`cteMK)jS-5Z!0vln)| zrzSqi-D%W1oV5VE9TXM5m3Y>uHL}^YDyVI?%UwpgiQYDvhag%5n70syOGg6*h~~{L z<*3x!;d-d;lQHPOZ%-xIm%Ll0N&mZFnSS=eJJL%kD=no&Gg{_J2|y^kTsUi7(blJ} zHNkYHM7SVSM~mU7W-vk9Wan&PX+t&_KBPOkBH%li(q;%yu#uOAj{#YwvoM|*jP{F%>J~lDmxHK8$s!`mQdA4HSn4&@n(ID1` zL8feoqgN99ZK0ut%ZT0FAT|`HG-EgaE^mjkFvwM*>@N(W`@fXf1GQat?&}fMk-11v z7u5vQNkflJ)lBOG3^Ji1H&nJ7HJElp$R;(z)V~cJnFgPtZG=jMoEN3Tg+YkkVx`+- zq&z~9t-~PmYDR^}XAoTP@m4X&R8&*v(ID1^7w{#r*>_P|sW{}2d7{cDz*K-ZKE1CJQ;i$MMuT>Y zVF98+ta+8{eHy|9oC>$WZjiu~eF!gjMW&uq15+{*#P3=inFeaczp3J~Ya=0!8u<0H zA;t&^)bQyRm1~9;BgCjd>7;dg6=kzFm|jxhiI1zolt~6rZ2&djkexQW!894fk+S$c zqj+tWo(`r^+bKDZQ*3mcS=Izv36)fHMR*f(*hw3M3PcE-TKgj^dT>8LFi|%_u5)@J>(z!DVd}dKv^qSklN5V{S%mo9Pt8Hm zG=-8*^$f=+PSWUznkQB6m`|fY)C|CMoks5A{@n)0cuPnb?Vg=`RdM}l@3(qsu18CL zv4d}6Krp)A7@)$Go%@$^E1G(c8+O{cHWtf`Q1c*PZ;MeyQ;(2IO(zB~P16I?^sv0( z)4HPRj2Mw1UoDbiQdHo7XfoW?PL~MN<~>r{sk##(Zf$Wu9IUMPvdhnjXr%E3S{8 zr@S%*=3c8Sn(k5e9jOo(@eXILDcY8%=^6#nHZi_vs$gDwU|=mN)x4$))`rX07@67% zh(8H%wkr1h?G%=}Oxjz;JcZh9_(Z2d?TXMQg}>fV@dDcwA|X&arSmgtI%92^7h&F1 zF@WjX4!hAFptwvu9diLRkKWg9_|vM3;h@Y)CZ6ryFf>$9Q~A&yO(Wn1rcI%Oe3eY; zsMzhB?Y2UOgjrF+sd(KiZRrPKQY+MMh$}Cf^NR>HZcu4`3Y8zAJ^#Y?%0u=%IU4Ij zlNHNXR9&X$XaBXWh+A*1So=HB73*DvM1V@d@wD#?U1b^uLg^G=@VGw!D!4D+FtL}# z7gxn~oftkeVK%7lFt7DC6~UR!+k_A1^(?p35C`UMIqRCbJ%xc;F)yDo)4j_Qb;Z0i zpI6G?1+Jiy&)rEGX(?+o&blbOp~94r8-%*kFDGwm$8KpCH<%G_x2u6##crTL=A>D( zVmIHtC5}2&?8fGJo76ZxdL8s2hYCPgHr`Avotjos#3eD@D`zdM-MIfi(oMV)DX7?O z#?-p41qZZ>-PY14z;Qq&-lS-v;%G~=+j)_T5z@rlz!ijL2~Vpb#aotz?ig6}14nShqAJb>V?fU>E#Zgc`eXLyC>|3*ZBi=_Ki=WrCL(%` zzYj)JlGY)iZ87^bUT~y5>XhW%qO#WHMFobx*+v^&^Og5aztzEirQed;+e z@(uh3oiBcMMgzWn;a}s#Ao)E+Gi0`#Fq%0kj9PxXBRskva1eAvFV^O#9)Wns?Lig;vY0>o`Xk3$o_1oM6wbg=Lh`KSu+;~?J)I^Mb}t!oD0?j z8aEaZDuKjPr1P3Kn@yF+8%P@@0gMCS1vX}9WX?P0TMWXvWo)pKe%`wG&>*N7BzF#u zILmjf)>_yiwGNz>0*5xxxQ)6IWP3(!n0oNhclr^H+Ix5~UTK-jD01tr(*`DV)_SRQ z@Dq6-pZ-wyn>RnNF}^9*DS~3i2=CKHmF!P+lQpM18Au`>#f^_|J}(Ul-&`=IKm~fH zGkn8C-ZUm3(4~ZmZ}tjzm4v)&54P}4hr#1!9?Hnr(|}zDQD))yfex4jDh9c1TAj4I z60DPw<*>?_*?X@Lq_UFv%(!Sq?8tgu=EVygZxNB-4@uK`%cW?F9EIzzn1<&~MwqfC zdsD@rC(|ypitDF^docvgGvd_Y`gwbl;(|=*Wbv+NKHw<6B@Gbd{Bd`>$Olw-peBBZ zfGD$aru$$L$(o1La%I>2z7${C@}TO(fZr$W{QQxEcu)`n~h@8N~TPr&T`yM z3hZ@oqyeXQtowCqTNV{=iW$l4B7zD!R6sW0wb z+K!W157T&))-j#;o9ITYw%0jj`R%01T~XnPrpqa5>wnQwQQ@BDHGde@VctTlBwl6t zI4#+>O0}!@=@hcrscQwbGm`6`R-dz`LPfTHHfF0y&>K7=+<(a*+Y1B!=_( z&L=fukd9lvRbqNjzqonZP*LIW-STY^H5dm#)I+k@PDSsWSqDwYQpJ{Fvzqxrw*Q)4 zMN=HMN>TFAs8w9gqd}*Z6@{JIx1ptND;RX_%eaY<#5p<&*R{N~nwAXG3bOWO%`vwIkmqKS~3A(K~BIE1=_8go;P`bil)MNv|8njj8${iL3Ohj8CHt7j^x1Fxkg%UGp5Uu9IlEf zKR2<Oe8YB}PQE`KePytQnY-mLVG(8!Z zcBp_17liU!V2T$yvL$f_)BUP}iWg4UY+rB7aYcm_50$vI;~Mjd$&?r#S2>q?E@92W z7+9Zd{<=}C#2bmrALoEs(e$#qPD@+=f$EB;@iTX*Rh%VzGzk^74rdh+307AQLDRd6 zw+Thly|4wvF~vt6Y=t41ni9R&Spmbw ztTMA!3-yA~{G~T!E9}W7Dx3~z9kRg`G?+Ry3{p7IsloKVZI3GkVGDIZ&Qg`2!juL4 zptSWr5~?u0D;fuddf1!>wL()=xGxo0C?6!2d0psGfu=Po_YAEm)OAwMI$;^9;;bX0v`Xb-qE&U4-#Z&tEk!?+dFMsV(JCrj63Ql(YbIO8 zSu=%CAFs34OGrYK%xeI@)ly-VX4WNZ+n_paGxLK4S_`pg8ob>#P@c#=sDg?8juM8t z)V;GgIa8ZbGg|@=ax`7s<8+u_7Nxr?$8-)IJ|cMI3U8mi$ha zV^)~n5E}6|ZBf{yXkCYoz^@vaDMNd|t~*|f7m>3dC?Kp}9VPB+lt(tgb>RzbiC49O|XsawN zD>>7x|G>~H&SEZ1$_qpZP)QNj)pbc|{+t%3{$iP_++|*z#XYa8IP3SzNUPL*n|8s# zS@~K=?6yr>?h@LJwPH657Hdf^CQ;9;?6<~>-3W4SLFWyvVmBOhOl6CqRqQ60KDwu3 zSZN)UxXrcNJwkBUUc;-%wh?p}z^cu@?Pd`_(*8%)k9)%YLsVz&<2bZhj8pOy7J zH3li1$R+vhyoT?wz6w*!dsr0h-}VN9q;>-cu#~TX>F8O%NtCWCa(rmTZct;o?6D7@ zDn4cTxGbhltwRM<&M{I=^me>EaahKf)~uQ|6_Bmt!!N!qctcahNmgXz5jgp5n^EhK zjk&?Q-din=+~-Ifw{mVqA|C4+l0n%L;GJ2R+y6TuPKlY2WNEz(siMc99))) zvxty5Whw??0y1U%5mrSuk}3cGL=99D*BiOFd65xn&8LB~sbHMzC6A-=%zawd&h+Ww z;W&%!Hny8!oS@lafQc{MOvvnP1>r_XKZr;N&M-L zV#0kTj-uOv3F!M?>*|V-j@;XYwn5Z70%^Y}^W1FGxK<3Z%7Xitp*6{Y>)hAHG|FZw z5WU=a%;|c)QG+Q&is&V`omcMVSNDNsiI4}93)xPX#ubCy$|9u?gO^RVjgsrQil^#| zY`$+Z5nIouLiDckeyi81Hl@7>pAxAs# z2SS0OHMMqw_TU#K_e(BbNP8H}n^r&uW_dw7X$HAxLX0s?{T23uCO1r71(Su#t&;74?PwSsnVM`#Q{j?wJVGi=QDLjfSx5;Y z)7+9|z_fdXcEQ>rQ)_}Lk;?~%hpde?D}A_+=GmI4z&&6DWb=3nYt}tc!V5PIH^y7= z!aAeo`7Jt2qZtfS)-1m1Zp2!4vo_F57!hyBwaq(jo_Jd0is2Z9x3(iiG-cxxfKl=O zkFKbxp@K%b0sNl~qo%nh$>7uw0H`>NWo?aKr@U_2GCHd;@04t}%Qm&m#%!Qip?G47 z-FztyUPyi6utDdj5dg6Xq5}$a3;}@h-;GR=jTyDcnn9~*iWjb{+o?rO874pl!g8ai z9bQgNZ%Z~FGEXEi!VQ#!37YcFikP^T#S3K1y^_l_db0&>%QmCS6NML=8}_VLEkd;D zq%g7y*PqAr1yeC7e@~hFdT#JG(kECJgYZEMyP7f1+9R3v3++l4ZFyvymu%#?G0wuD z2Zc6ovN2^A@2qp8#QxIPEFz@CS)0}Nnlj~<<#ZNn)@5WfP079f;z5{M)Zqx^YHtG54TE9ONuAefg;BVP^kH7lCew1O%2;t4XNfr<(T zG=xcb!BTXG3dk^_!ploLAtgXIT_);5He64HxKE>OXbHqikFYFbMm~6BZq`Rb=DYpa?ZgE3&aiLR%;S(Qej^Y_6fdd|r|5frji- z>h}Llt;n`U6epzz41tPlXbN-x$J8iW8kghhgw(tpf*ht%b6sM$RYh}!DI?&80=?Ju^)t84iHsW$p1(8>qU!Sq-;w$j0)f{0$ z7mNS$;GB}#U2#8a_Y!E@@zFV-%RC65Kvi77QQ{+yL&f!|a8^ur8eYZqcg+j4hQ?gL ziMZZCM1}w_$b^k;b=ieh@d7fjv)N}9Dqdj9L_q7sd*Q0Qz|u^d4ofr08qLuj2Jxf-GhkWfDXa6v@dml}SPk-&8Dv+|vl=0* z2@`>*zGX28*1sOKtwhMpfP#!mi;&x*b}3M-Brc|i&nlR5ZL^3=0F#lC{C0=7%6fWN z46g>Jwftr)%rv9~mHf8OoW&*xv}JLYN5-r%?Qj-3mi%@Gp$w3Xwuj!3?Z&L%6AV&W z7q$&XrL&6N?kNqP6M~~x?6%45hA#nXWPYOS!YTQ5Hte`M?1osIgE4fu#2{ZQ3Wudy zG)Tv~u%!s3Wig2Ck)n3W>JEe86v}xOhe{w3mUn{fDuD#kcq32=B)(qCce_c|KqZi- z%x=DzLoGeQNQ2VBFa`}eV}s$3o2&yZ`S~Zd?-SMrQ<_i0?F#j#ioVXv#g{lu@fB zF6(Wo#czIG1@hZDqlXH*EMK#qF%?dz3(SfNMx?2hwmojh*vTN?njXaR{N|0AdGT+S zVic0TQ$y2^?J*e~*E?FpS@;x+4wY4ghqzA(m4|;oCCDe$^)T$okxe5TA#{mB?omSX z2-!{tw2Ex=CXt(UtUo;pyB=vkS5j0P~rX*U-~0DC^S<>Y{Lgths1QV z$|d6z(_nbqM9z)3|4~zQ!JrV8rmn;V8gC1qlfx2@4o|BT!_ky)EN-<=ctFJ?_FREW zfKfM#p%rKG-5|fyWoT4^YXMA=?Jg$@+P|-Ba1#xw>$kd#Lf^(vDPam5fGc}q(@^RU`Fuf3#lqQhW9%LH~ z%4ae62BwqhJr;1$wBuD`;dxkP+70+1w)`B+gYjb;O!ZOV@0-E z^axOqZBo?kgn0@zH8n!$5<)~OMscW6drFkpVEE6`L`_2=@h-u<%>yXH)WtR4T+ZwK zzUo8PS7CZqOygoyValWaGeOg6-oTWbPXD|}yi*Qw*|-+q_QOTgM5YO8U^g!LF%;^^ zlxqRRd7nI*x8hT@gt)Jt0O}G9{>OV|NnRa ze3VOQ6Hyd~Z(rKlXZp5kA6DB^)9R)wX-t~OO>*0Lq%)JYL1Yjsr69qkEl7)K?XH3- zx>5ue6(1mi;6hzUL8&0FL=fwuxN)Pkh!BJ-o_jJkBsMhUa3^=Z`=2xCKa)0+Ln;U% zIe%ITb70MdD2MR*y(WCAVrY!&xuhQUZrtou1ygA;z7PuwFq(%_?H|7U7FeByg&5U5 znlBVhvH4^ja(x?^nf;&5kTjVbmI_EA=p3JQI0W0CExpMUgKCOLG6No&Nl|l%(cx`) zHoEfc)z)X}$mX-#Nj~Jc;<|rToV91oo9!$XUWfw6SlDTpa>#m8e~RcKo}Pzpb$W0T`!vD2f? zkg}SbgPpr8XA_*_5~8F2Kp5JgMA*JwEk`H=>;7wm4>NFzX02fKFp8&ddIVJtQx|4Fr0CpswgnFd&nKQacTMc04!u3 zLJUcAY~c|b$s5@2S=zYfhBaLa5krqC@RFNMZ6d1x9DKX>l0!UdA$ZaZFro~}&7=?R z-&*ZH^4LPF($Q#8GbJCDq?XjXzz2O(d3=WUB4WGz{4)@kwabzUQpNPLApCVkWHTUn zg3eSe;Eay@_MXG8R&4#rk7qVq(0Vt77$K}1o4*ey#eV*QjrZLNk-=c+_v5gH>k}76 gC1RSSh#`CQfi|4O*SDQ>0w{osK|Lz}0{{U3|6E~?RsaA1 literal 43113 zcmeFY=Tj5T7cZ<>5D^d+ks1LN1p(<&^QB7{kY1v6q(pj8R79i;NH39I1VZl+>7CF! zgdTc;03iuUuFt)H!}IPpJ9Ey??9O>{c2E86KDct_N*V3dfAq=~=YK=&A2+h|@N@#Z zJr3 z{{LlHNH_i;|Np2{(f^zKUEObGxHL33MMDMoEY6%Ld#5_~R-L7@gC^k~UF5TZN8KGc%GZ?aZZjpGmZZFb;R3SI z`?WYJVg`1zW0S1o+}cJ&RcD=Df{pWXL;IsF<1 zrQEClXa(N#h54JATb1FkiEwO&8derDa_s4sAsO2)GvCeFGE2o9e zCdz5pyf`jGE^g=~=&-ef_ntjotZQP=g5h$3hO-y6hF5;ihj;Sw;bXm*lg?O4A%7W)iRUGAT`&QLOVT;L)9 zV{2-9^DKqabrpKg=DvPemlmL@F=TEYV8Y<+oS=r zhyifW$@3Qe+Jn=zfN~v=Oeu=KDxxe{5ZQePKxmYm<+Yt~`V;(>^HP54ck%*50K@m6 z$re8Uae;MoojsQB5yG@aiHbeoM{-9(wilzFFooFj+*x2U9EJ$tygV!x7k`J@T&lFG)Tlv)lhbCoh<8-vxUxw zGz|c|2rebZ>4XK-;aDnisume?QGc^4W)dpWS*Vk|6hs+r%E}ek=x(EAOi3Qlw#v3S zQa-B^kqnMNji4nA>%^e`FW2*;otL5ADJf$dCjClV57~ju)P7mqobQL@O zDxWM8w4Y8aWC4sKiGicO9vqjcXtg8Y^lw1bXn{I#Ba%HP2!AnOqnkh}>tJr?Z@+d4 z7cXaF$mJx!1~;6?!IAZj3>2c|Hr;>_7q})2~lWv zJn}jnA!O~tqu~AHiYW5C&D`K+VERF4)(60m+75_Ie}Z!qNs`n89$}BckK|9HJrGOJ zKtQNFl$l_F40>^!AWVtIFPAlK$sRQpbctUyMyu6AxpS7v=DW&eC@X0Kg-E}LGn1Qm zDu}TtU>IvBFTc*PYklV%qzw|kS5gwU1@aYZD_|Akk~tCIjJ}8!e|~z6SK~g^B4&jgqL(L^;&%XnOL64u2nBM!JOcI| zl!Yut;u#W{gSMKpg-+9s({R+g=`;8X>ek=+6K+8sIy=lD{KfTP4~+*K$b&H#2H+M$ zdxG<{!y0Qqy<_CP)10imtxJAb>^J!@D5U&G>~Fw@yW9|Yl+6pue&9*Q`^gwWMtQ7q z#17`bvI7_fC*TkOPf+Va^)TRxXK)M9MX&gUK{$Ay%{A^r$vJCn@X=?o()$g&6!It% zyZ4It6*z$XwSqboI>nN;gWDj3-lg!t{iS=S1p&4P()_y9c+H1wD|%PMXwXCE1lQR* zfNa>9{962F7ry?YK&d|H-nA0h8T#7UPj695eY2(4N3iK&&_x~?I&Q;Byx^6U;O2VO z2x+TBP4`F%P!+P<-A%L(vktpQy*|OfJ;MZxiPhDN5tM2=MK6;rJS^eb8s*O$b2cK5 z_n4o~M8kA7%LN;oHg=aGmj7G)pA!Ce6aHro|MLm|KRLz=uclBRv|A=@l!eXC4Bb6n zt!*%D@n0tRMC{0f1BVj&GVV4U%5Pk-+XUNha) zC+*zX678*1tc?bGWg_OS6F`)vIopDwE$PtVtS`dv2sF|!m9~Wc zVxL4KrO*8&|6#g|Pr9t{5A7uS9X7b(N5z!7*B2FglSULqIEIBB>O|4F92dO}}!XPb?!a8lBGGJPx)&?TFVihG-~oGH`A&K=`xY_aeNdp$OlM|uS3 zNx$*1rz6lm22mf-sZ#$mzR$&}-2Ebw)T?bf&re$3pl^yTFZ)*G)^LSEcA3X%T)EL> zlQWa|=?b-&t*3_`(J)>%hf1BL9kk7IH`>it;I-OARg5u6?L~JEHho`g&D=>N{E5l> zFlVF%+VgInZI*8dzuoMsn3}DiUmmgFl%I$V3OyaX{2GFpV09SwA(byQw(z>otyx_> z^LNRhe(LuZHldEpK<`M5#$6xZc{MU2D9eehwGqIx@pCXommS`T z9IRM+w|@&qc2|#%qde3xJmh_GyiKJ(AbvTb9)bEKz;CYR3RG9r_;fPvw%7ZtMz?_! zMf*ELAChI@npN+K2ZlqU`fAeA(Y$#=r*qteGx@)7YHsLnpmmA@Uq#{~mp5t9{FauD zPxyX6WUJ8AXa)gN($WQ9-U}a@JDlm0WH>GLSDp250&`pw!0p*?+v^biI-o>%tR8%!H5KT=+f~4w48?d3uH=G58U;UVi*T zl(*N5x|c43y3aof#eJ@HDd%2M=VYHoW~9!WvWUwQaOch5hg0}te+;B2WqM}g7zKd+Q;ki4ZWEUeYF^a-3vd#Mzo|eXS$AKoM;l| z!qGU75`CoUe~R=YVTt6ryu|Jnlc$z&X68sdALTo|Lt{pjd0p|HT6n`>SbHZpFb^NU zw^mO{cfmhrUJWok%?^ibo4H?QPy6m|`D`~}BFQ+^xF5g7yh1ObJN_Gs2f0>|hpZ5b- zEJ*1&ymSd|p75`E-7Wihesg4p+WSwZH&Caydq;rBGa|K~jV6b0YVkY&%fiHl8jKgG z(8$HJfqTh2&;~HEEC;*4>VU8p@tk2IXy1ZZCE0F3BT(M%S@4I-^!Ve$Mde#dTK#wh z0NrbV5dmqQn(m^hZQKaj!y1*GlzMtw@bOv4Uxo=h?1w0@sod&CHkPGfTYk)eKKUBz zkMq5}0n^ifnvb5e72~NbGz7P7^*hj2C#wc6z?28?L$BXnh|_F-fYiN&_PlTh$nqy_ z`?QY{II@5xabkHtc&@(&yqm91F^Ry+WQwx$iYivyG6!_B*WYlaAF~O!y|DUePT953 zEJ`W!0MjK5&Cj(h84cd+P*09;NQ?7-X+MoQmRAkV${u~6RaZS1VKTg?^62};3af#V}3iwTy9SH zrmoR9gTu;-x!8bKbzxk3ft&X8`rhcW*x-tur+&IB$aagDFT0-Xd~CXYwsJG{pLq&O zplh?(A(2A?2MzD!Ugyc>ztBn0EfrxoPjfz$jAWZ;dEOIRJt|sC>tfH(YZPC)7}e5%InB{&l@Br^@lf)Q<}8Uwy_>8t2|H@$1Q=6-S;^ zGu0PWPaKSbw^T{}E?Y^1cLb^^xk2v~9PB-%^KdVZ_z!^d*46&wcGj?2q~c-n6(MLg_L8gRk;A*@l;vBJeKvY>)R9Ws|aa z*4&ld`L;Kuxh8HdSsuFE6aG*4s zJB@N2GCbv&NqKxtPDW@|c3L<+OAehCe0CRk$oA9VEqcK`$6r4SC=l2-Mtw7=>M^!o z2iM;}#EXAaH57cZpcHgct>hvPenX;xW6%jKC;f6^rxHU%T>p2z(&X((UuWcrhOLuMP2*3XYvBZ{0t9le6!IKVMdW z$yRC03+L>@Tial|Bm9vl*{`}}H(S^zRNk@dL7?dSjqe{w8yrf6{`+Qxe*dKoK$0Bl z=UD<%s%}z)uKX|j6F}lx_*8n>h3)#?bfE1UQsK>ujoBaa_)jPOm5hXbn|R52LjSlb z(1|T^S*DAZ);vgr3-(G-ZX|eI#u>Ffee|2f&k;~7QQ(7GKmRRRKA|lCgToW43r>w4 zI`f_bv8~|?8IJcmzfU5MT*ly%RXMmkuGYBJBL-d$&_;=r0;0sB?*q`blO%RSU#1&9Kv4hq@z%bcQ`O)c(oR zGqz1(m4dm)u$Pb8c>!7yL6}?em4W?rkea|Q$OCz&^ALkwYFb-3&Ng@2=|w2zMPv)0 zrPXe-X+;9sdpDgS-JOzD4~|R?qpQGc%w6j7%q}hmML=Hpoz2&PQRekg;)Q?LmvP}c z*5!doyvDMT;Z-xFGb*Tuw!(MZ2sv2nY@7pbiy) z3;csGv~%B1ms6T!U!1XLTzHMxaW{~(eK&BQO`n4N7e6`y+qJ6aO|G_qt)>Fcr>gxO zH_S^otJv(}{V&@*0=tLE`EEj4F{hDm<3$&$(}`quA57et+(DWJC>SuVhT_=OG?UfZ zV-;f0!6aQJxW%!emEirSw$?`OJnvFrO1}P4euMPgW zkefC}^<7h5Q@!Y#52EwA=* zFj45yPg-1`WG7_j?WW?B-xeqnL@co`mDZRrTX{m?MYy^nCQTxopZzj#Gn_zO{+*Q!go zYUtC)-!=WN-bnp~$;F>5L1dbd(uMI@2zYb4xy3Q_l+M=?&xQFD(i<;RqQc2IQC-~b zQhyd0=6H<#Q8GTc_SM;aO=oOPDCpaJmG|5eRC`C4*!$!=jq+@YhmHHHFAu7-C7<24 zenRe4rToFo(%uh#y598qBIwJ25^fUwQU8zdi#?}Qth=gQ)~|IOEVF^ zuv>RqX0vVp8KTL);Chy0%P(M8s0c9G3|?u-B57~WO{=T7&JzDlOl}rxdSoeCJq)V5 zmD>&C3)ClT@yHLymK;cP;35dt9F!;NM0R!dJQqe-CAlN7cC z($`kRHB`b{cS$cXC>lVx0EM|tE0CphprIo+=TsvuWvu!X3pljeENiCZT^@}H^Vo?k zfY@N{`2TR#h3PZ{-~wnhcRb-4V~pY#B=gv#vf6EZsT10 zPC7Y5U9DupfAVRQ(>HIF2>Quc&8}Hp0l-&(Q!v%SVQ{ju{|SoNIM za_)*$@eh)gB$#G2@ecK!_}hyuHER1f-Wrg*E%*7iCtZ;bWSbNh++$73am{M|%pZzz zrq;iDPwq)?R4AYi^v(XZ3`iMs(vscTmF0HlJujT^2j@3zWJl0W-=b$%dvRc)#f-@b ze_+bVY2uae{cejhf?anildcJsOTU+3SWJm9e2Upf^(mGn%1+765oaMg9im*SZYNhx z;O{Kt7Ko1dPsNuj)ndwg1YB=EsC#1Il9+UVO&GLb>hwjG;WS7)7|S8`^5kjqyIylF$OqV{xBxQo6y_BS?0$-9$814tp2MHeic_d zzTsa)xta{0lP_)QY<*iVQd_wrmRJ#Ff$sI;H(#Tus;Lf~Rf&*$2Sg3&VAb*;%`sY4 z;g$weyyF3mn9MEbKo1)fgNfjaB$@n*sqCSd#{@+Q{tItmz+< zn>Lf<<=ut)r7pgTeqMz&CPIW?iT9ioduN(+A#due@_uS1&I^pGPQi(3QU0lQzBq<`N^lQ-6IBc#)oy7jF&2l{)i;Mql5ewfA}O+@|d3)!FCy zmd1h9vXJ(0HC;_14{k5s+7C07LoCWu8YxP*Y#mt8TmkDnALIk?*n4vo-dk>xs3S0h zZ{=o4cvjh^_V$Gy5nEk!lAOQX346N9+x$oVE>(bQzSs$Ell%RqUf~9hA=j)1gH`p)pL4nBY}m=>$N^H#lk+vsjOFa7QIkeA z&3hA1YnR<$407jwd~SVhx^3EEiDT+z!WEkIru$du1P)R+-j8cF=K;RCQM?itLYQr? zdmGBra-jQBxk)}R_78?1c?UFFuvxmcb`bts^hQ^O)k#HRKZlL{ozI=v3ak8TGA!%C zC-tNi7Nzkld^5O?W1wfpIWK&{H+6II!lVNQE<^XP+uBWJm>ebdH?Jl@c0QNY3%K0-u93?finL{$wZWjT7Hz$de!C@0mzTl1(K4R zpx@@F0Oq5{zbP+fgx;^{6*LCnZiQZNemS=mFIj}9Id9aWG^Uc{A|Z^{e1<*w4k~3+ zbf|jt*^OfNTYByaBhI~>f%lVB7%bq86GDY1ad8IM-}%ec>mpi~8H|v7_|~+($hM~7 zwT?TkiJ}cfix2$qSFfE&*9A9GD^{ZbXAR+CCZ#laHusRysBQ1qFDhbIGO?~-Y|#o% z;9TZbN{s`kioO-CQ2x|6Z!B=zKiM*}foF4MRm5~@A#Ud9(OwOm5!!K=g&5y3~fN$1OF5qT4+Ci||4 z;oN=sh~o$gV$VyXG@D1Pq_P_}tRY8K9-%6uCxvD`kC&h1$wMN;m?dnbZn93o!Hv*` zodd8ZS@GcLre+s6&Zh?YK!)j53DEPRoVP~r>{1H>v4X_hcL~_Z*%mSmq4sWlU=_|| zapGD2;;*&lm4gyajz5BWSkav))|qizZ@q-3O5?7Z?B>pCg*p#FOs~l=8mOpNuxki3 zN#%hB zX7F%~2e>fKBdKx2);|H)H#Gaz2vM}g(Zj&Y3EUmRl&wq5(A~AjKDGDZd;5_Pf<9=! zT5~By2?`$oKj?%_IkHJa=CT%ac$-%ifzLG|0C)K0Mz$M#ul>f&U3R`~ezf@+mz1Kb z;=;?b=(70V@=zMm36DTn;`!_-KT||lnADe#N!K5jGWW7?(R~c_5iH zzMvtK4Ap5;W@xdpC>4z~+|_>5sD*pHp=AG@_g4hYk<1Cng=9v_7W2dloMfcTV2p_V zCAo5_2N{3<#u5g28iP+f#djaB_*>fjvClxo&tI=uqk8?kOE{Z;*3csD4Jz}Bh+385 z63>@FZ;PL@d6Kby`u#cluRpo0Q^~^r?2Qk08~Ae%XV^fbCxT3`Ude1ic?>+3fM2^Q z3wKWLm}W;rf9Fd?olN5!S6R-+8dC(;@!p&Dzk7~jJcZ4_t57K2PpySsdZ>BPu)4e8 z+VJ^q!e*OoeaH}Npot7KTf*)#AXM|yV63;GfAllGf!n)^S#0MTjRhIQdo96`<&k`H z@L5oe>xkvPj{Iv8qv2ahdqHJx@hLCl7Um$z`sSb-8AL<#Wf9U&!Fj~>Xju`=P}Txz z<^;WkE~3*GEz6m85n8^?y+L z{b3DvEl~%@=Q-?6C(vL{)JdgPE?sOPDks?;KCc-n>$;^uGMmva4Bo>WTxO%-!FynV z?kfaJH=c*$f8(Ry7sfP#dNRUk^C!mNZL~Vhx3jfJM|C!V zQK>lZz4Vt7jzP1@pU54iqe{~b`$xYc+w($Vbh4L4uXB)IQx-L8e!BPP=02*L#u;{ay-MXwVIdy5D?tX+7@@~ z{umlxDf#kgUYrJ$e{8aC#B-qR+rt*8{(5%wAEWFHqZK1!=^cU5sH9cj($o2e0!EFA z1&!G7v(^*EE-JB#Q|fkL z$DoDhv@k=T&;`prlUYax{B=MHvFU`Vc4ovFqjPC<_;ssLZq2WWm2}d-3{Gcko((=$ zjWwxh$UEm!eh^!HP^JTu2wR+)#KbXW zH_ny4d3hA*yZfAdj=YF2@PBy)wQ;~J`|$eik>GQy97to5W;SMbPs`ubAZYjbpQnH` z^YKJE{A+X*m9(H~F{LE1(h2d1>*G0g+253ZDmmD07ixDNf_plp0Q20Y1Sc7%vs|^z zVu-&jAD(*4m4UCch;RcPPD&y~6#fmDKY*pp34xK49~@x|;Mj%O^R5@dNBkB=@AS0( zI5T&nGK&V^^z+$fNI`Uc-i;r{(9O3^wSLk;Gurw0_(z(5dEQ^v(4D)|E2q(LZLakB zUy%on6|L$8t7+pH`J*bs{9qkmOT*A6Ln>8p@lcQDh;DPxUtb5S`8ahTp$%V8 z+51$I`d>AMS!Gi zO4Jvzz{-(Xzk!mg`ip?Iw8hf(o4xC=)L40DuN}JnjlET0{6d((FsEUMVBCqtfSi|G#UFiYtvR75V|@R4=_prY2%n0KF}i3;s~S z_fXj}W3_lDYnA8E(P|%auV9Q{N{V@3ooM?|a#z<*%(7sloA9mK&|i?7ZzeaoByw7= z#(bzLn%cMnrVANk(epG~y&Y>J+@$MJ%X)prB7SnxR}a?t8l2p*{D;JWV`~T$6Je`~ z82fm`M_c00Z8!HkZ^($Z)qK7mXbrg2H{&ZOEV6vG%~GmqJ7A$SB49Z$?Q;6%E#@{kg1d9vfsVT9YrZ zn3F6DkWMGGH3p*dS~8}lj``&>V-i?$=(ps6sKKP`~BL5lfE!o}=k{3@NC-(Rc>L~_!eLRqw?NLe@NHZ854 zhml!t1vLJ)7~ag_I>ptF!q6v_Pg|(Jq1_)?wyAW1vTdui(&h@FQeU>pv0zc+7_TE+ zUC!{opz&(=#URtsBw}RqS`XCX$0M^{-}Ga#n2VIc#7{@hsg;w@fhpZ;i>5leb-uY1 zpv~i}htiC&w;TtZh|Wu>ZZcvHm`gI9L6`z`W!8Q6t!k+XUE6 z^?WCU=^?^bMtd7Rs@EfL7NUl9C*;V> zKR0=U?uDWnA5%bnm&X}C2GhW2zj%v*FLK8UV5DLwolIiEn%eIN)x)y0;z*RhHbs4tO+`XsECn+#mafc$Q zmwgi8dI`Ds29FfL5^#;=S+I>NG_+S^mVwf>e`C%!Fo=}2kTF#>Wg7HFi5~Kkb?2u> zDSuUuPWji`Kd2e<(_!MrFwD{tKQ6z&>y+BHOaywP*OKeIu_%} z339yzCC|ZOK?~-)$x;-o74mZZZ2>K1^T%LsGkLpWdM}ypnWR6l-XL3XO8+3qujPC2 z8q}|@pE99SJuDx4dKnoMxoDjJU>zGwJ{1xK*rvdbg4H%?$j5sXkjr-eaBLx+@Sw15 zHs4_km8x7Q+JAz{yqbIUcAbipxZrv*QL>Q|2W`Zixki+oaUuXC8)4K4yDyMF3LmDL zv_VagtUfj<&~?S)XTX7Sq+C$YWjf-^*d!Qn8K`l3;_#kLl$2C*_1r&eQ0?;jVIR~2 z@>_m-6S>m@pn#85hso-c*ZgyU-4h!`2HCEkF1SaSBmQ5LrG@>ca6?e$;0!yIn+)wH zvY!^(k-+*Sx2*YIsB+l;*c*FkP)@-9ed%^*It@S56131?NM$I69zfBN(Y}EBP!zbA zQ#NryI#ua_#vah9FE;N|cO>%UzVrvWRpfvAdJV-_@;{wMc*(iUK$v+YHRV}&)cY-! zgSx5GB5T^w#dDU|jdEi;ZoLUE?YkPO_Zd4Xf(t6&6kZ**+PFVlanrT9-&p1wcgB6A zsns2Z;FlU33rK$WTSA%7-<{=U5aEpX$wJofmzvqQya8ga9Njl13b|skq)DnzNQRcl z{0Ov#ze`pVm+TNZdP-l6+DvjgFVuzrS#aix2QK4}LR@~~$?4&xk~B$o#vh6wLhX#^4>Nw3$vM+f$F2kto@ z8R4EdCJa1eT)zYb>{8g6taSFuC3lSjiLf(i?hn4x5XDb^ZQ|+pZy1jK`W>=%JO3iKfD|B+U8T!KFCWJydUYj1&}nh!b7!-=qoN#WW==prN#B0p zehKbq?>vf^$oGnM>-bZL!~Ec4yK7oWDr#8eyKT3R!FPyO+Bl3dAQxFMEG4Di+8A5F z$l}>|UuUA{4nbe}M(;l;*mg1g&C5aV^&e_Cnd+O-ppB>>m>qqdHCS6@P3-wafqX33 zB|T?uFa10#7otWQ4Ea5R?B}-l+B{2Y1)=rm?c@C0NlfGs_Q$7$6dQlu*r81p!V4$5 z-V6A*3x2Wo*b5f*PLN}o8hu-yd>PNq?4(%RU;=dJIi;LV){!!rBpia-Jc-O{ZX6mq zUSSLc{~aR>1#3BuRQlmI{^dO|!(k%ewkA=LNp{*OLC zizHL-_{woA6{?LjiuX>9=TPsrDEXT0d~wfX*MgwBV9RkHi-kZu4kO#`TU+S^_UgQOM9 zzR_<4r_=&-i-{ZWitfz3>x$PM^K$y$wkY0;x}qKD2rek55+9?IM~M&jcCW|(8qtu$ zrsUFTU!cS$^(2~}#a0iBtuJm=T}itun0%~y#FB~I%S7x-uO_xYGR+BNS#sMPj6#YB zF-{EszIH5ebvq{Gu9)57JIGy1(65Gh4W4P9rZ1#13PCJi{X6R$;c;jGK&HzUtjl<;FV-q!EY@T`58`F^$x(iC2VsT2 z{BE7Q>ore9TB8ju?Qt`%&{s=c{}T=Onw4rlF)2X)N6B@AZN0gB2D+)fDdFlLNM@Ec zteo$sNH=FJiMrmU_}3iQ-&=u>^Z2xl=e@+3{|4;?Y&>~YA8k3?R{Su4=8KU z@AefErEi3m|2G%P3eHRvq%f6)J=@HgQNt#5H2pm8{XV%gjB#7I#Ch?K8UZMsH}IYm z&QE0I5&6sx@7vQFx?z{!JHtTuXo{r>xSgJZj#E|;&rh1uo)5Olfyb^n*P(U~5Wi|c zX|-q^Uy;PZ0&L7*5a^Rfz9o|=L4v)?BllWR7L<7kkqZQ|=t8?L%FatNt}%%6s~fs3 zzd`hRNFnV47CV4z&K-NJz_>YwS}esZGB}q45vazjYLTxklWnpRb`Ycu;^kfZj@qvR zQp&0|BFy0g+~8wO?X0A~G_T#png7v4q1 z#Pgl$1=RhXj4_*6bD}fgYnF?A6_Iro)kWcIx4CsBh`a}z@;~gppgeUBdXUrl>;p&E z=FU%hNehaP#BE6oC7beorphVw$4PQyV*1(a=czxibHc#YyLspHCOZ%H#^Ju>%US!I zdp1!@M}ttI6muFD9rb|*=d!$S@81mIpXVWf1OZ*`AC%bHI^1=^5`gDT zUbxkoE3PxD6aUUkttOwG<4}`$ZSC46bs*0MPl02kRgf+n0daV4W9X4p<#lw6=!V1b zD>}>@SSRA`mapKQ?%TlzdxfB1juAATlvg(9xr~SLu^ug`iYF5=)KNy#0r*(HGfK*X z=`^L1i=yYlHxHfK_TPZv1 zi4xJDd`ex{Z0q?R;9)N5$>Njo;*yfNL=wo!X0Cbt0@e0JnPjI7f%Mzm2LR&8W^m5g}=*WM;Jw=za# zooQJbKM@7#yE!iy{a_TjcbB*YNp_0-9P*8O*B zJol=3O03ouvDe8yJ1s+MM>}pPAeJ$f(Kl;>H6REXeJ;xJ{eXCkD4YP>a}jPFtaGwZ zIQs7NE!>>!rF2X<1<NjmSbnQplcege3reglte6l%!w!u$FYMZcaU zP;M-@IRRZ%rXquqK0~K%O)q~A;@-gYYUj|pmfLlO{+(@Cm5EljAwd?YK7;uqmkZcCrToaO|fM}sE+jKZV_yl_v?k&DYA(EMt>i{`+U0AJlBlG#LA#Ia@Zv5gww zHHAMNB>S%WXy&%nSeCsK27 zJ=sWN#J7N@Jq^|9h3{jB%D8qZtY&5J(K zp}R{=q!ld0?;FPtshtVwB?*5j+E-t%tAz1b+Mllash^te{E5KZeXlaTu|BlT!vY@g z0NLx_XK=2uv2QS0+>aJ5{2jWGV##X@z4qPIr}UcZqyfh7nUwaua*uvG)b^{7V0X5y zBLQyPnM#UBld58d<30T_(yqj$vcZi~yPcOlTbW87usd(1=jl3~+Ht${E!S|ha=*%0 zej$mLG0`;kt@v^G5RYy>|E~_5$3~-Eaq?+$2Q-|KB&SF{JCHw@>eZ|$YI5H8#Gba| ze!X^O6W#U1POmGZI;qUPMtoyhZJa5V1=gGwS!46i{y}@uJr2m7KPRdmT8>4{E47zY zVB#lQsb(vhU2*=M_{l$QNh`!~6VF?Ye9vewpEycyyuF3U=9|Zy6NNf^G$xN1W;h6Ojiluh(eN$#{<@rJ>D?Pw^;X;h%EI%eZzA%bmK?sR z_B&JY5~W>4*$>b*&isYX{5P+;g`!=8gd#y0)8i;E^{v4PQQ4BOJeX?&?leMnai6q~ zXyZq@uWEQcp%F3ezWn+vi0D-9RbOjAVVA{y9XRr9(q3jp@7IDzj~%{l?G1hEFD-MG z53WsUVM~)ZvnMfy?;JpRwGywa;tlw-UXti8D>QI_Eu}R5R4j(~I%svcK0gc0tgLrbWz+3$7rlPfJ%fLs zT6yZVS-{tI`wu7IW0`VgeXnc^hz~3%ORaq`)X1iVta7krb!~=%3*8t$OVX7!0J<3| z`bJNdwBubnBpvtpIqGR_O(tC*N?!I=!|*h7rA;ai*2+J*jZeHjp>!W(*1Do^syZXD z#2i0U;zpV7L6kB6EWLC&6D<;h$AkWs+km=v^ZrXT^smk`={EjE|EK(K ziP=s`#7>)pxq4Moga`^#_oKi*+*amY!rb$h&9_s-D>UraMr_4ttlRXDZ;o92_j^jX zOoikA>~>0xov8opjMOVL;d?hu9ZxEwHBN;}gY))$xk|2}YzlWcFe%yscZ0qlAK{*5 z4_=|<7A4G3|;^pvp5hpx= z&KvyL9u2%j4DFZW6lLOy{N{D-<%(e=x>w5mU*1FU}4Y((Bz zO07FyNp@IJj*}7uGpQopPtIB;bO}mCT+nA4v48n3ju1=HQr6xw$O#&Kk)d&nez4Jg z!PMIS{>U!Jvq6>RR+b`zZEs1<-;*wXL#?#d4%5xQnmdQ6=YYGt;$MwLLi%?#4TlV< zyW`;Y6=v;2+@-?W?8cL}GEcNfPyH|4ptdDE9m>V)P;%9qw`Rz!Owm#?17N^29WxSW72!oIM3-#ZCN#TQP#VUEsOW_+(H z@CLrEV%H|(^2>&$=Jls-lW*&@yT_+g9_Seq+TWWjzS@kH69H>f4Ile=bDkk|&>#bZ zNrB&%^M?m*ot$w!5lNdI?;lj3=V>v58j!0*5{W&e622w+vwpdgWN^X3&aa+f+xppr zGk=NmK*za9B(+3qOB^=(Mj?M-f5WG_p)|vDe${ACM6+%#*#0&1{{g{3KEDRc!B$yQ zBh#@t*gokF`9Zqeo~&9EBHc#-IV<%qD)Zm2K_~1_o|#{h&9Wa~?czO=s@Mhp4QXi& z-{7pSukHiiJ3z;}E_k6H=Y#xmT)He0!iglH`GwrLr6z;vvPCkq%`n?!j<4NZNcRV( zBP#=yJvgh!n5A}N3_V8Eg@h8Ayvc2Ex!ZGd<_4RMsNm*x?$BpQtvy}1%@SAXDaJxm z7a}T#e_TX(26U`@pJTf07M=C7m0_`Gz8&AFOay$rag8WkkgE)nnmLMR{$u=R+5bR7 zwR@9!qR9-CvRw0qcxu4d7x8biD?{4$Da}S|G8=rRI3inB48C)uSOOR0gjJ2opjg@| zUC2o*k}MWWn`O@v-i_v`#wO@=&8|bob!ql!vds`2E6p}WVruYdf**4_CW*lpjhwF_ zIJz!dq{}%qIg!>+Oc%buv{r1HPg*fucF8Y``q=-N?7y#%%&R2x@;-jyeVL$a$9li8 z$e~~4(QcS#t{StHuO?Jo*V^#wn80FYX!X^;7ZV8I1KFv2nse$~kpo#NI_M3pl_|MQ zI1#s2ZN3|nwdyuP}_e>*JUn|?f0?8Lk@XZlxzlsR!C<&gw*6a{2;Mv0dD@sSomo zBO3850iuHU_NsOh0@-Z05o z)9aOdwj`(6ij_&u+69=^ij7HrN$?Gld|y{1lkmLYAt85fkwsY_+bMj5RdBux!Btf% z$&Wa2U5Xf!oRy8bBvgXo)kZJ2g-N)pkiw_ zFf|=di&ymJEtxJ*rU$KU=uUiYb8lLm*@XCnYE>#7$y!UgJ;HQkZK~1{8o7?Olt|G%gzW`F+2QOIUT+p7aLWT-Mv{&jo(@+;wO&|Orw@FJ}yYal3{-~Q3UI(31wi<_7fUU<5{Ftn0h>6eVZi3jxGc#HVVQwkau*mjpgm>(gn=z-8eU0h!Efup5euBhsYsYbXBdK zn9TGGu&Od#<|WeIWTx#A=09BsG6QMzIIB{WRcYpJ{yL{wg4hEs~_}cYm&`x<$sM!`H#WhKvO~t)|#YpGnBmoJ&4#^1u2*5@MUHs#I?` zL8p@Be2*m7S1-$YjNAal7EFOxVuhRA+|1 zAb8td%xd8q7BmhzN2r?UMj0uNOWn0yeXQ@Ckgei5h)H6GSgr=F%e$jhwO9~-(|KMh z@ZYRrb$YQP&05CZu4%bT1KnAj@Y~p+HBb0h$SC6hL_)qr-OOMnI4;@vP0LnA*`#pz z1r>YlAofd=S>2~>hQCylw|Dge(|GXi(3Tn;u8QyheuRGI$JL5Jp0ZK+eP2WlZw=K5 zdmC~FSXHTFdvjV%Q%~0j*y;RR!sB_?(ylhNdQob6SP$fu)!-(?A;FPuB4M#RO_Zr0 zn8v^_h-Uh}VZxyu(qiWXNV`4h97+p?Kzl2mSH)$G;1{|%*tj1Q{GwypTEB7SsZcd` zd`z_Y-#@`|Z=zz9*9sFG?deiBa=_2)biZsoFM&+jrGg^~Wo5FzapbFB#|-RyLs}%- z7Znth2WN@hYE>>vtwFXmrPVzYj4~9?39EXRVU*XnBwaF{JyI}qG$Bk!c+Yp44Q>}F zg}lcAzIV#v$h)|e%#l4R69q%t&nwQx~vxEqcym3TrBu;aWpIt7RgFv zgYC*!2NIIaKW8a&;$GVbnUpIPxQ8s_4x zxAGO=eKkU+#VU<-`)hC$Vx{14vZ{X+FLj_Ro4PWi#WvC0+=b9?ryz1@CfQrkIh63x zPUQuj$VXO0s`aX?ie<%}qOnJLxGHA5HJVD<94Kl`+Drb6u7K9=5i~fM&Cp_oxQB!@ zBzx3Nlh+hTSEeTza2r#AujNwZV3rNeOLCqCSH&cmCmM??pw0|_OmOBrrUw?7DP7j6 z-f|30tf!Fi&YBqjsu+a{iFd9R`ICZ#E)z*^!$dZ8ncLOH=m1|}r=8157t1HSLAO%y z)7@w`Iwu6@tpKwg4fl%bd>wgOVa0rXPM9kkaw63k1>Oeae-2d?R!gw$s+>@a^Ub1& zg#C&)C|AX1ZYRaDf^k;OTc#<_56SaJ$9n4?(K*(Y4t$IAMe^hE8r&pYC^&pgLSS&@ z{SC72x)cP~6Pfc*TBFYXM9G}AKsws27j6_o(!hR&FU(cN;49_#MSbAM1g~&8t29Nr ziEiaOorT}eCP{3D#=Elkz4D_q0nTb{Rg4oo`heyPRk7$?p_#*p8r&pbAoyv)4GS9c z1UXs5w1}E7_~M$5*5;^mtaCKVa9kq%nO#v>sW_{v#nO*)K4xwQBs8&F74zJF=~BTs zt7b3jly#2CVAd}^PUN+jwRm_@YJR(HHLC_U30W~)ER5>@W}i65{~$B(>=w;+>T{+m z?SP!tk@?q*M95-^|M69i8H*)iCW+DA`MQCw*GdcKUq;8YAUmOdBTI{$$uOZho=owy zs*;N53lTrY$fopA+N5n>SAWxejkqkRdDGx0*ssL(dI@P zuM^xT>=Ar{u#5uuwBD@a2HDXpWTW{d`91zq4|vgIH`RWN0lf12bXjb-2m*~+E4m!$ z>IdBXzCv)z@4zS~A+t63T9g6XD304id0)cfx$bG@oX|P}JJqPSQoZ9~_m0VE@|!7o zO|@=p@RNd{>h>=T&NoTwlua9?S)y(B4C5DC@7LYIChw0%-E)-ILgU*u*1|Y)zr5KJ ztrId&Z0)gXm5ZQLS%cpPab@k0t}ZWQ8V(0KLwC{}Q-mA$ZF>H-!cIGz(%qIxyGZa& z4$r0KzYp?!vnuAul^O$hzq%@R&$~wW6^ye=i#oho4cjj|6R8r77K*|tK}^To=potnF9DbUA+&x~!3{ zD!;Hc&etg`UG@qhvR7CUvA$-yT-N0Bl4RhQR`wj&bUCjPFn+Ipdp6%-^^9MOoKX5+ zm_ox8I4d~6MXHLy<3Em7@X9X}qSFoP&S~X4tUW_>d+vs!S6 zA~>sX^AmYPTGJz;q1$F+1NZ^03EfmbwkEt>I%{?3| zYg8P;KPHYm9W@yy1X-rGhr1By0U;`O3wkl@5j^ceDhl7piKyVKm0VS&no;Z#jjEV0 z)`5I(ur; znigvW-&=#bkDRZ`j20`U#T;Q)TAUZo+%AN6K!|hlXs*R6!4FD{YW2x{F}+8N%ooyP zvxpiU)8e@3To&!Bm=@FJ>uG(o;LRL*J8c2zz?|=`$Y%4RmHS8C-_7Sjx@^H&bQKHxwKf-l^HGe31@W|LOUR=>?{;? zDP%mNc00AjDVl(r7PAKUg14YpQCb7Kuh|mk*sUt2#ZkeR)ZnJY5$9Xif~QTZQcfiU z?-Y*yl5UF=Ve1831w87#!1$TWi)Dw3KWA0^V2w4RW%U+6OBD~$vA#J&Rf|O7NH@=5 z$tB=)A;=DdyQxj0cp{BQ#+^QUu_l$#oz){%)i+t?vk?cmOe*3EI#tG)DGJq^9#Typ zLrp3mdKoqSN^5#Ds!2t5on=Obg^JO=n8tU5*uIi#gScB0dOGjt*j->?8wH{%Aa<;* zy{enfsoAf5P8*|1D>AgTVb4r{A{}$64LP)1@Ana3{L;}ymgQMksqp(9BBtnkY;$G1uxXtWkRe3)CL+W;Y8=;bd zvuf5lugekmX>nv+AoeB!_)K8EC@+)|csJQ*S7yEa11K-9Q9dThX-*=__#!AXB5bT# z&nU;&2NP=ARWct?=$T)rSSf+iyrMywk@`>{%FBBEQc=ECqs&{QMB)AhURoW zHQ6f6pzNZg12&khMn|nv=*o0iq&e-v9MSvHaoJ<9;A^|1p>ezsdHfPirXzQzGF624h!m*6WLK0CpUBfWqbOf|{Ws@7F*%@AiCH)6u_+635~Wy@QkiOjq-89Cj__mRx12s zg72^O@eOVzW~Wxni`*#?E~mP1<2YCFd4gYPthrs#bNNexcSEc*U-DvuDq6xD0;(YC zf^;;FjO1~T%$+GY?MPa+0&a!>yL_%9D4>mEb9=_|;iDKUDn^Q_7T-oBDvnDE>k~#{ zh9ucl<7kO*o$zh|Og8FmQAO9WX=P7cEX{b9 zq$W;5QI!8ztC+hU)~t+oQ)hNb7(O#+-Z!HhN_7T5E%=@^>$JLvu{Axb4b^zTOn`DK zx!s7;k9c#&uF#HmW)5^AaAQT0(U87#B+U%X3M&LWR+GU@wn+H19DY8%H)As6opoZg zQ+n{fRYT_4IzLjQWB5x3=)$k74tB%Wq`xFxsudFGvqHj}jMYOG$gY~lJwoL1wqILc5{0kZ8B{VE%*7iIdAeYpzzn|6HVFY@{Eq=`www+FYngsf18^mAnS&87%I6{EvA zV%M>+JU&|_Pj_>&HJ&B<(`CJi6ytmJcGeH#ImKbw^Q0u77lVT{V1<8m%_i2bSwRgM z>^Qt%Gw-h?nI5u4VRyXUd6XnZx>cq_p z(U39bT=$R+7{9a?QW6pc~U4w@4Rz zif49SC0*F9nDZVjAi-Ah?St{m!8 zHX|+;aC^6qO-QfagR8j z5=ZV6m+2VU>9}N@=#vxd=|ED&cNItGoakpUY#h&t?t_9~L!6)uk3@!m=4J?}}U$L;IZ{{D};$ zo(Vor_}jYp245jKT>1Y17ZPcMAJF{*TAS?bPbzP$(8*%WvJNCUjpI>KAWN?l<+BOh zD4Z00rrXV=bjpJ75#B!G+nSicHw(U7BV8hqWL~GSl6R*sbY(V<$An4tp6EuMQ9u%6 zU_$t2E`wu}-J-)cQcWU*V?)N)iX+c_m+A6omx6ITD@=0iLXka@bT5w|e4jGgItTwJ=-%~WNy&>KH>r&sAWBURjjTIWODG7eNYQ0m2*-xq4_ z)~jxdbhKiU&sIz#IT4t{d7e1eA$TsVJt6!Jx-vc3@0Ko=RABZ>1*Hn7zvS2{TH4!X zW<%cf+5%Y>>wzZu97%FWu!WM&`i{YQKX!qMm)eBnCzK}_3T{>GxyPxb0y+3wLu|fM zrxgyZdT~b4ZWJ7{bWq>h^^@-Tr4iX+PXsf`t86kJ+w4%IG zWb2e|q=9m!F*J+$l>vgT{@=A7QvOcGizY8x-J5!AQPBXu_z^nPgX#k z8OPItL(8r}xS;Ll?xNc-NUm4-hKzB}anN;McRkK?Id0@Ry5-wcWeMBFajNaFH-5;K z>lm<{cV3^v$NjvM6?*hdT|u)l{q@2kU0J4y?8CB!k=#*2?2IinaOU zhG?ztp5G{~?XLZXX#JoR%6B^JwPLFq-D_^}yNfQ;3Fhb3}SisP7gC!+Y;stVXfC$u8XgSj9h4KQFueN){Wwg(ppbgZxn00 z&$%H6rw?9_Y&Jre-9W8xV1(Np_MPr)epAZ;cRhSPWaPrn*Q;L-VU5Q2g@bEv@FA?3 zmtC*P@DSDv9iZF*Uu$vI*RZa=!H1+2alUfrPKHw!VfXi9ZL3{_wZ2ujfBKZ_PBZQ{ znc;Ak*>d_rVv~?_=7CeUwUrU)OIS_?qoNwsZa7yi;(hHtyEAjN{VH<7ew9)6A zN9u9(t?w7N7k=j{f+~zVGR%o(I{vV&rK7+VR0Tu@Z5j_>Q4End+u`$jH>V0r=3a{ z(N19becB$Fc=t|PzNnkCXmVdY3Hkg};^RR9H4$Qvs-WhmP$`G|IaLUFza*8h&K+?t z|4I?)`r*MX5tdws6Cy-`jZ$y~q7EpR)k}D(0ThhL18E9jcS)iGYZhSCQv#23;5PNQ zkR)s=)MW)r%n|q_sjAl!8LFK&LK0wDA}rI>rU4isB>zlJzSSLwCOlZ@c0DK!vP6yt z3BnotU;8y{O-TOSOSMxmNOuo9QLWGas_HId4McKdBu(y!HHeWZSVJAJ^UaC(o!&w9 zUq`OIy2TM~9W+8PKgPK<8CXULOO|4DM-W=k`JmkvmV{)E2Ms#+AV&lrp>g`3w7t8< z8mg+7MkmDr`37=WC609h@ne#KDGQN8N;DxXNmG!DFylNg;8B<3K_l>gEgMCDE*nL& zo(lR-ZQrl0Glfo?(6wt?BH)9LBP8z&a`NA6gA7{oCS0y1ux9CJ4RC;eAhX2dGD~DM zu*4%}@E0c74e6k3TSD>%b98L(QBrOK)Mi?{xSk0Ru znU4i+PQdUv-c^QVz9g7WaeI{VF$4Hitq`Y=^P0QAp;`-0lJ)`K%iklDr8R;{|U4GSUBK zZP)qMmzniXTt!IcSut{iq#asD$dbG-e*;6(B(&TxYDl7XSRuE}FmsHMC6_irlBvj@ zG?(0##J?d~@+DUhl40zrI%0&7e6MKdAsMSNupBg0#f&NN@_{@3&tO%s260pSq@KBC z{yxU2AvskmAR=XikbGvJkPJ;ZGCnj5uxl`vd~Kq8Lqc--Rfc5bgK$XGGX1Vc2+8-U zT^^G8gdUP@P9R*vS>WX%IpVSyA!+2NmL;#o5{NiLNIs(xlDRdmb4b1&5&OKzekTmv z-*?vv$v=@DZeU0 zcWS4S?lG7xB$Z=vI#q$LpRD`W=|)dg5eIo(ZGbz+q5Mz97VAsPiu=4gv)YiLJZ zUcdt<$Ad=ns%LN;r-C)!$Ba>h>&646r2_G*^B6%#nM*XS5Z90&Rz|P}BIVZLfl_E1 z4-cDca%+GKUT%%&>QhA&tnm(g4uoQm?jCf0XRi+{-j%F@NVzp)vL9Nad9w_&#%K0f z3#@Vf_Pg169E;hary1qsTicY2b~%B zmr~W#@<#NI{MaQt;ADiJ(tJN69=)RJpe%~j!Xg}VYdkD{ZqTgp3BKW=(vT8#z<06O zKqN$?5C@amB5!bPiw&%i1z9_O?laRm?KUCq5IY$(^k5|GW~f@=yn18v*l0vrlf2&*JZ z_(#gf7dPoGIf`L+_pp1Vz>8DW)bd3T2}^LyOY=JFM#vZZ1DQv=DbOS=5G2|xky`*< zNYHD*Z(BxlHo{2gkTB4-u|}J9;71w38kI<<2_tJ>+N@#WU16eD#L>QNWL?=lveM*g ztdVOS=Liz#9Q&0KtWk+%)?h3lJ}A6H*=7wi&+&3=#Qzwir=1;QGH4w=hWY(Ck=4i@jN!+wqBYITe<<{7DFOvaltmKV4l}sv5 zL65C7g;%^n`{ogvVTCvX%gYGXs6;Yr%v1Y2YK<%3Z9=3h`mR=MQ2iIlPn@<{^Nm;Ro4-?w4M;_A;27t?2bB?e3L+sI1lx%^IP7SR$@NoaS}FN3aGW<<^K1c35m+0ZQQIv2n=<8{%#{_hTyH1|L7= z$EYs=KE*6bi`){SeGUVsSw^r1B88O12G($86171+CCAIHap8gqf;DFGs}2<)-C#1q zyhWhbq^i+l!wA={5wKxLtTE*N+j1~@q$qJaC}>{kxYZ2y(RPpkP< z?@uBIU=^haYhDN52ywxT{4pLFZCVGpIQGMXL|87eiDhT$tP*Yg$a@yIXfslS<3e}( zAOFfz^z}C3=RF}mcd`SsX~acKY~WW!YKsfTi+-8|BUjKK97=8tU&TtJYlmM=s{~F$ zHuN75_&3t^U~7oNeL7F=d_Jr}lidILdDQi@R5LcPN?1nU(IZR|X@OKh8?=md^3W0( z^9mihznba?qkW7&Z+jcqR$ll5HTL!a(-Cxi+`9805^S(F63TV9M&e;2A=~T61^jD-o)$~}%cW5)(GwTBzw>j*ho$1^EPGaYuu51a zeTme5h0O?ycxV!l9!FrKX3*$Wt5&Izmpo?#Oh?$!(bz_ai%#B4`e~n$?ph;`U1T2m@ z9@f{CwaY=4$Pf{Yf`-Henz5l&uC+j^01KSJ zqaxwHf-}pWomV77^s~f^R72AdVuE~eUFR4{j85nAGDH5J#)RNl0E^)7HcL>S<6%wa zC*XhJ_q0ZlLs-8^D4$iFgx2}Ydf0D^6A$gC68SM+ z$E+!6WXESoRfZeO;Nx3YaDj}NGd%Fx&lT6cU1E5wni zYh(>bArhKU(1f0XR^j`$*Z?QT%dPSDx2sZxG2(}Ki&MoQ-96|J*UqY@K3aw~MzsWt zqo;I*=sn@>_>W{gg(u4SoS@Ab#7&L|WpMxPot&8^?w-BhY0$LC5|0<#H>Ru6EsKDe*A{zqeTrj)acH+->5{Y zXHYCM$Qoo=v=6+RHFhNhQf#bzVKPRWH3*-(ur;`Y3crW1O}A=KykLzSuV#&PhKs5z zU)ySpXQ<7oDOv-ZRRJ$!8s$L+&R7E4dOL{Q&qwedGxngqF*ne$AG_Yv|jRVp`9%`bt)6bEevbx`VJ7z@n_Qyekk z_cVDm`*T7MYg_|IB>RUriFEG{obS@cVqvhp3pnCQ5-Gw5BgV`dZP?m+MDB#pJRC9N zI@SLa`8_SxP*uHnehA=HLy~qQWXiu*FX2%P=%G3Ne3&)Bj~oFmh4yz#XEvW;MTp{{ zIADCXss4I~_E>=u*08JL`w=VwkvuN20!79dIP<1H$KxDJq((Y2X5928?Nq4CN?5|u z$LM25M&iz}M6kmmXd7`7aE>{QcnGTizYhp1SYpWP{$mp2UDguOGm@0r+tgGD*`xmH-cH=#XeI2>^fJJRp7-_IE;X9D}AkEO%=&@`J6B z-;>ff;vtT}Mkzz$0tfxELOr*}q+n=>rh{@tSR(Kc2h}d`av0* zn3_H@!A6e@&~qz{8WXe|!4jawj5#ckuR*j~g3OxZK?mHg|2}7CiMz3=u40hx9!s#D zNL5p_1VnOca6L*H(GrM7L6caq;dpfRdmxm6b`j3YOl(N4u6-96}U)%Ke#IJ;xD!Y7W≀D1pa$ZY3;%RVma34?o>6wj;iQcFo__Y(5?GcQtVg zFVi9^nve}CaRe4|G6+Wdh>N$q4GLBUypQ)>wYh)78XOlwslAUE@eoJnH1seiF8qDG zACuocll2m^XFgJ5jb;h|=Xim$d83^X7eDuM?Np-9j~MPr0`E#yLoHEzuAYQIhKc$fkmZSRyZNmgtu~i5u`o%$|QK)Hh7g8i*Dah$FC3%AmOL_8(SET&uXi z5+gnb!TxVgB8(mvpoazGm`IGU8^ID#@v!)R?45tCRoQjl@u;N@wXscP6cGoQXPZLYk5HqL_Xv!5sBw%v&_{uEkUC%EdvR@MK0%sQXL-qIN@^% zJk&pY$X=dJp9^o`=GycL@ShgQ*O%BkJP*n;h_3GT7%OUVKYw&L6ZO4KJA$J=T=el@!t7 zobyxvOU9h$5TOBCl@}nv_Iv5nGlK-?VHV^_Fb04KR71kY3FmX(p3h~WGG7cX4T+c! z?DwS}If1B%1ldlESy>Is3C=}69VXOV7CGU>xj?XN)w$*Tl0y*F^pdhJ9{YZevEnCW z*GJ8K;{Oz{$^eSgTCs#Vq|5`)5%-v~FL=BCS&s~fBBXWbscGyOt@f61m z%=x1*ZgBNJ?zpkyXvQj`VFO2j9^etj-%Is5H~xk8Nx5It7N25dZ0Y%KnK*NY^>j}a z?GH|!)3&7&h}sKYNb%I8^nL0_5ouZ8ZVhelnmc}OH7Vt{QD9?@8q{xcL#~@2&S%_NbTcCc!+`O zh(=@^wm)Jd^rkT<90@#yl6QHx`J~Q~a6V2_fAlDOwv7GC(3~J;mWqUmOBv*JoDjb zR9-|rRDfL1WhyHFyMSCS5)lzpkD?w(AR;2+gER4_m(=nC6k;zy4!k+gIVX?-aV`+* zeoWOrnd+XI9>QC25eX)2M}hlFH6;Afg%UnwfMVi5svXgg9s*#0s4c7Rj|O5rAH1Zl z5B10k-ua1W@GUUC1Vq?sXmBp_VGg{1ny(fT57ZKj0dfuV^d9_KYvjj9;9pzGxfWJpx98hrXDM+y6 zIUf0l=yjBl;3F?0ALpt6X!wtq@9`p{h;xAe?yTA);u*?|-;r4#4tW6*k)B{)pfzjm zKVCfHUNSH#$CWW4(o)bMPvGy_OHiFt>wPqGUiAER+0J{_1Z^qfMvoEn2b>$az!NPm zH@w$)nM4iwc=pkF#%9+aaBlpMG3FG{2`@>DxL>BpGIFC7Q5!`|J|7Yze_lwGoaq>L zo?70Lj{5f$*zq`SNkos29&3%yDLC=z)J+l_uQ{T3u8}$>gUH7@>U~895-cVl&IJOA zkEwbIzgFJ-b|zvX62o#KsO<9u$p+aKpIT;i%~M`3Ye;}XMK9k@f1beT$^~jy^b;xd>|G1I8S};AJ{l=gf_PL?N7YZ=X^~W zZnrxEUN$@gm#!`_$^i#?SA17kQqdpQId+%{R&L<>}vNtj(envo@NR0hQOz#a|(md`bag`5x z2Q=hf=c|fNTu*>RB)lt$I-f2596{c!jdQiTIPe&;`*T8rsj5d_wB8{tz3)1v&bOpO zA0--~;>g%Lq?m+hY1mHA{y+oA?j8G);Nu)Uh5Fc2jsTsA@#4#AFY|zw5xf6H zDto?7+sX?>Lfle?IRK^rK(Vhlm=` zHe&afRPw@24iQal&)q8u+QFMd<)|GaF&JUkp{yr3;IYI&hkPN-ws zkSa!w7hJ10Gb>G^mvP_Em37odG&qjyPmV=y*5g3KSMs%)wdf_sq%(s*5{;+TOa3Nl z9C``;-mkzwi&&RLo%bZlNQV#Sqq3I-9)SOnRQCDJqtwjqb;fx6zL!CK=Wdx79ZdWs z#dFvu!b2Z5Q-#&}zL)TIU8Q+1sr_1>%(Yb!uMKbRJ&sIT+$jrM|tmPdFhIWp@BW3 zXcnG~GlF_vUHh+ey*!7+|EMg+jIT;JK=(jn(U{?$mdGTZDj%Rx&Lhrh*Q~QL=Q*?7 z(ZEDJqol4R>Npqqoagzk>x3wc8F@E=khnYmKbnsE_Y&A63XcnkWJdn56lr=1a{^v6 ziq?>zM?^j(!F!Y)Q4b_?);_aH&_m)}QbPiiA|KwvYd>$3kRaC}&IJN^z9aj4>D1DY za50`6emtri);mI5dn_|~;%fv>`$!mA({A!OcnBXv{kgQ4bAmN3WK~{(#P^kRJ%253 z(Hw#tN22T@<+1B5M@_E}CI3lx-;VLbk-)oQNchUz`EZX{9+C0DgwR7){z(F(3k@D2 zq!X?fJ%==CNP40g5`Mm`?2#AzbL)pJc6dS$$tGrGs4Iy&cp@J>)c?Eiq`^z|Ck+7a z8?TXVWhm4N?!ZU1nIXt)SRr7se+>(N90t%CoNWK#BoW_U$@44X~i z^RdFm4v_Glhau=6;6ns&Adv8FDS3g2h{9t=0`Zsy;R$zg=ow`HuzOmZk9;~z?f=)` zVK2`iALrK+MY*Q=bQH4MrOl>K5sCP_d3XytB~b?oGB@e){d4*d7402~ zPo?^$AwiRfMj^U-*rRvQHs*wThNqkxhJ@z@d=R`xkFq!N`&5RS<*vFB?`W{!hk7F6 zBOspOo%erK)R6FefY)gWNC18wUY3>V2eL-h9{rVfPy~GvcuWD;kmt;7Eb1`eZ&pAL2{Gt812%j~S z@=u`##znoxWiB2sYBE0+#ijikr^_BRc%O5Cg`?x;;LNj$68|yYrkb6;avN~hI2VuE zHO{{{5N3Nd&OhxvhJ3d-XZN_Y`Hy+VITIy!KAN%dg;zhHM#IXu2>)+uYe(Ou=|m#V zg62FHXPt;?CE1 zGPzgMc}mD~{hi-F(V4XarAwVb2>CiG+%cAL~ycFhZb< z%4I2#KGpJl&*UiIv7r>RxQ2yLYk-*i%xiCs@W0*VF}T!2#6F>I;Q!>Fm!|jboP6b_ zH+R1H;`B><_Pja$(r4;{LtrU1k1WT&|LD8p#}z4Zp21i?5yA*^q`S zh4a*3(kW5?%_DyIu*@4c_o{jaJ0c7Oi`P`PFMvwZy@S*0|EL9JCPdxSPf2(SN;dAB z&}u+%vNVfV;e!jlPkt0ZKNvA}^xA5qz!1k1M3qx>7ISz^|{PP3i2 zKs1PR1>5b>jHz-v`4{OAy0}zg=bUZ^xJv0J#TnF+SJ?5JJ@L>fXk-8t2&UM@hZ+rMH=J0%;)Iu zY~EtHovVA>`A{maW)+QW>}=1QuGJXRT;%rU@BRD?Hu7Vq-eS0&4>hPcC#4!z#?R7s zkow!=cD=dmLWwi~yEo^qmO!+)%$w|p@CdptnQpr*R}BoCi(G>9$F(_Hc}#bi-ri;U zF|9JyIHi1q?~dm|7nMr46S=OF}MR6hn#91*t;*TmRjZFnI?6ZEp5F;Ai>TGC5imMoIVmlakq9i^EyQ3b#*HecxaB z{oIkT;G@uBO+A7y<1UwY5hZkl&&VVKju$jD5eX5~Qfh0s#bhnoNYYeJgi~m zM8QcQ#2k=^b6%VWdU4*+m^`Z%kbCr^gf5QJDQVde)+K2EU9m0p=!`sCRm}JQM%?7> zfZFYH_PW+Pi#*yUnO6dyApS4K)kYrQw+Z=s#Tde`Yqm3an05uZz>{%)Wxx}ypVQWs zg7!I$A?M5}&Pvpyf2FcbaPAW4t-uKfn6?SdwY@nvi*udX>9!%$_p@wdo>7K)t(R~v z&blqCJvCA$GByk1`$9^)X&s6@uB;&HGNFBw)VNkkI8Ixcx%f=JtGpfJj?7KsrW_EQ z>sVo1l{HwgL&wN&bCP8{40-3IOhTP7}+HZTrnRTivW&SN7645#n+F9T44{?X%H&x%33Flso zA!mw_f&WEs`;S(#W1c1=#`Yi9Hdu@MI=r$}R(v15-qx<>NShBALa1@D6urVJLSMT7 z*IHa^o@7p_bWTb!J^D|aY!TW^@L|BrwyAL5kc?AdXmZXrP;g!oPzMcs_vX`>AfiQGks8nkcH22zmQ$zPPVx#8roqsU2%wq|PJ zT#z@e9huPAU=`&fKH(%IN=`p+bHeRsTxMGy{BZ3LoV0*zTicz$q1{C8`zc@-d3~12 z^iyi*PxsnlYaX?^kPIhVDYKiij3)MNUBv3LkPo>>|E1HT!|GboMb`0PSUZzTnJD$V zTs+aRz+ljUXvua?o2k(rgx^=R7+7z@T?hYz<@<@TK_?$&v|YQ_n?;Paip?(vk;6t; zMc;th8b--vA#}(DxJ)#UBl9h}aLcTBnSeHqOm6ILHz!>t|25V277eGR*=xNds;VyV zT&>!ZA)1JXvz;Nxe5;sbopW)9Je2QSO|5HxS9SfDc8lYFOKR2zne1ZcIC$=oO;-^j zLY{9n^r!j~-F7Z1aSA-+?GKm7WtgcknOHro&2Atx5rnJFiHz$3dGGHV38hG?)RvaPSuli)F$cdj}l+JwZE~a9!0I_u28}=ld5&lQlyD`5^}0QENK&**QMPU8!zBoEOWIo`QgZ%N9JYOxjLYPR%Es4fSI^|q78 z%-V!@)`~N^`W>`?TgN+5TB z{BJ@j+0%Sr=T;%_xBaa}WtO?6I}*;}IO@1PQ^8*ur>P_k2p>5}KVY#vIM1pFM@~+4 zYv(JEew^5O4?!Ytfy~9dm|Om*w8Ds-ZZCD2u=AQWm*pigK`(RhnLG;XWas?ufW`LU zWOEKLyM2#6uFg3nPcEu=L}ff4ZO`t$oL59T-R# zi-f>}{{LdzT6o_8BFHQmJh+jwVH<>JDBGYdY(CX^Z6nPqayI#F?6vQ+ITBWm2MCdf zRFO6=+h7cc8FI^R+B8agCQ`RFccYQ!6*-Z{b)lziZKZyP(rY_xWAJm^uG?~!8)tl@ zt?xzh5NB_pzBI*)5Zwcv52B_j;%rsv#gg{uo(5~G7>>QGp}j*|*Ra_k4M{zup(GN> zbVy@S-$R<4?h-4~xP{e2TG!CjVH*p)9@6Y;#!ah5flH4b()=qv7gzC=uUe4iY?YXD zcg0yKKdjBZdb7FJ-ju3MudfaIBJE=`a}4oH2wRiPdi&~yS3cLB#cgq&@|gSE^Z)dl zdp^7C_1*&2zC|QY%jumXoK8j#EbVd{V_Hs2=5VJ6ap;nVeJ!UYZ%I!3T~_z}W2L~? zreFQc^v=)sMlog=Lg_T5PZQ>srg!eCj8y~dXxSNMhQF4>dJ*nTjeouDWANK=seRwp z$UK+XvhRggUVWjGg8t%g z@5r+yUjreIH{d9VE}s86eb`bTRQMTm=)B!U1!a=sy@5Q~O2Rlz#fixZW8^w?kyCGv54y_w1}1dMsqZBUB%+*K;TR)LWf=_PF|ZAghQ+8{vsrNyDT%w9x^ z@YcI+s>u1n4_Uu0Y0lP!SSFa+*tXgBQBLcaeqrP>!A%ikXDtDQM7gDHhcU)+%&JgY zE~){fh!TfB++DUCd=)@$Na1!Nu-!CN0P)lAX=SXqA}L687ot*CqoXi2sf~c7ikuG9w6L@=M|A{=E@L2U>yO-j7d>9O>xGyw7^P7=_H{)r|o)>1|WXB)LJef zLuRc>60eVS+KL<6NV_aH(sHZub$ALJ9>V7D9LHdVG31xIEGkDgcGdT0V{yaMp4?wQ&(!IIK(ET`CHU6 zSOJg|V!2WPqQf+X1Ze;ghnfl?NTZbxHRAz7uoy$On;{iI$X-14_poDzgea^sDPF`3 zF|%Pgr2V@EAf6#Xngim~M+FdEK;bSHD;{h@&NhH%`xD4(9 zN>g%N;%ElF#0Q;%{oN;R)w^^oD;oexHW*}YCd5@;X_@W%~^HDwo7)}vpCvj zr z@>S)fKX!>!+z69{Sxsd_8?<)^qq3>g6Vrp*4y&3hp$lv< zu;bRuI<=fpKj1wH2;3SusU}Ign|GVfh?`ZS)NymZ<>Q8@c)3pzK~Woi|SjmJU;7tZ->F;TxTbv<0=^F8=k# zN)*zfF-z5F8sCV*y!OwU29%~diZEnCso%)9V%>s9 zxk-~ZNcBbmF)az)(kgDyBOEt8b!kIz173e2xq{o8LTd%LJ8}WeZ5^v$lYk3r49olG z&v>|9lG43T8@J<@A**@NQB=Wg+B|)z2?w?cZVoSjgDUyvr@b5xH&(qdLz;XWWctv2 z%N}8F%(nwnh${JZ!f?A}^LGU|9;Ux7%w~qKFv0D-LZ3A1V+d}J8LZ>u#)8T{9S^q! zN#aUt&bm&6n;x+Ym!I1Vw^=lcNF-cJcj0o+Cs+?GcC*$2@w5nHaVUn)ucZ*qth!n= z(s@&kZ74`L)$@Rew7sVEjA7iwRB^FCPlV08%w!lG+?a4TX`fDS*G(0*NECx*c6cji zp{%SQ_*sHo+WgI*4Pq^tWA%1Tx`3ilb_Lo09;{mTqT(T4ZkdAKy#nHr59JPLWTYQ}6h9VS0pKDUi z-?r1|jGbWlecI4vtVL6hA+kgNhSo|;-YUi-!;Pos?DPy3 zqMlubU~y+PwaFhvZ%LIK^qK1$P7b+@x~|r4+w-a;B7?8K(@$g6p2H(L&kYuQNStWf zlHQB{JK0S zV)M2+waMB(mDtS6?}r*A?%5bmY&rnH+5vb^06PF#uO7m${`hY=RRF;b@bj^t(tBAo z0ok0DmEL=d2#fsNg39W|gOr&&M?Dkd9I5P>@GyOyJUt{&>6SctbIBB=q!RkGwm@)0CbYBs(0e?2OBy1kzY&bkAuhnSAlz0PAeETj zQ_IVOcvrx!KmbYH(>yJ1OimcR=e5=(xl@54M%C^f5x2+O|doth5O2v3l zn3jzDlDOAIRyyDf3(SJCRXU)ZZ3_AVeYdIbSs_$tx005(jcdvzbO1t3cj+{1{vt|v3y(7 z=B!Uty0fR?NgEt0*?Y*8nWMFv0@9V7zhaNZR9sjiyRPZd#s8nB!-Ya$(p#B6c)E0# zMydrg4Mt)>EX)I6KKJd zA}!5XgB&SrKxga9&>+Plo=iNVIpLtKLr{v~VxpW-736p3E8Ura;Z(lzN$dd9aYJ^M zl=7Rb{<0P>Jibf5jgp4qKzPa(4nK}~*wDdKMybM6CLw-HZ`SH7*}Fz;Yt?1$nY2m= zyekE#B#l?6p-T4VlY>vvl8JIqlhTjH{4H(Ut-ca0TwqS!Y-~eZxGA<#-${#wEZJnz z#&h9>B<&S$CM=C_9X*Rj&*ug0r14eYm9TX4j*$tf*ybaWUv_kKKx_bv1&N$VvZ~9K z1+m={n~%K}cv&OeQocD`#RX5tE4DDJxWJcxn6*j1PM?Yz(O+ z(iX{K0rbG+RXhc|m6GN+EGnKdINUF8Q+5mv%$>aE;5VV3%HRMXENFL|rqkK zaRESXXmjP`WiK6&%?F2yrv!^(&~2*(YZdN^u#vLjsgffB!r%&3JoPy~GmYC%`4u^) zkb@=;7wBz)==IokO51*`AL7Ei*yfC_;sQV%(dO`W1PdF80Nuvfh(gE3-Ck8cr(tmW z@cDF7lIFCXH`^*M@QeZEZ{Ss2I3z^)vFh2(J;rEBcHrsRU|S{MPX8YWe1Cac8S|O6Z-1vLjdqS>$T12JHl%@(R~mniK9>uo_QQ7w#!vu_m61ji;EB zrxcVjhO7$%evGM^o!D#=T4P^^RXkm7O1+;h-&4#!F5oW8w)oXr$HVuqvKn-@ifv$b zNo@Yxr5&~*i6udl25v$;1`t3Pux8bjR3t)a5kw9d+h#RU-n0dGMJb98X>~tmFe6PZ z8|fx|ovJ3q=Kms8k#=3|{Py5|l~6?*O4mr@-Ne+Hmay#B#&6ddSM6$dy(G=a)62#e z6UnLoC8YxLWjm0*!Tcg1EcySfavofI2i&7U&dD&rgT!7j0$FmX*^aPc{I^wY!I zwp(?@1?~+-!iA1C63^B0wWPBWtS5c}bx;Z~%Y|zO2%Z*y4~+Gvb^5s2_M34PPw$Im zQW9^5w6tAom~tK|hZU?-HX>Eh@`$9Z)OOL@R)Tdzr&+GJhDxwj7E!3Ua8Xg%ENT3* zG*k&z8Pmrbto2fiw|tvtg!QXs8}~#mS=~mJ=?WwNW)Zc8lT;0!?iyf3UpS}@h_}Hb zLZJ?I?c9V6X_MNHsrr;k=#$zyoqI zSTjylvhIdXO=z2gM^qW**60+TGMPY?j>D8hSM)D+u}zLQp6d|XkakAt0J$!<6WXRMvzw7b z$HfxpqKxsoaVSksvSM9s3T`FEYd7-*wu7e3$Mg-VE1HuqhZUIzD7Dlabi+mut{t&$IF{%&Hx1*v6k!oJ`Es@$HP1Zr66p*qUHTWa!Ss z^0K7)Ka&vp=B9eqj%_6oEZzT4A+Q3reQL2PC>;c=5rFwTSHDYaXRQ|8!bC(Z(iX%r zqir7*q6%&kI(0s5Hzdj5 zM}V!;0ZC|HD)d*KE4Jb3yt>j}d=XV_V;H=kNX!@;N^Lha(+85Kw9Sci!jim6BxlO4 zuIZ4!Ki(U@IbxP8dOZ^PotZc|bR;>eOWwtG9TnVm$=(IC3)T+Y3XhV&n7yU}yN(MC z9uwFa2Cj}v<2ADPmb#3!ti_Z&<@Ef`CauFZlul~fpHstju0AXC?pnTb56)5^aOa8Smx zZJTUkfIuNM#5QbPWSi;&ZZ~u|BWZXHeNr3Ye~*J)S2)gzbl(im*|(yLZKF;T{y^A7 zciyKh+J-dJFW3fdEJJTu^QU4PePM^T?WUBNcGyO~ofg}DV{2l{@o?OVi4s7VBv)#v zIb{n+lp>@6S%*`%hHU~SRnmlT{JP`;oI7k5`>8>gM{)bFv_ zsjkp>460ph8zrqHLk>#vc7ZV>3aiYm<7V7b$q=qQuy4I7tz^h*oxY%v{HldxybNLL zVA}O7q)LV`?Lz+S^iu(3tL!?i?OxWMhQW?5bKXvSF<$m=l^9ou#7g$E#B+s|Pds~9 zNeKh}!v}-g;CSkH2t&^jN6R)`@IGRvIJ{f1;X=`!QMg&#c}+;WO$17Hm^1{X3HoG2ITO|)(KelikT#)hk^`fPZP%pmtTtz> z*j7rb*hV5vyC{_?mE($Kg?TrH(mg>WS9 z5Ze{2i+}{Sm15bg?UL0+K&%Sy7~kAWsu|z3;LpFdlVgEWpKf7+a3RfrVhJ-K(l||L zger-2)7V^b#f7OXr1|V{!O?Dbv{RV@H>;~KH#lw3PSG5DwrKX7mwjAbKzPb>01Dli z4B<)I_&}QXQ}YhtWC%~NtPcIumBzGG9n;&{q-JP_%u4#au~pzDLk@}UZDXszONLBq z>yaThWZNN=R>_bF_ukkl8N$5a*#T$8g_~j{8lHC*7iLVE7vp8fdbz;;R9_8NGK6@# zLduvSR!@lU$pt%1Ma5H3Nx!pQ@zkX`b{(FA7f-uy(U<#|?Yrz4tdPCy0rmU)NLJ5oxbuQgs=F#WO1&}|r9~G^0k+YdcSxEq z%*Jzp1fP;Lf&`&d3d-N!-L1OQVq3{M(RfNPO6lIwN~fjlq}U#2ontD!Af*RKE8Qxk zYsKc>dOT0BN$KU$N@t|>h}h1VrzBGIrADr+r6qF~99EE)ynAzPw9yR^U?uc22?!p%?zCa_9beNqY7@be%{Jxn|THhR`s>x5Fb*Xqb#s$z9TDJ&7*ic%Ct zX%#?jO4@m`?&fkxQ~?BOm*nYIW2@N4;BZrH+l;MZ8|Kdi+$w;~Yb4k!iP!~Il*WDl zTSe(sp>bXk7rng z%*}i{XpEklHs+nOYJvrXC^a&3{4kX$6^so)I_zTG(9}1aux+>2Ypp*#Z^y+;>oM2F zk?yuE|3zAUFDBkS2HvkPc3}ZFRnObCYbErvYP~K@MwQUx0u$0Ml~5)0cP$DtnJe`L z54}}&!5c$Agsl<O^ja@p|8mW>O@YUNuGKvr7(H=EX{0>~CAyD%D%tB)0s=M0eD=4mBE))*ju zeg9MdnUGC4g140ni7a?Qv*mXg27)+=?DWl@;9X2)DCharO#sTTFxB(gA90?lC+2lECaJOii_wdEqT}K;DbDmbH0d zO8^7b32nkT9ww61lbBc~n*siLNps zH=VPRNH>&7yhp-N4OJ3}2aO<y(Ma1s#?T#`_~^+?|56;(`fjit(1mjTqY* zR>7L-(MdrmC-gS3im zOyDtK72GhNQ~n5F#kM2Uk~m!zKXO3o#syC zH9-$&Gt?-?;rf&zhEWL?sOd7Q3Ey~5snw=1U|?Gj7!D81woTgjAp@jE+rk|)PDP>v z7LP38A|zeyfQV z*d_&$hyrflnO~t%{bjRct#WNjHDUnX0zU3OBzhg1KVbeY^4P z@+!8m%%eXzTO}>IULdYc6{TRtw0j5;MHQv1q>z^rGE>es9j4=uZ?lquVkeZEV8%JB zIb>}Vds0Q|L9x+ooGMXZlJtMZfwht${(OUX!U`ZaWmvqptJt<)Y?}q1+lDmR(H!fL zlw_OG=2VeJ6u6#=Y`$;h{{;X5|NnRae3VT{R8bViuV1D4Ieup!YL<$P*2a`^Qs?8& zo2&0*eD94qL_8uxgc$M*LWweW22s?i2wE6@KrMn6xe1{#h*l9qwvbx1sYxP`z@l@{ zygSU~;4p{#=HB1=pYzT+cN$3sDItVp{Hf2)fHf1W7|iGQTJWW+sWWP1l4{tyd8B{2zOjaC9(%}&E6_Wx$=3Nu@u%M2ZCQO<^BNU7IOEBxl(>*^0RsjzKlI(H? zG@Tlzdn`KT1nYKSVzH988S#EKK=Q;wL%L-$nOX)lO{PjPA_1+G)Nw`o!(DhjlT#xu z*W}d1Sah@u+m;Lz!jI|byO^J^_JITWu+cs+N~Y@Yfkutbpv1+=*PEGY0NE+mCfOVCheNIJ?;arSAk2BZPTl z@ekspI4?YO@Va+_r7&3eLpUs<>Wxbx6ERJ4*i<}5eXrw(X5JwsAMMZfx7m4gb9Vcji3Zb!uwrbj|ei zsi&p~9SjVt8V>xc1p~ABI@rH@bu(udD+haG79K_xMrLABH!E9HVook*VrCvDR#qlf zW)>b|6&YeVD_1jOaZ@YTFI&Iq|G5|u{eMqj1PK4@|7%C2{wI5apZg)@5U9C*!j+;c zqacII`pBR}Lh3#nRtoxhHvFUs&xJNGDFgeG0Jt#OZR9)gxTMCa=<^Ypg{6N3tDBpC zl|)5JRjR3KD=U|aHoYsSMy0{h(t`W|Pm`HEY%Ug;6J1!4E3YdnK1b_!0!QmEpHsO) z4z<6^T?OKT35MawKnEc%Kra};03*mnKsP1cRldlUN2<)1Fe@H}+s|&rKQhjJGSj79 zdK@*jaFpWZ`m<%qB8y*Hd($PcR})A|CW8i$03{u#^z-xltce>uDi%M0jpdiK;pxbW zd$_gp+{JzzP_Bh{qbKy?{H4c(RF{s}%K|t2zzyZ7v@6HI7oO?PJcndH6m-p92WdhU zucg5c@rfeg6q7wa0U$@3k*wzZD`rY@B3lHfK3oR=uSjafxuMhmK|DYP+Hd$)>P?kF z=hu6^9&@~iv9wK$(e;OwH#@^JyMHc~)mWl?g&C{C6bF+Pd{R2P4WaZ|h80xrSN!pUUIU;s%S}?*oN`u5+ut13_bJu5Z>ak%Hib^!HJf^_+UJXK>C zQjQ*Xmxe8Wi91)f$9}Jr<49hiI$v9$-O8G+akTnCpvPvZrmiddlb+n+#H7=`WQ2^X zpLw~fxLg4kt2jP3YTK=AaOTAGA)8XX&GO( z@h1e1RN+I{UlT)Z=t&m>))7gJK&r{lz;iEdVn`a;HVi~%`lb-m$F(qebAL2RoZ;T@ z@mSx6O=@^`8~$_+q&p!~)u)lXWts2Ifs)*BSO6>Ne2N| z1BahWYFPr;ldl)`OnU*tA|DQW3Buk8!)f6k*KqRbzC-&r_aSv`{0K-B&t*H|RDa&F z4fY6Yn>_Lw^<-zK%#i&tirQyYVy$9$rQ7#qr4iECen>_s9~0NA4XmRSt5Td+yKlI!9jd z;uUXgyC$L+g^qk~ox6-Dhy}A_T~oH0sq?-wC-K`Q6&fdsp?Y188WyF5kF%hp4oYZU zqlk5u@S`RZHcP(7U|)o{LlVP;x%IL-mY^f_xpW;xho@fj(ed+_;aBrThDXc&s5-my zq9Q%3jK}AEHQ--0i?HLLz$7gFRQ1y2gt|dkD@l1pst_b6s?wpzueA9=yEP1P*($En zV_(_gn>gv|vEO;Vw((-I4^6mC@PH?^C2(;xo%${N9iNSS`IaL7MujCm8{$`3*`Igg z*UNi=-RARYZ7_%0d^j9tx(r#K(Ov}4qJ-JZlEM3ZjUFqa&pD+rp&7HeVHL>J{^Q0W2?dq@^nVG_QXaejnr!0 zLLUX*37+)n_h-+ihyW71Gu~(D(W5hn_V$_|H>Awt=>4d46ouaFex2zyV|wqgAFpFM ztSAW7)p9i`!XwRJZ1Oo&g?8VJKSHS#7rt?t1fkwPCmi;vGG&YZ%iRv?m82G6CM05< zAjdQM`WTGsqsF{opvampVmshwB^Q9X(y&Nc8$!>03#3|g@N(1|`}0oTQs2do4Ll9` zFei?;m(;RF&&LK&46ypZRNqN_QJ|%JGAbsJ&HM>vBF0y)%DX|Pj?&1Fn&Geta*Gul zY!DA#CiPRfqJgQszSbT8ZyxXT3g;EGO6Qi07EZ$Nqxg$me?$r}ekLlumE9y^^6-0{ zpyrv6QJ6{7`REhgq^3kVHR*OB!4i3S-fwPM;x$r;4ffz;i)YX6N90FFLd}Zv9=s7M zG~GlX?AL#p^7H9FR6@!BhJU z>8evIA}#+u)AvT9NT_b9POkv&B1<%9UNGWYv>pBW7)!#A628;hlx*>PB2}KjD(%C) zey8u8!{{A7T~Al4U;5nvr_INdY{bFG6I8fwjXc96=%xJ2a&zof;M;j;HAv(WB3iBI z`3QRPE%%T`c$IUF7EqYaioN@I(G~I}U+$Bw=3f+m@(M+#zbai6;{*}`QIpZ+zgS8B z60EN(EA~A!LYmnHW>J(t3^a%Rfy)d`u|5NTvff2(Q#@ zn;*CrPdxt=v>&)m^Ls!EU(Wp#?B39qwni*gK9^_#iTS!cNkwl6{O{vFb(rshd4AM+ zTYn8qFJ3x0wo0}Zgm52cpTgHJ=<3gD4!FFUuJk+oGOtrUQ7iqIo>!>zWrfDm=X=V1 zIOE#g-)laaQ#~&+s79WKJp}PkYq$QjUZ}tKKKCE7C0*CN>}0)S(Y#m%h`!#RwHkgp z&Iil@w(Oc~l>zg970qu5Z~h~%85mpFL}y`w4WM|h!MkyZOU1%hxyrZPQoU;z;w7C6 zo0Kc65uav)fFE{ytH$9NSF{FV9|7N9KOjN)`72N9TqK_#KS2n9&Q%MU5A_66f|6NFfALIPC;5I!CHIBZpj1&wEp6%MZ(q@13ZY# zXbD~(Y2W&7)ghD}0RBa7wSQR7;HZ;tpBRKezRH0z6a}+Csyx$uH9qo-KXSvb&_6d4 zwhdlFNy^`n^7n;CTWhy|_dLagJd%7Fy}nQjFv zr|)#^t_tzI_yv6Lnv!o+7GIFFqicS2FbfipVzTX=APeeOv2JlfaL`#bIR3l<+Vt~I z{0gFfAg3pq_bvgqxsM&HGWC5ST|G2&9fHup8ohyO7Ze^|sqphK$|37OgfH%$sjqn! z*$OQsX%My+^s^TEPlp7jgz6_XZ1D%|9l>SU3Ce&^8nti6B&8_$)T&k$n+7=JF$J*1 z4G_4rr|oL=~;QgLldC|bf-hrQX#>UvSEJ2jjm~Jf{v#%N$U~46EK+V0Ko=& zw!jz&n=;lrm0l$Z=zsGr0ePkK!u$3((atR~vlPv7x`Q=ONO?&x&rG#|Psk6WZ9!!H zseD~NH~+uyC+_53Ot+q74ws5Gx&fO|U4uf+${x7bpqJq0rEJPTgZkGL+!B`&hLzSvtSqg|UCa-MpOYwTvwz zv_7K6tIO#xX^OdYF8x*A!pm_uZ=3@;BX-d5j7HFT?CDLIV<`Wy#(u5GT;RaY#TqYv zGrt?M+%~bAsU}=BkD2*r-p3y;hZ8C$S{8&}$FNwl72}7dOk866@rT7=|AV`Glmu8h zB#}%9Rk1E4;>MsfxqA`uchO$3pHvh|dCPy(t%E-}->Md~XMWeAqg4M!{I26*Gv?1A zf%f2D+ZikhWyI5pT;0dDo61H6385|!~uotOwv^e>OmO+4sA<_jm zbFfmH27uhFOq`nm!8)6w8>+4mdECM#f&Rjz+|1ibOF(zNGDr`CmxGF6gmuSAvk^^9 zNRJ#mlvOrMgJD~ug~$>!IFH(Q@$$UtuCdYG%;I8VYBe#pS%A+bJ2e$C8B^ZEiP}Fk zJ9QzJ5O3rJY1eOD%o1T<_XKHti4f_&s?8nEs0=lfg018H5qo}3e1o#=kHm2 zlO|@vrdBz)qV8rL>JIhlI>Nx8YIDOK#3em-LkFCb%Unu)R?H5{Ks@wtExd(*OzU7bgA&Lv5 z)YF`?p?acbBy$e$zEjn`?ca&2;r!ghFv(VRQEY3qv$r4F(7xNaV@}DfyN|9y<#Xs1 zvHWV}!0pefp2c3YjUB2pw_y)k*tA^6IbnvXA)2vCwt(%&V5WR!@5?x!g)wOmB8wT_ zjQLWqekn#_iq_(7rPm?Hx25wJE@ZzT{{_V_D1Sla3u^fbml}^sKLl-V3ouRO3Fq1A zJbtn+rWrLaVRj^KrE~u=sw59q+G!e}KfqG(fIwF8c!w+NdnW88(b*Y_?(@_zb!77on(0Yg;G3&I8@q# zUJSi|H}G=a0aAUaluz%QR^q##Lbw0uQ70rWoKr1ua2VR`IPc~3pfaZwk2=MJN>5bF z)@5sMa2dI6!ir*ilCSns{pZ3lioJxry~0jXMZkMU{lb}U7^h4~wiTm9t(mDqKb#(F zIj_n^9qmc+{C9w*$*^wELBOhQ7*eA}H9 z45(c=;j(*Ocj~=Mneav~;f{6j3V<+!hWp^^F|Fur!tHMDe3VGl%^=(@+PmP`bPr|X zC9QB$a?6q_``sm@a2Hi`qEIrZpKvDG&H6f1=LUQ054D;4m-h~a^g_g!(Ag;GAF1>Y z!kMWr%U}G@Y16fILe5P#6T-{X+!6v`q4abd7*3OUidV!==p#ii-?xrk!sf&Ue=z!A!DgGdB!^5x9WC?DM)$_Z3Vzw1bv;V5of5{u&_UQ6emq2b?eR4se&N0KX~DTuJW3w zbgE5jMlF^XS8HiHv4hSRkGcK{e#dJ%O5b_y&n%;!Y^_m^>dyHFvt9rG0i*^qAidHXu zUc2a+c|AOrf`L-a3WDo6f~JeqyMxSpLK-Et8N@9+`%*Y98OY=n;ZsFtsV69 zyTdFM?{gb{pT_h0T5k?ECcSzxL-)S*h2g|_yim7qu2Q zBccZ=cYj>A1{L<#cQ#hIw}Z z+KF9)y`I;}LNN1P#Z1Rz1It~<%qbEc8)YJ*4fH(H!%MDpPq*(hh66EXa=rF>op*eG z8?ryevNcPnG%-^}3AA&r|D7k+@1;{t{~E>pUy>&JnubidJ#zPuMS{H5P&QaTU4P>g zD#IUIiFhC#b%6Fk8Dq;wO{cBS+B#h=Der&lrJ8Xou*&b#T4gU<)Y$kYDH>ZXuF~YT zIPGB%cHM9b_qDsBn*Cv7GZgGGr#nxK0lT*cq&s%kT=t!9vTZ`dmICID-s9w3XIkyv zdb@rM%KL@5$*Sh_;0Ss}bLNeFdfAse_(nVx4M+ETlX;J$3TFd_YbD_<0wevJojr^F z^)TL^^`|0LPi{@PNPRb(!J5zra3LDg2vX^8zZZ~enGb=>{hHZDj4|OXdl}*Nob1&W zLesP6zi)&W?d>2kBZnZgu(mi8G9CF7+(wqfQST<+wu5pIM1;*{9)%av3MTJzELs%! zW14A>8PFAu%w@gcuuN&Lu7jo_8;Jr5_W0k{d8Wp;m?P2;XrNAhHM01`TOWiKJgPJu zTLo%&4L<>imHXkz^`bF;W2PBrVEhi1*N4e6)rZ0T!ca^Xatw|ai6-Sis9}n9(D4GS z!P;)H&x!bKd})35b(%sJRChczZi7Y_G4M>!!3LJJTVS4H#k#MLr|T5wZ6vh#PSe0s7}lkiqfxX;jcl9o`1SN1zi1*SckBa;T_>ibssT<@u~-j@ zX>>BP*2yZO@jcI6){tu+87%>edjr6bg?xOwBv;O5&jNA3jLJjsspacX>50#!x4+3Gi(^l+7cy3Ho_Yt&C4dhkANbA1W( zakU{a9;AR6F;l4(^1Nt%Lg&1JvO5X}=L3FRwy#2)Y1Ds(Hp)R`*j zt~^Wpa>*LT(r}8ua)yTa{VZ{$+o%N)41O80t>UclL97A6;>-_YaNc4*%~z-8gk*4` zTTG^Q`T3wFal#4LZi~(A$W2&Hums0sahgQJIk1tu_CkX=DO2}OWB^bP!vbdpy<8SE z(!2?6`e+%4w4lANppfk&C+Rysc|*iV5=IhMGIXgK4+=TT$&NKjm8&s4g}#Tq5kaRk z>GFVm-&9^MY1HZ*dh>|PoUR}oSn^YO-(=}OO?>&;Km84dsF+2J4I*|?0JeL&wtM^o z68q5@g~H+uYG)P+*l?hTPm9Jz=}b1qgP?)a8KNWhxBVtgaf<3hxs-N3ZX)lOri2-8 zQU!^dU_qtMABNkq(r}@p z#oY`Y}0{WMe{<>xD_6-EW2{}_w^(W)@B2zA&` zF5=nZMljs&br4jz|M1giFaRfOrP9eC0lgb4GsZzgZu6C2P_WyR=s6DZ27CZ% zyGxEIPc9*bAs;N)A9n~OAE7AzCOx1L7_=4m&TXnF{3wZ%AN1@!U{Uc5l>@*%;v)6O z*d>V2ApL;Z9WIE8_Kc8&KOzlaT9#U~8ZMf7rO$(Kf-weuAT@O&BLzMhM8r$HVMUw_ z3le(1YM z+kc6>F>bO0eb9E3`B&L@QSiG*gtlb!L+&M*{78Y>3I0@$Sj2<_BC9+R)BkQ{iu2>2 zi5$DWo!A&YMN-4n;#Cyn#(7fINoBF-hChq!Hwx6}Nm=-GVKu$>a)mU_i_q`bJhd=o}h9n)IR#_t(u0q65-yA+T((4#k%!}nBg*H zJ1XyX`as)nj1`3AB@}&edc@i{vv$k0IAzu$f>kT<=xaenz=zh4+5^MKhamD?dQvB0 zt-LrQjjJkGpq*TGK}s%9-!o&bIXSgH(`>A$F0zmxKE3=3uO<$|CT)*p{BeQ6Cao2x z&=BrB?!ahY6;MIcSY7Jg7M|Bk$>)$scXMT7qu%)-t0k`{FD~!9jXJ}*l>raH#>+zI z=q=Uo24a{73f|9re62NL)5~T_X^_a>>Bq2`C{E;p0Gv9LrOv`)cY}rCgh9Qph=rn# zj?UBRfdKWtZ;xO?_zgRMZFq)ey}g4L0rnSAFvD5aj4=GVK{f(40QKtY#i~2?nl{JH z(4*>L>`fWRFfEy8^SoFzTZ`;}Q%jmCE}Dn)gX?gMiuvQG{+zxGV-TeB$QQbdy5aZ>hySY#>|1Pv6RuNP6 z0DdSLfw#FCp!5@T@6E|9B70*_Tm zS!~qN*8*y-0y5vNiFvopxSwVMm_v2~@eG&8ugSh6&^&+s%-4vOus-mihp*D%JkXNe z@yfg2R_LqB?j?al3-=d5#%J3p-vL)Aw83MTjXBaSl9D3)oir|?wLW&#agoW5_-{5Y zSPXT^o~f_bMn^x{8EoI%B$8S#;_BPG(e(`lu+ph6Qf99c?MNw?&oMJS)YHxhsAQd0@m?|v6!8AsRdxPcYXpTd_PN)1Nr` z?G`(NBqSd7iX$ZX#-I8`mm{>sm;U0zVo93rQ`Z!v0`a;~>DYw?-QOuA#V@w*?wK%{3rnBhb6Iq`w4M?RWIU3usS;+DhRetoVp(qmBs)6X|f zq*ksJ$M~$q!-oO}@i&%%Wqt0Nny?rwPj1G& z!`&Re5NFS~k>o*vkI!o$wAY)^&6EL@V9UbQ4z}bAUqt(14^jAt7i3qo;|It7kBfk= zHw|z0v}mtcmrLoZ;Jx;dbCEY3e5QNPua%#A%Hd`zGT_G)7m2?>oL}PM?J-0IPnr|s zw*Hn6ii7Z*-9UanOYD6sascKqY_SKie&{p!0KQ5hJ;L6${JjcF09bg+9vBl*u~HkP zg~RRbBLo|W=r@r$Nj)6PQ=Iq^`Ln0WLng7~`f?;0x$U9p5Cj{cC(vt~M021$d+(z$ zZ@|wT^3m_x;{wW7h$qf2KxAV0XFHWY@8sIVV0mTPU+Sgk zG2D0g{cg1rtA)w->eTYg{wil{0|Ts&MlUVblT*rBDC(zsFy!EKtrPlvADodqp(WD; zN8dQu!Jx2#Ssm*-(FX$cj#1Tk<;gHg90YkU_cFtV{==%KawuI@AY2!Yf&TXR)9v4*gR+eC#3=-Cpy41)9~yaiZMWwJ0+F zMrcB3J%aP^e`=jP{CIDS2pXs3_T2A3xvOV_rmGXubZtrBS?am|5&powYrC^TK$qPn zl?_sKt)O^8#6B-djZ4n4#Fbz#Ii7+3U6dz!ptdZH#EadNUe1krG};J$z^tE%ebljt zD{Acnk#_J87#-`5JJVl|tZi0Jt-qN{*yN|5jfyQpX{D!z-+`+XHCd|SR_GFy?n+D5 z#O2rrfbhmvupv8`9eYlycQ*4Z;8(?~L6C<}9hQW~oew0s2rRi1P5SJy;*t{UHOd-f z0k;37-0i$@95m{9^E)OI)b+a6`Mxdl*a}|eHcAHTA~uHc!Z+gTmdgGnK-dHLrKo48 zEOc;uEr86;ZEAPZ4gUNZ_)FKja3%gollEL+_-T zLmNt>%bcgiZGDQS!CT)ZIiG=t+v8cm`G;Y1cI<+uEz-i$vV<*3tEW6GDXT9}?SqBc zUGo;M<6eKX?iTwG6Y#v55f*!wcc6UqTh*pKD#xp=bePXc%msna_&E&xx~zJFJvczr+#NvCHN~3{~a?_ zrLeRRy%jtbaIQlwW2?bg^e*#j2EbAa0>$Vok z0I|C2Dn{y{L}TBz&UuawyacY%4ufVp(#x;7j_P2L_VW>g$tFO-(!ubS%SAM`R|t|_ zvKfk3c6bK|g7oO9CTCB;)WY6W$7r7}&=o#P+Rv?m`#yHCFdZ{|QwjftK=?+(`YMC# zQ%Y^6&@`#&(hBNLFEE;8;0hdc#O#={6b*9t=TCI~n_*8j$-fz_+F81pRiCgDA^(@YI-bF= zp@Y+H!}ed5HfwIY`+RC1NLY-+kgJAy&eS$(-ZL$4PZ5+Z))xr#jL<35bGPLYqpOYq z-Mp#TI5o)4THF)6DiPJW)P0I8@vin6C7Bj1}6R!(&t{)w^PNTNS#3ACG^)z}@Q zSX=()HUl1@c*Hsg$N9Z!<>qE7@9X{$d}ML&O53Y@y?$%d6N2gnKk$&Oe_5C(xz$NM zGidhY(f2j#(nrI}a)!YuCwDsJ-Q$3~J;EZXEBjQ}fR%AB>z^;*!d(StXNwppM|QH8b~*nQt8v97FdG6y}xDt>e=97cO^fU0&oeb1g|clGQ98$APx z_kFl}9%>}=)qKOZj-M8G^{NFcpB1)V-kUr%8mDP{D$#z)Z~HlJ@zl5nP-QeWy%KKm z_y)umvGjl?2!`rr)lYhW#8$xb4mPi}N~4edW)0qgJ}modi)UFj9SmBex`fv8{|2RMzxeho;HLLV^I7fe^q1D4$E#hQ25yh1 zM*0}7f6$gmJNnb$6SsNA#P0h|n8Q107mIg52<%X9W9&&Fe{=U+)E2w+l?s&wKFX@! z@EryOW}Ci~Lj7i+4o9HdbJ44E(6u^( z!9~x3B=nccv-uU{1^8tjR^$HJ7n8ecb5s))ef3%P5O7l1bDl2Ja`NGK*-K$VW&H%b zVUp^qf#t@~H{cqe$&c74z26!S3}3(4mbrt48`6t#58%!}8YkqZ(0h!EA$$@iLYgh* zgjOKXPGZa^>KQYB65XQ0#}j`x?6_`hfPI3-e4Gn&JmUY^BA50W%=5nY!=pK+s?X=G zXIears!J^xBx=B|)A>IM&|FvK{WahWru$)?#j5`)159_8kAFBU81~E%Ijn38?9}w; zz*H9ZrN!L7{=>~0m9~kx6uaM19F5EM zGrXf*>jzhj1CHmpf@@NS!d$`%^ ztKfg=C=n}F0j7WIO+I9L|-RaxHS|eh;kOh5j-W0P%`Ym6yrGzDJZ}z z#`I3TSS7!=Ejft<&=qw~%H7_lKi%3s??;1Hfvd|>xuqX;`whM;`LCG}mxZ|25e`Iu z9>a%+&M@W>PZy+9j7|S+8W0qn7wtfdO?d`4wca$Ep!GM;Qj{siam0vM5^%f4SYs|= zDneaRY{9M|Vuim2ef%3*Okmr=0W<*soUA;WdaKtrNW=+#q!*D#2};+$j{l&fEVHqY z<9d}49kQb%tK;YL>ho2rSIQ$6E{MJ$>#tNiEI`@pm8o2QirOO{eG^ehUG9y%k=feE z)9l+Ru+G0Zvj#IccS zfX3%hFsh$!FwT_LY5HE)KeR)eEww~*gL&&F)!d5ANOgUHd{_RLXZikcVqtCVnSJ}u z*fKZtlyXe&!>KWUqCN-2Ai?$zS%`nGSia$$f_oRSa?15Wya+4lhF8J60v4FtvxRq5owU zxu6ipDHYc1H-nw$!2eIsgPrHhu~Y8H@!_m_8XCG;fzxeN0`6S1=YRCx0freEkQgtB za9Pr8CfML3n$I%Qpwd+E!ErxMt%;FijP0tXtGn(|<3wdK*)jCz>-s$vv>q4vc%EvP$wZ#P z6-pWZ+crZnCxn?V4Z@0#z z7vudJ92r0p+e^}DUkFR$L)K_N9hMBBiw&v`O*Ej1d9ya!&lQ%ybO3gdD_Hv*&{f@^ zg(hxM$8=SCihNer0Ua2Uz&^#lJzbbt|=RqNC(i4ngdK6qQVaqQY0?KLFLzZ#qFoW<;B& zy8N09OJQAn(q6Wnc&6yK;^`1$2h+z&TLYS2wsj4vjEE*sl{7Fvta2?;Kpn>SRps@R0{#+!b!5t!HtB&FL*lKG6b(1U1{n1RY?XpNeastmf;pC9 z8)qEX-mv7*i`qj-lC<7KEy*9l$WO{IO2&M=$5RO2aPKi#$OZ1r{L_eF$y2b1Uuig4 zS2Kv=F`r*0>&{8yr@<}ZhRA&wrR>( zWhOkPiC?lAHkuU3`y5sg+~8Htw^F;Yw@WRUZmDt1w@H=H4=-5MbKHQkdZwE(0)T1u zqC7tC&M;xNJdF>g`j>FuSB746H!|Pa3{Jj#8Y2vm-xasL;dIAivLD0lEWkeQAn3<+Y?QdaLBGdsk?ZmE_oba%s7Fgkz zI$xaTE8*Y%^Z?hc66xYA0}5N52n0Io$TEtlsZ(dp)3-oOPmVpd+|DGii6Xlth4?eg zOx)#>CD%KjF>L!C%y*2Qa z%FsnIOXjI8dOP)F8od`Wi3O68uWGj+F)B@aRqw{JVIEv$YQz+ zFPU)K#{!+o&_a^)9son*cT4_8>;2 znvJ#&UA<=az10VmD7q9m>lWXtd*rB7oFE3FvM~ zbyz)wTb$HO)()x(asbzESTI~w9Q=Guc6Oeac!v}l6+DevIwo8_U797))@syN z+|t{72;ts^mG&W$_ka&*N0c2~^46Ko%vj3M0bXEmRx=R0748B2w| zq-H%4$G;~c*)tL1pY-=9nk?Kn@pH1LedU6@gcw3tMhaFnIqN53!v`5^o5G{w_$fo#_D)i|ze#^5;pABXJU7E}(9v_5xy@|fN z;0r)+^%`l1bG7X>c|oTkn0sC#{M#6Dv{azIBxYUCt7Fo|!`I?pnO#hKHQ!-2trKG~ zvukD2&9FmOe+;N?d;iqIfAJAMk-n>EIy#+JO~>tAmXgY*asZGLAR;^La6l%3>d_6K;FomZJAwwZ7N4#=a6>&L;Ah1 z&SW@HOHHk836HpZB$wS=CRh!AfPu^PYj*I}{WcANv=5wf{xId{6N3A04tkmV{*%MvwYH(3 zDcz`si}|Bc5|@yg>EefHS|RNZKziAxvJ*)g?HilGLybTe zvVN-n6{%;*r&b<_#v}2cGHkiVrjI+y1MDZhq95TE&GQ{tnb*mrplR3b26*1>Z?gcw z?RFgn2g7%g$#S8l2ye9)EUDAKqQ z%TFF$qJOeXqox5c)iMFL{!KtX{282~oCN3Z4&#UbW&X15?v-u2ZEieY>C!(`g^G*? zU0=bNeBTD|xNlwA@rXE5t^Q=i^F`r*G7F;HW5_qrXvri;PkCY2Wx)@lOfFmq*&cgt z|M$!H#SlyM32HT-zJOmiT_1@5_V=5k+I{-BI7w)kachX@!L)g}DVlYUJ_M zaJdFe_I83#FPan9!Mi(5h2#a-Pf1ojdTaSNoiaF##(ZRI)@Z-Je`Oq%;k}RHkhQj- z;*=NR)=j_<*@BxR>#MJa@Iv-|d+bp_>AGt*_2$(qT!=nT<+`(>7b)b-{x$W9JnDDA zcdL&c@PMYIEjxlNZ2a1rqs@vaniSJJK^!8LBKA_ZXIh2zNueKp7AnWJ9HAfHD#s3B zxrIN-G2VI(x1YG6x3s`E;bO4-L%1DQ)UH4Q-?#tZ-Z(g+J2=I2l=-T+*rgO(Zxw;* z3N(IA$UP>|JE$qI11n+TO8TvP4O?5_w6ir_!M~6)ER6BTv^DIzZNbXswNyDw%#hU> z((QH}K}0bxUmMSJ;bgDDS7O5a?e9OGB-oY* z@xM9g2|m(W@oEBJE7-T*k$KZo;4L-6)WZ|n^|OQ)J;;F`pY}^NmGu!n8}2DTij?v5 zu&Jr3g05X*(#DM9uzUY5xz{P3h)#BL;)({8iov4C#mQlLE2WSf#%u1Z4+`IzHgA0c1XDZcO5NX z=dTZQgjRiA~P#jCv&u86HCEb;9miY#&2smTVG57^LP9SM;ZzCLxj+Zlb^A!{pC?!fSnE!KaLA{a8dC+k7Tbc*W~!HB~fabndJ z4_jHhQilXI9CV<1l>ix(o9zpIQ-qr`JVP7y$fzx?;&!o#Whd$~V(esw0(8cKWg)oD zL~&XEY?p$g2*q=T!+C$5HWOBZKOXuB3GEcceelV!L67Q9!~Cm)QICHm*9>L}A1$G2 zSH%b~l*C_gEYthK_%JRYXEX!43Mn4l0*8pyd{k#2Z=MNNn!a{r?nSkfNv=WI$?o5f zu$?Ze%s#Iv6kk+Z#(OpSp%t7 z%hs>o4=^s&<2kD=TRodP{%oAjrqQm-6JEfJzh+4C${LfpZ-)ieUuNq60tg8A_lzWftr%uC-Z2>$nrauyGFbkuE0$z_nVr7Xnso1Wx z*@0;FI2JQ(hS2)q;z{e%SSx(eTKY#aEY>UCcEq;+V~{6{*RS3k16!=LyM>VKLRm_F zD*u9&&$)+IpJwr!I^rG}g~Uqh-%Aw34o`##$1TxS@H5y9Q|*YU-Ms{{bXU|;w_39k zpv!_iPeSYJj=)yf7CB*C)%wD(R^;>@%xk`$) z!dUmXJnH1wL+`PNtYQv|b&qClP)b>bqMI4~MO))S;dmt4#$tt=c|a=M=wfZ`i6O-5 z<%v=IG}jVap*+p2f%j5EL-1~CAFXh*d%H9?@x{jE0)>lRSH`Bbn9!IVF&QyPD;yLP z8Y7rFg8z&<#4(N-p@0ixWr@j%Imm5Ttl!3_*Vu(b%#O2B;`Fv&jdC0pCBz)$Ha!N3 z5n`6cIR2CfLr$N@N_$2W607|fB-X}ai{98%HJ=wPrcQBU9!kj9Ut)H+2{UgegnOmC zH^c@5x081ZN34zU*RxCi9{>RV|9Am>S8Hq(RTRE0rShgwD3oV;+AdY0&<9IxnVr46 zJ7wqL%xvjO;z(M>tx2V{1X4BD_@^2YR1857Lqe?7MgoZVgQzjoh>1aC;^QBW1WipO zQBoC@;yGvM&hB=%o#f_j@BQw1pYPtPERRiLjJ^LsXFW`8IG?fkFh_y`M>lLm*BnK& zxfzmVp3hFe&YISbZ{)#@V-^fVYLdz=10a*w5me-7uRJj5Hm>+>oqdSC(XjHPEKn zlA>|5h$=9D*61q&H!mG$amkb;&Nd#O!!E-{!g+r6Wr)hxFwhG$MY1d;&rkg6Xhh<9|2*y+M3Hx{Ck9Lt`;c`kQ{wiTlf{aVc%V)pi$}DkZT5;^SG?5EP zq2N4X-p9%xRf`BFLb@=P{dpDjCMBt^$G^IFUmNG1| z*s*J^$Uvw@V4`|hkqNVmmBG6B5QAoPb+`HbUEO;U9lMs3JBQym)g$Z_;TfB6EblUk zd*5Jxu|O{aaa7D>ssqtZKo7=Ou&n?QA0Ar;gDlv+GqI;W&6div-wi9-8NhW8f7mZn ziTKD7#aKmB&v%SmLdl2_1O}C<*l{PS1Wd_{JBFl~tI6t~*UGOW80)$S23j5DSocm$ zQ|vWFyz@pBAq-)a8+k-kWbsKO0MYbpKJi+_@0V4>fgZ49Rt{eAk)jtW zY7T-ZGEdw?a#WYMVdmDcA~5^#H=o;RJr=oOf$d5(C`)G0Q6)_ZbF(tbz^#DJZQ$67 z8khMVN>c5Qf3Cn}VR%HWQ$*z?Z@PzB3v7S2{Zs`^Z(zNESyQrhe9sTY#Kj#5!Xf%8$eR_J*RlPu z_U&&sFTj)`$cu0?V4EpmWjF6&8L~q#P@`&v9ZzEt=qK0XzrNJ{CIC<)MizlKZ?VUs zw`3J=4WM%uZqnj$%eCHnY>1c(G8*%m+6a%0M~NKrI=c(dA3T7pjaDi^AGQ)wxYXgac%xTWb~0x!@QJ|(O`mfqZtA#_X}N;#JK7?SC_^gsSzDP|wpNL&X7 z+`2)!)&}?Ze6T@5m6<4EK4&pn6B9{C|#HUhBZ#ACl5@$u-8IlQ(!9P9!0{{U3|F?G_ AtN;K2 literal 18491 zcmX6^19&CB*Dki$dTZO<+TMC=yIb4sEpD~DwYIix+qP}oy1lje_4oZ}o;S(7Pfn6K zIg>e)WH2EhASw_cKSKxzo6nQuGuAM3cCm7>CuQYjW@Y|PD&}ToYf8$+{hjnXFAEzR z3)^>AUQ$(A(w|nYW~36PR<56UDM$ZTgpt_)mjtnf^uPGOkYD+l@VF?mIDHo}<>I4ivN)`Cw6whRT;kuz)}E<`=_>^}1w~yg z@y1)fO3AJ0o)6*EO3b};FYEDmFH3>kI~gp_K85}qtuEVf{8ahVawThd+~7}xL>cWH z>y%sudQB450~d-~H~!PT(AjI^aHK>P96aUJN6yitA4PFLB*T?J+1Oz0ZyXKiKnYUCdS6{8C3ui!8Acn<@3Q3aj_NL`Zl^LRsj zqJNb5)KZ+RPVw*fdm>-^Zi2ZQGY9;nwMXCN;NsowYrH=I10UOxq%!T&`&1|MyUN71 zS9leD#|Z?JEA8jw$0?EQHbvZ1>0{>3HlCyj%aWt=?odG=zc$fGHvw5&2MNulZL z-v@?&mY?Q(Zbrgh#{|12juY}ydxg4sWm0k0n&uJ`H`(9JT6`5$N|bAWpFCY6r$ur* zq9EnLxDfS@Qb~7RX`dDL{(!E%auk8L#&l#S%+<2|I^s>%WLk5THf*-%gK%I0Jp>FH zW!6?U*c}`0mnkuW?MZPGHyCf%1)nMt|eb44cEaoM70wAyY`2J>8rNh|ozgQyUPXsRcka|DOPqi5 z8os`rF?xO&J?2jgjx`=V-T)O1Dm58X@~imsi1#0GF{j9JWipwqls@YS6#$r3D?j&v zlS4+0^K2hOayDj3=wzZ-^L!*D?xCkVfBxRlszzO>lZd+{v;$uG*Xnx&1`oyOxzSvm zkt5UcE^cuRk)JYjt@#}m=5$~eLK}OX#*msq3~4o3~J`>feJc-(0StQO`oIkn=B+A3cAoWS>18!Yv`mlx}2^%nqtF zygdHBcfSIUKHS|L_%9cqJ**W(?t>aO+&3RbFa8Ls&j*Jm#>;{Roq#cUp@a#dhQ9l% zb#=Dk)Q!rpa@2|P8b5bymhJ<)VSN#-i+Zk7l>P?!HBLmAt-E z60UjBHjVgE3ub!wmfhbAED2{mPwv>KvRYh8-@Q!{U9*FI@@;)7-{zv2^}-)*5FCuA zo`N@j3OxwvyxvGPL~q|wRxRCfn(2@%YkUzWj7wLXd^z^%vh<376yC8)9Mg;m9-5&P zNB}=%%tUadnq0#t3OuhnXtldvbD&xt@8U{eta;iQirSOYT>XoB;ocMyH5>~#qZ(z^ za@fotfh-el(mC1|-giXGztBNBBpXsar;+{|yQF#D>2$H!L7#kbL9;SR$+g?cQ79a4 zyJ8cgse(=DnKr!Y;OVISocs2}=jRyDaUZl2L&!{JY?-YC&u>R>`BVka_%R^0-}j5 zQ+|0%dVbf0WW+?7O$8`yndcXXshHyZ?UxhbavRECvr8VrtU{}Q>86M+e!#^9+Ip5(=o3wuN zdyzo*qvVz+Kx7xf8RO}gVBP{zOvS~d4ae#<*ER+3JUKJqr_P4dE_C`NQeF6E?f;wV zu)+Yw>O3YAF1AQ=6nrx)>j1L8Jn$P;{aE>tNa=AbtW|zp@b*A|K-CmYsCLgspxE%=rttB3ly z2O!5uM6dW(z!rRL9U3D-N)&ce5 z?geKW zvylhdoj1Q`{A&7yq`bd(Rn>p0!C`U&V`ixN9sB**vaKh~Arji>CqL-XeNFfN4XIV} zQ&THVEUS;1s(OBiA0N~Av6uo6*h@NB%rCmvABf9MG{cAM+v|oaL9Z|9`%SOsVO#a? z=laW?tM*xd_uY33d;xh=8FK^P-KvHvNZ@@61Q$#M8^tD_;j{Zc{m!Cb@AUeou{Ys; zju98q!Q1-R;Fm0sPQ(un2f#)+ zf8RHfH9}DE!Tep{LT9*m7elAsb&HgZSTN;@jn8FhOjoz5Rl+qkW5;?5+`8>A#*v%Q z#iAffBHd(kp&tv@NFRrX%d|o;V*2xwn7~yl0O8e0scGf;dQYt>wf+g?7_|+)bc}C| z7z*`yQBX{YcV+rbpCLQSxg^r2e~JeKTa}rqQ1(gDK(cH)Mgppn4F1AhcXpJ zgIqUHZ@GTGJ1u$|c~>Xksuar8b#SwlZMoxdcq?p}Q{KK!mACnBHGM`ykjc${_NbmV z)!7}KYb<_|7P9GaZ;g}uF01rbTQ^%DzTKf5>h3+(zkT^QpI==)AB32(G{buIxc#G| zrk#&Rr3?j?+ZqESauq$iy!IP;Un6@IoaSUY*Y_N11K7o8CZm~T}DVNN%_`;nCC)`zPh&A|Nj*&cMhx}x1 zsz_Fs{W0azKzUTS@tC z9NZT(&&oGewA*&eW6*NznlZbUdrv&Mw5E7$aTk%&BgR6Jfa{m@akzMX=tn^_n=XiX z8)?{*FYs5s?HV0CWsyeX<`lSfEAWzSku#J2GsG#_lHT>YxM23-UdyZm?7?@BAI9lS z5zq>fgA_#e(RxfOJR2qy>E}J)6dsP?i@ZJl&It=J)jSBkz3nnp|F#=YVF`gw2uNhEy|ijufYzCR+Yh1p^~ z-V%G_gv!>EK9Tl`tWV^9qUaN4LS+M0mlPJolv$jf%HcIS*qoP+%5&xI6tPbA0va!t zqQxu;4EXj5rfBr(?kTMwy~ijJJFd}Pj;+ClJR5l|pL)en7+YRjq&`i7i&|8;#;&m* zZIm0__}-dfg}c$o5n->1FWE$coVOMeG_xz!=Dp57EL}fE;0!zymYdHpSkT))Yr?UHm~#<e$LuI`a5ceZH=2M^ z?8%jldLHcuZ5vqd!IgD(G-VK>C}A@~#9>|e$3pTqd&$l9^d72(=|N-+8}5Fj$lLY> z3W1;DtdjQ|nOkKWD<&SK)H7)H?BG0%UwcZ|SFR^PhV@RymFte1qllB|IOuX~goW!l z>`U|J8kMWnivvHqeho%ub*u`0NzV}9z(~`~Xn9X}S*&ylrS^8?VdwWgs-W4JBu+KU zt+~f)kY|{hoR{LEbV&~22H_uh?u~Og8c~bm_MxHNo241J7moQ6;ya?=K-!vb?(hjC z9MI?jFK6KQ4)BxX1_=Zh9-WnEas!Efl(?im_HBUl5_qL{>VD1is@No@SQzu(D0zUY zuguak_=3s5CmN!i)1-aV^DNa4ieM{?3{9#sJOm#7OiAhWXA8a=9!F!=Q)oUYVpe7Q zQlXAtCAhaeNS_hfW;U$EWj=^x5V`!fS5c-9#)bRtQLlIzm+oH#vrrV4*WRWWyb1M0 z{L$=q9P`$>W7$1#Mypjm&0InHIaywKG?c}o!A~y9HN16Fs=Z=QSaC4Aw9sjLe{Bv+ zJx_3Zn}lw88WbYK`HJ?^cSM`165Vp<+Uonv)`3>!hH7RWH$=m4_i_DIfo?k`y1U-W z5KQGhMfS%x61lPDZZn^`5h=KI-!q`21QdFlsuCN}=Q{#Q?T=@hh=ACvy)<9^&)fYo z+x<7&{b4*I5pSTLuc5H_VQvp$kSL)V^CVF9Y)W?)x;>OExfTQ6`g`$P7OBdbmg=e{ z`(eu$zmB`9ple^Xf4dnP9nHw5JQshoM8_EB`{P%z-W{i7V<>FIo#aJ@M?kv7w|4u& z5QN3>$Bkz2R8wY9Z~WH_Ol@XA$I@EQ5oBSnBz8!eFQ$qiW53Jtag5-{X0+qYf8N49*qOr#( zf4er7q*LA4i)Yo2KOoTwa2XRM*UR;YUZ0Sm7_Xq`u4_4-(@{xBR1e`_O4e$+lc}t; zKjW;c$#au*U+sC?+qiLUqcEBvTfiS_WANrBUw3x$Hh24C=!Wj=ONQ7hmdUnkw@APj zvk7y&n31$82+v-HP~pu&z+Qz|@m|deqMS9+kG+%Yct_H+yx5xSC!ifLJ;U}c-vnuD z!FQqCHYE2_#^ChLqP8e>;cY@*>TC>FfiPO;XZTncYabL0squSoqYcV>RtJJ``etnI zs^9IUDskGGteQlAnjO37-0AXGe5+#G7qGTdsR%ler|&Scw`{Xp7*}vPW)|u#j%FC@w!If(sQJ(e@K2?;I$nuJ)z4Ct*TxXX|}`sds`9Hm`JJI?0I!jP8M5hr8K(ur zVd-FaMpjV35Gs(F%f8SF3A$CD|3(*bt5ica3yRUDmC2>>5xCt@63rh9ohZFEng`hZ zfWrokJ%)n0cUXsW4vhTb`-acfKm~ieA(>v(*FyZH;`v#R1qAE*tjb#^14FOQ$dhU^ zT^bBCFQyEYk*LAq?-$5128I>DLAtsuz7!adCZ`oL}0xvbH z85^R!EP?!LNSs%qiYeqlk8$^tNvgPdA~m_sGoXc}je! zy4CRv;WO7~EA?%~x1p@+O$otC0Vk%7KV^CI@hU0>=i5y)Y^{l977N`U>kV%c3G}qd z#!Orz3?JGlQICd}*Bg&H zq)P^xuwDMlhu;NOHV6OwM(YD70DO+UM+(t^}2qYVH|pL z-bJUgXbc%7{9vGI!!xF)Au$R<4}E=0##P>(bRaEy_kyVk=oifzP?LU*l|MiyIPByi z7iRb-8&B6{{RWF}LPv7tXXoBX=Ymox@Q;V^`Grf+*I7qgS;j^k!|WV>@`XhB8@i5E z|HzSVH6J|d;7D-!&v$tEB1NP9Z&aK46EX|$6aSl6Y~!TEbEHO7oLhoCu+$F%%lTI3srUy;f2p=VG7Vw!zA%nTnRiGnX+jo#iiGOa|-5;Z{jP>Xqbys50ZIG(s}&nNhMWb>#}Pbhn$^DL52_}d15 zuC>J1{yHPIgx%N4cl_q-63e^=DQ!huPjqJlUw;wjC)ytw9?E@7q5b&!BvEV#-4-oj z=&_+hfjYX-oqKY3{4>5wgdY?N`oRafBA(`%%q#YT7xMdR>X!QoyLU7Xx5EF&o%eP< z3W$a;rGzl$t@KT|E}k1YE%2i4NZ0RgQWKiT%mOkbIS4MO3W-1KD?fMko6B%S^ioh$)x(= zk!m&wK>Oj(<-3@EHJJvbS0U1Pp!s{U%pXh(;1X~Q9U4n|4h5}V+6-?7#nw~&li2Lb z7KVm<$RqqA^St>FK>F76j`D8*cBbb~&AjlP!h{Li6>=__&EMU^)3t>)r~^n)LU zWNt{;PNC8+u7RPFM$wA^chJg#k|o3hqzPGSGl~Fe3Q54u^O6?e0UvX7-4}m!*!N_4 zwq4)-8lU%xDna>Jr@qi{qdWIK^V|&@y2Iym@&1naNV~1D$iWP7(W;IpcA@RO^D9?W zK-q)8-b6VC2OGJQt@sTQPUD{W=v2GIOJDQj_j~5M#OWf^EuhMv*~rX6^P+dbD3prP zXA^9{_5Ng0X()x|mm)~`k@8ui_F9)EIJc5h->2*a=e``Q+u*G1;HRmnxwV?`roUl> z;{^M747w0_)z<@A3U-K`8rHls!VmeLprIw}hrS4$cPy5(y(~PHX=Vt2ys~qPAdyh; z%n20eu;1kW)?G2!-LnA)wJ?6*O8vJ0MSXtqO1Zwin$0p7ll3nb4JTgt3$0*m|7hcK zq|<0-G@0j^S@<7Pc=%VRGox0!cLa--RW38|#{uRHo-jR864(8rSk>j zVrh_3jUnrnPtbWZveCphIZ1_)l2LAJOoIli7GvB+Mh%3UeS231boX^{u2OA@BYaDp z^s<9J;s3H=cO4udVzYb$SLbFVp)^6<Ph@T{4rTUCZh*^Ow?*`x1`onaGwhEotfN9 ziN#?S7CA6AB0IJyzWlwPM4Oef>KhI!N;bjk`Xh?5;wPEGbqN)Ib3!%z;Xm$5Wm&O$ z#+dbdbZcEd!+a2(x5Hzqb{N|SZ7A6m@u%Vy=P?FE(J9Z+qM9lJ#_?coCclg%XM2|a2RAF;8fWH0I|^yxe7PLQtx0H&Q!NTszfZk1&= zWPfm8`>*PKX`?R0MobP!@U+|M?tE0Y5ZxNz#tDT<+y+lJhU1F;;HUsb59D#tPokI1 zl27XOU%@y$LJCCOPUBpY6D-NkEXrGvc*xMS!ja>_@OvOalPAV$doDL(k|59ottW;t zV7_I{i$=E6OaSMSdT~uDGw^ziRye%<>zzZ+E7CRne3e8C?7pIsv%EwDN6bU2&@?pTs zuWwUpdN*0Gw3&6F>I;nOs(@?Bcm}0+3fayNH^EU=WnYMWIpt2&eVzCVu_x#F3lUFP z*>h2IC3|sCuF)~&%pUBrX#8YfsY^4;ws5BU2NdUebk<9HxrESvwuEeE zdpGf)%e^)GH=0l;c=ynZ^`{R%9kn@Uw?@F9{K}sSa4d1YZJF3Dn58nDrrHgH12LM2gAxIIi~#d z))tL$F7O|}6XG6jKv^>{DZwiWvgXN{JK>Cfr|q9R;atmS2C9`~@Q3iWcj{zxvV$@FcRU5YPAp4f+H z6cJ{e!v=(lFNRco*}j03bvn*C5D*$>GkSnX{m{F`aP3Jd9J~;g=O#=l|2wy*68NEW z{L+FfgRnzl%HAtn69Pkf!GzL*I=vgdj~dSKj+d<%2-e$?stLy}jf%MBYT=}MIy=lj zyGDf1fjYGE#QFLIi_g!=8-lPPqq<0k`BHXz2-Z9rbSC>KA0j|PoGpi8&*Lr0GjPOl z9E9GxY#FkHAe?~g%g(X3J+}6z$`aK#mO!Ct=COFK5R!_?b4506VXls@Vd8>4Oq?5f zlmI*3e1E`AsJW()vTO>5xXxkTIb=HJ(2C)kFIO^dBhL7pF=JmQ>%L(s*-5w@DyUO|+mh z3i2+aAY%|+P$y?#SJDVp)N!B0NQuIYXZ14ZP<-5+j;IE5Na#`CP_iEx+#bdaJ&N(q zlsHF}0S(aa%-sV}SN5b&P7$mIEC+rNFM{2Ol7pxjTy;_c$9ho6pU)>nld)Li?hl(k zA4vq00ptRuPeuN-CUG<@AUj68_{!ix?GBx*4~U^!<&%u9F@Q(~-QNx-55HZD?%DK+ zqHk0$hp>3>+AIUx8FaQ5kA|3%@2LMgjl~7iKB%&%e!GQ?7Brlgdgcnbi+M`;I9uT* zl~GXaTX*ExE#3XQm|qrDY9>*2l*{y`wMitf)O@%2F~{4W0oA|&x&KlRWH4?O;+ijx zTpXk>qnN`Z-VIvE31O@lwTj}CtDO9*uEPSuA=Rx3b#!`725n?zL%mm-+}A{!|F{FW z=|q4>a!nHDhd+d-qN72QX3z|Q#WGX3$I%7bkJ_O|LwzDQ$iqV%{DedFTkYyS7~`1Q z5cp7%@vW=d&&?mhzl_+=qOeSS_KzVU=r`>wznOQ{1wKlg^u_V#@(<~}9HCXr<{I5G zv}7}lc0S8dyz9q+AZ&!R;WF7V;_E5zrU~Y;Pe$yygZGmaeoW^uS%yRXXbY(wAB^z* z@RRuDuh*kw_U#&2Q}nKBRD9pt37m_t$MM}4(giu-{6RP%5U6p52s{PM?dr2;X}5Q& zT(fg`-c@E#(RU7^K5sO5XwF+;ZJp|VxH4^G-D?l990jVeT=6zv5NfV(p&T$eZC&sO zXKjG2BS>E5g#-0sSr2d?wJU^e$4XcovXk0nQCfk4%nT7DO6kmu~))xbb_9~ zLAy6AyJZ?jL+E1nwY|9*;lZDylD4;P5S1N5oE^NeZwJUW+f=mB529tL5IJXCtn0bALaZ<{pwMSAi@5mps-(KUv~bZ40{|#g}Ko- zO9mJo-Cho1difh%-Cl6a)90xt^%0l&hA3n4PR4wNOugs)raPKVGk$Em=X&SIo~Pzk z$|onEvxG~D@`S!h+}%4(wEq>mLvCwuE8(&_Q@ZsRnY&w=ez{l1-WT9l^i?3}aE6~k;PLMpgx!IYr1)JdE>jv zyI4&d>t1b|p=q2%I)!{BZ0$@JF+D$Y?LS#JUWn_5w?Jz}U4v*WIV zJDD8n*WvV|+G*5Nc=r^++~{TTeDM#1k{~Jt#3j`nmgNtSU0mvK2TcD4DTO&QJ@|yi75INNsMoYsHq9m zSy1pBtZt2grL1ac*=L8nMx&FD{`IrZf;lBM&$R_Kii-6S67wioJffW8r*egVrl_`{ zRyambzS znUc7>xRbl*TiRUgS*fd-if1FAd?8P^{dZVr7akn=)YAT_pYj@TMKqU?Jv&!eCYVnm zzN(&6Mpg~10vw%Zrpc|gKp0r0U&{Pn?@bCL)dWqtkeb9iGMnH~VcQ(Qu6Ei}KMXbP zjPbM7@C;|1N9O-(k9Ecx@&SedZWE_>=mg^Rxi*v2@2x9B!Ms~buHL@#>FWX1FIv8w zU0Rz|1bld0Q46@_hzcQ6!%L(K#ANFV+iBwMRiS+F+#Q|=rD{5ch|SG>tRsOgc#D&g zc3(H8t2_}0?6$wkL3gJyNA_3)F{&BxB?gF-IsUSNL(}zPsydSEISdN1;|4om;t~s| z2A^y}_P=H1=3at;vmvIlvtet4$k1_#?wqhXFLsutGu?IPR$naaY)AHHk84&DjNN;F z?6Z>qG5$QXDD@3d7t*smkOMK3gwDJJ%AgV8$bxL7`moGA7gDA=B%d_{aH+wqmJs~< z7C3g{=Dx_@aOoUh!UbuxGck@c1t2<6ib2;SnCKOapa`F$xU(y&Gy|qoiy}RZwYMy@ zcm9gT@X1`Ue1ITIA`atH&3$t_yDnYs`u|G&GeUU zskiC@AbOJ&eIXWDM?MfD%kl1AE(nvQMneZ_h9he#eRh8ePSgCx=P5n&CtvYWNu9B~ z9GuQ?u~-kjDF=)TwC`4F&NA0WT!;u9b;5T?haP|%81{gHR{w* zMTx`7rv;rurh1UW=+uZVIOdD!+cXEw#%`|;VMBZIE)Itz>5bVTsEH|g%diyDDJ?mt zK)Epm|CdODYGAU^op&Bgi9GEHl>vfbDz#~kAv{tYKDOVs@)DDz5>X?gypt+zZ*Y~Y zmui?H6IBV5zEd+P4B03+HKz3W07Xxmq1dcWnN?NEi`-tC@&gC3NWw(Jo4J6RHK7cf z+H0xRSo8=eFp8`{y=a*k&-s^H<6KPjZInOd?@ExjS`?yrD_k-tT*B%yXrJ7MV4w#Y zL=NjG8!Qho4|RrJ!r}%xIJtG!rWhQ%Ijc%K7p`wer?YxOHPKXzbG>P=TBp+jV+?5{ z+AF?ae|&l)TfM_9*pj{_->09{yx^9IZU*APolhDh?+`9)?R$VK` z$td^UK#%YlUxbeNBlI|SSJn`b)`5B3%B+P?c@X)nB*r>Vj|7$X2N7k3LXsF7%XnnA zS)`KyB;f!6j+qW5Q-+RKN-g6}lz+vf?L&tRmHLPBb&>0;3NACr=aCW=8>7=AWSvR5 zU$cY!Px*ToGj#k~mRa5(RgC@6m=os~o(Q~udjZ^{UmQaAJvHchx7K0ruequ<>jQk` z2$mVvx;qIsUVgne4tH3sF-?jlG)iH?#_?$SFa zY9xJgqpTN*u}_`;p14)G;tTmLcPP@{^gLzaaRc(Z4sK0bH8y;|M%%*DRK614pYN*7 z4@E!K?byBCukIFpd86xSqEOjf`bKwg5fXn&TChzQDohpC1=WV}ZUkiA3x>Qohl*IB zxf*#Kxe6uY6!>)c)!yKLV4htGANQ@m4E^(reY?Rv_8w@RcQ2vr@Gj^S_;pY3=232=P2qPy($1lnzcM*sZK zrI?~;t+FtJ_xZ@HaOQQ%WazVNQ7b^M=!;LGOU8?Bk?k|#7q|1@ryA0Ih5=t+Ji8Gp zt0#7+Suh(PK>}->=ICCf;;@6&SKav?D9K7W=ST`=m^V>D>LjW&_Gi zDyxolTgd0Qr0hPj|Lv=Vx_VRkwP)zxpXHk&s=cQkH@*)@#{PQ^NJJDn+zApDJ(?WI zX~PLNh{4eL`uc$_5)RX!z?%TeC+7eY-_)?jrp6gqD)Xxb*<-W(?3_NDS&-_BGMvm& z6XXQfM+afxRVi8aD!c)V5v$=F^gkGilapTAEfmUk+3=WL{dka)CWF{OG!xYDH}XU} z%dbmf9{Z&L>>h@hrTS1moJ+z|i4{i8)UA!eg9nH#i(-a=bDPMSrwd>gchRhf#j%fj z0ijl0?BL^@$Zpki#lq=ow=cxg{JI_=KM%j zTdASKlw*zlDh}?cazK6Gx!)$SLVb@0_c&OhzR!GKL8k)*kMpcaFuJs#3|FV= z@Pp=hkWoE;SNqmJ`*BSEn0;EH8@8SBmIWy^Riaq(&X_&WsFB4`dAqnfJ%tm@UmAqnCp&#x zkUk0jufUJnXS7*Y#r{>&so+DI(2FJ;=vNSh3Z*$jJ%$I?5Pa9@Bxcxa`W#aP9h>`Ed$`n|ovPcrpJMs|MxzA_FHid?r zp6c*67+A+&qN-s4X~49vXBpY9$} z+2tfP239r&3UxBwetnurFcOiv&Rh7+or(w^z!x&4Ty0sQs_-`QUEIRPrX5u_6>_o9 z8KXFz($=l4(Ds{rj${vGP?JYkV|4_}DZdTfGV&Dyvh^wzm{L-c837N3+ULh7$H+3D z#tmhNKs?#2x!Z<3diPw0mEXXKD~9fiylSQ7X6kHxb##l{3Cz>W;#h^w~N=Ov1O0a#e<{nZdiYxg?@`9%@=Ba9;YGg@8*4&>-U z>>vCBKUvtVyH4Jwt}{GH47eFuyLJKZI64_YCCVeDHX3qzv`Mpdc#cVg+YE$4GtTiDOHP=#=bNs|!inYtk~nPG&bg?L>( z#TPQ~ki#60DNiqzVt&-ZI&QWmx<_^XlSIx5B5#F_^;sDt6|e3n)1DS-Y(6f&`=VWP zhuBJ$w!&2qdRD?+f{!rZ9u`~FciC>2q4bm2GJlp%g^pH15Q{acuajw%40?O^W(d#XX`s7Poz1ZDix>8U)!5wuoPm!982c#OYfH zeQ6}kP~}m`RDMvT6MhaS{t?^&k->eUe@+t0cnPFun<{ESd^mbv@s@iN+Z{SlegxZC z{kdMum88X5Bkj|TCuk@o4=%OhYOj!gRhPI-=x%Rd;c~%twAmj%%W>PbCe}sMwV#mfu!Mh`d**GwfAer41y?F}0gdlBGUYUze(#&d z_vl7XwNh4?Q^j72^F!2`5Lz=IW(&zd4JUjK_5X8|j7DoD8fKAHxcc$1dxh(1G2GIy z=dkpxOayhdFx_@F1ioWVYjd@ctt~J;A_FB{Pwi_~!oDp+ zjV6GzSRgbT{TRByvQJHjw3_-K2Huw>^>AHHf{#Ano+bj1(W(%~0OWym=Zz1D$@^Ov zuCH%h;Fb5av&%8ll(H{_AFKpG#KjgnC{59aha*7HidSV2+^Ad|M5bAZY_IT4F#t&q zzLM{QGH=rjmF2Hy$5sQp!LeU0tMEP@I*%;b-Ke)BU9Q1Smi7GMRpxJDXvfqf;``55 zUfgqxBHq8H_#Z~4%No6Pf=jllLcA7UEFh!1kUHCR%=L}Dk9qyCtp+XanuQX)RE;sH zHyg-v&_j5>=e-r#03le25=Y+Abnf1VUo8t>XtUYNdCB$>HWP?^J3JJ67*@8+ws{DE zR)v)`IngZH?dZ6E;*cKx%sw3auUuE@_;-dDe^`PJJ1HH_+V@YF6YLDI5M=-0SK{7F z4V#9fqUquJ!B=BubuI@^Cy2b{z{JBH&He)Spnhsp-;a3P!!5f$S17{JR;J?;<<8J; zI|K@w_VEUCs&+YeUK0vZ>hm>@N(cj->_LrBI`|xySEB|S`RRja5Hnj(5E);@_H3uNMg231I#lkY~eZCn-*$_=yMR7;3EgroTA~r0PwECQE#3F3&6aMPs zt$S3}82GO989`@|eTn7sb@0A?S^eX{0#OBFGK;Ew0B%ba{LqJBE>(X2*5c9sRwB56 zQ@bH4gMXia(7nLlrU*gkHgvzAG8B=- zKxpFkA0O&);av2-QQH#Ans5RWyzOkYdU6*$H!J8a=9n$LLXx6H5x8Mps}@%VJ?A5) z#>f2zp6lv!Gd{Yfh^QJGdFFI|tbYCZ@Y0w69%33a6n*I}{A%XD_*C=srk3%C>b9ul zSyi5hWHxo*Mrm&+`t-WNqGYZ|WlMkIX$3XMMQ-G;Pp*_M3R!S6pI`Zf()`8i&DmMQ z@{e2{FXWFIT1g5Fn0-pLOq3oC-tlh~9w!Y%XKJlV7j<0tVt$3<2(D34KXFX6E!3qCPVx}nE=T(p%k5WMU zUeud7$k#+aI`g%`9`8KHW$fsS)Hq7!((Djd;iB%st=faoP3~9LVH7eC8qJEu4!+AT z|LU6f`)?JH`cPQUswn3>^aNP=oNG#m*##SS2cd!vpOko-K@`*+jlKP6v?3t`QjmD| z(zXjxqLm?hA#FMTh7u*d+RvG=wR5xH{fGh+8UOKdRI32U=bH6DtN1G!IjxiJY;y@7 zWG^Vg4VYev7v$u)M(h0?3{b{nMTxE^AROsnpCi5RCTnn)P7@!=60p>iv!#7-MTo5U zUNboWOFyV=gu6~7)TH!aj8^9wj3xlb`HsG>ZbA7sh;0$)Vq%*M+C2DnfQe%fhkH+X z?5b%#Nva~wBxv9O406PESK$U5zlV5^QwT(;#val!e*S=&Lo|DUFc<3Nloeonn6#{2 zE^=th&-LPYE{6(R=03Pd481k>-6Lot$8?zqtcv#FE0{-Q7iD)wXvVE?Gs45XB>Cpl zdeT`W;j--A#*8rI-VZgnf#VRSpNDjF@2*p9p*5~D@oi0K=%=66ZTrR74)qej_0gP$ z=HMPs_8WTOy%Vok%RfMz94Z5U``EBPmQ)I1iF-9`MxUM6xQYF+Nd$;`f6qc!a1cgB zva(qCUXyK9gXyKL#*KwHGcH=Gi1Nbu-oUMwH$dkZGZ?N#)v3SI--H4ut*IsrFB7R^ z0Fkd^f6H=6el$w3gc`dUK-VWEF-&aj{VtCFOq`mr(Tgw(cPWzRikO)=+>8{<7j$+k z<;j2#A_V-VCnO;sx-!DT+q8QVlDLG^tz?+dpx+==KgAx^{Q4tYvr@$!2tmX|l+P11 zg3iuJZBiC{rD|gco1ml!c2k~n=$)hS^mj!kiu!g4U7~+v7)Ly4EwNe|c26U|CO<>W zQ7?!Ih7@0un>pvMf5QYH1~qo&zI~~eGwLG>TZF?Ox?q+7X$D?NExhMjw&8aMW7*+| zuYGkgZPnOCG>dNv&%FYqORuTVyqj9K(XIXoY7EX5G_v%jTYby6`~7jRTAxMG`Gy6i z33C6J`!+m{qFV2b42G9z8=5{~Sk(_&%(DdG;~&O8Zlj3vOU~GH*PCF0<+AO>g<-KG zyFMfgnKbHkk-;7kZ3EMTm|$~p8`@R*phmqJdyaZlOfalCzx+%kcfER;dqz%$YQ2r9 zE=roBX1$FFh%!sLz6{wtF4vB|-USPcAl{}l!^~dK6xO&f&8%E6hh$flYsXqYh6xrE zZxfrDgaH#r3~@=UnLbu()Z*~9Pm-_HNg_=~6_A(hF(0)6_+ZixCW6=1i?<5)Ram{p zObva8rpXBnJRS1aq;Ojv>ggWdL)QBu*1gx_<-E-bib(F+oG%MnK3l{9DZ?yNpdmh)JbnE*g! zNlihqV*uYevPO|8`x5fW5ec#Gq>zaBtYe>AUohlGZ&W|6 zfaK0El<PIhXXN=7@>e#e<;1^GggPjg3o<@3EJxVh)N;k9HnVN?%5!H#7K)ww?=x<9E>*i*;`9 z1*ve*#bWG{A;iYzkx~0N*Am;IJkE!K&r(85@D7QOPB__pyEJy=88Iv2aHjGfkE zLSuHsWW*qya8OKWjNqLk_|H>^GR6@j6mVgzFEJT02e}Q4&D+@NHFhBpv*V@caeA!R zq8vv>2{8w`O^-ohgqWoAglDCDHpCVKx081WM=Zwp>)ECM4*&rF|9Am>S8Hq(RTRE`P~K2prM%0d zSe8|xKqQyUmSjY@HI6mF^0Kx)7ZG->g0abYuqw6&{@(lc$CpRI z4m73K*$;6;>EI#9c>h}On!tD$oCeSs;6?yXaKS@kgPQ<6(FI4w2CoP3Bo~Y(jA6z` zZ*yR>3xOLXr?6@OJ>Pt*3nl{3fEg3xMBTJyJvNm@{=4n&JWShk73hjCKq7?LJ+Y!tS z$(rTJlFWz(+B8d2G;UN=1(r{H@S4EQ&c&HrGUTY;&XY6P71&7GFRr)(QTYZ2dV!`$ zrl~|Um21{aRtursbmv?RI)YIa{U`cox5%+@IV%%?)v%{Q#-$|<)8BPu z);KcFBz9bm$hjm^z5YizW*5cIbD%0$gWSkR*HZofKp_p&ZrkD}dpcKbQp#p)6r zXhwCzA~)<%a6Tok^I-o0;fd&ED;ehiSU`6D*4_UDh+yMkOoV}9LBK+G82-Bb{_s;( zFrx@JRfZuY3A2dR!MgYmgDQG@yL`T$-rcG6j>Tl^(Kk=;7d8mj3v-F3yM$ueH`qT* zpcjKUD%66^Biat?p=2Fv1&H|Y*m4+T!Om@|-ToX~yg9!EOW9e#?Hc*0U#Jqk%TXo1 zjHC{w?_5I3h!EriRi{`fD#2AW+c{=kl3N~Kf)I6i*gDpF-R7Vb1lR*S>fhNiYdbILs2eQWTJ#8Jdd#N zVW;ofJ>NK(F@?e^C-R7DDB_A10Aj$4xxy`fpHEifHq^J3FiUX8DpK^)s-{CAip&#t zj2zSDcFdfIRfE|_zx~2O>#=}Ehi_40Az3m)wkl~-gd3}i3~U8-X#)!@YE)M9W@z-M zzm{UMFgzmGSrW1{x7ees6SlwJa(Wd^Y;cR`H}7LC3j06zZFnf~qBZjv z;c;?n@9l?}7ZNK7DGx?Oy@nkCOnuGDeF2CT228XN@?ciIDMz^~t)=K6_gp|$BHsjB zmEyJ@&f+njjdV$OKRD&K=R!Dz?v+B@va-%SAj){`1Lk^SkyGB(FRMW^T0h^>5Ha*b zk@*`aHJ=Us_W%nK;o>5J+L7onlX+z{(Mx9B$%|VNghTXGh<7M5-^ljC+IPR-xBye0 zAUDF9fHhOV>TcY{GGs?!phne<*sjJ5a1*(ny!lG+TL3_f7+C~bywfT~`-%#;3DBhr zH)HXr<+|B@NQjsVGAei}t%HYzpU9zXS8pZyg9nhc(MkpA!&1TuH=ZEdV3|Jj1glSy z!*+*cC{hskhHeOaD+Ea1S10_hVxJ&ZbTjdiqJ{OAB3Cy9thB2++%u}btyJ)5UVr%> znvSd`ZeV(J!0R%B&jeE{(p&nWgN}(qS;z7pIzhU)26uZrppM*}1T*?zNLqx;l!Czs2PaTWp(h`>mi|1bYb=|=~YNCR)+v$l{{%C)wUuB@fAZ6%lsSR bX{VUpYB}E{&Wg&4O9nUw|FZlK00960RD}S3 diff --git a/CPLD/MAXII/db/RAM2GS.cmp.idb b/CPLD/MAXII/db/RAM2GS.cmp.idb index 556ea7806303fbc2fd73d24c538bb856137f08f5..2369090e6a81f6310b71feaf36c821ddee02a765 100644 GIT binary patch delta 2315 zcmV+m3H0`x6|fbMM}J5l00000001Nj00000006530000000000006KG00000004La z16`@v}Y9c`dD()(~8k6|oR7-4* zCMIGuHpWB~6_kf)NijiI;{y;C5F;851>es`t%S!e)BBsun1A7WwkG)_ZF)NA-2MGN zzu))X`ZlpDeKwC#(3~R6fnA z#5=2ePnF+A<#&~RUv357{CMln+d$p~-iW{A4UhE@$O2vm{1)(=z-xiu0Dc|#pTGx! zKLfrFd;|C<@P94f+rW2#?*jh=7}xL~cZ@@XA{~Yqgz`HM*>Fxn}7|#M&MDv!+?JY902SKTmn28crx%-SpQsLCvYC{ z5g2b9H-bJNxEA!Dz%jt@K>tm^e*vzC z{_jG53V-CAA%7LvAM_2tjlh?HF9Tlz9uIsCxEt^U;EBLDf$sp{1r7$D1biR(eORA| zkPiZG0{$Af8F&D23verN8*m`-AmAfV|1sdBz;6SW16Kea2QCBt4b;;P{CChd0E?i% z2KmdtOxrP_0oDRHc9gSAz*m5E(BBX0bBBBf91^OM3-wB)zoC7Stc)K9~G~_>m z{C42}px+Gn_kgzm2SWcK;9%fqfCs|*jez_};L*UphW-Y~8-XK$O~7M-8H^tV`C$42 zu75fP1BU?9_cslF3Gc((RBKYY5MxP9u%%keLZroPwWTMdnr&A4<~%CFYE4Zx&r34f zgv6Aj#JQ>FWFbh1iWJf;i9*ui`3Yt#?-t&jYvYoVQ>`{WSZso%Tl~1e2MQ4jZ5Cl{ zn&pLrG$EeP!A)$sWuAq~7$_u|XA5JJW`C#hvXW-YvLKqlLPATr5S?JP36BDiyqvkh zc=P;)HX%0EY^7fa#s^IvVUCQ8i0>>zTx5*;opdY@)517KQRGIl^vgx7%!+N1nQS|6 zO5Bxo$Ql}tv~=Oru_mUW!%XWNAz#PL}FX=cx*H9vS-+tNRmEqr;V@HByiNUHx6vr=E|l zg?b*^ifueb^OL2$pw?4deb9bX??1{tj%hC1L!|q6Rz1Hf-FM~H5-)capMUR z)8a@Qjz*;b{dPfCx?BBukRH|qnuUJj*LmM6GPixbT$|CneA!Sv%P7AmUFt^~u62FT zko=(ORz6=9eBE$L@}+B&HEY&M{0Jnee?l)*KVO6n4<}_~NlW!#u2rc;U4O=ZvM$;~ z%0^Vz@c-=7o|bMa7k7@dF0`q9l1_(_m2Se@n+8^>?*vNx6x(_EPVndTo#Q@zR8#8w zTy>WO@c0>-=}(Ya*&oFH)3P7P{TkU1;(oR42Xntl_6KwSlc4#g>6ami{PaILv?e2Y=2zn)m;V_X+3r&=2hUqnr>7YT$g3*Jb3E*0+@VCfP4h z)jLLxk%sm(Jsn)Vhp_#W-~zU?Q2L?vo6kQ>CLW+*_4IY${(2jT=KhducjwSltG)Uc6{etuuo4u~T5(xJZM&iHCC!@HBJK1+ZQ_Ct$2yIBABaBtS*MTRFKNl270U0fX+QZl*ixM>gn)jW{5IoE4g+KQQY8Rs{{=m}WU^uV ziUMgp!tNX}4Rb(tFbtp?N^S2eRs2hWGxFV3eZIjXc9-e zhdSQuq4U_OZ*ATX+?P$%GiEEi-f7d?_U6sbm16mVC4U}6w_a!o=vJjmJp8ibPGh}T zm)?){TD@K~>c!#hU;6!=^}T#UtoLtsFW~#IZbYcD|B=cL*T6gMf$?62S83c+dPClo zds1EfrZpK(RGrm!s~arswM8>3jc?@k7QK4w*@Z2CcJ^9m*SvCUyY8*CtDLz@pVKy9 zjnyS@_J3&gZ#*t`c`=L4uU{o5c@5J}8m4E{YD8AJV2)#y7h}8k&yfBY=T8EWz4k>> z+Rj?z$mnsae*3Dpd|7#mxU%WFtISx?hrPGuMkzfOG|}6n$Aa|S5Q}F>j|C;j^Zdh_ l98{hv6#Ewd00960cmWb%U}TuVz`!6i^YH^lAhRF|djWn_oKpY* delta 2272 zcmV<62p{*b6`K{1M}IUR00000000jO00000006530000000000005f`00000004La zKJpr}|1O0WppC?F^^+@5`NFMqxK)@YJH(j=>Qt;62m z-uvvm@0oKh^KfR2dGn!Dh8LIWMS3q~^yAW*4;MbjPVsb+PWIl4PLf!)lT`IS8l7sY zb~laguF*X-x~HQ1aVhXIln;MC0{Ia5ApSQWcx?p32H^F;Zvn3Z{uA(6XH1An*)xEc5+@GW3m!!N*p8~6^eySgzHyDP9K@Bm;B;5NwL3Vaf{9QYLQ zY2Y)!XMxWFe+HZa><#@p0mlP>09*w5jsjNz=K=dbz8%1o5dSf7HpHI?|0lpZA^rmR z4+8Ik_$u({1Mi0Ti{L*5{2|0&0{>y)JrI8x`~|={5Px3{{v*I2LHrf)7Xt5vcwgY| z2d^@3BKZA)qkty>M+5Hz&IL9Ci@=e<<`=ld=L0Oa3Jsq;1cNnIPfvxO~9qVp8}Twp8%c?{n&y33GofU zwZPYayC9zg%$#~o&;i%=bhE3#^}tRr|0ht-8GrmPz(XM375tl_o;&z+!2c2OUf_Me zxxo8@4*+{W{U@M*3F5sVz7McBa9`kl!2N-JfCm6S4gKwc{suz)cJO}yyaPBJ_zB3j z6Z}KKp9TJHz{4Q^FW`R{I1_jz%nt(A1CItC4(k^I{xQIlA$}bA#{(OHMPMUv6mSrI z;D6R!df;GS`n;y258Z?KNU$WO2~*9p6VsE;CLzjfvZSXaCY#bN^hx=tM2jVPo@r5% zDP5R7KWX;DWYauBFHDFMQp~f3q@}5eCJUc7z8{Z`Pnwr(N$1Jp66Lt14@({>L}sL$ zg_socti%*yI0c2=zpX+$-J$kIZBwGDwrwIoF+_6w4@8&hA7_7 zLSeEgH6vY!OEy{P8-dCCr^lG0;v=WKDG(nuRr}5&hMQ?&?2;rOSd#Qj#eIcUc~4=I zU3{o^N7AOm@HC8KX(MR!Q$6iG9@67sLG#rYOO@(oQYGbn&_x?VTaWTn9~A#}B!9&} zD7R`?`}n$2-K?rq?@N`coh0Q{?Ifumt)F_(`jK%yv35Q&4Z0jjS|e@#ubS_uKW#mF zEwpuLD|T`l)h9`NK^sqY?M3@hyZ^}dFs53xhbZnrQd{3q+*kRvc^&nyyjbB>0wQv%NRO&L*J%6Q)l}MrGaqPBy?T=lIsn#}6kX5tbBZ3!^|Oi|!u2zX9?JF8iXO)GQ;N=2 z`5MLls=cVP6k?RnZ$(5v{eO~gSbH3wf0WM|&t>s9ocpcZ5F|BlF5-QOT&n9!vH*IG z*7#{p)6>Dx{}7f>4j#awkI7%ee)<0A@R%cHto=Oezu(RZkN(=Rk^cYu5U$bBlpD+T zgcVdp_)QEre(j*zv%YDy@$qmcTSVwm!@F)F~K+Z=4reKDm`+Ba<=yLcy<#SU4}Wg7R2{F_2)O)v4u zAKMB&nk2(rUuIQnc<1nGJUCeEfeDhiF=eHN!3!OEctz4q(rO$f5)1`f>v+uSe zwzV#I3yng5aYgwOU7OHhFNu7e&Aq-|dd(EVB5K>DvTbG3-1=1Z*4wLe=VM!>-+D#r zc9rW`J|B&9gMSNZq?5)aX+^dHGsIq7!$J$_o>E=iqStk__xSCnf3~k^U+gdW>@~8c z)MnOJyg`0#D1P9wXz|9XLxq>!LdEb9k^L@UrY_S~AuX=Xpf_); z=XG5CxK>K{Np@|`+SxH@{UY7+c#F>V@>r*$&K4>Al7GK#l0I7se7stUXKQ;&@4DKh zpvTyS(~XjTRF_L4--`{GMvIUB?9=Z0RHJWri+H=tt8o9;0>jj~Ml0DKdH$}Ux~$5n z&&3e=zLq>!E{@NcBBdO?FP*xx!2ZI7O)f*XpO*4}^oIRFMvhDKHrM+zYEDYq7j9&2 zgSuFPQ&g#Rd_}!|+SxU1Gyj}?r}#PfM{s@{5?fQ9w`fPDIA-FcKPJ2?tuWMGl1ehq u@ZU#Y3}bPbjfM0`xNbfmKN93;#-Mdm4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*H4W0RR91001>M00000000>S0000000000 z008+n00000004La?0xHV8%dI%Xy4j5+Vy#6XZCUSon5=LGo#rZJ^)gDD7=n^KuY2+ zUrSKZ=q?;|6Ks<00Re8KAq_VsE*#+x{_4NP_BVg_Ut~MNU;Mi9?^l)8oegvYASrWD=RB2E2}E&Pww2g^8@_7k3T*$Gk1Q7pL*x~B5DOm)M=aj@S+nZe}dTG zZ+y8yp#EoO<{u&Ir}+B|{F%PLU*P>C`MZZ-{;{~b`1{*$zx@_P{$m6WqVuSIYHIDE zb@|6Iw&gVrYWOnnAO)U(fWQ6PcJ*=N?<3Srg1?6!d}4LB!qcE-b~;Ja4Dnj{%*@n~ zu->`2jHA=D#N4ZYZmJ8FHDfm0N!T*=PK=6M8qAqmt7R-$*Ti8rj9-Swb9Y~Kda>zs zL(@4KO!HaS9EaWLv~7}3r`7$!v^u9z)136$O_H^1?gs5+(@D<4*mOH5$*Uj^4Wk5{ z!JvI8swTL%6?c>N1LNIky-*YILE#TJ%Y40|A zN8M%|U07bMoyK9vvcIs|xg@{ufkeHo*^8s|Fin_&-X$Fz=%c;IHM6-_0Ax@P*BHUY zMJs9sN3GD{mq^?VvSL#jeqmlk$r)%5sPo7XgIEQLx%7Myp*=dWEx+4AeiuEkl;amo zde^kBO}gehX!lNnX3~p6>!ZuN4s)^t@?ebAg@jK)%pS0aqd&4?qHYpLN4=yIcjt`R zYlQ)jkHZtNk2HX)Tv{pO2)>LhD;|eQ5RqMjn#KL2B)CHcGk7b`h{4Ijv)Z~x4OAWaxJ2)hY; z&EueV8g}iMg8yb_{w-qvMc-cm;56zTrhxPX4v4NVgb8`4oNGAHU5EesX($C7U+_++ zJ-Z&B1ie;b9=AG27!MnxaQTPC<$L(gcTD~Jc76b|xkt$G0U|B^H(J3(7qSuRMY$$G zRpL8UJt|DLdb^F0(w6OctCd6fxksAlPZ4SBu+=%ueOE6tGrxqc_Xjt?AD#ynW_C6X zgX6=lu=1f*RDKOLb$0eu93|nvmy;9Yw8Yu%+LxP~X0|EscYU@eQBsyI5??>xpnLvC z@`b8fRwa;5s7sC8>e5{(A)lJYWgEjg!cMP#v7?Bb250QZOdX!7zbN=)Jpa5NFNLxH zJc<%%cQ*S+n+J#(cxGnaLmadBSu-17R6(|>5Z#7084MeG=aG@+B@bz+hqgP3fu0G4 zrVR-YwM}4tzukCjHRU;W3qhYV7n{1#yRe!opnXR8RQSwXqeybR6iG_dy4~;`YNjJv zt+8EHP|;imj74hU6Ny>W$|HpW%fHU3bK^Aqb(|_%{S=wLk41q`0fipGKIQeA;j)cJ z0}37`AoqeeXtnHIKecxNqVTc8>&EPVoecWXkqVFi#eE;sPfYF~S$n`fM$4ge;m1j+ z2PL)mRaq_e!>+5xQ;L2s(1up!FIg2*XG#91FOwq(NS=FyOBWGY$fa5PCQ&Q;qq82t zxUtbrqU2Jy^E!GiOnKo0^9Y8D#9C%x0*WJ;yJ&+k$*YcO_s);PxC>)U7d9$q9`bP* z`C&yv5@Y#Iq+ngqtQ1;N}lYq26}P-~)l z7KL#TH_tBTbo0#EZqLEj_m!*u&U`l$Ya%c#Z2Ad;g=`9AAq>GFrx>*~WA^7|#mx*S z(Zh&25}-d@LGkl46n9}8J@-8b-3l}Q5o$hj{7C*J_Yd(}@3h;n)x_z7;-irx7!c>QuMR(_{KJCIv($SSypA)~BXJ+qcT*_rFB;Gn5!w{N;79 zfv?kuJhi6ucpK=^-%q4NaLl~Yobjz(>B?75s`dj`Eo#s1VnLHLNAh7=KYxU8>ksC4 z+u?c)9eI9T7KFR=kE7&C?`YnQ)XrKfpXV;3HgzRIfLj8fytp}E zgK9{pJq(E%VodF0+&?y+l=UM7Wtd-gE8*0kuqZ#QK)X1?47_y9OSYSSLB_d9P^DtJ zf#pXmuVV_b9=3u@Q#(FJn5(u=xFYO!PUI4+ml=zuCz9lAka@z_GxuVIHDx+f=i++QQrX!hR z_35ybc6)aJLEe}WXiA{0zko$@4<#hz87JBM;VDg2v1xG65F~qZjLCYR`2I2S*~TpWYIl>Llef!^0Gy*+W0D^g z{qLKIR28zpf5yUR?oigt_>*c7IjixoVckwzZaR3sUR7Y48=Cd)YLb4=6FrIw%4VCp zFQGdR)gG+`ptj@t$8~;ZrWxFs(g2-f$_G;#K$cATU`hjyBRA#)a&Ot@{H}Qzw7)Xe z*gnk2&Tltd5GcWe+Xi?ze=lf$#eL#^cGwsA?Dh`h0}e>XZeu~$;4nOKShw$Q)U zsoHM}KEB2e$UXN+mHr%&@Tv+QRdgG^kHhzLUp>yDdY%sCVZ-%;KOT0%X}ekcjCCU2 z55|DE&-ZhFyw2fODd#yJ%k6NA4u6>RB;Pbi9JIUeTn<;{@Zm{6TCs_H?KW#dzgD7+ z2B#eMof|O3iZ3L%z2eDu`b7eG$2pI`=Z}8Fxo5lgxq*ju`UUNroXp)lID?y1I{|k)Rx^o{jmgbNPxQvfO~ z*N}EX+4M)+fBYs|ckbSO2HAEAOAzO4t<$C_|Fh1kt|ffZxiE`xsFs}F>w<`Mcz+hW zbl%ijK@2yo38qc-QxK$n3fLO?3ezjVH7Y!i#AEJ%|BU%gFM*g|0%32Dm|g-gnM)ub z*=2OFqiKi>OW@V;sz=?e9fTsx52J7J5U`gQ{#PU?9V&0OVFRMKm8;@!kJjH9fBLB< z0s0>~xc@H^uh0JD#CsC=cwLAc<5Q;s=3f5GUKqn;%z1cBKZ1pi^9ebYKI$8&=y#-l z!;t{}*exRhGM!#~ye>2L@&31fr|6kxtM<@1jcfx74$Y0jOcdpAqn?lyp2a5lf^u^Y zxzr+phT$GeRB-kc?WSYQd&>LCb!(JEjhHFyXd)u{1u@gGE~bu$(cdZ&p33C$TOs*% z3I(pYM-$>F_)D!v}c%P!}*>2%*lUcZLTu6U>^1&%%PW87R1nFUM>A z7MG{NclL(6z0D<}yxhlDd1hmF0)NvERSD+`(1Ee&gU-clD|`u``y0-Eb~!kcKMKyH zmfR%9cX$&to0~2>zB~7Q?w!|#Z0v1Umlh5x3k&u8E|$2-)O#D7_FFESa^K7wWNz-6l|}Q35j~1ybsy*7;q61qivVA>&}$j=hbix~#$K+dE{AlHV>Z7%s*$d@Dn4ObJmXqwmYrYDRnZ)W z26%>q61$e!Ja*~HX7%0ez0IwS{lmuQ~Z82nWiRw~tn#meI9a&>Ka zWv;TkR#~eoRTq{ki}#l~$l>9jI7?Fsp0Y5>loK zJbt=WJJ{WK*wU1_IJfxBy_IFE`}AP%>A~Up=04tb_i4MrLn#1* zCl2>GZ=*J{wEc8l$Y2l=JzUh72_-932JR4}qsba(iT1=%+@b8nor^dJ6$%fsU+sm# zSLT@vdD|fjo+8LT#z!YI>U&QOgi~nlL2%NMKdg+BnTQt(CTlY6yT=L9c;3U`!omao zTeA^&Xjg;qb}y6!ODhW%v;ELTWbl4CutL`QcIf#S7cF;V- zB~!RS>(%8$Fa7!%q>S)s8i z11Z_MUqxa^T9yv>CX^zUnRc5lUht45cI-Vd_Vo^JmF^u2770#E;Y!xHl)QEF$qx6n)~xmTXjF4Gf+X)=2K840Ou5g-;N`^E3R>3%Hd{Zgma0 z#Iw-9LS9R}ht(eoXth!n!0Tpq!i4+a+z0k{o5cYx&~Z`DPiHRI+7T`_?m;Qda->w; zijHt;jSQDmFX!gG*YNY`#N|d7y9}jii7+w5(jLcI%=2-%bdcUFWD#-69^mJS&ddF^ zB);UV1xU~oHC`||_tKS;Lyk(FMGk^J!YtZ6S>M9?B?#ewIeLtZl0!z9m7&9-4AX`} zBq+@+63=l}Onf~1*c$1{@(L4bs$*l~JiDv%GDJ8udRMFn;<2dlCNKAT1?llAQjlq9 zXW^^%{0MV4PIX{Ku#3L!4lYk}9(7&L6fCPU9y#RLLqUfXBnGPDc=_P0!A?{Ls!}xZjXLWQ7aZLH)3I60~PA zal@^xzP-PPulx0_-{A)jE71pQ<868ODY@`iYmc>7N_H-qJb?*@dtpX?JkCL}yz1Pt zRE*kzGIf|I{vtKAlVZqG)^Ti|)WRDcj^$hRboEeTJtZDy7S1~?5Pm6CIzL^^$3j%h z?s6B#R$X9!h?HKW^?f26Bf-4?oF!t#>$Wl7X4y11t1Q8R1_pyPjx*RtJxobez0;Ws z>pftLvGsNXx0=&%IR8dl0jU^NR+r2@{P#J+cr+U-?qIZMB*o#(adp|;TV0mqj97%F zZE-{>JnXe! z+RFCD9X31X7x>;z-YN<_Mn#G!KyqBIT8>QY4h2cw$2<+mJI_MQwPW{{{X(u0?CTe~ zi9W&hp)bJqj9G`BHcPw}HSKPeOp|6$mj1U15B)*ADd7BovNqezRu3Z*RXdjtk*c+z zy$9}{{>W=v2dvW0?#>2MB(TXp+Iw6xn|luAd-&l}fO{j;?9A5+UWPLp`4**kosEZ4ax#T&+3? z&#iN(UMw9&$>vOfvgu{&KO#K`EpPG9GGHG8+nZXFpgAZfSBS+*|@yrWnDW;S2ZjV8$+(u<_IVwp&~wba}9W zcdU+I{7yvnT0zt9sxccU*yNNL*&qdt%_SltsZ~7p!byuDa^os=nUHiPjGE_i$v6ztY%j?q)L&ll2Q;7N=`Is#lR)w$L9F3MyRm2Tj5@ zgco4=jHjGixGrdy*A)&zG26aUDGK#kFX`AV{}5A`%+<}}3ZiLNAs*nB+UaSGMK|0& zXpc7pN4P^Amlof+2#2>VLQIIN0*%lcZz-@vFH*t#h{ZO`=mKX*E=f2$RAh~y4`XOd zkql2cF}VcPfW6r?TH!0RpX!F?DL5nAt8cQKGV5WPbBuY;mC8L#_GX`6fDy6On0V#2 zybNlPBK98ky0f+ifr(* zULXOEryPYkzRIRpvv-EW8cmpy8khE#cpThdk3kPq_C`0+XS^iK)b8?9h_x>zosqBi zE?T^To(jkn^ZR8{e*3U$*^@3;%;S7i96}{OB5;?S})ru12 zGfNp3LwVh1)p7!2ZMws!#| zG?>!dgNgNNV_z&|xjz1jEDPrf*X{Af&>JS^-ulL~!}`{KyC@~)loYO0x}7;DbaNA% z!|p~=`k!X$H+MF%%ZEk^ml|L2EowE(SPlL2P`IQI0=zFC1C+*oDYH@?QPVwftV zHu(%j(B4`+e0tV9SNe#=oaJtwVyzOLOf~^Pui_R3d%D@4;F2tINatQS(c7d6*GjmS zot{zSXAhdWQ@)^vY_?$*;r0;-tr7HMAF<#T)||vR(7GSIa(S^>w`f#knfegl_Po(6 z)#q&zyU4ZmyPC)pM)9u{Cy~Y*4|rvHR#CkE?D(9i~)qhLRd( z0)_J_1{2Qy7pvxBSOomGd^8H!3c1_#;c-0nr#o?VXvZ2HPpsx?`3_){R@r@+r&G&Y~V zcnnRL(#x7}LQFj2KLJJuz~RXeC--9T)fV44i%w`Ulbf_*I`al#W^l)@tCtPpq(qIo z_e@_d+hJ@6^q?7cy0B}?a)0CvaKlC{G^JIynq_zvKusiMOi9Rw$}MdV7;zR!?_ zoAz3-kH%h0M1ibxD{txoLQg- zIGhYF!Geb@Fr=9}mQJ(PV} zy_F*cHU&Wk^WH)54Ufh_hgDK{kd*5xk+={Xp47?ii;_#%ho}7*pM?y5UJHZQ>@F-` z1LfzcntNeS^n8I`fL4u2d(oie;Cxvosw(&k#FiWEk-hHB6inAK*;6=Co}RAwjMGogKV^sV+3^ZYCpg5*EwsV54Jf7PYyL6g+FlQZ@>sXSwk=a}U5$ z*s917@-QWU#3Cu4yyBIATg3M)_r|O6B9U3e&GLPa%Xh%5!8mA>d$2DU|KrKM*crDq zA8bGUl7)_>Dch=ph>*xum~*|yVdx^iz357IbJF5U{7kOTZk>Z`ZDhMdFhTGQUP+_kf9Y6fj*t=2)H@7PpbnnO2wTPt9{0|&izi9#j66PyT?pM#fnaZ@I4A2$HU zLvuZ`#uj07U!8L&)eQdds30qj{e{|dMEOy9l}-g!+c^?GioS+2x7WeM#jH2>jNY@& zbkcU8At;rQblA^tT`i7Gs!CTuHoPqUQ9FYBP=gpegjup7d+t*T6;Qj1BTdd6mV|aW zCjpf2zs0d{f#b5o0^sOOK#`a=szEWJ%8km0G0cD*mF4`(y@Xrq=by|!`y7CHI9IGL z%q@LFCacaZ^0%^zcl-4Y32RS5zlOY6Ge7mo?b@eG=C}WIiuDA$0qBE}R?O2@=Lja& z0r({mYb1kfx*P~qhUH~}VZojzIVfsD)j2<~@GU#ZOLD_9VyGkH@m+(rWx5#lrY~W+ z?NG_WWF(7yF%bI$eB}88IXvV*N&5WQ_sC5M-RBSS;|Edja_$?IAjdlnmNk^!B4WBY zb{~i~_IB8vT`q=br@KYW(~B&YJs%cvSTtiv&EXto05{F#^4lrhZ61k6$X;HwsfB7% z9Y(*uUieoobKAbc{OkuZ?PE|*t}rN#)d!$r7qZ;iqPQ~WqA;63-Ll6T!zaS*Gqd%q zzP;OU*|4=?>xkW(&M3vdqVd1)0Q=A@#$O?=f|J6Z%&{J|bL3xAG*>98N(pwXp9(H# zwVpKkn)-_h4~4$sDTTADs!BF#^s9<_78RWp`ild^?W4X*Hfi)1HTt585j%x`G4&O| zEuz1q(H8};5B)*x2%y^J7MbV1M!#6b6jNVygIh#@S)uO->anP=m`Bxm(!9T-(N`2z z*cCI+?MfbwTidmM!C%b$sz<8|D*Ol8OAnD{;Tw;;7Jn`I?<=CKU@`uxDuV!JIP3V= zgTEI2ev!S8{Rh$O15k2kQtY4dkCy!_is*_xRPR)rqL5a>iQ}(@zh5^cc}L=3T$PFA zuciO8>c7SK4^ocPwCnX+1HX7I9tu5G zm16QM{41)46C=OFe_!M8{aB18d!&N4-3C?vH&1`EPy5mH4NHAXe($h`=qrj2B1Zt# zbycfPvg=OL^!Gk$>=sk8$3Xi&Dzm9EL7{<<#&hoFGIlQ)Uhr6(=>BH5MaxCs%og|o zGO72l`4blPMuMMod)iO%#U2)w8p%3vx|QHZ_+D;BB;WALPyFB^tYjlwu-qOU`_cNk z&3}E24XPLJBh}aSPA|#Xy%rTSDIQd@!eXkAu?rO$6|Wl4J~zS$Ns!g^8ve(l^Cwj@ z>m+4EWx-rLBA8oCm>meF_0LV8)I_6L1gcmi0>8`k&rQFSv{5xJ9s-0FbUWN6d|U0W zr*BKD{T2T!swn;!fSc4=r@gKE>*?Q;(gR1vvZ7 z^?OAK6?`Y!UMsql;)^eUtF*$b7xZ<6SwIx4jYp??l_{HKK~r;|E)rG*Pt| zfSa_pPCMbYx4PcLOw(uOM%G@)(#le{J{($XoyY_7huoTlv9m&1xzpP^@t~ zE&p0IT~uC-2PCEU`mb8HFQ^2=cfa=S{d8@Y)l@C3+FJz`fUt??zng@w%J(-Fdh9Ex zeFHyuSb5c_RgG0pRbFCmQemC;s+HGiui5F_`{}AUD_=atQI%KJxgEAoCEn|4dB3L4 z+|r}meO+BiLe=Zv0mh%?s{D^Z;MaH{M^>uezykj}zLM`o`kXa;P4#-k>#C~{vOT+q z5(^__L9VZAsZ|ypQxaZX1E7-Igx}h~5sX<6S?(9gX!UnZK`F|p5kOH!kwXRFA!e-zA>W2Gli00~IWmGw(dlXyfXd>K2MJ#d1agsATVu zoY98YHPu;E#fy1HkwXRFA?o{DOyL}fezEan&OVAlq)8q3;-0s&8yqq?ty1RHNYyqB z4h}GVMlh<1*z%e>Wh!2B%?c(V_u)7(y;Xvxyucrxp-3resLm-t5+*ZHObjPA^eEvX zIFV4wWHd3P)L1Y`Gh(r?toIYcO3j`W)pDdtZ7dT*N(~N*YJ!v{754P_sm{c(QU-NJ zHO1+f{e5CMiMpQkV}OC}NatM~t05TnXL2&=EJPBp^qm71{$`3-5=QZDjxGE(wTkmm|d65>?I6?E-hoxA^RzF1kva_nyq%_e#YPW2z% zl_B*e+LbMVlvq(SUe!^sYtSzwPoy(jtVFEX@ZbnfJoIM9$>Ak@ZSfKsDLF$90$zUC z!Q{{qhO%g>UYKu1zx8u+XbD4Ev<63dp_ZQvO$;r+_0ghLJk*o9(f;Fu?FKj>PoG%NBx4q0K3*;s$7%?MtHL@KgW`eZ|F}7bL0H*yEVqh&WN)lyvr8Y_L6V12exn z+qP$^fcDE6lcd?AG+fq?6vc?hL}nR_B9b#KvJfTko1JC&6?_F-gBU(+Tid;z9wc~Bbp>;O5gTA zhzn@uMf)T%Q$8=M=asNI5c<2|2Q)Rfguf@HMJRoWF>ll8jt zA|?^JucW$mj37u64dp>>Vpu5yjF;_XFu{to&3RLw7+y-JUs7|Se!Q;9UDt0#WvlU$ zzK>qk?%+G*RpsmT5mO4i9|VdJ^8}k5VoK_3r);V-Vn`foU&8=#vXPZN%)8CWZECPx zPlS2~t|&u7Sj9kf#KOfYijAABQ!U@>5~jb7!pcN7$W5>rmZdD)Bx>zxk|>A}&Nv|p zc}AGbs^zj0ynZNETuEko)7@fuKwr)igPN)*2K&@&UQ}OJqSTMrlcs z-J3G0vCn(`wf#g{X{Db~3R->C&;KTdm(r8{jX8>1DPGq!%?wC)=KTVHcu0y;@$S8B zTY9NEX+OREoODw3^4`lWUTQAZkC&f|O$;wVz~ZImVf}br(>pvdUfX(uuyWf zz^nJ9XrZO(;HWbxEVRtL*)-?|GILeQOs~D%0$(VZ>2;M`z(UE)g8Mo+@kkwO_8Tn< zeOqS2uBe4r!EuyIe}0o=U%@pN6kPl3xi#gZ=s1cIjpt3SDf=V07O}zEfgtA1JbaxL zVoKz$44Ui&F+Dk$6k@%Dl>&l zWHPTA4q*1zdLBLjl5u29J*rsDL{2Hps1>#My$_a2k&I0-cn*@dWOrr!1aAjne9qg4 zaoGlL66r==+~3;_@c~ca&`cIkS4r^X=}spxwUr7&mP!{dRM(fDlXYOrZgsPw2&Dzb6i<5_f}RUIU}mLa=ltn5d#nvB&ql$WF}^z66BDiNYO~MrCxR7 zukeG1)W>D<-=IAo;O`Jkn0#Zu*q*gu?kz~hlv!#?M=I7AD*(hvCB4b(kC2trCx@iN zkrEbYyO(^;9v~7m!^3okK`ZH=S?i6z%@8dyNbXzoTe4faT-=Y{W8cHboDC}!$2(;sCz8N zfgPv4C?)d#8OnHzkooiN!yxl&aTz3OrCc};tCRyx{d|rB-Os$ijsq&bQ%8fQ{e}2E z%xp@e4u)HUA3T-^)^jHZM#1_XGfuv~%Zvl-`^-47zSE2Y>wC>Ou)f=jgl*Sz%lS&} z&eNCU&cLS6(a^p_5RcK&xoyq;ty^U@v~HKt(7I(tL+iE~4eh1ccog)4Z78&p zE80OqDH_bDZx?1MELmh=Z)87o#dp{gdKinO&_p@EMYTWUR1#34@DS0kM#<%y-IH2G zwG`Cn>xb{LfXAww&v_VQ44^TLp9g@4b*us4Vf|_VcvweCf!TU_#W?S?ioEbBXwPEM zLI@9OtGrT?K#yCUqoBo)?p{*&PBTlV7dJx#cT>miE9b%Tbt`IzYNGm(^RM*bTz0E| z_~r89i^}1SnxTmunA6&NtJD0-gzd~2LuK6WywQre4u3GDoJChYAtZSHa{fnVsz!Fc zK2=+v!3Brwm-G8vIc$x+&7DKPM~yZ2M~>NWmS*_HLzO$dVSwdJyC@K*cwFE5ozVYj zVNw3>YZm2qT3x>=nRop~t|(8vmBSa+!yRQ(_Qwo^!jGMmz( z9iR|Uq3Er$HUoRJwnj1kbqI>Cv~*I&(&`WQU8elDIJdAQqO=b&Tc27Z&R{EZRqrc` zAM^SZ-*T{(1rdt0<2Dl5#zEm`mfN$S)eGG#t9{T(f|iNpKJ~8qz)tGzyEv@YbW%Yb4{I{B~0PMnuKYhoDo85~^vnPhRi)9#%gVI>I65qYdrb~3*<(vBYj ze!JI7qKj7ewO=?Ab|an-!9dTW@pW(xw2a128)mGmcpyaO*jY74Q6k8*`!1QSjq=xp z>??D65eg`!r#FE&Qj^G43#(?i6BYHEE9?VRSpEDMTaz|+5QT?eORv9nA0b;`yLzS3 zJL0;br}~faaUWdFrb?#FD+%cTj-|;rpd)Tg-dk-&{g1l&#Yz8q!*`KEp($KjGLWy8}*Q6AL3(mnWeZo zEGnc(o&7v@)&RJ&V9{UAO86B3Gil_OMK@aEIhKaH#@wqxpO7LZ@{lq)%0tSyl4+StE@NIEQq<-= zq-?3k3bF!QgePYg?)ex$XzJNl(Q#-R(P=wq<%v|8TU?gvk4Gd5?}}4_ToDMnbM7%T9K)Yo!7W5vj55Y9w3|RWVL(`->a^4;VJ48?#U04zOqhdJI-;&>Rfg4 zN|k0Eg|`1ItqdcFvCH4)Acb8rYB412l82l)yRb>!qeuP!ouY4Jr4MANk{($<>?bz{ zo*c>V&n+)qm*iRVk0QCRNA9YueB`hmN*UxH79>6N|D<$ar1a1{r1a2?HiR77LkZxX zJlC#M&22;QTZ3c1tFFzhT$^kEU#=3uwH^gWEotBkGlqm~^DQupgn20?B+M5iB+M5i zB+Nq!2{XECA(!E#xt3w~6mzYO9jKL!m1_C)q615B%<5%frD9nfY^>CbnEihM00960 zcmY(BJud`N6owD$V|?$jSfL;yL?Tg=D9oB`EHks*y&J1Bh_6IKB~egN@Dmh5rI3h5 zB1+Y^RDJ-3L=>VRA`0i+JvS&$ZZhvP?|IL;Hx5M*1%SP`p}rzKi?OP(q>~J#m`qEB zUr^ZC{OrchFpOpNbaGsbs0bubf4SZ6qW`Iyu;~9lOoyXs&k-^#pe2w){cdOeo!Sr@ zXVgmt6QP_`43=uo$EVjl>P28Zga7=>Ad1>ObgUV_nDG;??Xg)o%ptXIeI+7n*1}XK z>-)Bx36-7n1k;wzcopmDEMdBlX&UD*S)sdE$fa2dNJWdJ!Ij9FNh+ZSmF2_BY&k<_ zWZ@@;;|PcQR_VUi*7r#(gJzb$J*y#s>dMW>Jsz-C`@5I|B|PDaK-EAy%57>R-;W4I zGb0zUjFPFq4VkV53z5px-UG8Bvu)STx+22~>tFzlA`8!F)p*umQ5Kq)F~?T6@r>#O z`J;z@44@MjxR4+f*uF4uOyAJz_SQuy!_DkGGGEg@whdq* nGO@T!3(y%T%NV^(YVKxJP8{f#^T$KG2B8E~nHm2F00960f>Kc| delta 14323 zcmVS00000000000090s00000004La z?0wyH9LbR%VV~?gxK)%F4{j z%BsrxqdRx*d>?-w;*ZbF%$*w`MZZ-{;|5d`1^-%zx@_f{xbv*ql>70W@_!A_4*HCZ0l`=n*{#|KlsGvY=>t-%j|ZNs2Sq5@R^yZA!EIB`8tly&J%O5 z{)MS7RMw5zYA0dK)H^X6ZgDVYYOR*B$+{*EyJ7q?Jej-uywi(KuN#`q>0qAEyXGY9 zMrUo4bULl>m!{P@i<;)N*KQK6U2`{RpO{W^9>%8IIe$%F1#xH?CCM2K+ON%J5GQS< zaoO*t`M!{jLATp!MnMvun3GPkcM-M|M;^!*wNcB3du1!auP=e5 zZtJz__J5B5eb`LQ-K1loNy7M|YXNU|+9#1igh1-BzVARX+kmeP)ZT6Mj=Rk`y0o-d zJB!1Rb$@A#b6I}Z1B!ZGvmZwnVVW^ZdY5=`NgwV%s+q0*fR!+)MJ_3yj+0e`^e9znwo5NYwh*$OVZkd06;$~6J165pxj zQDL&p+iQ%Jw(QSaZ5-;)J<>&gj7Zyt?ao>5yLy?K`2}>n-@5_+=pwi@v$Js+oE&w9 zl#i^U@++vRv$L<_Cx(^&lColv`TFGs-HW$UE`Li7l%+%qT`^$nq#`DkX@lqN2=V6pU zyR*eV+&VpstSrth3F2n$za&fJCA}aE%}s&dT6_o80eW$Xxfkf zQQHLOcRP(oR#ToMTL}1^x!Bx|-hZXlT#?!rginRf%r&Yc#Y>gMM6KHmFQ8^Rq}3Wb zMHLmzbwF6e7CsS~MZG*yD6szPj5;^Y)8EFaqScR)>HAn1_zbDQ0puyK*9@0!G#XIw zC;_=2#6hcN=lZF=11Sn0E4*$@{?{p>9~@}_5m3zgn0{h%|Ipe44lr5{#eWMwPC`8> zX~i$gT5%9|T|1so^m~Cev?+hZrVu+z@;7~%972Hd+#^)Fh{!@J&H6WqTG1bz^$5m| z&2|zcuXR6ffOBEW3-6nUFjOSgG6NG(9Kqa08;nU_bxgZ=aU8~77-PDyQ91LFkHg3h zD;lyu145n%mZv5xS=NN)(tpr`2a}a0xGrC4GCGT1hOpkfz6@#Pvz8Y|cQ3gO(P*plYp4EAeh@`EmTAeT1{}z zqc9HQ=K1S6-90mw?K${*Ub*V;%y%=hMgqe^rk^5MNTx6r!VnB}ihof{6J~!|R@}^R z5YqQrGJFidZ*onwKjT5vaX+p%@_?}Lr0$9kOkrH{G%v&+&i9knbpgT1=Ax*N>!3Qr<|4bxKhqwE$>&( z{yC~kwWa|J>VME7qo81_V|<`qa&Z~T;8AS1pxD@g|6B{XZH0zh(d0^%(Xot0zeYjR zkxY^LbXZE+p6owJ8&d>L5tQ)@NF?`ALPDN#l6?@K(L@!S2KNj>vNy+=toMoUAETTd zO!K45R`_+Us9de;C7Y~^eB^|BAN%@X-58kk-#0&d@_%sWKK_oPb~pJMX}in_z&W}# zCizj(|Gte#)gT-Em#lo|4rRTJKdAiqkhtR#TFilGK!>h6&xPew%XCl%u8`bycnzmj3^9 zjsh{|9%XNN5S>KvNPCOj;7&W~FeKmP+sw4^BJ@qsQb=*%U9{xb5HG@s+*`Iazh@o< z?H9%x+lLw1`R#@a0wr*8+W-&e?+48n+$Y{=hkt#J&u;H9KHz|K>^2s34GzO&TKlCx zJdd^XW6G5;?7^X9oSVkkd}MID13H)3Lu-!!qY&%`j?G2qB%ISA&4hTfn*xitqAYHj zp$s!Reu^cHjk53*OJ$hR@lz~mY?OtkSSrJej-O&lW1}oQ#Znn&bo>-c8XINdDVEAG zqkrS4Skl-i3s13Bh8Z0{#gfKGS$K-2GR)}sDV8)g%ED7Dm0?E5PqC!2Q5Jq5u%xYQ z42uDh8@r)jaPAAb zdD9_Jyu2$X3IIy(5p44q(!dt$BF7C1)lDRz#}pr6PcH!8S#szXDk6=zEg$EplDGqBtAEfd zg4WP8A@+3mSngtet&rl8qNRAjFH|xf0Fa#bpfwRIe*XbSZ;??boj%hC;6sH;-KAy=W@6rhYwHs(TYvn zYq!}F`n3{mG&tk1@7#bPR(v7B?G;bP(=QT|cbxP1d;aJ*oO`x=Ul@2;r(e*{>FM0v z!*jSvwG#k1QMVq(m?`zD1pitZw#y(ncbYw1-P55v0J_MzQ4e^H0bQ^5x_=&EcTC?{ zFGjfFAU_45!g391Czwrtr2oh7q7CQn-4~E;m#_$NzScTzdh$Q-yy{xSC!I^P2#0FP z`MoZXNQd|5!As{&trf&@)0$w~L_Y;V>ZgFck#8`)0$iiP16e%e{`b#V?(`Cf=_L^M z_K4{v5RUx*AbMN5 zD*X0n{f*(LpIRcI|B-|H{|52;>_1MtCvlJ0h1fAZbt+))<-hEQF+9dxgeUYPSok=f zkYnkizJrQ>NBTD$nV=uK6+}R$(`%2{WyU_<{|?X;J=1L09vG*QZGS?+p}BFGiK5(X z)MKK;lh`C*P;c%bm0Cd1G2DZR2F|{s-E@q3PdT4lw?#S72$_P8CL*F=05c8iV(MfV z{;d+>u}m7j6_j76Qox#fG$MY2F9nD&uvQO4#&?VueRprrw+imsGS)xCPch_EY%6>T&-$CrNp^X1 zW`7u5L@l{5jDPR&8ECe)TycDNPWs&YpW%a9CMbs4u%%!X^{!9c;~S3D!8$ zJd7|Gcd4jBlv26%&Qw`lGV=z7n|o$;(R^w|TcQx`qx?I(V`ya&$=7V^^(^&AY3c!9 zunQLp_>PB#_OXKXLg(h6(fYwd z33$j`3v2V0Mf7gf)5}uTezOFK7pQ}=!sC33+Q`!W(`_MvK}7U$9b+bxtWX)aDU9wUYnTh#b4787vKKci z;(xSLC^SgE+7E*l=BW&MJ0Z-PBFH|$M<+7s`%esnQ)uo%aME2rY>85sh!+YbYZ&ai ztqIY1KEU6?!hQZ*w-I+}J%jLeFO&pJs|!`L^T0)9>8rxyR>)dU&iyGCDlTTA#O1}M zm4Xr%l+;KsFdDnirInQ;Fc+jHAEKZ>Gk@t7ie4>*SK3GEV|$~&z=||T(})f$WD18D zQV<7&ouGM+tES+UwVSll@sZtwYuYkmqclTAZb0VB(Y~h&d*=iq@C+vmcI#gU?Qp+t z6Wamh8LqdWskS0GCAU`=JgqRt*J~?BD@!g%KVjUwMCwOPO ztMXoIU!=csjmZj)HBmW9*8M6H8EHknzYV2`b*607#S0#i#IC&!#=hR6Mbf=vSt7yt zPp*K8w!D-G_ycF!-X*M$?PL*iYLh{NH~s-4T~V$U_p zEal>Y3zP08WkDrDK^-e16|zQWp)XOIv+0Vp1dF{?6~U(2ty0jB!8FiQg@m*0e~|&R z53s1h%fCmtft$bTm}v~`Iw9;kOM z%`imCC*9TN1@kSHimX>zk>;{UNf_V~*z=2!I|#BOiO3514-XYJN&EZL;}7 zN^vflG_kTGm9ii|9_LcAzUrK3Y-$I})L|a`>(b0_3L!^XM}M((VheA0IF@hM(=|eg z^_X~&Svc>oLU^B0`TTS-9}7`2yT`p2+jU9%L*(=#t?v`b7#ZgM=PVN&Ubmg;w#25T zS!D@sGB6mVah$|si(+MUi^*zN&ajBU3YxYeA7!}&Mb3P{DEvbJdM;lD2s#-qtl zQ3s~L+*?^w5tUU`xhfGHj*vrV$N@=(NCVBD zdew^;dvZX!ipUKB-M!>n zM(v2=%{A^QXeGVVi=#H~F+A$EU)sj@#T_*}7nk_nPJiAh3_L35$;rZhTkyjl zw40KgA5hm;yV>etM51cv${|p-7PR-kz0>P>ZTpZ-+TGjTM2-YD`G@tNPjfD^R%yrQ3!^C6oGDN?zfAo{1NyRR>l3YFjj!KQ`4K>`rBtrH79PD&_Eq*&R*M9p~IX`N)5~tU^(60#pKShxeRPf zF@QhC7w*`>j9nmM=cl`Bx4A;-%3ufYS{=Xmoq+7Of~MU&V>VB*l_@c@4GJ2Y%S1p@ ztABXzg_9NmwhI3 zyRjc)>NQJsv$%q2npFq~Xr*>`7Gu#3cMRG?48bvO3dhyNH?G3LZL1IyqG~`R^u}8X zY|)EUa2c`KOc`C`q{wR`&JGn>C+H&=8d7A#Q;tk70X1N6HjP&J${eJ+VR;VDi1zDS zWK$MBtZ#kICNblS>dHmVX)(ue_GmK@C#G-h*Cu)^dM6ikrPC@s`{2%$z~7 zhmAU-DKw#lgAUIYWpQ^MMrY>`IIye8o-XU_5y|nCgHXp;+4^es&v7K92{TgTwY>`- z$1}(=;6P<>bQ68XOSVkyF0X}9`&!Z&`9|-u#f#>tfLyV>Ulf&h0IQZg&wp~o!ai4p z)wGex?T7>#FT)npCNF@?o2k@#H;kfWJRjiCb~D)4Mij&td?>)bjK){c1UR+Nj4_ze zU_HR1c-FXRg?0xFXE+3}=*>=$NTEf&R+J%MSW4h>fUHilkISZsT8BRsXpCe@EABfu zIJ}fae16!8o9Q_yG@=yhjVFf zE9|99ZWPs$Ak)mV&`0?Ec9zqqfY7Frt-DnbgNG5djSx#IxPrCBzPFd@vZq$!zZuMraVFxd#*Llg5Eq#(#1={`0H|=kV6; zu5-GbIVN;-6I;UGW>J3bu#x;*yIa`hLnDRDjW2j| za8i{9mz+jtJ-XwCSnW1(@Gi6|?{NIwr#}y93k@)V-}J{iFxrJN;<@>z*CwqfOE&eq zTGx95KEaj7JV|jDcYk{A6Ib-ePPpc@)s@b%IomJ^6B~7$Z2t%=*G9_hoyd{xqILsi zUnaealIGa@Yfu7|yr=K`wA0S^(ql29pC|IFOc83|13Jov1adfw!EZ2V`fI zh{jaa7}gITF?VnZX4XZpsy?xhLpvwRn_uJbFiaKFntTBxaBnRhK0n)?t9?Xf&T_ZT zuvQ5slT857tADsf!Jc8Z=d`4V9MHKJPV}~D!nG2vWv6G<_;?B$HsGvTtxo4sN+TYZ z7yLGp{M&p5pExW z&>Dd+@`z1t)0)#5$5s!5SFS8p>lTfQEK?uh+rBq?rGNUo&0-gt%JS^)9hyfZ$^BmU zeD(pB!-nVinDx+b!Aa9br~Nr@%niI1Q8TR$b6$ObEh?P1h9?+C*ln58I+6sqC@J~9 zHp`OS28aa~r{adUF~lAMpW_a4-?$IWQF-?7WV z-ZOKx%zvt#+x5-uu`6YV3bc*AhlhDsbtmdDrHV6@)F=}uoX;?raQ44gH4h@cyCcWQ zxfh~WTLa8t*gS7XzxUhWKfw0~L5q{k69n-L#*pSge6Tvm%5K;`^E0KiXypMO1XY(0Da2%0dZmo?vnn0UfV0*nqw zhbM=e+>60iTYcj^I;F)-?#qVh%o~7Nz#Y4;UN(pm6E*JMGkvA(gs~magJ#_6!mcUH z{gF4o4I7a-E?da#G|!0QIsli(iVkyh5SY{!ktpT|NIET6 zqeF)|Q4*%Kem}7O5gx%Yhh4--DaXdh9Ud=zhviz8d!cv{dn-o@Yzl%7=6!(R8y*dV z4y&y0xG2|EB5@QrJgbx4mnB!L56}AvK7R`u{Ja(huh~6VyawveH8uA_PV{tvU4T}N zNV#ZGa&W#Z6IB)bIbzEV_9$L6GX>LiO!gE`l&2Rk@^a^#Ju-$kJXB__RY*YVeI-OXe~PQqfD9b|NZ&7!vSk%DI(Mao8DaF!c?GbaG7g}sUbArDgoNG!7A$$u+e z`L{)U&q{B+3NI6xRotxH`?+!ld>4!ZHmL{ug7H6|+>4!YTl2xrldovl;Qr~<7NI#t zhdIRB4N#ask!!dgA{7C{1S~f-Wti0_#$maB#ayLh1&&HOpZpWs`~ zd-M5Bb-a+3>9bw#geOt&!WLIAj;42I`3)1t4cIX{J$tZdyrYAP=CM;w`)sxbG|JF#(uice3RR?{jvI=XB7o{I4RucO~lHe znxQibeTdJ6+qJ0`t*@oW(3dLNA#g6xxIA#=A^pce6NkEaY8_|B*H^OCcGha$0D5;0 zR9%`wH+owuV7~(gy>*E~CBGA#0FLPH)Se^CkIJijDyaI-q3~h!HI%vi4kj*Uqp@%Fo^9rnzWW?OX@um%etzpvab#9i zy9%=7W$};N5uAh?#Nc6?B^$EmKBZDgYFBZj%UJ^b6cBegCjpf2zrnF@NylZ01*D@h z0fl0=s0PJ=DmNM*#(yvaa#WV{EB6v^t)G89|MUwa#KXB_wK})@sU z+EdVPpe(k`PknN`_NkKj?f;x&J;rVTdK#n^^Q_f5hKY3`{gQ|^qQP}tE(ukJl@;k_ zR_t+-OGPaRZ5K(yx9lV@(GAOpp^k{hvj*?PbTQ~yz? zd2*S>lJj8^heb1%+|(gp25{3%F29}8sphe0gyiy~O)XTD>M;8K&BDKRh1>oW;wK-- zw2wg1(T3Zy?vM{?p-Ll6P!zaS*Q?vcFzO&cBD<1YXY#p(Eu`>i; zXbOKt!@ukj_JLOnzXDnXCj~!=V!ALzgWf;V_&sIb(+L#7A*_E5!PK%;QN7kEbJ@dQLUad_^TRx zg;51vG4b54;F=@CxGs zG4pxXZfuA^PtHtz<-8U4CHR@I23FzSrx6SVs0u3LlAvw&pz`qc!Kb~ZU9Z;~_{C%4 zP~eS3zka|I- z?tdgre;=ZmZZQ>m47Bf~GMgGR6dL$wJm+36WA}351&_6f9&BY>v|RMfY=J*OA@v?M zf5M{PNbr+xPx}eJ*u$dIB3TDcw-Wpa-^;Ct6g#}w6F+#ER*I1=SZ*?oWasL;~|9|&{ z{`K^ANwst&{eQRRKgG@V=7t*=DTme+?JI&;lM@wun{DUnuU~*XJlu8=a)hs}yrHq5 z3c^7xr}s8Me2fy1RA6E>~`ifp})^niZHY<|&ZVP_je6RO$tXFDZaq9==f*!hW z5}xp|FQo4qPspo-V_Kj7qj2)w?tgn}y;ga>L)^Y5s}5J_d7EOdc;5xd<4sL@r1e_i ztrk{UD8#B2??&i@wh(yK1duWa|YHH<5HY@9juEt?K%n)qQ-g z5TSzaMc->hw^H2qs>y>v-G4U;-%j7_RUYrkxxDX{0DLd{Uat{Vlpa6W%YVd)YP}@5 zNq_6S6YhJf>pjRceKu}n>(w0icKUwFPjiT^bQS9wHcsDst?gA*^G5dm?MVGM)_$Vw zp#IxU{NQ2dkDTR6k1H+qT=r53)6zsfa+4#_ja{ z8`X5tcrhLjmEP;W>e;@a5`PTe{nob+(zRVSQ}wLsZxvJtZb!{e>HeldkGz7`H}Hdp zjaNLaTC9Sq@e-?1b7f*3i;}v#phwRgy*NDD`#B&|*m(+>*^dxs5 zrz=6InEsaO{tdK#l56rm27ce*aU5BvehbU{@A*2u8|QPj>~+QSihtA|u=^dL~L3?_z`7j@=G@BnH!6MyB;J-e10ThbGH^Hm9!w-zFsek@^O|~PDqeHVDkdTi;5jk9R)V!W#~+@dKov8m z@F@|KStuq3lYbg}lyDK4h$v+HMWj)dIt!88AR4#!Sfz6`c;-FqXQ{G~F1t8Zr+;ABAIiy6XCd|jYu`O&?dCvnLM+sT0?y=B2tT$%4gKdGJ^SVB)0tm4uBlf#_`C?8LsSkEM57GgPGE*8h? z6byUsgBF6~f#s9KjV;#ywjdBHu@DRjZ)y#n9Dmk-YXNvmI1qxc5Ddy`2f>D@7X7r5 zp*ah&peuHRlF6Qh24$bO6!ya~YSvXW860Qg#di1&tu|aLmA!R$qL3-UtWT^9kW_2z zc}#CboG5HcI(rry?9bbP%x}-O{aGrY{X)hhakeNOm+_Ip7y+5cEMrkbat1{fq6B`s zvwsYKUfA}|;5e1i^mWyZn@9qGmd(O8I9R2yU3V0{5o}6?dx_OYJ#D$%8Vb4S6Mf)TnQlU)XnTn?~FMl>B37JyAy>u(UnHRQ`giP>caW-Te@ZCuy zUd0Z76Ituev|HGQj02ttCJLM2DjT!=`g0;W#FAhogCYx&h~BOa;e9UH{u!LorQ@_0 z>=W%DE!hW0L%WcZI`Nq#ziGKXI2ICTIoFmG1kHPJWOsTYuZTJY?G|(!?;O*DHh(xb zOhLN^<;J@{v!E%fodii}nXj}f_9okP;Qa@r(8Uspb`tezT;Kt;1Z_1>`KJWI|@`C z&;KR{m(r8{l{pGqDO}ey%?wC)mi-)mc!r91?`GTLOU+69@#W{FlfsvGUvA-2bFqH7 z{9J5ea0vhwE;SG9hwGX~nd|qX(vX)2hp3dk{4Rir;Y*2XKZqC6z2D3+F}ReD?1y&+ z*Hwm)B9l-y7!2n=CC2>-e}4;5C`rB|baV?)C|%bN@V5Yk@J`l&S%|dxb`{OFft`8^ z176446InxW-iorlrqo@p=O{QP#2af$(t3607NAgawZN_Sq;R37=-{X`DJZne92{dN z1%;BCUVFI(x==FH>ngVZg_4;C7j|&sk$TqbH(C@rx6FiH(Wu4-j(?+6`V*WS`wH%{ zpx`>#$gL?KM<-E?XgqIoP1&EiwSW!I4g@f7=Hct408=7&bqySS=*k2Z{ z=v)BPvx7+iHpCi1sNU{Cy)ML)@ry#r#0F}Ae$kTi;3P>pM^8m2)j3*54~|y?m|h;9 z6ku9x4-R1hm^Kbf3V$#q$-Ne+NXh}0x)JJ^~Hiud;%!r$d>w4 zv5<+JQjk#}X&-nmER#YRyJGMhBw@)e%lHZ24#W6@*AL^i4O}JCjk>tNw;AFCp2DG- zB%tn+;K|e7PGV{=gQyi8w54V+hH0)Lm`3yb zB1#f-7)NJkI5@i1PLENJ2Qy3J(U~_>5`52Gq6=(KK8|pMcicRGZFV~+syDaojqkik zx=YkLEoZx)ULqs0RJwShy1wh?DJ`gwp;ziDZNZ9izfz(vw&+{cJ}*u(YMs@*sxj95IR;>~sv zB|t_RN&4K5j)5c{OY_t>2eqB*qXt5Fc(|#uimTM|-xoHo!7 zxwpC^*%?vAo$J+#idbDmid7X+K}5wzkeHZ2ea`_&k$<9rW>3B9#4qrJhuFt$@!z07 z@8jyJ>Bv?m9ogOL&zV7r%m%kCo* zEyKfnM?ov;on9Q_()aMF*M4am+ZT5P$2gbx-hQ_*@CXel!oby=<upPh=Qc<0glq+{xxI5di^b9W_?2A#($1n_Vy^d~4t@;0%b(2SK>ufC5g z*$?|;mF#B;VTSI8Pm&H02k<^B}V+5jz-e z4Sw)g8raC492k|>bIds9dX^a{t>>9>(t4&DC#~n2angFW8JV_S&n@RGwL6brjynUJ zK7U82_6$KdMyJ+2GCH;HlhLVluZ&Ku`(<=$-7}+8>%JMC+Do$kaAKk^I?ww|qPA_hT2JWU#+*i(n~uo>z``)ueFIfpYzN{(n9* zRih~19#_wxh4%XO?C0uXZ|raF9{D|LY`H&j%#O1-!!I7H-02kqtY7*?C1H+7_3hsZ zG7bx?@|Rz;D!tTqYhx z84n6619eu9cE!HV6gQ@f4+`r6rGGCS?FxA_g%AlRrcPnJGO7PAhs2aLqya>U_Z4*g z{t`3rL19&X0pC_7D7=1EVyC&Xz@pvdIudDo&U(Y#GrTzAknuZrcyU+mz~RM7Cw&N< zy9=p}l_&SQVtZp}Y=qs!o8t3dX~WwHTfu8nJ2`>j6DAsOh^4qno$=+hB!7NdoRgY! z=}2r!i{>}jq47T+1){ggx)1#z=D!XB(bbkt%2-?d!GSB3->P#9S~>P*z2;w)`t zZrQtI=xc;+d#$0;F3c@1i?pB}w~@d$4h%Q5(w+vbUg%!g?88nHv`j1)s(0N7GO4%s zeu=PiQfWG;Swhq6M(r~zTz}8wPP^0VqIV&0T>%tY!sNdkJAbxF!|WpdW`}04bs_0X z>KEo14u7tIJOGma^PvD(nlU_aNwoEoz3fI1Fs8z3QHC zCOV}`nZ&(j(u=)D$+f*k@RD>{_?<2G2=#fm9<}*(Z~?H4hEE%2tWdcxNafgBHOEmR zz>_7H%=Tva>q7RGC4W5+B`Kw+H-R@&lgL#ot7fHJ!IHh^D*J(|tbTEVtx21^h{D5U zOK-k)AEQ{`x_YJ2JLbBf$NG=)@c>lJu1co{nFXE=QS%BySYHyXeT0{a_20X?hD9n< zSu>v+8BvH)xWx^di2>ofkQ{9K(jpx8uC3AI?{b!&R3_>`lYcV^$?zdF(7`W@Ur-Ky zNkH4R2hc3D#4NqS)QfZnytI^+Zc{%wG)*q%DOf5iNL|JM_snXRn)u>$s|7Yn!noOK zx6uyC_7Of-S6GW{!=eI;*g42y2abv7&FTWdud0Np{_CaY7jZ_ zq70T@om=(`Z-0N4&r^HAl#cIzWvYv66;0TK;>SG>;Qe*SZP&OnT;K2mW>@C0vjt0BL@E;lQ1?K6GBU& zFl(-{%*=B4ZqOLSS0bSylU_C{e+3W)fW5bYo&r1zu_~~nlMJO8PfLYgP*~sm?8MJ7 zh-LJ2a#Red2qaK{x!vxd|EU_V=>I@WhQn#k5i-o9MKFc>-Ok)QwIMXlsFw=HLOHG& zEY_ZnPp^B_i@;h2|M`_b6t#P3TQz<$<0o9(W3y72MQY9ZN<`SKiK$H1fA?)U9V$EN z38pQb@haBVUc_`g(=^UsvP^d`lZ!JHkct*bgDWv*CMkz5RF)1ev!x7~k%gZWjw2lI zTcP`2Ti++m44PT~_N;^is>?SY_jtfY_3vWxl<fG>XhWpH<>ng+*CtUd9|-*~T-f6XcE__A!7? zVBma$RABqUz%hM8E8ANa#SAyH^U#Pb)xWLRYU7ggm*qZY&2uxx%GlO{g~-^#5-mVy hpe!TwGO4+nO*wI(Th1L1>>7j;Ok}409{>OV|NpMN9IOBU diff --git a/CPLD/MAXII/db/RAM2GS.cmp0.ddb b/CPLD/MAXII/db/RAM2GS.cmp0.ddb index e321716088185d7668200574c7bb8ce3ba64e94f..c2b7154e307c1e14277d7ff7d1cba1b557602c4d 100644 GIT binary patch literal 75782 zcmV)6K*+xo000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*Ecz3;+NC001T>0RR91008C%0000000000 z000Ik0RR91004La)LjL86v@+{h2V1N!J&b}b^@tIgZtrjxVs(h4oT495Ik7$;10pv z^^n8eIh>#Y=B;0KdUg};{{i0Np|iU+U0&5XJ@F00a3tp9X>2ZxfKvEx@+bWE|Ka~@ zuq~H^O9%hMh0?OzpVcp(Wh}Wz-LJ~;9{2yRx}Nwwv3Y)0nAI=p^A8Wq>a)Th*MnP6 z4FBu1C%5Vz&tLx6=dT{r;|Rq_`9<*-TYmA>#utIc_qbDOCV~9&QJ{*J!ls}~E`>)) zr%HuiN%~3Zs#@w!MN57568s9=x1V@W7(6-mMFYG7f<}294c%IgVN+z3jq*M zc=%b9?>zYNxa=)tUd8gu8;a5^9Xd<~^=}K8&{i1mDw1U#MqUC9Q zg;`1WL3oa5K--B0v!}M-3x`UUG#*feSy@5dukb6aDsELR^^4M#qO0tsWbw3u!l0n4 z)V!&@0bMC=C=Dy$Q?wKh|B5WBg-=ECrTn67_d-6aJC$E4Y@g+KMN#qK>9>kQ?Frx{ zorfMgb@kcW^Kf=1UTc<*2M+l9gyIhrjpHq*9SiPLp;3le z8hXNYjajoMGUtw})m-#E^@Ql0PjN8b)GW6Vu;0)3*3moy=59h1=Mapo;csc3kElD{ zxlMDf?G4ajX!0M__*-t*7OR2zhvr=P8vx;V z>>fb7MlTS~|InPXtpbcu%%9`M_EI#m(bDLgM?ztPAzkuae!e+xmJ@JfqA1P<`9>&S za}IBL@FhyA_rUZp#$jolKd&6y=c(rW3NvB7WE_syAr@uJmd7b{fUOn%ze#zUXNU*4m?(}P%Jq6?NT4$IAv z5n%k?udr|Bab*RZOq=8jE8h{pq-|${N$zXG5HgZk4Q-NY&pw-1wP0Jp)dVkQzub>+ zbnHNG*YvX?=0VMQUZ^D$YRNfo9X-B!jWWN9YsS*xP}pWQXfLRdkHS_=62S^-!73td z^FgwuXR=eCpvln%dkWfTP|V-p^j*H!Dd4nPFw`?z$Fx`l?Q1<5V)O(BZLz0;kIWfR zHLfenw4)Hje3IcK#VMM}mERa+Hq)#H@GVw6uz%D!j+)iHOoLY~Fl%YSkhqL+{_jYW zZap2EbxQ>EVm--)dPZU&eaDMa=`;pvL~v|x$^ zN-fxSS1NN66BEc~MCk5VTu}RpG$>Ort$%NsZ?#}`AVPdri&6W)cj}$nl*Bb%3w9Mr zsW@m?EM1PKoQvUW4`J^_aJW}J{Co$lRpIZ{oM+&Q)(4=_oWs{{YF`unq|m#0@nSAfRSAw16wnQ>=9=4X_m8VJS);r?d z@*zs+$zbY(sNJD%Myj3MaQ$|1tvOdWXa2DDHZWRdz(&g7|0|6?*T*dJ!SY{+)}S-2 zk$^Ecz8UkVMaReD=kQzx8paNg_2<-RRs4M(l^d$Sg;`Iv(O4{l!cM{Hns&*Lv(2PB zY5stA#a(me38Rs#uh4ICTbL7=_FB*`3DyGnzj5OGX!_Req|oNL4X6X;nZGmH30xS7 zcdVty^@Hef%FWLE2~dHrv53Cr2(*yiZD@bi0)qTvL=^J%kt~A~5jQFA7|K5Ch#;?` zk#9lkni<8wI2&o^uj6UEPq4GSMjnWY$Do^#*mdd2Z+YljL_X7G&ewqR)X+~CNVm0P zPpbA}g&-dq&j_ds&WE8T%85ePKAGx)IZ1m<6BsKEin@#MQpvq(TthxqGvhMy)j&Sf zi|zdkiVwLsiUPYRwY=sZ>H+zD{%^d8+zp4Hrh~2K(3&Y_Om=f+(6MmR=U{)#`0mv1 zuRvifCCe=q3xZ5DQE0gzHyi701x4T*1hNxR{P?XV-P~YO>Y3lo+&W6sO(^Gtex*bY zbGlORZ)}EYKi`hXe+|J(9qUiUHilE&S)a|6poVKGw00jwP=$N6pq}a4`u3=~Q+w)I zpy>_p=>^-U)%i#|xw5;NNPB8Ijxl35Sc^O{i<*B>uDFMP5W$4P2hI)-t(J~kx0}qk zQp-DL%`pqQ*>h+WWg2o=)WTrvJD5ufU+V*33!S=}QoK}PEtfG7g4KdxU8}6735VUa z#ipG=TyAc=bT!@lq`+FIl8hax0gu?WiW>D%;8Yyn!ueag>N7Z%4&2;F(ggHT6uiLDVvUy-OT<9@Om%3YzxA9(&FF+G40@Yy{`| z)~u%yy}r<@99_-$I!g8-Lh~^N>-@A?G~(7HL4J;Nh9EBu?Sp+|!T0rabJZ8BIBl$1 zRjVx%GwtBcs%5y+O{Gp9!==ad#S0bq3S+X?65P`^nJ<<779_~);C@_N7`NjSXnTUu z@0Y76m)0uW;|V^-edOf`!9BRCCI63Bn{A+wpT&A3q(g8dQ`T!0&@#t7i= zNPC52(hk$KrN35?Z;3{m=AtnXHXy=I&hUPMMmOh>pAwSo3Bb8p&dpqtCN^1$hJAU#kjIVo;s-FzD$U$sFNo z3Ky?}jI4W_Vfo_tWY9`o&d43pK_O%1gs!_|a=Z5ZXkuRAye8cm7D0{FjiI*((C753 zv1rW$E=jrdq0ek=Hb|8>;4(%VgvM()u?=q!)rC zf8Eob=Kj)Ol-i%c()x!$EelX+S3GjqFk5Q1Eav2D@DM1#5qH5@%DJr~xxUsngLMQ; z-@6GYJUG&Z(&h`HU8yUWA9cb;Ki&}m);GLtMSr(EEwn%B*5D+#l9?isuOBsKMq<9d~MgSncODuN{g-cS^Y{5DhlTq#AV(4#1|2V{B=XR6g?GrjUt zV6ciR#urwA!4?ZJhD|;~@lq);LlyWC?%}LxIU3bILd7Ff2y%EX07iM>orO<@uWzQt zT~+M?++UNPCIH3_*!#`+lT^A_9M_unx6M;psf*B#eE&iwLAZt+6*uIgDpHpBvX|@6F)+na7SxBcpDX`Y5@e3eQB%HaC zj_g<9XS%1!y+X_Qoo{g*4nIjphR1Q$Ty?|Tr-@fb?Z$kMm2B7*O42`>>(noSW*^P@ zbEt*+^Ec9#n?{?Ps3@@;3sg38v(6oJYfPus*I$Y|=)5IR;}W!X{Lg7Lyp^iGjPIA` zeGrVr?QDQUCy$LI>Nq>;>YUOn2YTVw{>h~%PKnGET=1|tN5vJ7EP%HKYu544qn*@Z z{Y&Z*oW>`v7Hk`=aTwn5d2l+{9cLvMCX(`dDW;4T{K2uDp@x5=;?(c`c;Vd6ssD0L zwVLw1j!irU9(Y>~xEkrqG!^zn?PwE8gCiLoE(|IxXx5%c%J(w4kDABeUr$5AaVS#e zPvrX7uc)iZxRK^n9R~kECaBoD&@+TuA5%&#$C*judnsGPVrMrTNna;+{aLD{%h$Qm zJg#dGKxm^EW6dkOijrklj;zO9#&3Y^W!y&nR4XaVc@+j)r$%?oag71;4fR&ixcdr> zUK0Oi812!F@Cd-NJ~UoM*>Wr7yESVeXu=kv(5&qbZYjz*I^Yq=qfF zGXZ3!flsW=F_nBrDlpqqh2T^$aTQwAS#i$jJnruM6L@NJu%9e`TiTz!p&0ZyqjPuu zg|^O$5wj;d2is93AQU5-R44BVPC6af&&pc~24xu2UZ9VN@afgdzqZ}%sf zJT;SRK>Q%{sCK6Kuq9OPD3@pseSGmcdVK!{yxEw2t68EDI(g`gfPoElj9JJV4E8tj ze4j((wkt5(+h20(S=7FTLK}2+IGM1wv<5sZ@Hc)(k>9HW9T?tMkgsAzNEj?b2!e{; zt-0N&j+=_jW3<({4kRLA|xZ+Xjuik=ta%0n+38_=PKq+Sb-;O=sRU5Q8pfW+XyC!cz}` zKiwL@p#8Mmn0IlM0)N_|`M&Nn7YN%7+J`jK7J`MG-cI?vU(k(^2WCU979Mc<8}kq) ze$C!d{^H4GUNM%Ju;W=&?Vq2V4P#8dxo;Tt#OXVHpfYGnZBPLx$Ham*XzD4WonJX? z3SAGA5xe5h?k1P$Q<)RyNiCRoRYlxcx=FiFGa@R0365r=R`#TqQ^A3Y{ z*K*f~@^|nzOB*zCuMK8;4UWeKHNJs%?T-s7=RXf<@5?pjc@4e@gVumrT4vlr(~Cq( zgeCw^Tsc77i4e4s<)`_FM~ko7IaR!dC(tZM=J{EDlXj00p+%tlcO0R1^Io#>9_JTr z&`^ZnH^@CdZU!<-ex#WfSDIlzwgT2g_L52D;j>T|LF*VQzmnF2G+U%FHp=(ZS!4vbvoR5y6UkoL4@ zR3lN#I%Y<4s(>FNvFb*$kSP@uU-Zy6 zI^H~jl5IU{UeL9%C&%ENZx}a^U7#=J5=#ii*B09kku$-g#wII3eidS@wxFK6PEYpp z9siqJMv5MS0@oo}YtlOBcWp6Um-FtI)HEmTJzdSa$K0qbwgRbVI5>YeaXmdf_>z|1 zEn=?Itzav31I7Z!;&_eeN81ykwLf*Q=QBLv0}5TcP5N2p)@b$?2D0U3gXUE+27_wN zL~*yE=_-0sNOj-gd-C&2uoeu~;_g^Ujqa+9%*jwiXahvRZgy9DPP1=;5+);8lXrq* z6D-!JKxgVWIzTwz!iExWX$*^Ta};0?6+?n2IrC|?EQd+9G7d+zyVWSEpOcC|eQsj3 zFL-aDq8ER(D)szCEfZ#P)iBSQuXU$r28-Y*0<}CIwv*ar{YvSsk2M$THew2p3!X(O zhf|j=JET)o5cqJ_gUHFbaMY<>hT3Ic7CnG@a?{`7?B#DY+Uw`r?r2c~cZime1&?-z z`|(dZH|Zu;AX2<7=uLS74OguJw+%y$!r>Qajbhiv?@5i4#6sh zPNANkFVdaqbIfgQZ^?>+cP~)Xk61z9|8&Q&Czs1+|31CyHkcqke7N;V&t7Fx1izKB2o*a0i6HyRk?B*2V>Np_6ZiiPj*lxI;Za zK7lpQ7PzZc%W6P`Kb^3P=3Q&f)8QWqV-;f*#@8GRiRL_@!5>ol#L=v`;+ht(p&5>i zsgk+wR;eK4vAkY_kU`MrWKj52uNnDY8yDT)QFrkl=PEznBG*;I?xyX{^J@Xdd+0{n zyV}WT&hW@6PYp&i@sy|G80~V=z(q-2brTpq0Y$vO=X zZ|RC?5*1!8-;Q?oRJ~jbTg0HkqBIbmCTXipvJ^G5;hQ$e!42!o-!y9n0Pcf;e9>ni z4c+&E%sExf{yGGo!BH~sJ&qcg%G1G@b0q|QpbcDk!Sr49tCIawfu1~F65S*+qyzzn zKWF4lf0Y-o9fHSU(Csj&Hc6p(&z!M!2=W{fFJ?kF`~GxM`_QDW3AdNKS9*AL+TSP% z{<1DuP7kx(piAjmnoHRv(v=kcq}VfdB%QgShKg(_WfC{IZ<$eaDa|Qit#bUI#)6vm zg##bWO4=RjGFOfe@Ynz7XQCgOT)hI@n4`2iqyrT(!1lBgX>ovBvp~+2AJfAz(&FRD zP7~?hEed>E8?-;vQVpFK-NgD#pW)nz-fi@2`-@uC=)FnxDi(5&pxw7L3>iWzZiR_p zM>O&$nA=Mavw3>UU&0qxZ39z30t4DxGW)GIc|BigZ5uc*h}tX61$3|f1L|_Pq#3Ba zB^l6628w)j8ql0ebE1388}8j3z2R$(Qn;w~%^2PyoS%R@{C3LQcWoEtExlOFvVtw( z@|e2NoVRP&z}ZXF=3O@dvgBfg{8O4*oXku)P8~4)&_%@6f?0D|@D_S>i7GbzL}3F; znuB!h;IAy03xW-)QiJ@vE6&MF>T+%P+IgrYb*lW7B}0tycRsENH#dw&J+t}_tf00- z@V*|!c?||w)zQ<`oMRHp9=5bVMT;3Q^d#2w-aE}t3ZY?S0a;#&@;ADz*-n{%e?cY7 zCHMKJEr!bKYIY)x>&=BCk~Gt5&Na|5PL*#;3-2wD9-)8QoNrs{=bL(j(m5vFMCS+> zt26G=oHvbJ=nRM-g;k>I1@1|ZxFsbhmRIbZMAN>?0Am7*^L_;>!Nid0w6T0<^hEK; z5FIM$Yx3u98!F(#m@!M6h4AU?muh(GbPK zMg^(lpR=M1CYIxk9F{SdTcXi9R7W%un^2T`5om6JeVy*Nr8H%hN?PD6b}nQkGHw7r)`tZ`1&$UDJF`+>Dn-P=)Ewt~@-kA#!11%Fp!u_KKr zAjo;O(j$O{0gW81O#T|Vo3;O3WpaZ(SDE^W7c9&_# z1?|=d&Q2&oPt~9;_m#zpu_eBOHg|9h$1dQ|w96;$>nyC5+KmBLMZ{RA1r@2>+3eJG zyT7?Xvz8NW^K``6tMk37%I>v7`xeuV7hUd@b<0xLu4-JuQwjcGKzjf)VPy7n2eby` zHSHi6>n!-wtwHtW)y#F8c00&4gj0kSF})#e=1mP)v$)N)6M(j)9_cBB6!xVq!&O7Y zF6RFUw6DR}cpr*eZqS~pX&*rBI^Z&z_R<-p%rH%xs~JWbP7#i~Zz|I2;O;{E64Op- z%PkE2vFQ)$Q$VekEMjp#f_73=#AYtrnMP(F;q0QjHSaL>Hx6=#`d6d=HF6QUR2g_x zQ98i`Aa`Qa?lCZcE)PBV_oadm&$Ge-! zT8!COe4S=2luztM@d+$T8{vV|5z=qA7+kZN~9q=MT-!?+L=BsJLa6N z1v`t_P0aimyOwq(THcp#CvR?^&||@3fXstk8WtN(!Ov7f#Z8TBs4_Cs)l5cDkf>n97z*9 z0ke{|5h}Ww`zvCx$yL-qb1O4pjAqVx$HHvNU3I_IUd#KLq?x-1t?^cF%Q`k^4&7d< zz;$@f!T#fn<0kR|uQdA`z4l)AjTkn{c83?}?@fZe`LAKLOFV~K_E5FKDs*9_g~H}T zbq!9J$@Z(|#gEm0kwC)@VoT$JUa0zR?m68{6(Mal!N%{PjoZ)&(1X;xK z4ndT;P&XQwWB`3Db;A6@&IZ!5baEzP#9b6N3_ZtQ1R%=_XDT9-f#9p)#Da>e$nZtECm>)K1(b~jscZE=UXAX^H> zsJKB?wVqmRy~VyJ?jU<;Welu2zq;_W`Bi6!xX|5RR=hQ(%vKuT>LUe|9B1|c!?;qw zNjsxRpM4>vK6{0J`F_FtU8^MrQxWAGzsx*H>%V@WavMXj1QHq-mj+X@FELiQ1o8-NXsM0CG~kBXgzkqT`LH`%jeUi0sT z(WU`ks9Uz9W(N&Ug$Q^I&F=1Wj(RUk8js?6oX41~YmcYVrr%%M5h~c( z&)G@&qhss7X_P0i0z+#8Zj9{kn7>(NQctH%r4)D=HzA_6Ws^H`R8uRu}OjBYj?;9D*53PmtLl% zr{C3J?{b1DdtA|C*PNAf_&2k|fAX8YwDU_z+PCk4nL=A^ z2)nrT1es~z$m?{e$*(To=W}F1M0B1L$(ZM?{Eg8m7t#5mYKq$(UqTnf$Pb~^Hn#$6 zxon_e)cU%Kk{(v!Z~K}XzWzoi9n7q1x8S*Z$qsJtU-xfPg%e3!!>5jt9Z8Z?M}TQw zgbFYsw(~-3CbdyZr*nfJ0pTGN+@VyJnG_LMf$h0mo&onaCczzUJ()?Bb185KosrYR z9g@HuG;J&+ebv|#0C_ytp=15Fne=SWZEF3Ouj$lEy@M#>(Fbo`%Gj9(=TrvGp_3** z-Nn<6P(#TN7kocgR^6IXJOw5OeE?5oyYQTAl*O_nnQo@j$lYq$oni)!-+hUm#vfuX z)i!B^y!H)wt#r3>v|zOg;9}ZS=c9Arg{*k>Ry=wWsP?|sWVvHxCXIaKr=8|QuPCB- z;iT-h0glTrc?LZGXUh6_6Vv4QmW1sMCw&2b+~yoXW4u)(sW~%VLGFK1_{SPS{gNy2 zHU2@GcWyp;H#0Q*COnKPKl?<1g@&5`+L5cmVmu}5Z{&JAkUDMOMh7eZWghuiErm0T zqep>HBue6GxjVs@P84;U-S+L*a+!d&J&impphH$%J;DEIwfu#KYB8Kin`CJ4Dbt<; zYtCNixQIx4k#`5({P>!X_IB!w+!Jjm?-DT_Gv4>3n}4g$;6cs~l2h|SYdt`rbEzGa zIrbac)TWY|RwqsFCPuZPvnmGA%S~#+G&AoZliI0(oLGkrUHjYn4d!>PbeYe%4A%ah zxRp+y{XvPwHZ?8H8qcM0V}_ekejG)|Z#@*X@IFn2d^#W@kXug_^LL{J^C}^jgnii9 z3$uA%TiHp3HSx&>Oxn`@wd=|KoqIKFkKl^@lm_o=h+m!()z3}|_iiw2YJ0m;Ha)Y7 zw(WdPcN*Ff!Q@Mb;s zKRkM!nO^(DC}d>rwDD6eJr+{3T@S>de%d5FC&WVs{_iOJ`7f&1L&f(%W=7;r3z>$3 z0o?(wa4S%j6{IT(wCbwSaUzZ0sAlJ+*~ZTsYm=z)N;Q^2X`BU*Upsa$13L+E!ZJ=0&h?VFd|pApm5$QefCL^`9<4%F6+m^ z*^8g<=`xv4r@c(2x6d&D(ay9Oc=?$P-m$QCHl-e*8Y(ZoT@VoP#zHamz;Zf$-n|Yi zW8lktpCbpP-+5#MqIHjB0wIa)Ubu*bwvI_?50 z%PD}K4%%VX(&-{M8Y({&1V$^VTGBd1W8BnmuN?+NDZ--a;+cWch$J{xX&Y0h6lpQR8B%XUtu zj9K>5ySIhR(VDe(uvkV|tk0vml>UY4VPktoGyZQB;s4fHe2RIAf3!w?H%8%k;o(K` zmhY@xqXPSmy`kZs-Th5An3`CKjriHgH(QU5@icYg6j4i2?JaJUI&nSEwaPhL3npNG zm?6O7Rlg0Le^!8uz;H7}$9Ft9N(m_Sdb)KqZpLGpVze?RvD}h8zQC3Xp!nkBN7K|u z)sB7CiHoO`c<%~i)X2Y$8fgBjYb)9agYXtJ>Fx2m!a1Xi-mvj)!87UkQw7G3S;>m& zpzh-6ap^EPlRv<{Rr)b#A4ZRBbK~-~Y)W=|)aI;tTKhv8)UJ%|b#TRIdc5d8jXC3Q zcGqeVyTrxKtzOKeadR)x)q|_eOU${nz5{&bj;V1-;a^=}XrSd8;&*rIi>)`4?7DAtD9 zu95e;n9UivbS==lJK_WRpg!ZN`Fk~6!|O_F9~XBe4eK*vK_|_TIm$@$E}3a0D#k}F z>_6OUj{Y*=yveM&`wl#F$y;ywg;7X_ z*wWP4qZ${>?br&(y_|a`HJGiyUK%$h4%KMbJ6#xcs}xso`tShFu~_U!EyVeb-) z%|Liv(7vN_Ceq%*k0V%)gZNns)~EFbCr`7eYY*GoiVIK8Go>9hj_XIrYu&U-euc$O z!`>xJO`t8cFVo~*Tg>y?B+pTC8aD}!{>L{`f%s`e?nq{ajlsi9$i0Z~vGyd`PN$lA z3s_6oR5IBw|=yRirsrh+152O?Pt&B9&a#Ec=JHI zQ6-!zdxx0Aw7qXZBeKvtaP~avT1a&*bQ@{>4quBvYjCO0DmwL6bxgQ(pdL1^0M^z3 zh4$1*jeXqB`%bV{7bxm)Xhn{RYSS+?Azcezo#1U=%$o4%S%|W_qxNgi_PnyOBHUez zm;BB4^O4z4I>&2!OP!SPiE_Vor+vTlqkPronf0|~wZU{}&^|nSF+Kfok!G}OAe`3MABA4o=4R!o1-D~6Q+OPE@dEB}21N>U6lJWq zoste*Xv#C4sQ&>9n~TDC_X>Ix{{{tZIObkmO9X|rLs7QqaVj}5q3e9A5#|{V1IejT zEbyPs{g%7~h-4OlYR3x~-0*&prIwWPNeJ6Te7C4dk6g0(8n7PNdq ze!B2-g!#8t>L>W{1+cd3{b*XUIGj!v=w-&%wRtf*z)=Vcl$kVz*38>ZsXA6RM{2b! zfUlhd19=+^r0EA$uje6iF78kawRwFrAc`0FA8~*qsNEN}JuhrgatE+LbUq(af2?zq z?dW!;>t;=w5^BwcDrm1|*k&a%v% z{pi{i^?b=GrY7!i1zdfAbpPFk!V|ur@VqMW4^mrNS2+qw>l~efZMt(X2adwWQ286W zbFiswpu02Z2Zd#V@YHw=eHr*nIz@N@N|0YhA2})LYUIW9k8yXvH$$+F5U+9m>QsKb zN%86|GS&Aq0;S}TS(Dhjy%uqkx7O{mo7 zA5{A-kexuG!~g1yekSjzQMA#{V+qT$zWg?hMophBwQI4~r1g)7q$JY^x_3YQL^kIZ zy;Lj6D}#Z235a4HcgT3msnsH2DViVYMhTXz6y!a5Z>+mD5U{7W=om6sVFAWbE}~7p z*>VTL&5A;^p0%^+r{G4q&b z;DXLA6rRYHdoh^TsQA$mFyINcbv}kaIW~b&Pq1y!)_`;X8Bee+cLEq|E!g)2+n$Pg z#@d*2+kP(KI*3ru+BWBP!SuP9wLfa5t^wJ+F>Bkje?#qTF~i`JcBUt&y$V+Kq}@?F zQ&mKtOb>d3ZPw&CjoUHhww2nzPJ5oUZPrBYh?s)C)q)8)aZJH%+JjLs^Zzuc6Mi!Y z2K1zD2e6>|9V9%#HgeqOI0jDs6O8-R2`v8!#*?59uKYi0r$Ft5Tto{4o3(Td!SPMe zS+mo59dvLr#f%bLYoA~Z7Z=f-$@WyBRo8!VK9rSe^o*%g-4`^PpejFo7M-=N+L`u) zHGWPqy4?QSnL2_s4A!G5)s8X67D`XnY(M9j!2sg{GN&il_BA$^zmW=x_XKkr>XG&m z#B3~VSG)md5(YeL+sF?>-8*BdWrmiUXM*{l60RPN_E{~r;N?e(qnpIGw}5}5?XQt@ zPdvbQ1mQJn3mdL?hUiBja= zr*WYrO?%LaO(v$T+!xP*>3l<-~#%EMUmm3^G zSb2)kC=Ee8YrogXQ-XF6jC^zu;R(+h`Uy{NETU-ZCp-%mTJLt`*LHT8l4lK7TXUU? zoZ>?)wIe6Do4K1yzS5RWIbF@I@#ZY8^q1g#H8}s6U?R<{v0H}7@p(;BW)7G&encA% zm;FK;O7;D?bij%C_565ezm|| zhEtVregY!bQ)?g(Bt5VahDJEVY$ zb!`lh7jf#5v41JJ!y8bzz)yI7%c_RRctlZ#$ecU^jIxm|ogO0NT*(=lwf3SsM()rj zLvFk<%gm^^1#tG{DKJ*N)v?<$ntLvm%tG7o%})+RDYZ`1rPQUF0(ZUno;N-&99?>|Z14vmq=Zlo>Yz0mx03TSV$cB^#{|z?mMR*w}m#RZgV9gITH463s;Q2M}J7)#?N`+ zXM5-{2DEXrb*0B-DotwMp_q2$^SF5`3hgaHm)AOHYHwMI5G;%0+WWS2@J&IgGOn>1 zm%Sy%?pB{8{V8<95uvRs8-*Y;a2x(r#!z@31CKYDhidxeV+k%_8( zFaB~0JAIM93>j}O(P3~1GVvLVyrCgvDV21oIc(b`Lbnu?b`0RP_g8ZixFKgUnG)fr z0sM^;U`-=`nvcvW+TeHKM=uzMRrNw$dOUO{h1Z*Ge$d_$iuSQ}Bo_s%%%;& z!vh?-A^f`x^QcWy1-6|D_o*YRuT`HAws#u_8*M>5e#d!q)v3U18K-2X!2tJy zQgsuH9||xtlGpfDD}UoOT42ws0yhs*`w$d10>W#-($?-FbDAR9IF{LX3I?-G97{(Z z&Je-up2ub&pMhq0M$JGfxl4hYau`T<=nmw?A-4_#@BG2egIcmpj9hK4%kjPrJ#prd z+1MT074N{2rFVbqYA;$kF-&N;*IBVJItSTskFH&QZa4F_?i^rZ0UH|lx!Bwz=`f!} z8q{~RIZ7*`3^+f-f;jFZ@u!v*&&euNd_AZ69>`ar1)j5ZA!RFfQ=aIp%B;zLCVd+p z51F_RUD>ftSc}JU%YLSKcE?1|4DRbY&NuhV+`G#tHAGhg-b%v#%&|_jXY&aOu9k%)V@-QaSK5!7PSC0g@mMe_^OmaKRP ztUU&6*2Vs`poe;%C<|Ly5kuR*9B91JzmqMxKQ2Vw26f*8C5~V_HIJeOh zN=2YK^7fxhmAI+HuLZc0^c_M$yDy7rxBe4qTymz<2AvNDJOb_JE&5Q=QUl0)>S%MT z*4iPk27HE}_Z*4{R~AF-uhlL<-Z(gP4$b{t^*rpE!6+F2iEzxJ4Kq~j2)4Jd)(|ow z;vAZG$;STfbRPz;ma=qK>T}a+ZOQHQSFv$ss8%X(k_|9!BXb^0GL`y2Q8^W9P{{I>!cGMngXHJ?og}b zK=%T-8~pMQZbJjq)V^@!2I14{0b?j6RDo?j-;JKT+!wxR4Bg$Pz<9t>)KVUK<1BQe z)85ywC7cIz+LMa;;1j)b7o{oN(^1-*6D7vPQ|rPcP83@&jHkEv{xCT5S>9QfRe2C^Lf>*M2%_=t+ke^u34* z0~3%I}^E88dBWBZFW1Z|IF|TEZs?fS@IcdPF)8=sv zYz5?T7?&~W;x6i&?E~$!u@vQHLpb5^5dha=Dkw~6jG zXe=8Zisp*KfL=E2b)==ZqHtasrWWw|<3lN3voq0sEwg}7WE(P({^_QkHiWN9*8(TP zTRFkL77S;ouol%gbRSr|hT>E8wp4mVLqU#n8-(+xFbSV4=F^VG# z*c)2YoF6Z;!sKkPPJa=w6qn~Lr{GWfq_#ARZV)~d;T;3_6DTk|S2EjZ2v#40rO!E+ z+V)al1c|765IA22wG@24oYv%4L*%sods^aX+B5E1Nl;bS?_0g;z!bw(V}EM1Bw`2G z1Qx+D6)~2&Y7xrsKaGMK9y5Q{-I+dY8>_Mxb27a9Rw}c~%Z06!g>)Ef1n2U^K*5bc z)bYNWl{~{wr-;^Sg7ZI7Xxd@NKbgGWP0?0tp*%Y7Bl#6g@9H&vwfO~^Ecz8(e;swn zbdSnb-D%d<_IBS=Bg;yvp8B=S?Zy5X+@x1AnsHY>31!dixodlZao%c-%M-j;Pl1&~ zV#vi>b9jPbP`Lt8)?fo#o?tk?faRc2KA*`lJi&J7e-^st)!6jWklS)q1#Rw#TK|n) zEXS{QGNK{3vje()jzuwP^LNEsFEmsW;A@_&+4*`VJE;nPPjFd1x7P^)A|iT%=V+}> zhKu(B`<`ICYayo`T|jkDu-)t7X9+B$J3T_yx4w+1?wfSgpM74<8v zjyd^@Ig&>5S5!>Ng}n=`d3aOj9o-4O%&b|NnSkLPQi2M;%}A#UOfsM91DJL*#3A0a zKGk9h`c=(w;Rg|}FD%vyT1)3xOy?6T@Jv>!v?c5pd_p)1J;-gI_JETQx>XK19(ee; zA;Z!1erC#Jc)J$Adtu5dBm<0YFz5wP$X4hTT^gFvRln9T^ND`mAGfIM<^6;7eTmxT z2~QRD{bA|}aO7hJ{-Gm3e5D&}nlX3)=+1!yL9{P29uv3 zQ`dO>$%TBrAY>hNkAFv|TZggMWDHyhg2|m#76j6v0%~8+e(n^-c&2Ad$%L-9vwd8< zYmJb1h)CCh=eh$7KEjQI=*mnwV@L=-;>jhK|0~+C3Dn1qW?sy6bIz}mm z&o+bY)Od$Dv@lY8KDKUp~lu-lc{2$8tn0+xe{y~G;)=TXsfAwT$d88 zAA%?s7g4MzZ0N7WFo_=Y%sX?^Is50H=DG9T>BED*vKuNPcgUodyADkayJCRxBS9a^ zbwfRTnuYybI)k-YWUB!f(6vV%8{nR`*pJ!|VbwIRXHc7#JLFNuA1t?+L>8knz@uUv zA5PmJsr3@>uc>}&1t7l+6uP&E(^pyL9(~0-u&T3GM{3u<4{328R*&7JV!Q@#Ti_M0&Yh{*0d;U>Eaph^x!WBy=M|?M zcOKTPxxq*GbffK~O47d_FPdd+PqpE9(uC87B=KE%lU5z(ToTtjSR)-+%e=EEZva&~ z=M(c3?XjpW8|4So*iPobcrMHYW3ETs;RcwliQ@S3FslDTZEi>2im@AB^Qy9J3|Bz)kti>wV!^ijMx04LoPpH@en(YX_k0YA7E5H!i|fxV=-`R7u#t?|)-!W2DUDe9~%ciYky=XCH zO!wO_#Vk?h0}sPW;`_vnCMyq{fyfpXb6(rvqk-8^Fg6O-TVZO(ihgfq7oKo>pPI7Q*l7z4CoE3uBWhmbo30K?bbe8ukkwnF=}^0x%Vf05!V1p zgxl`tT- z)uPrnM{Vr34YW(`Vx~s`a&DN&zD%~_I^T~9bDi+77!<31t`Gra^Ivoy%t~AOO|y_Prz9>DbIDcxZfLey8=$Mipa4 zn&x>2iepr|Ru>uRM-(9%_Gc6!~nYXi6xt~3) zWbv9n*r)yZ>q6&5#J#x?pc4IV^HaE-5SZTb!S9@l+g+@a?9kQ$lFZWG9i*dlk1YSC zTHI?Uwlpc<^F6h(5*FD*$Xhnfi7i7`)gFHa&y{6gktmJ+$T4i2DASA2io)Z#q*cQ1 zx|`IqO*5f+f~qgW2*>FVmDKqxfahFO?BqkOfki>qG$W~nO*YynezE@w{@4T@!HRH5 z#^n}6mRw4oM4979eOWk{Ww>GAIaA){8uLmB6;Y-*WhaE0Q-JEaH%jM57hEvkz&A1Q z$gLN|YEuysG5P}l${T-u^DM+korkD(3@hbOsqshqK?SLV3sS)v;$#-bS3fWRI(qS8 z)iN-IK^;nQ1=d^R=WfYziV|~bFyN2RErG=^N8m`ekHFsr7zf_ONm5Mo7~lT(So&zl zSm@HKm_LshGPvEynE1?zJ4kdR!v8{2P|Vi>*c&S*O)C97 z$z_@)Sx=IS_9qC{Dc)t;I19~L9628}9enRJ&Mv$eH34W-**-k!QzX8V6S%nZDLn?} zcxWr#U!V%#lNKI0qSD@dvncu(;$&R3Sf{XMnXcdOo&xG8WmCx4s-KZ5lRx+$U|8<< zaoHom-%vJE0~(h8{~{HmP6vJ0A5Ie5)2_V7b}aM9o#C>>;HM?$bH&H1l?_L>MNMRC zjh@ox`0!(?sw)mkiCHL4Jsk1V=8`08CEHu>f5C4WaC-;Gh~b8TnZ<(TkusZBl(1Lh z_EHpO^K@5%`esOsIMmVh=533@#MQ3^QY0vch-=O4f&USjBy)Z6KfnJb3$|cMJ(F|v ztcO4`Tw(2$li1ApT#BI&cl0G?X>e9jQw7z9@sw?PgVIIe5HupO8EY;? zMo6`WiSav~S)9AQZ<)%BS~(G4={^**Ti4V$e5SVGKzA-fk<{#Iv zH}q7=hl`gGK-=WI<<#>Q%OVm%bY`)PGjHNFm8R%4uCNP^>d6H8(yn9_j`UiWZFLDS z?eCJCki*;ndyfQcSn%dZg2`y5;CYmOdyycIkZLsYWBo|-4=%PN*1*zvJKG?PO9H(Y zDJ+_s6DOmr4{{|DV2ZJNaVXyu_Obbma|`W^B2!Ic>NtJBnP#_Uv85SUEAI?|v5^Hn zbPf~@^fd~q#61)BxL~_+QxoQqUXS*&NoFhs~t+=L+<BZ*Erj7W5x^+D=;Fhl8@}gz%Xek6jWUfFeUoM3#Uw&M_1fHd@en?p_RQWJ#q&MW>J;TN);q*!dW=q*ux$R zcErXvT>=8?Ww{c(m87g*?`c6mQT24#=@AvzdHWt;bvZc`IMwJ5Bq2>3MB_7vJapZT#uVin?f{}Ge+j3st`UQe0}b)77?L6HCqC7u)ESey+REfecTGfmesOPQSD>ZcE=>?z+%;y@V?;yUR`1 zK9v{X*+7wev~&_Y1|>ab$?C}c()`!$akDK~c(H`g^wYL6qZZXna-D`%!{`uQYnT=6 zM5vBiKPg$ug_7M(vb}Sd^uF}z5#h;dU

kC^jpsTz=IdR!XA9uMy9-y1a>onj4 zEej7yaukENdnK-Yn=zAu0$0BV>q0rK)6)oLj#ggItG)gftiNL60z3Kw0(BnO3TQ~1 ztV9v!UX6ZR5pmfx`qoxN-&$Es%T zCtTVmO~s!v08*{B$EAjE+IOmG?xS*A#G`K*2-AnZ3=J*D0E^5pD5JSfHT}g-deqYB zx)caZ?iIy?#|X1-b^E1ESszFX$<8Z zy_Kw(g;iwnMhz0X@9}g&7fP5@zdui-?mZ@mhs(aKhD9zC2=sf7Cx>z|il8PQ2QyRH z3F`xR3~XR_l=(syi>FL{QY@z$K~x94SxaCl>W91ja;1Tw#uP#%&D@!g9X#ui@aQXM z{<)Ft5lI<~KIrtGtLAIdRKCR&vMYm{Br7+hl}JBv?HV2RC&D5!9MCSMqx;W_dA9Mx zIFy9y`!%{G>*ypib#v_Hd61fPVK|Qo%C#UN?-Xm*bf{bSGNJ<2KNvj?_rk8q*aL zawyyJ@Qsh`%VX0U*1}o$t$(0i79<+^gQ;9H`^xwe-VK9zKO;b(3Ms+YOqSB!$3NVHi(+CZ@+4&5=DOU~A6+>PBQT~KVP zSQ^gIM3;L489Pk{?h)#VD|&1~C$DIZifoo-#%y*POAWEUad?=Z@o4#JN!0n4XRiY_ zBQva8m5*{kkVW_62h@OviGYQ|+Dnf08%b!~EXfefu@23oNTLDq8U}RR(3pp$)Du%zB z9ABb*`pxoZpdACjMkfr`_4#FOu)>GTFz0-&T{N}G2q%CzLh>gEytOl4`H7!h+V;K5 z^hjnKHg`1=hGm*d`HP%RK^^^=?;Sef(<~b}BJ`>;r+U7CARFSUt7X;r^_|U{o&t1 zBVcC<&%8)&R}-S9rzAH}zVQ`ZkiP>*=JOpWT7w#XN6z#wbHGeMuUQ$PLvn8gI##g| z>ysbg2~%ePZV`^PoprLhQFn0pbOV>B_Vl-_<8SO0SK7C`1XCJ17|CLpZ2ws1tcsA3 zf2SBh%L7!YN0xOAr7K`9X_rdHq$tJfa-jH5Ni6ad!)N|R%kFWxUtK@d7ywJFvF&UX zu%B8p|A795y|#VY?8(ZwR^$+!8^79ORFVQmu56?!rEJ`ha9JDR6$3BfACBeASEt-6 z^?&rsQE!7)%>qUKgi9@9Ze>thoV=CNL03_Q1z$lSQ@Q#QDLpB6-A|L}WNZhKd^2Ex zc~yA-;_XPNl$6S!A4XwIo`GeKPXZ2RyoAF{rf$KkYJuMu=` zhh`dKO-mW%RU>&%uo6DA*+4Ul)3Z4Vc|A$w#GS4@grZ>)@h;e?oN~-Ek$=bguS5~F zoi~@1K7Eq$qLZ?@7IMtLtGAC2Fm z)rnDth7WIZw)Q%-zAjSxyT7~7ILkq&K`=m*Q9P#I@Hcy|<;EHji->4=|BW6r;Dewh zkKDOZZ_bjQ#CP`t}9Xrfw z3LT%Z^YXzU2PK*}p++FLq0w=kugSbPEy@o)U)itzGZlrK>axxN2iist;`^Q!7=j4% zaMKJe-ov|d+KoB3y+<|xCq`E0rUHDpK%?pUht(m8RJ=p^wvHfUo*MD41>;pN0fPN~*^$PH?=BBB|1Z#$D;W z(V84Zq6*lrmt~^8J5ZuvO1kk|RV=o(CbQd{O9dpH)w8h_gvilAyne2D*42UD?ar9v znR;A7SF`GNPH_Icpl!xKdKw72?i99&ktHNOma5t1Of2~ij#dQkf44EIa-HWuoe5Vb zO^Zoand^UvN1BaAxGTQxS+;c)rn$ze!b?f&uqrSH0n5!8nVrmL|M4}YjGo9>7VoA; z%v@oxe z^VDhfYmXOzuOC<@(+c zQ#yRO^d8}&@)ZHfLAj;hY{_M8QQhY@C#wb({FhrWUmGEG!+GAJknt=owEQXG#ridg zMclF)L9toEAb~^rLnVpapagr)fiE*C7IXhGK3*-?21hX7dl?T6pNvF*OWx)&7av{U zl^QRrOAu!SZc#Lj0Ah9}{vimr7D$jh2n1P= z_`DYqknu=`bdTvwRlj8V)&!0{C|SKgsvvKH|KMti9~A|aPS8A5vNfIo*Beyz#!k-$ zu@Atni_MV(u{!|)q-!7Fy$9@pEr;acU#XW#;?XRz%EpgGAPL-<;ZiE3$zmo_lQabT0UPI@(`?*-;n(niL`vVyUef}Wd3cH+bJ%FW=^o;t@K6FTFPaSET zdNE}gQ;l#3PlC#;?mic!NNZ4crB2XYFr13qu&|rij^-LhnOgR}1N(T}{i;xmpl3(a zC!P6~4kbm$&}ZdZ%<4~mo*?=q@$f#|z4P3#Lhn!a$Ar#JH>2_^c^$Ls!)T5k9Sq?* z4_n4X3qX}6<8pq%t@XT6iPPxT3L6uTygjqh@1j+z56)n(3zf}R^m>@e)Vrig?Z6^D zepITlaZitNme6`im2?LR-|l0Rim;7daUX`0*p%g0MnfucUqGgUwkcyjL4tZ~&G>4*ZQ|qLv&@9kL5G(EA{xVlghrwH?@=z+pQuywGxtDWy8Jkac#< z%WbII+nr1Tz6IS^d~`V^f12(%%em+|HWR`qqOM zPvGm^WV{`fFa3&X`QGe^pR1RPMEIZl*1sDgV#dRLQC6qpRsy{c6I;#uIQ=AskqMO`Mi4Z5x z$n-n)Yr>7aY%T+ zuy7nUvv7=6fH+s~I`!yZpx{GTNol!%G7n&KJ5wybAOHBA_o)`-Ud6Q*5 zE;GT)0{N~vx;DhoI#syMgAFT< zh~yL7y?auL$Rqh77Z_Uth&=|86Z}UDM<>NZDn8gDcqNGJP!Mj*V5l>&^APryE{^ZH zTMAHVv`=WIhR%-UIcbH57^9y0)Ldl!c()sDqSo_gm*cFL^48iqdHTeM5PT`>o%il6 zo{Z?3Q^Zvm zu$Jk>)3RRWVu|ls9XN&%ZnM($!!~w2n7J?WQ3Tn}*2GU0jp89Ma7b1W3nuTF=J}OS zP06?)mO2k=&&6vM;b-|d-p?<`Rn)E9RaCm?kt^4ob7A(v%@z7Qld%1bz~4$YJN8PE z(4j5(C@7pzf{pLT`h$g43cTO>-x|-=803Xjl77WnJ$XSAxUc+Ma{A1n-_V}SF}w%8 z^_23)X3xq_(|NTPS56^uYcm?0_g(=gLXYt%E~JLc_>+^IQ0Z#@>_^QLv|UUfbdGT`C9gcXu&(X%C*MiMxL15MzjF2(~aA{uh)5A@_?4gX{Obm`+wS z;jlZk7Q1YOl_t#_dfJah*c(?5*aTyeAE>$l+>C~LS28=;{7bGs7Rwv2^+WQna(dPY zBlIWz1pLx=PEJEE5EMU^+3g7aCN(t{)g?OUzekkOz|7j{(j_l(m+8H5*QPk-?YS_Y znHlZm=&nE(h30cFHjxT2aA>{9Q$Yj1`*4%@zpUu67cFE)Nqf6*@aQ%wR{{7>xN@PP za7`)Hc0g_UR&qzqNWLP{?ocx$KSVHCKx!ZU?Oa%qy;AYf&`|2hUwVq7mkJ`Qnr2AL z+!o8(Mwx{8niVTXD%2xcoon`bJ780%Le7l}%(ydkeJ3V$PRQV)3jJhz;azY1w(t)# z^&zf4t7Z-B+FG*g=Eb^drq zg5wJ)aLDC*7%3@bSx0!)as_qCo(G|*3bG^&QS_rs%YFVrjvtvkDr)qs&sn5{ZLeSr zCJc3PA^UQ8-Y%om0DJ4WAI#(HBNcX&+EW1Xjvw@AmHhNrP$)Hoq(f)sJYVy^%Sj>3 z#IAH21#uzzO|C*GsIek3FB3$6G2As_rMfOwnn%=`Ev`=?!$vo>YMQsJKb3Pa)SU-v2(~s(k%ehx0 zWq2S%zN;d>jM(1yNQc)d0#}ddwgVygVJ0yBvX)gTUv7C<@rtzNQJ7wURHqbxccZV( z&s{ho|5#=?k$h+p%;!zSKn|`MIYdS6${=@Fh14^9T)+HSe!w#HgO4(GER`#+v?$G1 zi)vR2y(F#oC#L-z>Z`PV-lUAMTOfiu($t_4`Sni2R&dY08?et?ZZ%?0^&Bak$tmjovGDYXz>V)VtkES+pcxR1oXc!n8 z1qBUzn!mkQuW%pYf*~Y;!4Y>~G_QxK9fVo3HjE>oKQg9QW$AQR<_u-^?BOVD=WIM^ zi|v%FeC@R3R~an0*(wpM2^0to0P?DCXNlr}cL}9e#Iujv+?<@`Q?KTmiTL_bZ}PjA zo;E!_s@x4^kQqgGKkkZhpI|7u)cHuB*-=2CJt8BrD;)02$EHZnENA>_IHt<(>+VzH>ipZ1fOT zW4mtwq-Ox-4mah|f7MqoFKA$W`_`S?*0ky00ctGwsmTv4uo`N+7|lrC=Pi4%J!I;3 zXeMuT?CYk6u|acz6zj9!CP%%opMZBNog1jc51&^Fqh*NvATBCWuKvtKR9%~pxao!U zI<@vRp3FFa87W;t6&RW!ma_}QA+~IW;)+J<*G`jfRR%trYOw-4CY)dgplVc+L6)ReHWft^z8 z&*Xa5w(TUq1wCCO-)?QT?`G9m8nL!N`cx%`!)?7sm;?|DEvuw>xWt7_wi4d6a;s51M~PM2*Bq2m?pv~2fz zY!C!@JeQ))cs~BFhrbBo4AJ~7_~kIH`mM$^aU?XXATf1Jq>YKZBF-LP{8Bo%U%5Zy zUG@$q*tpEQ?VJ6^NzO21aK;4u$wu}hDY00Xr3aItQqB~=#h8Wvae$3t?0+{3Y7u-R z<$HsZW|-knUx4DbzaKXcS?xyP&4Hp2u(q8oaTh*|~An*^|qN>Unn??CLP zcb^LMuOc0pMy`ArwABD|VQa3m*|B+q#KXDD7xHUF6g!*uQ~KShzW7OV`tqa9;0txp z3(#d0GwwYAJTGAA&w=Han)umEFd?iGCyl6@?aIc~P}tmlUpv*+p%vm$?lPN*QTpg& z{f(pQE57hE+Im7SLyhdnNAh{A3RmlPsPsYOJ69K{=CAd7tK2aY^5(la zHwdzMR8v&LciYMMwwM=t-1|&1Sfj9@uxcuqq|V}2ebW-1ExmOQ_mxtIo}ck+8QI`h zvKhm3;xWKnjMk0PMU`>}CAFP{dVl?T7WSeqLG`+Kupwv_t0?52fwKxrfi>XgJLR?m z>d;~(N?pylL=y`Ta zgmUY-nFx&U^07F7#YrPHmH0$Y*4}HFzJr+XFJ!EULs$ZPV5i3FZK}~pXt=up-RnQl z5|oDJg|z9(BgE3E-i>yId&&YrlRuWnbYp~i>|;^u4K6#pxb6pd_X^L~dIsAe*CdZb z15yuV=lE`}21aR&!FuF4TIn21%dN)N>y7^Vp(mBCF^bQmY0qwl#$dsA|D1l_AYZ6I zEYP<2M*&}FpbOYFYzQn64k!n-6Z#oO6ECEb%98s0Eu05v^*@BH@7zt^Hh*W}4UseH zc_iR9>;_H~=UIADj`&9*pQ&&*%J)xRDXhoE-s3+x|1&3};F{1w_P^V5#4pl|{!iq^ zzqS7n`Jc1=f1^ZizhgKG?@J|{Xqge~nWaUo((h?D0U}n&e@)8uShKPxD;=;&^}6`u zeKpNDd(xLHBR~S!w5-f+RmW7fD?OkBRLM}Yt@V4WRdy;N!T7b1_klDwkrb34Dhl}S z5Qi(&hp%ftOztWM_~ibPxO`1tV;)Ydsfxdog+@3Oh1y3vF(F^+l5gt|g^ZjTin^b}RVEG&?n;H={p%vhs@mPM57EYkji zS^H`=>l|TriojdY48pXzM^prn9;~ycjg7izoOGGvqV1&NH zHRTkd)fEKWUQK~HvJu6_uX{aTp1)PoltQzwV|k~R*V_)Fk3+_;$t;LR*H@2l{D(C8)~_`5d~}VI5~;YhjU~_z44~=YG%Sh1 zlyO6!`Ea9Gl7aw^jWTTM>Vcy$yH``I^ADNba%ir9IUz<0K84MME&_ykKRowfb5woz z4nCF`|FH5h;_yGf<+mQM-DDnjyKCv!=n?qt>~O6i@FNBNHFI= z7%_tF5Z-&30{>iFBp~da`DQBt8S@vKfkNTgyE%ua9(UrhUT*4ANPVfkYP`V+>jAVp zzBZ;3@U)5En$Pd(%UH7dmF(HMB%bU$-Cj~LR^vANuc zW|q-i6M$RrzRm0Tm&-gThSiaDi3Y^65Af{pv{;7YMp>#c3%F6w zn$^X_+x(F}kH9uTE^!p5B)TX}y*2RR@8$L@>sW?$RBmG?n?Q8;nKyfDY|W(Jsq@I| z5159rUO+5_^;{1H)_EA^VCw5p&SvHVcvbfR}Cr zgqPPR@*&m+Qcvm&=WoR*5{gtf#XL1k+8S-s6XlgSe+A}|a@m6o)=+J<@1w$$x)vs< z@@@PWZqqMj`Y7~<&8bjvCNFTdXwawq7Y+$!>^f)uIn1I$UUQRL8oAjSS?Ko1ZvbH9 z^F(!fGh9yzBS>0>9^mEEvfI6*{i0RT3VJlz_rc+E_p2>p1vhqy9s~4-3r>YV`lpJH z)eFkKEzzP7on_6^xNO$)I;iAx^Mx7G)2JB4ih= z3z7g(d`zZP>IthrbBqKO5KppKP-p?BJ)rWFvT^Dk!}N+c5?b0I?}-XL=^LD2wgT@K}hORi~wwviV>B2%Owu} zoO27eKNiq@y6L}BaiT*t2+=B*7 z^`VCs7|V-FMRi=t%O8PG1EM<>apdmwDA2RJ7COW0A-4mZ(t+amZl_b0yyTGT5r*pU z!_WO8GxOp;MtI*Bti5oBmt&P|^h0J93O7n^i^y!?HS!O&|9a*=r{GxRdod4K&f6jJB_%(S;-x?GhzT+qwf@all_kX8>3oed^9RHYTTZj^)1LSWE{ z?y;6r2pZwt`;3&PvMmVj4RzGncVjOd5`mTt3Kiv~9^DeR3@V&GY$%j)I@ryQFpUg2 zVuhSm%CyF&h9_E&X}oW`7(S7e(N*W2XV3p>Sz(YDcQ9q6#HNmk+{`*eI|RfCT7mM^ z!%vGzUeVG(T4Qcg_;}3kD%n9_Q&{mclk&nEow4zE?z>lx2hMLIxXW`BOUlN0f&yBxUB1nMmMxFszR-*10rVtg1jcQOeqfG#lhl8^ zUAYad?rF-tr@&Q8U06}^6n_aFc8>q{N_;Mac}n!}Tfi@R5*Ie(gRv#&;9<&+SnPwq zQ(1$32#d=yQ}vahTR9KWfCOBfvcYr-`TH(Ec2^;`QtM|jwf zc8jxOd*+$h;zLL6W1~yM-AQ7 z-*xX`8CnvLABSGTjP9@OKKe)q5wmp96Yn>lh+Lv0?yYjR_xq9PszMBmH-TTC)bw94 zy!w>kg)u+&kK$OB(_s3UsOh4b<~Tu6ZZh43&@9`~#&m-o9srjGy3$Z&K@X_coKM|N zX$?!kXsOcDG&fP2EtAOgOa~|1WCBzPnI^t+A=hWLBTb*G4B74(6y^4hZj*LteDGtV z+u3#h0_|AY?Z*f|Y@!cA6ENd#u7QP|=97r%W)ndFqjM+&j3YTIp}(epgh8;L0-`+( zxgG#3M89Yx!XN@=J)@mFm@*_CavI{x8+%!M3F4#jgzuFWHYjlQ7^ulC^hHERu}*M+ z61bfjnmcZ$A>Et*lcrNdQL{TgTUPeLAozkXoDAFXDkihs2pg@W!&P)xrO`v`j z(KW?0p`_|NbU8$gBr_N@voo;bKl?_3bX0Zb zOslTo4gglH{_T+K>EJ$*LxCj-qmO~7b>wtvr}T(05azhIpcqy4IXG7G1Nn(8ukqV6 zMSd9A1{9kF4u~LQAraU6^=62v=91`x!l4YR}u1s^+j8~CKB zZMnoWnvZabkF^O9bnJZ?pDRms`Kgp3^s_>E+Z9fGJhc#ZL26>`E}^>L#rQSBlwGjy&6 zcH1ZEKFjNT_fZ0&54>ro;kF@(dntKxpMfpo6y_y)XbmL8NV4oH6Om1eS@FXr#j$7p ziz+k4ZN)6#?+J0ifxbEBcB1xK?ysBG8iF+^moy-D}|sO#?YjNnP4@HyckQp*-s2q%$SUIgo;MJ7t z)v_!pH_WxJsiZ9Ffh#t@XQ9#q618om4}{#>lfy}JZNQK6D#3^@z zs{)K94_gqn)6Q-ZXHk`a+##W_B1Ix`vnfMM+}k;#+o^}IiD2j$T6GBr>`1r7Ae9YB zHQ6AXgM%;^@q zB4AN(&HLERI|IEeWt+a6OO()&QCG{zjN-K?7JF9b6>nZY0&?O>ZAHPP{Utdk%m+oE2F}MMOwUOgx$}bOjjR z*-`1h6=-fWRNMJ}twMS=UIiVb3T8_l+|~K(es|N``5cvJqPF`mg>8=)u8ks?aG@sF z6=kZ*-3#|*fppE8i20`*6Rq7eDQwjk(=wU82Q<>Q2=xB8OUt#TuyVzX8iKu9P+wa} zIh~AMEd^_6M3Qmn-2)ZxyA=Hvk6sy{>8yV=#|2IRiS$5#$aaFH5WXj_EW8@3G&|4? zQ3rG8l@7&>vF?;b8-ZMl=-KhtD;ffeH(D%Qd&C|T=6(K2psZ`;REr7goxg%mY^pcPdX^ zuxG#BVr9Rs_P8HV1vgkgfW=nDn%){Z$V-t7F3uS>l~Yj2ZlL916>oUO zIjg53i?EGezpl~_5#5_!A}POnO2o7*RU=tv1)mf<*{_AV7S`wb*q^PWOngvBN5jDv z@Xt)6zw{4LBA)Pb=}@EWk1V0x3u88~DGe6$(TV9p8EUNeLbdcL)lHluf^0l5 z!q)}$SDbDEmS9HL{uyeZG^-wAd|u^Rv$yDW^T+NX?tI=L%x$oxk|kQ$C)6`|Ky20V zTzvK=sLJB%)A*LKUvjWTsSA_%Hi6VdOAsFoe>)bG+mBay4i-|o-P14&^hXJ>hF*9q z+q>P=uSCt-pm(}mEl@rerE(tt8EPB90lhQ&f^&H^sW4;J@anFn_~yxY_YN9M4!-sCaLNSM{S)E`IjwR%c^uy$`c za>A^Za;%JN>nx|G>&tgV@-HJ%4gZKr0%miIBv+i})yXh4Bv4S%?3=5WXvTZn9L!Wq z|CJ}^{NdNvmMWdH6yjfw7$ZxLawa4&mlkBx@^S6gH`G?+wF#KuB>}c5Jtf8}-bpqr zYD*wn+n{aaRv*5sceE)e$YF~_7bEgD0mUzY%81JcI1OU&gF+hFZYh)#A{aK|A2P;b zA>2Vi;GeP=0Ln0(u@lJl+EA5L6ykYND3+P=(&AfbCp)w@8whGB|I})*nKn951E2R1 zQj3HRcDHx5jVgFnOwBtd7?}KvIzgyM?_N~x&bn!bV$B1LZPc7l&gwP+2LiC_`?^gc z-#P73|Cd8h#TVXgxrf&xq7dO&7~T6}ZVf^K8|;!}uM1s388_yb??bw_zTopFz6!MQ zKEie~7Pa4g(A_ZAc>YIq4m?k+b@iGua(=UFO;e8vT-fN!ejo~)*g_$>RD`szx8rQo z<=t7_9f1c@;uf%$zOLxBzMTcfwUOPF55#GXB$m)98R`!=E;yh}7JUV%zfxY9{4)$f zx*DOpGGzG9p8)A_er80>2R2F(6u1q9LX)V9l_Ed!Pig?;RSobF;p=0z+J0pPa@&LA zMlPR_!n7br%tnwXa6G(n4atzN2}~kK^<{Mh>fkhxFbQ=OlFBnWxjr-h{@SVo9bJ{q zUSnm|cQz=uV^PJ4ifV=E@v~)$y~L`6VIR2%U{9iLGMMEi0;ns7{Kz7OYA;Oh5pZ6) z)NsyGN20Qif99W!doVBHCG>Gz+4|fZ^nS1-H||$Rje7u0W0{g`m(_mWjr4Qo8_N40 z&txyQ>7S+zv(Nm>j(>e8P>3?Esx3Ivl3V!Q?#omVS3M8|)@=+);6d|zdFi_O^N_7!h?WOD zh-wuE>bd?N#A1}hWYlLvIEwWK_WW~y?%-_hG^lp(lgg8P?>I+tXelCQC?z8jZbS#u z{9n?7308;HUYd;Mxnc||YW=Jn?K0ZhOvYD{H)kO{ad4YQ<81*|#O3Z55LxylyxHebxzhIvZ4; zietN&PvTkDx%jNSx%rq#DQuFS{cb_xdV7pp-l`s;>%(?TIxYV6xcxyK{j1~E98#|K zp3C2iGu#YE#d*G)TQ^>1C@iPUhcC;)?*Wc^>M?R+=p1IF(u3hvECK-iyNNc*BaHb# z@<4Fc5qV%1^Lel`!wHRCDGvdRB`?&|MS^I}Md|VnU-u0hU_nMChtC6EU5Bt#ps%CC z^Ut~2R0tO8&>q0@-uHeTz#zy%mz4TDcqI7B>HZ>jE+lj={F!Gi&J+M8kBtV( zEQ>_nBx~kUC})v!nB`7B4s`F2?Mf{&Hz_R=KLI$vWcz0!I)@`j?7Bb$%u6pz^bL&* zVkdk>hIizHJa#XUnV_nkjQpqjZvYDubiReNeX3czQRW*vi6DkhE~0W6relr@iaMGq zGA}~%=_7ox0GxwBnGQ-V31BNFLkPao8svzIYnY2(SfNyZRQEan`XH29tR-2UP2hMB zL;CnT(n&2FI7qH31$4{yBQRy|d{O`%uw%^yh-pMDV5mjz?e&l{etY55@(-Y**3@&_ z1r3v1@WIckGYCuW7{=Dz;McZW(16B*;J85uH6Ds9$xLXSs#w%4PUC$*EuD@UAJur`-h z&bAPb3`|HkLPoEt7TyADCZ&x8#S!WuJt6`NZ~T*lI7L)rmT=xc3wcW1nYQW*H$0P| ze>&NRgwCRuDnf$s?x!mt+_O9H5lbA7V6geFmRP7Z>AD)Kk$uzBrO*3_`QxjAr|Pb_ z3!z6J&L~pXBj__XqHMD+HI}~N!Jy4Nwz8PXQ*lW8%d_vu-Il*`@t+44H@D z;{RgrE1T*Fwytq^cXxM}gS)%y0fIwtcXuba1-Api-Q9viaCi5Rm;2mb@mAe$Q#I8+ z-959XcdfnF>ctQ_Y*jyh&+NY(SN0-LgAz->*uDwIve+uHVdJ=j(mosZLr%nX45r^bKEXB(ce-A5zI ziTzX1XHP$NIiKiw_;Dtxp_vPN|frK?dGsFvNDP1jU6q%(|foM*;f2zjYWD$41a&7 zv6650&kdO7Pdxo_wRCY0{nReJpG}@agz7OJ8HyD5Yp!n9oPyNdqL^3Gv1QQVjsx*B zwO8rkgAKu_H^c=Sk+pgMlVe`m`Z$(VHIMYDDwxf>@Mf;NC!bfrt(!akc=Ce^b+K^C zeFAJ=rhI4BPz#M1vfd(*1us%Z1D$Mh;`mni+d<4qZ{!B_kM>C0tTUbFzZh8G)_-_- zxeUHb!>oJ{zIG5 zLtM5`$UD30sa8RJ%&eGW`g^Rw4u?N$@d%N-U@@%hc2#-yYqE|&M^+|wenfJ+4^fTF zl}DL1+XydA^B*NIk5ihR?%`^FKZXxWCOWw@R$I>$@BNII@XjNd8h=0iz=2?08O>{) z+xq9jLA#n1tzlBVq+P&=%fw+8x*5j5kD5bZ38juA@Q-ll$-tLQUoDGlA&o(9FWkPv4bok3cQFh?9N6Na(IqSAXx{XxrM0 zv$UU+dtv*0+QYd~Tj5FpqR2KGK6JK4;eKSBAq!msZUh>iSg`OEfXP_rw`rndx}TAF z5v6H&PS&v)f34WDd2bZ6G_j$Jp^8u#tbU`^w!uq1{yRgklL9s-SGA?|Fr#sagt8bU zFrk^oVA7}++CikY0y2ru5fB7eC*IT5$4|CF^32RWk01o=Z>#S;VKx19`sD@m`bODP z=c_dzhGPU#;?mSF4G|_mg(MdPxDq@8BGZCUzo4M1%~pPF(Hu*R$z4?j3EI1w;T8%0 ziHwW7G0I9C?Yz$*t)7QAPe=ybm&9M8K;Wn~de|!l;SmhVv?7tr4=b%fX}U2`jU^sf zh&1zHqHhs7QJ?4}st8(yM(kI^=HIQp?mjNCpMk-ZU=WGE6anT%&XEBBUymm@)xyU4DQSAunJER@9c#R zoQsHwgh_n9V_Q8qt64rBc`d*Zc*yMbX3e_V z@S%iv*)*JZq4cQ`YI`Y~r2Er(p~cZc_AxRlW)Kv2;|-GUxWm&}+zERaS?9ZU2q>US zgOGMT5|?OZ*Yn%tt2<5zrO<ZX z^P6XOe=Bqk@4Dy#zyFXW>9(14UxcLm$E0ZN`7d4{F|Lrb??qxv$4VU;gyG%^(v!qP zUQn@NFUCO#!U80iY%!*V@wLNSkvcB&W{CtPcyHJi=t4JmmokuvuW@dq5Cf;sTI-@3>-Q&AAyAOb#ot1_F*=`D_d}Vs)awn-D!)HbG zT`_>PtGqX`?;XXKh8xqPEZ7JnPd<<0@#pKJ3gz3dMG$Qv2(V=1%*}51UJhX(tLB_5 zStx)~WllYL$D){J7i*>nuH~pY&Y&pW)XE(6HsR3N6@BBMfY+VADR#V&&Lw&tv-UK&4YlQNvMlNN9#+E$y>vOz2Gx0~) z{oLQe>W@MjE-nHA^)%EIXI0g?cxj1}qSVkkaAFh$ggl}T)&IN8|KblQDVm?7ggt~5 zAi~0OE193OIXNiw14M>~h||J~Vi6$6M<}BNkj~lIa6OEPy#}GcLPP)gZ(};rx!uCT zx$%C~@c;I{4a-G9fGq5)DpEKoCML%F-^LTLZhUlfZmu^HUr+k#edifGK0;a|k?4Oz z`@eVczg7F+xAy;Sgx>yxiWiTLH=P`aK#wiM=7=j?W441q6jlG+P<&X_C9%Rw@LSwZ z-`}DwrM1eTd&8=}MYj73+j>9qy|{WS$W(%_TUB&7P`kSKN`KafJfblLZVIYCH|Rg` zK(R-TpSkOW&9dHUYbtZ@B3w?Yy3jw$Uv#gl@b-MNiKzmTH@s^6tsYyApNb!u>9on{ zH7JLIfI)ORb2?FEO@Fm9yAn-f5i*$hi9{dFT0eBKWN4)7hkuHTQ+YC)QG|be7~U@J z`yw&^E<}H2O)(r+ZcEZp<1#<97*Q;-wlh?A=uKd{wjk&r#szeba)I)PiQtWVLj%!8 zACPQ*S2_VrngKw2b1z6+m^$I+jxzt0XM;+=&=tvFm{A#D0uVlpB^>OsyP6YRwJ@`; z6gBNmmo+J=N>srFewyjY7Geh%};&flAJad|;(k{AZw?&u9f1fM=iK;pG)*BRk zswE$_2s#_E+uOu~NR5#!c7H>aGCQ@m12lwOaO{1&2Gf0!1FdzwDm(|Rk_d@!rgQj= z+4gCOVNI`5bQV5~#2EXzK}_C8$sSALay$rYbb)f60bu=mY#`I?MTX*UBIHl9hrfeO z?XloPXK`~aV|76>gw{e88QR@;WgCPv2y&fup=R9099Dl*ln-V+N1I|F*I~Kmjib^~ zOO4ves<(YN&YAE~?ps&N7uH5Ff?XJKusPI!LO2`x%0Y4p)-Y*&GHn>RiKLg5 z39{xIEe+Y*q)^np97f<593Ct_SA=tdz|AbFOrX*%{KVt;4lc8`72ENypw;}loWaBG zSryjPGq-p-`$O;oyodlnvPRvdMrY9=JMz-~4(LRFm{fAa8<8}Reu^f635Q_uHHy-^v^64YUaC>md=i+rMN_(Z#D%p3 zND2N8{!{z1xe0%Ii7d{@H0*mp>6kP1!38tP))4(!{5JaZlbr)}NZ=A$)GHwbt5BD< z(IlS1>Vl;TP6`Q{nukA>UZq-}6uP8LrHYt7PYkB?kr7+k%1HVA%?LSkt|;J9Enc)% zl5qAYFCHtZ8ZYTDqAdmbAxpA&n%^1Jav|bsf^K*0vK?<}!BoX>ZC8*b);AJ$EIgtTN1y88>(Hz+99 z_*ESV$%i@*G5g?Mobaz?`y!Hdh8Hm2p0d}K!PRcTWg2h7#_>& z%;UTNrlBUqaRJVboE&fb%;&gUUTews70Oc$3+;A#sYk~x6mo-0b7*}0F7rnx(%0A@ zibzp*Q0HQU_2a1Vnsii4o}hFE$cK44<-BQn7RfRUm#AF^wh#KatS5+wD5z=;{3qI5~Rp4ZQ&_h+=H`< z=uCf~6_XmYmpyl|7EWdM)V**@IW_{`cCX>aT2*_L(yg^CW)G2I#0T+8LC*?h=OS9D*4hBvH0cEB5yBWieRq*{0Q9Bbw$x5i7Ho^!-*+PcQg39csiRX zDL{)Zg}MK0-dGTA+ZAA$PP2A4!*Iy9Wm*7WF%OJNI|u@z8K>ap24A~a)Fma}8Zg*k zK@3`cRzWO6bBXx@A<;_aC)Af+>Cb6>Y^oNONs;hEwxwnG3=mD3Si`TJ7WYoyCrl5j}OnS(+>&J511a*X^!!o1k+ZpX%Bdszc zxm$|1s@N@e`~YY#>ri>)bLv6TIcI(~*+LA3h)Y0S8U)ZsE&xj_p4wNaTZTU-Srn07 zF#2oC{&t9>!c#T4nXktHiQRmBTQklou6$4_G6wz2hO3XvU}= z+EBFhVOM>hh*=xqnx>A>@(&`IU7DVmZD01{c`#q?VXHHfNWdxyuP(Ial#Y$+a}QX` zCq^GG=mQ#HTVwnJT`6W3325}^<3fMCYGLdjkOxhl{aeCbvBO0Z9g`foyCXjxW?WO@ zy5^7%LT#N?#MtMVi2mk3Jke4DO)o2=@OZ!juA}os^-;|hbYE{8`=r9SKBZ)5(Go}HM zkrv$U#~(gvCEujBdDGG)$q{uSqu}C~L$N){Ts84B$g>-y66XJ~F~2h^GaZxW8=0f&B-Ei9r&#!d*t;`OETo@3|HS`9kHHv!0u20Q$Op<*8?4jfoB7GHMKvf+{(KOt+pk#0Z(j@8u` zxcUUdQOv7&GyNdf$A{B;oaLq;`n|H)2wdt0k zzSksF!ZvaWP>xxi!Rl=zs^@%MRY(LJQ?TOLpI2ilodE5 z2*cA$WXX-8GO~B4>8?t#dNU<~M}rcwEjp`7XxF4%Zi z9R*>#MoJ<446NeX<`v`{)lJRS{&=@<;UbleT-`N3;X`+w&; z$(DVxXdk+ofw>Apu%w2Wvvh+B#du(KR>BLqu>+3;$_w%W0mS*?tg?ns1J@M z?n+d4Sn!il{FU^LOrxnh@eY!q2MyR^#W3)mdTX5&Ud5Cz6JSiqB~}lBrCpS2%X_t- zakr;yI2iE6W{5E5*X+7bpC}ng&h;S`p4W2ig_X*Oz4fYUW~l{bTJMib7mZu=6}dy` z@0PmPQ{$(2#fLoqVU$K2rqLUrAB#zT*y@{geJ4Crnv!nRCThMb6V3L_vrp@Wt8FyJ zbD^v2Lvo3~h&~%!qX8t3W!4U#h!9IU@K?0K>#eBDRw-R`QQIY=1KXD+lGYYgC%7kN zTRrJ!OLvSuY(y8cAi32uh$%+6@fqms+HbXxMEs*D3~K$+C3v4XPMNAa8;FDb+LCX~ zU{AuN$B~*XHI7ngRABB5qL$P4<82$TRszZ3N(jr>e-c0tFZuIt70RVqELe(~eqhm- z_wo5AD=tFzC7m{Ern|pJ7L$4HtV5X#%PIm2pO}7lpdLpr*E8YzI7IFr^~r&F=tn&X$8R}-oDv_2-mL~ z@jh3P3xw2|l1dydP+?3l>;V6++7(5Q~x*(<;fMP*#rK{*wF%-YCU92e{cF2Rwh@1Tk{0_XK(I#Enb z#pC8Vh@v-Qm%uT(w``b`%SC3`C~o8^{uOCjh*k+umNr<%d{(!*RqoroGif>%i*a;C zvx)?lwW$NzMcFmwQW>gc^LENwW3;dyby0shKFoBA4Rx=RQ^c$V62qJve~gW0h+)C# zWr9ab8Z-atg%Pu=h*k`h`bQlmggR9i?rTQyvZusrypTLw9B3{0Tft3XL&6W|TKGFf zYdYhk_LU?-mckD7?kGbPh@WzpZEb=SOmXDxi$eo(iV+=8I+szfZ#nGXbz6w{b#g`@ zAaWxXrvy@3?P0@}+=g%@{MvZ6P)u2qQ?g#*ws(4{Qo|j}<1o7@G}w)#kXoW%_#8lG zQi81_Ug#D?HHW`KV55t#DVINrzTeaff{}x5s0@yWcTTdm&euE9NrSWpuXmKR9RRly z^}6B*`~FAqF%8@hwvQ>g^`or{{^z>uQe#e<6r|KTb_uRmRQOgGTj{>7jCL09{KP8$ ze>71q+UiT?FRpNxg=$^rl#Jf*2M`Lmevgq6qc&cSxw>e@W>O#=?d1L6B(MnvJMeb8 zp(Fx%Y*ZNpRbsy1(Kd%Jq|IM8f#!H}WOm%<_&JEH2R_Wnu*tsj7`c7wN3iM=dS-a5 zm;FbnXVLn-CFBb_?9t>*9x%nmws?}wyW;6CsE@iZj-T#gjE!l~N=gEkdw6{NL26|w z>A6)z#$b>H{&BI|VQIq&)8~;3QuT6r$I+Q`lM9lBm&MTqQl9Fb;~tUP5XkrS07mv+ zBgo{iMIi2)37mih*+V;YenUPRGSQ_e_hpTIgP<8hzOG)R zLJl=fXN|AoZ{QpXM{J5jdr4YGSX%$6_ndZ)v}ns*@ArR?$Up9U@o1Gu7;#7RI@g>p zridqWU(^^c>-OkY0_V1hfr_!J?!qxJ{9^m`T?Q>HlH(05xs{BYT{gP#TPWH{@BP_U zVwn`mg2o-JO!z(^s=*-36RqJ@#G9%EV}J_BTC99srgw>j;&r;nKU!7)=k<1ekpeh* zye5VbIod>wzZ{nr26#9ig8Fg5J=k5BW=W1_XyQ7P_zLZk4Ry8aLcB!$URKtZLqF+c z6;fLzpfV&e%z+e*=I5kk08-O@Egc(=WWM@tAAxp%93(9cn`23T&(1&MMDzkHYloH7NwT1`s+ zw{o!?Zz#2e+?ASGD#oi$52I!gY_}{i)vp6S;PpafE7b6m=z;OcAPDD+-*ZwthXnN1 zs1H{}Qa<+FD!R|TqTl{1f%YVDBKe;aMh&!%gnjgFP=q3DnjU)C;V;UVY7pbDP4hd0%RQnA`sK3P5I|hS%`d8Y;Sm<%c&>F)Ixf^KovGH_8C~ZE zrY_tMs7{e8LNoADq-L?f&?okWN%HG5NS({!v{WT;;TX@%i7<3YC8Y<25_;v=| zi;w%j*m7IkoIzQY%2qlcn3v=tKi&M}CK;9)fvA8K9V{5m4|iz8NoDhS=fZGnZ_9BD zNu0aGQb+<(i^N@)dU+@G;}>_+*+RnERp>)nLNA{c3tKLGLuxwBk~m_5E9!olQxMGG zpPV+$K0%k(&3skyvV48dRBL_N6TOI~`@gW%SJ{*Yr3fi$oXJY>6UV)UW^I#T#3*3} zP}VRV((ey3EJ1SR1duR!=ex}M`g@+?7NeuD7@&%O$4wMDmL)Y{5k`gR;h`t~FPQT` z*bac!>IpRW6%+UF*1_oan^5zP8ksfj%VHU&wqlx_Y1cilB_`7YjEYX4Wn^yQKd}@&Ck|vw^=J5kZ&wIYyR~G9tGaqsjOFS@BKkV#5@? zJg{htZ53K=q^H;d%4%H&9{H&v01#ST8o^V!s}$32t8^{KMSpedfO+%VnF>`eoQMLv zD3~w>w#p}gfbJ@VY2*eLNy562R=!o?h9W}1GAmYbWQ~8cUsV3Ko#7J>Z+0#u*q90lT-1o3$uRama6x7$ok3#-ik!fXPp) z^aznL`*8^PFdMdC^7Dkvb(n-aT+rAh+7i(wGUQHIvYM0!Oj+XT93H8zPm-_}TecKg zBt)nYg8{q=;9|T$^uK>=a3XRHMXxQL+KgJA&%VrGN(uI}n0Ljvd+VVjGcc_$8)_b2 zh~4#BTwL_}JODu4rt4uA)xYRaA^bOw@D?y`gjd;Fk05yYjVJD& z2hiOSIGcdMXE~RPBg)UvUG-l5J-Sh}{BRH{w`4@DT@nDf0Y&{ge&?rUNDi1k*bmSb zHLfe+FNTV9eE3%Pd|K340<}z(2S?MPl!ld{IrIZLD@I{5W+(^p(r%CNTopPQUfDtnogD)3u=S{V-VYYYu-d2 zWo4?Z_dqMGBbdQh334~L2_PTo!S*1FbWlu6X=*2vbQjXuH1XZmHS>pk@d%K6IoOsY z+V#N8qFmF0v?Dd14dp8l6|11%P>^Sfqv;gID9Bf4l23}bAZ&&u=Bg(9WUIhys47`i z1g(NY^x1HRC|czNr7dA-u1ac!K0R>sIGe6k!bZE+f*Qhjg%!*GAPm|+uB@1Q{!A!l zg}3S)DDs4bD}@xo36Rx18NtlR>wkcj3j*8!GL*KF{@Bq(LS+Cu>a4u$fgmWQp^%#4 z+mbz0v*3$L(>0;l^^X)P6-w;+naZ>eq4*PtY=Fj}c_vw=vF3?tats`iN=vzTJv?En zGX&rF9>F>QRDIFe-5$7kPQnHN@Pxa}gsuvC4`pLyxaX&F;tcYgg0Ys}$;`*#mH#I3 zM;EbtQE$=F3Oja(=o8vvfh0{4WhUN%!TUr^oj*5o#*x0}Fyp_GkB1nwaE=g(Njm07 z=Q*CrZK!h!F@u1$TUO1ScGSq(a>*}@F0Bz$UrzMWhcD$bkFzgXr-IG@giz1Dl1LaJ z%jWq`CwtS3eD#5=@e}sM!Ys4qEeqhKFB|ZN=<&J>EZBy!tRGEKCufl9kOMh>$~01S?^3W@K778}w<@EJ018{S+%XnAoEDo|6D! z(5-X|YrT$ajrFw()k^K$rkdj9tO7b{JKDeE7`;LGf^s7D0J-;tMQkKa2OW2!iGPQp_tprMnnzI^CX<$mNEF-H-4|# ziYU*!U^!6}$w=4o3^6nUCUBiUj$XyFh*lo__bWuCHN<`!u!~y-2?bpvy7@0iEy)d} z?)(bd@oeY`X4z?Yi1#V1ibo1&wd0Y^lXlCX;T%D&;BvV_{2ilFO8zWa-RF#pjUw&C z_l+SsuG!QJHtAwhNdChX>Dgl%AxV`JxeF{(3_*#MCL;MR_n4QQ4Buj{ykg%PdcNHi zTe|+`ls4Q9b+8DtP3$|G1FVF?6rRQiE zYX+YnGW?Il?O!f1Hps{%eJbn`1L9`T5EF#VgA16w5SlfH)5 zM@5Rw(r4jCI9akLFl^XGywmNc4^Xw}W@cg?MYo*ab~Z!D zZv*g{oo(=lBXND4;KAHoBii0aiW^PuN-kWWp3Lbx-KN}Q8n zrDF?!){JhkQO-sdx?+OambNMgY_Lcgk-9N)%-$zKGtEG(InXr>=+(K~_isA`urE1b z;*k%IK57+*vhE40Z(I`~%6xZKnFG?Qc2z$)2Eb`4Z$go|N7xVu zET#EgWK75}!;Q?tpj@$dAC665SYH#OgSlvScwCMXEa>rmQf;eMzcrOPS%w<{i(op= zzZByJqtvRIrYkHJ;9O*^Ev0AhbE2Vu2imL(lysUza=jTGxS@f8Rrfq-;u!Zfdb^-6 zue%RiN)U-=Er6Q!$Ms7nTq2Nz^e2&8Pfd^Hnv$(<_=RiZh&epb6=~(J6=-tW93l8(KcP<$_P5*uj z_UVv6LgfXIJo~^JJYq?miig8Por2W0ptQ9ltd#?z&Ir!S9xd-7i;eRzYTB7bMhP~i z7&8C;FumB%y?iV1hGaa)F9ePm94gL-P1z&L7A!O!vji<}&`ikB0@u1AYnOdB9qMLM zSsodJ7w}qd3emIngH?frv3AOya7X`e{&BauIy{jYZ5UXRCRQIg9>wl0m((lyN?X() zuTIn>rs1I9oA`OfeEU=@8`eT32%bD1on(^9NF(bnAz~Jo`M))5XepyGML4*<3bbM@N%YAjOf2!6sKa zVqjtO8Rn~E%-gvGRp_XAF4QBhTO$!1SFnYyRoy)krx^+F;_wG&d^nnFzy1LfKZB?^ zwJiuuuRiwbGg+K8OBnnjSqPZl9-^kKUDw+^bA@h@KV^p_?`W`t_(FYNCI9@BR(TQB zi(!!Od15#I87_QXUqhFwLEZ{tN!fLmdxQ}wNPn3mASjXyj6xorQ4X()rSN(cOgsZV zQctKO(ABfNCA`q1kf*yGTCca$aI20fsNLf7#F85xgtr~N%g-hCG+`%kHxJ?)JtFIS zV|}PDQ>XH#&Q0X~MZ#WUwn@r1)*SHCLrWtC8{D8fDC0X0v(SkIc+(@n{?2PRW(c=k zqN}}W&MWP60^(kh!shC!Y8X$Hy!4~@8cm?KGxQ=Ty;X3P-m9f8erV2?Dsz(4v=_*a zPas!e1mFr&6YpSEWb`{&mikc>7gAFcu{7%7F>v?1i9XdqPQ~xpC&rz2-$#1TJa`m) z+GDcu;j#V91RKF~b_T~47sX`56CVXs6j7H#1XCr2VF-|;k)a6`J#TeB|J%y`Yh~?8 zWsu?S+0p4)AhTfWF~NK7=Wr(O|Cg~Q6V^5~3BmwVCE{;u)Qa@oa|zk^Y=hy&tavJu zo|;&-jbDsB6Y4hCss0kO46Kqoug~0^A8$zLlfk;wIQ$^1U1!SE#8 zI&*X$Tzfjs*o}>c$?8FH=Pn$C_LY)bhNScfpp91oLX+!r{)+-M-FI_1Ed6m~-`#f) zQjO0KJ^UT%q{bh9>1p8WY2Y5<_~sx^4)F?yk+sa@!B2CsspC0mAMdR;VholmF_^s7 zG$hsg6<+rK#RQhJy0Pb({$T-#7p6&)P?jH&NvAlX6ebcydwE zQ!DdyL*|8Fwhc<8qU7WNP_Q@m9>dBj8Hr`%AhtwJ8=7iT_&EsaSs3)QhTkLcC`EnR zE-L&{O@hUb`urvClG$yN9ooU__EMYankk281=HZ$-z~L18``3>uw)O#)=j1m2SE|1 zpn+sCnaOn}ep7c%Rq0>sb7$HvlMhvtkmpj<-|xD@+$DAOSvifb-sqbTWOgK`w4+*e zGUTXH^$FxMlF}M`_q>&WgDbGAlQp7&ubqV2%>S8jBfnkpn8`)Pqet=?6cA1B_!*vv8y=eytJ zs&a~q{dsRE^0N*TdAhW zS;1A!_D4VCo)$^QD+HMN$z$iV#TKeMV2%j;e)@6XIZzAeZ9;9#!>+^yTWsu8^uU+>E2iH12fFOI^R zqUhba3C!>$U)>4Bu#S%b=CskvfDL|z#}qjyWsAuxv924ZpYYR-3os74dBR@MaMbv^ zXrg|fks`nh?VqsU>7%0i9xMFD(lG3;F8npB2B;rozMiN3?C~x7PNJv(=At)Ae++lI z-&DVRj{ft$a^Eulr2O!+n7(HF9mBRW=i|PvM|D@7Id*+w>xswr4If5-K8-#mK_q)W zlX(M8KPtjOUvE=n{ujGdS)(H29Z=&J#eyq@COl+^)1O{do*+Mjmy19fAd`?7t(k`V zhTKDYd-oH(9|MZ%)Xhsd#)3deoc>ENZh=0w09(IfV`Q;aa)V$8{4_7HXJU9Ah zhq(IM%)YHn6)N@0!a>jAq>f0pNqb_F*}KU**^U z#5?OJ>kD1=mwzE#Q{6Ch&FAMYs`y!tsDelL@^((>C)Vn%|V zr5Q6oK;JfU?UTk=2;@q}4`W!f7OZKOd95iDOwU~AuM`ERe3#{Xka&jlW3U0UkLZxs zdth07x~>4H5jCq2>T1blrW(aK>TQs=^_<6Q>HXk8*+L5c7GYhv@DAb7E3%Hc8Rn8R z+nnAAvpy9M(O3bKTG-aA#7}Ue9I}Wa7+~(b8WNdK%eXQ2lT(iYAy9I%LzmMJ)^ZaG zp(xDxBxv^Lz2Yvmxb!O~f_ll<2X04rQUEg@7*-lvNxq}^t_+1W+i3b2Oszv52tlUP zIZQk`y6X_lfDI?f9VOB*M50+<8aHO@K126I8TQQK2vWo+Q6VXA|P>W9NQ(&#ek!NOMt;KBxA zjg&m$;QUherr{t8@u831s$AT_H)fv!u~2JC25Pk=llbPms>d)~zCIIA#?JH_y#kha zIu#r&)`AR@mRkPou3&CyAF=n(6TfYR5>j6H(;3Ab3zWp`eCQ1@ffoKC!IaBt70>Vri5 zpll5P4X|~h5yYA6xtVC{VHxG%yY4pJ{r&sI6i5-K=W_GBbauI#!v@{g-%1SQ=5VP}F?g0u<*o{_ z<3)o)Q0Mb0SJqa3sObuaqf1Tv+Q`^HFdQYdjx267^|Ppi{`n!2{sgidE6vdrCZEVW z)H>w{oC0^zUne`ZMtPr!sznzva|rlg|}neup=koiyqsa*mJhTI2dTA1F;v@)hbvYFoDv?7W;wUP_b3jxb3 zD$Ox)M*ZAn#xucUdcCl>9Z!I+yuI)en?m)g6<8TE2|d8EveHnEUZ5syrh9~?{ZtlX zLBRaFJip13QLm&P?ap}yz6;Tk1$esPHY>1SuvW9wMA|yXkSfqh|1_PLgWeo3P5CmH?(Ji@- zRI~NFd@8*$e%KrO+Zu)kmblXe<(zJ17s@Yx0!zA$&2$SwgTfh?AP1)K&f>}o$_wK# z>#H1BJr#df_qXh2F|ZEj;Azm)NQT}=5g=*$s#r`q;)c8=laat4^~FNp>o=f4 zKq`V~x5VZSu9gI zhQPnCy)gaM_Jb_q)&qmGs1@;8sH@+!W3=Iga%y@lI_#Vg==w;i0ki-d5cYUSGc%|w z_+KZ+`Z_fEtW3nB4_Mp2ud0!alHjn`;Ek&NX&Op2T{oTnyQ7$)4QqYTr+^bDNW9&q zG|KxFQd8WylZ~^~x?<^v=xO~)?zJq^LBv}SrgfW_Y_ZFIMb-X_Bz!rjy6nAeLz9v^ zJJ-@T-tBO7HF^H}dDlyIOkvqD-u>Rh`0xSc9Bgb%Y|LoCPv4-@IyX;Fm@@2JW^lKsfRgqN%wfC;@{1L1}TB_h&6+{rxRgUIi7|b&-9UF z6A^CF)iV*TtbJ?IRhm+#J$xywS zr=*7jUs%$$FN97k_)m3v>#9G*!VuAVM7|8Dg{IZ+9a=4!sisX4^1z!X!6-QN@S#%JVPhLm7eB>=1&}HS? zAnbVm5;xYjXA0MU+(zT-d(ODd*}?Pu z9I;w2DWJGjfK*ecUD44$lpx0=48du8TWva)5eHHi(f>6jS(|qvT4bGk`Qy$LV0ra( zI-io+8Y4Xslfz!cRx6Q7xh>@TJE{vRg2J!SYmm`v$9Am)szA%gGxciPF&LJgaqi18 zw1*y6lTgsW9QxDX1oj}_XYm(nDo7Y&_qCF8}+^g`;8ah@E)iyqo*N#WJ|LdI*)^`J<&rb#HGYCWS#c8QSI8IZpD z?K_V%IFDVi*-%tx>iCLe#5H-Pj|oJPoqX&Fq76P#X^@1lGl#_2sqwL;`t{X|Eq{^S z0uzuL9Z@<%QB{%Iz}#lTH7T!*)<|&w0pmU@l3`cpHM1w&EF`=8e}igi?@^`p1+-q7 zy?ijo=S+J=jv$=X6Wx28erh6IYjc2PPGBGgKPS}ID2bpW$glFk#H)$wAVI}`h6QlM z0sKM3>Fxw6Mp3LpEU}yrb0w`x-l$O!4B>Z4ly3E? zg`Go0-s{`I)!+;UYre~;Gdr2?+I%B#dt;!#w@qjMEdu|l?;kpS30IB_D#l9S4 z?r|Up%+V8jj(HhCdzQG4qnl-jspwl(t6B@1_XeEj-G$xZdM1Kmy&M?$KkKH6AVe1J zB(N!v*#dHvG^-dDGK9+1%kz@Umd?5lWipyMLzv6Lky-I7vz$lJ-2B!N^fmu_fBR?d zghfJ~05Vh=8zUG_sU*^p%W$!&b1P%-w3NE;1z5=W?b={=8H!y5S7$0_PqrBNee1r8 zPY+eL+${8Ki%hc3kk+tBL3Fakqlz>4HhwA}a|ur=D)?MgHd-y~Q_S$1!YbLPq`bTG zS;`@t+BCGyE>QJZ@BhkkBZuvtEGOKu32H$@(>D@zw&+ zNwvE6H^?+-$nfB0-*n!L5YQ*Bx>>T&@_8>!h5l9>D*O{z_WFcQg;f(63ksH5ud`{w z_WFlTR!&pjDqfSfxr@dpU;`1`8FxhTkPM8m0Vn>@toJh#;#W=kFSRRhG|++Sg{u!% zll_;Y%^uy69#&K+oux3Kdj3h?Vhoiggsj1QI?r{CW>_&_JS2Og2S-Gf(j&PBdF(68zp{z43eEg)N z^}z$W?OKLRZq+BcG04e+qapD#T&>r}UuAp@qndBC5GhGGnqvXirwwu z4qK~vP8VK(6@}dZA1{X<40%&W>P?o>o(hb5YQr}1^2M;UPXbstOqk4(i1uZIPL!%j zc*H|2Zpu1vbGqy`wj!Zu_v~&RBGQ0@K6=YM{0VR909>9~C;Wz?$wu=lKq7`RW&b;$ zcFI_WSDZk`5N4X$sT*%@mW5{?%dlzK@3aTgS=z_>4Z0(XN~@6gK|JFJgLoWBl}W^3 zo62$*s=fj^x=bA-Zc7DWe-V_!t-LB)R-VBnS^bKLxTcy?io&10u{g0!R>P@IHi8R7 zP=hd$ZYJ9rTlm!ZG((@fL?G5emp{R5VW!{7w(=U$Lv2S$iHrKBaRMobEJ zQRt3z?hYl6u(_VX`##Z!FX;atdtVt8SJQ82R6otN$N-+sO0Ndrq!HjJ%n8K@XEdV zm-uXl$>OX6!=xhpNk5Kw8FGnY@EaSrwMHM9G(E))YX?E`JHG!CV8kElx+X4|jyAbL zt(BJ;LLp$|Wa>oDz6}EAUK%MV#qOlYnc0opG3j~ugUZ)vadVEpkn3H+gUpBC#mhej z^|FW*Y3Az03#;y$dqr*@2Fsx-BGvI|?isIp(r|*6eLe;gmHZ+~wS-^y#QZ8K8OdF# zvN7F#W~E%-kZMf^Ux}b_zVaDVNlhhRQVke{uQNxm#kKl&N;<-)&TW#w)oE54G8S^X zR{EGf|GSWjc%YcBJ@2~sIZdDjXu_`~G9VSU6Bnmm+X@#24WFOE6(`d35QQn!c_Ez9 zjyB+LzOgcu>$-+o+e<8Z!!_!QjZ@m|TXaXeJ1Mr9?Z^v5yfv3>F0!PdM)$Uf-pjR!VNO7k7>3Psih%!iy^>JotaCdEN z5pMe{8>JxCFB%u=({!6iW<%t}bj*>jVg|^z7GJT$9F4l14E1>`%6~5F2pOSSwSoh0 zK5O})lo2P*yZ`*8&?xSi80uYM<8N^GL9+ie#Q|X z86?r9T24dYb?QPaq^n2y<2)Q;!dgHlui)}f_63dIpHRuMl1!IGrVqiRXS;(~0#Q7b31-0*y za7``$E?nf|7tmovLb@m2Ii3fZN*~dCbgrA``f+vw?s+Y4w1b)zI*u{Y7Q>(sDa<1S z?8ra66t1s z-bKOro3^F-zHT?_aua|SG+?G{f#!E3%WcSjLAwf6q?K07W4#_xtQHqP>sl0@U!Z!6 zUUSA~n(-1mwKp{WHwnL_RYv&4)gePh%rv6SMW#hs5;@-ICoZNfI_V;T&xCin2TyH? zG9K%xh`!!PaKU~Q_mN#!iegEImA=m>Y;w4Z*)aDdI4v0c0cSQ<)a3xbh9a*BclH~? zurQ?i-}1lLp?_RL`;tX|?g=LAblD8&X=C!Bb2UYVeXGTQ>iiNz+71ZfINV%K zH;0LOSQtSdNRgEBaG8H&l1Hf=EBt=Ke4J&3f-1Xl5cxVKBbt}dX=zeTt zC2QHdHT#0dXyjMl0&QU{O>^BY+bw%RL!$R7wOE8Fx^Y`_JCC#hGE*!}c}H9Obc=-J zc4aZ(`v~0t(^LRVX?a27lX#n7-Pev~0PL`k6oO+Dp;g^PluY|Sd#$5nOMsxa3#~8N zrJY=r=_EpK#hnA))w>9(car%(zXziYn637NN;#%;$T}zdBqEeFCq46mSq_*J4=1)Z zwvc3_B}Bb+@%1s7gA!zRIe8yu94piF(lDz@jFG@@+~}Q_yG%O%OpY0*zuI!cIQ&DA zo2s6qaX9!sD!l6!-pJ*`B5bx|g+m_52oarr8h}^4t7>V-6t<&>pg)Dq;pOTEbuIRh+0OvLKU*UPpy{iOH+fIJj3USe z9G9LqQ(pRJ7!NdeLaCT9{VH*Ph!cA%K-LMo()#oJ=sj=nC+UZ?u2ljlU1VKy3gc!? z!Sv#iU9S&rCYlGo%vx=YA7n1@E69Wen}pV-b|ml4^8-Ns{S=}yzHM6P`u>o~8A2G&3~<^>m|r z|77I!CSp0!e;-OqlB*{%p%zN7N$2p(?dznfH8zpZ(2wh?g=B1jm>$vuFk${hk1uIo z_3z(AZr`C-bw;=#%pB9BV84&TY+Sx}g0X46NNG~U%grf1+Af*65hhm!!LuC+4QG~} z&A<*65|DW;#;AYZw^~F7F@!e}mhI&IzLWXv&=Pa5k0kH=C$o>VYQV zqZFMhwAcGn>R&EQka?zF17wws761{3cyMDqdhY$2f!I(*(ax_f{rREWXVIXWD7|ZNk^T z%AlwFMYJ2y3W-hOAd#a`ghxGmK<>nR#Q-!heFk=zwO2o6D(5ZG={N_zor&ai<^F*7Di@?w_wOXOp z=k5)bIJtT`<}pGk#P0M{hnmy`SwHhk@P3?2s52|oGxErZ;pZS>lj~!G86LB*CUy!r zj(u;86bGvl^MroEjq*3hEE}IkDqz3C6Gi#1J+o|RVP+|BvGnsN|L=lxcG^x81ElwF zMAb1}rO8=4!P*7wU4kFZ^zn<_@8s7VipsxORer$pc%h=6@nl*KF+1i%f;Iz~3zNOQ zeFbrRDONS762Qq5>({haZ4}+peS=!RK+%Jz(@hO+EcM6m)3am8MV^U9IzZwd-znZ* zJZn?bMjfT?+(2e^JsQUVHrZ=gX9nAP%w1O7}-5CA3s3Uiu1A z9ZlZq1L{B}9(>Nn_%L+K{nRSZd6LDA(mV8mR(tj|K3l6CkBRMivp zDLOu8v2rqldgkC+HJAqTIA7sAr|{N-G2e)Ep0CPo3Hv#Z^|g2#F0z%eak9#mFG_^hZfAlIG)2R;8eC-vy$Qxvk;>l} z&RpSPFQ$6(G~Ac9&MOXd7B_Sf(9|+dJvmmt65JnFc=}$D?SPd(e^-&>1ng3YlCrEk z)x}y;>vg6sAFK1#ZrDd=1fjm9ox_I;fIetvCpwV7ugI`g;}A$uD^Y+!>nEB!MnxAO z7-@9&V+5PjXZeP>a9& zz1{Dj*Ub3OtqIe0gUWz<{+gJM{I_X4DvzUT;dugsPvdo{Gd46fHjUQ??uw> z(PS%jz5fz#VG=P^9KpOJ;g?m=Nvy6TT<{P>@Lur3g~d~FE%Auwqcyhtkb_hY-m_qF z2U?TaGe)C_UWWA#0&!X9m5^yE0x^s1k~3#dw+e8tnAKXNE(HIpz<>R>#Pl zMm*l-nZ^^PHuE2hACFw){y{W(3yM*uYEe^^Oc&6jW|}GS&ya2}s%>VeaO*7ARIqE{ z*&X=M#-%22H{xyr?D>O z<7V8dW~YQ)JI=|L;dh3Xl983VF4OLEjLun%E+?oP4OmJo_m>zSR_|m{h)BD`3_i1`{9Knganhiev9JTW`n$ zybk4w;d8Fi4NF%b1PRU~JQ4_heL}s~)ZI8RODk=D$OZ}PZ>KyX3=UQCHmzBp&nt8d za3#}Pfbn!JEmTiliZcTcAH(6^l8D-kxh6F$6T$cO{88i}FR@VF7R4z?-coGWWj+c$ zKx}j8pe68XGJ_xew8}UgQ}W{{wZfxm>K(1xZdYPK4VR%xBuDIx5p<=+x>J6tmP_R0 zDD%kJ1vjJwvGuc5e42xz7cg8eJ$fmrNaZ2_eUD^td$9FidkZlGx7 zi?QrB3T>^n=&oC17hWI<-tvGiE;L55Q)Uri_#=6PHQXyvLA^-B>?|KMt4}okgUuDF z6X~s^x}AQq8j|>B&E(-tQBXe8kiwrZl(Oe;#y@*B8>k+`W8nvMxIHd~Z+n-p6dtil zm?m!SG`6WU^ly?b_W1m8jtw0^jlgs4`qD4@1Q92=i^XOohwd62l>#S4B9b;*91nKx zS}G-YS|F_Ub~3aY?5NwSW+psL8gI;zOxOc(n!MiyG$Oc?%0p}DVhWY5xW!!3M+)Dlu z{yxZpRQT&5wdW0HPsI36!5m$ay2#54kTLj*Q4sv~%6Zl}3S?mbiXjDg`_6gKk~%?` z-XcCASfM1%46{YbENhzw9ENnHgX8^0z93@BYt8z{N3YHoW#+L`z!lpxaiMwGfrZ-V z_bEb)2Y*uM>{5cU^JAZ6#+O$axn=Y_>*k$%nKY|^8mMx_eDy(@$C!O!5^6gp zak%IPNF}y{+K>dl6PV&c^~3dSFd>qieDJz7wL{T~%N?g7or|c)Z?PA@eRa;?R|N-d zmgD^LPGA1wV@ZC0HX6}5q#R{JW`Z1;1~oTb#w4-%NmiIJgHTQ$-thh-E9)1On2UM` zb91JRhJ$r^YCn=oohb*nOf+Yn^sg(NG{Nr7R-!U9Pm2MgzZd5GmJkYVjx(l#6xIo2_O9djrc(tQW5utYAw1CC_!oYh^E7`r7X>Y9;QRShXQ?x;3)Y_ zDt6P8B2WWQ>O!OIi9H?rP^jOM`ks+32(xdjh5HMizS36f%j{51Dv?xhD^frYsix6l zAxrQiyqGKP+U*R2zp9+x7cUo#XGD(}Z~mDGj>>URH#_w9*td{*oR`18=usJB=u-`G~5d!%922~{m7vB=Y~}U z*3Zm|1t7s%a1aS2kLkf-HJg>*2FqV2LU)Anfk$K=>W{cJJcVInoHqB&0=RGygW0)) zN_v(!MXk{=qJVp8O|-d=h6c=fEwZ(DR=<_&S>u{AITZ@Jnnr1xM;q##3t@PvbIgIo ziO$&0+z}4^oWFwa%aG8#LLbe4@x7l9C}@Gm(zRi+GUse_r!m~K{S1_>5KzlM8Dq!p z^4;Oax^CDgp`uxMDUhfV{mJ6MpFZpreM3NZhi=W}VpJH{z>7B?-!T8#iRcnJz9@N# zKFt&dlV`Jzn5nbjXE7>%xS}iXww#n)kU&Z-Mr!OFU<^A%Dcdyy{@6GSGv-kc^~8Ys za_vH7bphyYA3m0U$!KPK{mrkYY1d@1ZTyiC+$7yv()$B!OXdXb>-c%<5h<}aQvBX9 zzSwbN2Qp?D@$t;7vA|7+AJi0ZqE+?;4VY(w^LIRdynt3PIjNuT54<4ezYu&#NRQq| z%C1(B(@E=YY7MTK2X4~GYhL5JGUC*p(wnt)Z#q!EJ*n!YTiUq%&cEA38K+Gt*iKN( zQNfu;^LMe4gEQ_|;_lg5QXPnooAW^HpseOc0+Z!^-;|rp^{j~aSULPkBuPg$Nn>@y zX!-9LgrUY`(=zf^3=O8npTC;1G|a7ki|kGrP~FqmXYIrLF#o885L6O-ckQLHjg39G znZkqt&zhaI&pc>NWVM|xJRdhgG=BvjfAw=!f)~S92*0H1JLy%?{s;O$^1-8k(BM}sm?IKV{wcY?<@0rW zt00l=U+DkHYheNKU$uBe`ylaJQWRwh0UrfBZ2e#8|H!`@Q}B-#qW}o}Ysmwl|CkC1 z{|EX%@<^%Ds7U|n55WGXD8L0K@=5epHyKpkyVXA5Yj{Zqud$ph6O2e;S)1f%avfQ_%T&8p2R4 zxzHX1tsK=nw}sKzblL@wQrKd7I*APhlS=4H-bc{<3ZBhUt~EA21JC(xIZZkup5iz6 zo&*`U6C}etqA8Y21a$YFttbLp-0Th>Y*FR!u@!l-6OoY0{iq!^n#jCP#Y&|nrXl7& z3}nvg$@s`)`NkYLSHOgwiv0~wIr`-t68 zPf9h7tR;Z0`gO)MO36UUvZP@%=7G4~^v~1QPbA_N_>WcUmi_HuhG(hEvg;5&OmTuP zYUQtyd@K6P>dTApP^Mo`Yd-6tGt$5~yA`(|@N5y-R3e{3@4k#-lLXwMt~;+opPCKQ zTvmMB)~k(88aww49MkBP&sO!GQ+1MSPvv6C_(722f})6oOiqfUlHwnMZ)xn>>!PeM^k;sca zEV+D7u4XJ0)Rn&Q+Lea6^FM4NDmb@Vv!`?>C$CRq5HpL@;qKrLLausW!`2@KpEe-hG9>;Bpi7Z#-;fK-jD(MXq`fxZR&8- zQk{xVof^~&ITvFIkkBp2v~>va@y)RgR@qCd85t8D1q{ju8&+7+*UDgPWg-UtU(S+< z@Pu7Y1VmJnd@Ui^EUd1!!-ww zG&DzH_XW9gwZAp+6g#plBdB;SvORJP(mPiZ3~~j2l78Z1PZ7U^zs|)ctT5 z;w-=_v#}lN1qQG4_BW9~Dd1@LgP%WqMJw)bOPiTot)$jkVZLv^uOf7}HRp=+0qz8$4tr}i_6c+Y z?@^8T0LM(&B-%hy&`wk~9Y6P)Dtoz`cK%5?5wx93b=dX-1hetFdbi5{`aRwK+G zD_K>zpzhfCRrdo?%jh7cD9a3KF9}8cqqm@n?_;s3hdo#Mrn0Iiy%(8VsqXU-t- zk)zU)?Z|_K%HPbgUX{swc@9=QmLkecTXmky{8A#eT{V!uK^g2^*R=B_Ont~MXvb(uu3@q^nG6_UTEY!T5Eo>3=@qJNNe<*jW~^ixMG zt}8M}E1u@!?RqB5bO+EwsE&`{dC85|Nzc)wgoLjX$Q{tC7NTBj#<@Az)Kr`r*5j@U z9amr)r;a`YJUkD-R$NypC?Pak+Rj(vSmfL=ai~*w`toI#N>-w5;CvFGVVnvXZh1=<=>ts@%1dFr@ zl{+8c{ZFMPGfF*a6=i>;n5zK&*95sr=F}(YgLpWfLv0>7?PL8^;&^7b9g-t1JVmH= zw1l=#QLI2bFp|Dr33sb3817G3<+N-*1xn0xJO=7~x*3uY&tHLeiV<}6kVyUq(YRzJ zi;t|Dh|3XLI(9=B9ILKK?Z@{r1|jPjeph~v1YVJKnm(k(mRuKVG#2l1IP?~R6XrZrYBcwpjFfjLlH?S_Xnd5n6fJLr0DjiT zO+x0#rj+8wa^)3@TTb^Z^IzU-~vK1)UIUnXW$Knxd(uOgU)i^eOobo|v)A65MF zv*(jaoARG*I(ttSlr=u}x&TwScKBTW;zQt}a6Vr@NKjH^C!!1^{Ck;SLQ=4)!W^~wIZ83BTiQ9#&e31tRb``emgM4Bn*XW3Iz6D7h{Ick z1c(^cq${e2ERlPGaIj37CM11ReiVqyVquN@Qx_q$SwvX{)(-~YS>g0 zqbyG}1|&SAQza%KrQHhwtme{q84`Ft^`a8AbH+~7pn)y{d^Ma>1LQ}g-Onl1RXKVn z`l`tZqEY}VZ!R*U=3vm*o2g6#DJgl@H+M%C?^MHOHdTKM_Qg9AGpojlF%}-qYZ_;u>(<7QgUXIY4tlw`LPfYzRkK#=Bfy*(2I^M^{}O>Z{}igzV9D zORG#Shs{3eaR97JSKP0L^Q^l^7B(`(#P8UNxcOjO4!C=o+-soy>7@n*n_sAX^Y2)#3?D~-zT(ty`h?bKkj8wJ`ZhJp`Sk}j! z^y=E1zi@wqrt4n{rH`Xs?n-yK=%aM$_H*7$t3-CTSz=Tt)78olpOKm`8;{*HsVpR8 zkYi%~wQlj{aUm&vf3D(c?Hcb(OU3X}$B=o-lfLnq>2en$wdydHfF95@CNS1+)os+B zqG&xnS_v5g^Ahaxa)j)ScV;hw9UK~drNOki#@BFLjWkiYY>tCnvguq(Hj$3@eFa?< zm>>IgeFcb!uH?Y$8q;uHN=bRikWHyO^RJsayaBrA3zC`3;sHMiwp>Mx%i)wol?tOFJpCWE}auS|RcGK&Hi zw?FzEF&;7Qj$4=}bV_bgyLi+*6=G^2Glir`%EODD0~|?8OEwRPyUZ~M{ao#jQ^t|Q z+Mmn4tVCkxDtirzwhz2V@PR#wdjh&%VM16K5uoLXjIl5$$CMwd}W59c0-sqCdl7)Zf}Dzl(3wfPXNbzR_BS=QtNI<%La8zr(ScH+b>bh&$E8WqiiBR~NZImHnw=Z^vg* zG`S6I|LoQC?B|1FF;0gY*IE4G*+PAaLp@TH`++_4BYu_k2&{S4s`LyAXa0K1TR-mRk3tloL+Kxa{D5n|dOd&R)jW~$Op|?z@ zPh(u4UmhE!ORK~_ev&@UHX|G{*&O0csKZ+PiISN<{nGh!`o3`J*65eMC-2`28qI{$ z2kR}Id*8~GMUn-+#Zf{XhA$xtIB_;R+OtLXODna78vwC>V6~5E00zu<^-eWRrEo9S+s%cd&ge7s@EnZVYrG8FC!ya%tJSqQ~)wcZE|qF)pQ1F~nt4Bnzbt zirOF`@D(}LO-l*z;)!EZRjvu(5^r%Duho3SmzESJ{YybK;6smYE=EE{I>!hKXU*L= zv8;(p%xkPe(Ny4w#Us0vgfad}zhd6a4X5sc=U4A6Pl=ff!OJX~a@X>QE;vCq ziG3ApYJ>fWMBj50=i;W)=~8v97^#|A--i`+#bR`IyF{MNTgjVOtFhh=e&7Zs)$X5E zch+p~D&$!$QkfuYGD-1-%Pk8JrZ7NC3arFH%n9ybCm-p}9Uhx&pj883m(gL!-_d=` z@iO&++qig)?4!A!4)_Dv zLS&3CdG=<7ShXwWiI!AN)iM`cItFjgDzz|Y6H+W;@7}ZVGW?`R@ z&I10L%KJ-zxVMw|E-r67CKbuRAIK-7@HsHxQj4#Puw$tuU`f(<|b-@$x5mph(VgYoIp z?1^Eil`qz$IBRSMiTi%~#3UKl1cxlI%Wtbk^11a#L5`rfyu)4I+0rRn(xEV=b}1~i zRK?c_%ZyJws_q>FImKBCmdVAYDhbN#bzeviuCw-FpKY!{CQEMvn8XK{%d!>sW_u5; z!RznhwkC24OCm|$J-~Sbi_$UhHRwlB!Zs=!9EF%2`=qkz^w}p!wtf&kx;V_FP|gH| zfxwV5sYtF6j4Q1QB$Z}KA^k~L>aBZ zl6;m)Yt4i(w&A3k52SIKyL1V=>bp)V{NC$jd_oW|DDhLh&G`_gMfg~CB8bh9G`&VC z%XqP%otQnI%d&{#qPfbd#wAB+GpR7$kg3%`X3Dh(a-?SWE@J98HcO4jlQOTuV{<;~ zQ65;o@w0&{_{2zWL7zJT$bzR^3z+06&V4@YZn9dP_L8y1w$pFds}^Yii%W~GU`kOpB1T4KKRW-Es@>9=8^TFC zQ?$q)II6RA^ZJ70Nux@}4|kguW%G!tKUWn6ke3`0*z+Hi#TLbu518~j2l-3D7H8&i zagJ75KE;Ts8&Yd;9?h79R=-<2Yh&|`H^ST)F;DjKML1)DPH|-s?TjC?~tg*s5qW+cBDl#PV`><)gU?uE4nFFB8^&jK+nnKHEt2|sX-3T-BP>ZV-g zs`q?-uGk?OVxv()nn>_p@og96nmTrW>=0Z&ElJ0SI)!el8}f?3d;p}5y1%!_Y9`#} zl`ax}xJ%J2HO~GNb^T$N7t(jrSlXW{sEI7HR9f=-{i+k;^zX3MQ-z75`U+|7 z)N}a9n-Z0}Rn(Q5VLphM8%{Hz&E#WY&rrLB+9e7|@eY##NFi}JZ=rIL$Ud|(A)UB| zlAEYAc62Uwzkgn1d`ftYx#E8H7KcGEJ|o()m5h&FbS%K^QquwB zPod41l2|CqUxpdGJbR4N!eE+~?}-*CN!;zt-)rfl0(lBdfsNUEqvJl@V&e7~KxpySOgL@=(k`3rceH(uJkpw<&uKRnf3!#uTg z%vJ6oR=lXK4b!5+uTmPSC0^3;*qj{x!j>kN_}jkuhg_CUHfUpnEIxwVLeDjQsI(F) z*G(8RuAh=Jd3|h$B3%>nlr}lUQ2X&5YZ(;z+*g~N6A?m9)X*ZYc_*i5DQ4>Pm*?-} zNqIMHI)=TU&-U!J{=L7S({oP4ASTI|!+wIlovkjpJtfqNR8s0K$8W=38}>;Vs0Ea* z$LB7s3Tm)c0;_FlrKQm0R)nFCgnA>X-+9x=X-oI`w7^?&)xJXCZr51We(p<63g4_r zJ)8zu*2_i!J5){9&1$Qz7Ip*WFXtg=!=;_yaZ0Va^JBF#?yWDAoMMhnto z9N0c3T`Hai$d^`hkZeURn}@hjICxuK*37MSm~6L1=3BM7DJ&FCzMv-}|0;r3AKT7G{3B7yl9Dky%xWC(F#G*>P%k*Ml+*i_kIEe5a>RP}B(w1DkH}ktrqX=w=E+D%A#b7K$FN0_O_7q%%rf8;!;CfV@SU!Le$Rve1vYnh z$Xfw}tw)%_-kS~-muaF2NKW^`>yYa_nW(1A^!KKS&8d1xr49AvTAbM=J{`A zyCnZjxJR#&JiInGPX%+V!oGF79lE3iD%)2+guU)&(YITrbXbk&wPRW)W{#6&dl>;~etCgMsFM?up zFM>&KcJmcOQitE4)k`3p#m{I$6%7=0FVg|^Xis@+8V4_-c3O*M1>fmkPl%~bw`)>lf5SMkYK!P7eyOt%d^*?_@km0W@8-y`lDBuH2S-%H1I zBUQm4NJm62&~}eqNkZP@^6a&E%&Rx+3?1!n(D>dYoXB=c$K9_SqS^j9oU$d~yx95U zV=ruo)jt(+%X%g4jd4-2@WwY+sNDxjuRmIN2bML|HW&yzH4l(UMrd#3Rm4HzmIfXX|6mV&wH zzk7|nVAUxo_6BOwy?j?UYt2;u<#YtE4YYS4k)lZZ*AjtE zKjdj1kHK_NArB(GK6k@(45@B*($%yS_RcvsK?2447)xEb6{V}nI&(1_VEaVUjnLlY z^?oz7tWmzDp!H6_98wqSVHpRIUMe+F`lZ>4-Z-ltx&r}vxYg+_PcXZ;=RJj5yS>Bw z7bC6Q7p@<61*0F|6-vTJL2#C3fl|DrC3!CT^>*IzaX0i7?yfW4J+PkbqvMDo(5ILi zdS@?RuDrNBj=f7YtDfg-Ibc9eS_ZAKtA&~s3K7T21lL~HFjjo5n~CtKt1IHY`RUua~C(k|XY zRU?V-_KDGcq7W8a#hXA@t4)QEj=Ih5z#p!tYgAdnuvS}4iI*~*O@tMo%N02Huu;D} zpM7=_h}0a`)irQm${NWAiL^oOOH7-D3;0oIvJ>-ZC|@&oP;$L6L5LO*c|6*iV}ivt z{^cMH$1q=N=%#l^lm0@0EYx;WTJ%F-Ns7w z4T=E83o$bUGUgBi)#rv=T=0NV(-OJax-zcBF#W|l$@LqyoHmj`z; zF&G`~j#lJiZzoZ1@7y6}OFY99&Ve~j$Y;k!RDhGs6s)x!2g9>Li0ngTmM+6n*lFXE zP*!nUZ+Y|YwN_%UzF46{EUU%jM4FA~Y(uP%vX0VD-goBA?l7SrbcY0t5=(^5dX=_? zb13Pl4bWy{jr{2hT}@wZ^jj6hDMk?UMo=+eu+vcQI+Kn}#yyD)T?{s>8mmA>mD()@ zu+6ko-p^0%rGxpHH-8zyRK}fP{7|E&GjgTqEo1G<&emT(Z=zd_?@$3=K@BZV-^r7_ z*9|CLFd>~7&=+d>OHo~~&R~>p>j2eVA&N|_`koSu^HxDJQtn9u$ITc#9Bl7q%p5YP zc8iWr87FsS;+C`e{ig9Q3x6_IVDB!tgg=~69P-)V5i@S`#?N!#V(*2Vu?6kQUfwx? zqlDUV&MAzpH@j6ShH86`F-ElpLw&)s9U@FU9YC1p4|2;Pn>ZLwqCXOLGahoM@T6#u zH(G)f9gvTdi-9k_84A0H47mTwxeEXZZSDoVlY{vu+R5A~+9xyObGhi2wD*PMvqPVW z_Z6ggJsugz+MVZ*Pz{!GY~73_k=xm`SpIw?A0lz+shGMdk9QlZk&2n(6Y{|%v%U}l zYkqVD#PWEY3h4H#JGxN}VG9Ki-^=^Y5xmblJ6&)7eJqUob`X0=*3ry?M7+4B=-=dM zV?4AeQ&uDhepfgXKr0ltEo`u?a2m#WW4;nGaiZe)_Yh;~xGigBq&VJ3V<}8Hnvh?n z=!uo})-_Zx`B;i~HQ|_)k3*@aM#B#f8(@60lyofhsh|d9CckFP7v9*K?~UKPRqseo ziv9PmU&+_|b^*pidE9GmHKaa^9CiTPS+>T73<>WyQ#ub1NDH3`Q_LoMvahvm%`pw+4ttVh`5wjK>n;a$7g=$ zl&RnDtGu}aw&Iga$fd^>JseDP5h-)i!X8#H!EU59zePbaa`COat)eAoZ`)fJpJgAK z4)bVT&g1Nw&ig-cv6K4UnZ6n||7)kvN%PcjL11y?70^Ul`}ZT#1NVH_WZ&Omq4HeX z(x%b^kY|&qa!#g4XZ&TXc|v!R#iBtoGV7h?9#rc(_Xnal2hDr7jap@<(uuk6 zj}l zglDF;riW&xp`;GeEPe%*tibUC^_wQx5|pn(`H(gYI_X-RD-xfg!cy#r{rZjF(GApO z(CFY3x|L;ka~&mBu^*!E14;e_2W)Wz(0$x|omi|p1=J*&3%EL=%JJa+eZsh8j1||8 zajX*ex{=ZLn5WQ-F!S+{dd0g!t$kqm zX8fv$Q|Msh42fnx1LW=YD-n2p?K1#{*{Qu!Q(gt}7fa`KmhK!+LDx8o;Wt_M(mLYp zcHaE4Q%**$8Ak)~1BoU(F|fxAn8mQJeqo9f#ljE*@Uk!I2_0Q^$BA6OQn4^Ga2V7| zxbJpGFi`O1hAQ+*=cIW5$qx0VK;X0aey*ml9YJc~0$Yw>{0e1~*hwC4hC@sI2LVqF zEni?><#$BEr#Xfr`eu7Xn5Sz1Nf;u$eYTAVM>^D-Lc+k1M@>kcrP0^skdIJ^cEl(CrR0QbSw=C5mUJQCB*)f(!?H^E0()+E z`5w-*c|>zTGHwVd}J;vDlm^z-0MCd2l>bUo?k_hoWPlF@Rv(0vJFa&2D}o96QQ2FAq)95 zImZrZ9Eh8VKttf)@1)*_B*$1E0MmaG^ImrkUbP8y)`(C}m)9Q>NP^!OP zo&4SIJACbhycg-eE|7wwMRg(_KTWTk5_yGm5c@A4P%>zg$_M~s( zcp)W80(Um}4LbOE53e4=i(IGtyt)VHk(%##)N^fGgat?SV7Y{|G1UY^L

A=spdo;9430yxy*ty8v99M>>pqx}w53 zWnw|Zg){9ulSaw!T4aj^8=qMD{HD$QTo)`NKMJz1KFy2mk0_Qd@{ zFPUC#0J=xY0nhAm9TQndeyg<3dw=&XQgJonHz;?L^>A2`R?luMdiRrZtRi*sIG1tq zr(KRxC;0sPLH5s(q75G^;DacNT={$1k{3Y5fR4Hthn%O&{J~rdu4oZ$zgV1u`dCFl zX%Q%ZEY;Ig>$Y2cW91A>o*`AVbfYKwOMp~A+lKaLYdl>ETZNy05lhOg+PAWOY2I(j zQ-K`YFg996iq2@>=D*_6+x<6BOX}IiG>)6?H(lyQdi9+>_zPX#?l8OG(klg~M1_() z>@p$Gph)B=7*1U7&Q#;;U)5l*5ezvmMi0^}G`8RLw^r(UFI@IS9Z}|4{*S881FDH` zYuI1|r9@PEARsCzAYejALQ&};f*>^_N9?jhDw zuSy?V18?0rxAA)jvJH2Y9rRe&3ozEO)FD6C0I&2pUh$$vPt+t+pw!TwS%LmR!_lz| zwWcHaASLRvv5{}z+tYuEV?wLAk{dlfp7Y)*n`N8XipP_Whb*2GLQUi5D)_n5MHZtg zO7Te!wXu`62eRk9m5+ukf^6OAt99zJ#i#4??Q2a&o3e8rJvLMFuJw`Lit}Ep)b7Cl zp*As@f7-V8b*f}-9NjC{vv9~T<>=vZ08{aPKp?smFB29-!6}jCn=oUzcw*AaM#z z&BXM{^^S=xv6f#hmZ{Ifd?eMYS1Fn<=Mt6IhhJ1$m$gYv32lCo@A3X4%Y9xRz4es6 zYoB0o?TFaRuv8)W%&}2K;}&?@@4FoKuEBlBgo`hPu?;Bmpjp?v9`!mq^F+)0DO+=Q zIzt)551wbR^n9PZ)ym1;O0}QH?X)d|PXhn(fv@#tQpdypd;6y6WO(rDLFhm4fJE8T zQcSVoerEm*?10-KtZp2cq4!}YMvdVtkK!MUGRUa6R@J&fC*5!_BPO}^_XC_$hbcHH z&9OOmh=fa2Xe|fKWBxjY|8BT(b!Rc!%~tb@MEH@**G2m}%btaXs_{Bt_>sdlQ146r zcZJaAx+j|FDkQa)Em12|=pbE2+6(Py8_~kYO_Q;(#IB^0J4yp0U-Mn;cp|4p_Sy`a zSiB}V<_q1mh4pe*(iTmGdG>Rad1@bQ><=c|d4HUbt!gCq@cHumVG}8sJH(Eb@qQt) zjy(D0^v5B){3zq&spgF(Clo(&TeLKj$8|3ZwXcc(B6(+P7Oe2Jp4P7Bxbv}yNYfc% zaV?#YRN{XlBH5rn@E~g5xZ6wXOknGDK4;%r7BB5Tr8dsh?$k(9vXa`KHoFhFjj%dW zs&7PAH#dx%TB6N2B@rH1%inMW&9I%ArJ!o-35lrpdUJ1`?rZq<>z`%&mlUD{kEl~) zTIlw&Ew^L!hr4qXn9Rl%C7&_h+VP0z``me~JfdQ!pkPpgf?u(t5!Jiw{bbj!2qllA z(H?GU?~70bt=xlpV(Vi-eOGu2Kp&-didz$6^*RLSZ&IrEochRi=NN{4Q7R6*D`s7H z7h+sBYTT_e!B9mFv=i5ZqNBgQifmjo855c*MT2WG7wx9v^#pc(B$vR1gl_?lH(-cvs!JByX4t z&oSH=)1pIkV#F0%cfogm&Q|-K7y}os!^cA8$12@dy6rfKS9hLAri+p_qL+MP$`)mf zqXkK7$-PmvSi_%H3$G3|#AC2^*AmZ3-f7?CASJr-oh4TOK#m2nJ7aMU{t+KnDp8fDiT~N(sEV6|b;e zClPSx64^=1guWGRP1$oC|4}vn$%}4S&BKbJ>m1?O+P4=Hl!fTO&zHLq2h!tDpC@ec ztMz&`KN-ooSPHv(5-DEqnd5NF_;_I+wb>#-VLT}_mjsuTP z$+f6o(g2q7-w8_T-&DW$N#`@uI=!FDl2XiL=C^69z)jrE_(JG__l&A#B3?+{Pl?kx z_zeGzT$EwivuVfsmPV(lP>)wW>aw+Lx7L6xL%Tx(avAMb zj=w_!{41AE?R*fNK@%|{9`5ye1Fp^GPli}(dn*9l;5@HZNRhytztcAJmF<{S)gV?f zH=j1)BIiAFCZ`qqa59?*)KKwoWBK4)JYdApC8c~K6<=tK;RnY_TR&KVcX2N;QS!cc zMw1rzSQmasWrQ63uqR4)90`*86u(dkBGzwag;&XEh8q}U)JDbS?c0v0gO{pu9yb2f z(B%hg5CWa_<1%(Imhe&0I3GvpY3N{1gIDT18H-1!=wf_+y^w8KduhDy&{;QcL2~p=Ppqb|6kEYVTZF+r* zdx8_F)9{S30SN_Nc~vR{HJ%}l)H!phVQlzW5tPFCKn&&HR`afae#Kl425zVp6h;)i$xj&PTh!=c3=#aiQLyaQnqZZYS;G zL^nlP4*A-b62>FVL+WhFLD>o?@S7>FH9!q!O*6avmv6(TZ6R-%(9-PmnewHMPlolO z?Yv?%Vjy;j3|gtC&TVy1q9t6+uA=X&^xLF@TC#=&51#1v=3Tu z-)TWs^QlSsW%6zTITOAB`Egg4zN*S@;yn(zz zTE4E7{4P+eKb^PN1FK;C+XDu{23pF-Tf_|8LkO1ieGE)% zcyTu5a%(WO0#15%#uB3bPC$%q9<=?eeGN3LI}RVT`2z0%&nFkpjLEpa;_$)7r>b{+e0PT{fMdri1$O9UG6n?*~6!29G}}C zx6Mj2>NWbxv6m){+jHO-TFQF@O6vwnU9TUgb5#~Hxlt~x@p#}HuM{jO2>3<|cJsfK{NQ`74_y)kYRJFF6$1PUbC}UmC-$- zfulYiyaKd5?qQX~)V=7^pRD5yNG8psR_kn~Z6M{|ryDx;oQ!7=$d_xp(-&C{cN$mq zn69FjXW5ra2Ya?8TPPB34x{uwqaX;k8r2aVJNfK}D4pg5h9+#BPj6W+3L?7rjAd~# z#G*!iq@e9YLfyxkO9~$%ix`d;|Ko3Q)$H~86C!>C4gfxTC76#IiWk2C_;UVe>Mk^2 z2K;m5Fk5mP)WJTe^_M^gd$O;J&*iZhccu;2)4PXu`#;LE8?r$g6zM(dRNXvZd+mLX zvO)HL!6E3E-dlF#AaoS;u=rg*PZp1VIv?z9t@09a85S*OgMhM~>Qd77M zXaI#BTw8*)Sr60d-tmmAa;xVN03|ce*0L6Px>SDL6`%jS_)55K|IRYlCC$vG$RDMQ zb;cqvh#0EC2lJz=wyaI~wft}GgY1!X`Oqs$XyyMv%WL~3su(n<_&D_PV!5qa2mAg; zM4qqmdBEA_Qw9qAo){3&8~GM{=|ccYViz@o*Z06)>3s>pKwT{T#Rz7d_%r(9Fa&?( zns_niW&qa zol4W2Q7ai=uf%Y%VQ=1mH}E;=b#DE+g-dS|i%0;FG9x&_r#SCfp3@;XPE zC_exfnVET&JzkUuTV?MsGA_pfq0@)7?i`1i;6-Cu%rru1gKk63xB`@!ybIn$UrjxC zUb`i?$$0MoI&yyfa?1|W`Po+S(0S%*=DFHY&t#O=(Zb;!_uE6(GXu9lRBbtEd{h2; z(a+W$_DGz2!wx$#p>_D@!Hoim*Nnp!jtXSXqrlQ%>m>|6BW(~HFn3?@L|RCEV5wSv zsg6TZ_pvB&d#HFp1`T=M6|~V@9n%FbUq{lUCw9`|9xt2(r+ZFbS~EMxTF> z#3$+9IhReD6#S*H0PEmLFLOk>#z5BR0 zSeMgqJdC2+vjEsnJxHQDRCAi&bKO+Gf4t$(D?5CKB&4fnq-8`xb;{giHb>o7%EA5c zB|3todd6u{Q+eV5JXTo2M-=V(hO&O)$mwmBz%mMqmb#C=BDc@E+y;NUY0{ZLrZTG& z@AYurr4n`hCfOF=&~BbJNnjbT1DBegV5P$SKi#r$)BI^ML>Py*l+)i_r86{qu};Y~gyJrRSJb}Nlx@E~G$!_~x!OVl zxGj4cc_NgT*H7I2wIKV9=K9uDf|2#Ow>x|O+7Ov()*`vho~emfr@55ba(BREJLQrU zId|vaYE!X#vwFsun$pQ5-rMJ#1HVcBikrm36P00qB+C$IE%O34Bhn4|P!wN$Zem*q ziHt%^%NgC6v_8vu@j+%tsw84gT&mEr9T-SVT8UbzJB4m(aK$}_e+6~XVCXN79)^ma zv@ebEAu1t^KG~4TQpoR~r!^HxWM$%Z(T(33eCt7c8*{EvKNDl37DLe*^v9Oh4;;BF z)vq6DaOGF~*-VF3Om%>J0J~Q7-1g1p$3+j%Q_9e&vRW#`z_T8Nu+E(+DQKhO=(AWt|fBdO=pclOgYvrMNEqi+jv^@i1yb!tGGf6f??;0E{pZ0oD&j zwWt;*kTi2Ll8wp)NTwVnykZ{$6CQb3@0RR4GxC7|R5P)^=dujv9#F?cQl0O?T}Pi< z#{g;;`Zr37&WgYd_uW0XZGjz?a`Ka2-2p1ps0fu>)76aEjl5pjn&l zq-*g*(R@}{q?edR)u*i4CV2D%mkOqIacLO&Q%T}VudZ8hpr`-;ae4@T3k*alF?P_k zQ8oLWu^jnvL<7vB$v>4$GDV)Vx&IFPUk!ZRs`O8Fku+BDOfNy;hf|%l4?}8Lb()&P|%!KKEWSGY8(ANnvjS14%-Da{kqEt9iO=Qcn zbgc`RH<+IC^VE$#^R-L=>b_r{3Q=VwukZ>`1*kVR4$wunahFOS_i=#8EdtyDa5U}J zLG^Mv&tft<2@@YPMxnQ%NM13n{pGUa-V*p{C#CMHME}btI493@<6v0&B{~LJA^_N zrdbg&(0sr#>{vH&mocl6^|(=)Ve>qwWY5x0xtF}qV8MkyWEQ5zJF@C!cr!7} z4=4kpK{xx!;^~lqgO-T0jkLuovEOR7jw-_s+~0DzlZchNvtk`3#8wI-uX(j$5Q9fy zBGpvJjtyCTxQPkx$*yobj6S@AiMX|+l%T}gbawH!f$tCSvI=)B z9EgLk6J*YkULLA?&>l4>od&}S4K4*4`bI---9BOciE2b3<^DA7veqB=QkVEm9zyS8 zZ`561Ti?J_U;*G8bOi8_!m%Hr-;4b>uk+>RE)v!kvNG7ryb7W)4y) zca@;8Gj9p8+US?_DquA#o4`WSM4!wIX*;JU+Fu+gu*%5)23sAt4+PI8nMfJkAPV6} zYp@bWfhT`Uyo@YtTAEFwZ-3%`5@(+xJQmy=O24&|@xu4kvB*4N$@(wdEOZuH-!hZB zpP8gilSewSwztci>6-vtP~mgd10;ASXdXZcl^7q}K+#9~I-gjZQv6Po&ukTZtIO3x z3gD`c*>Se;QOQlj%_RZgjZ|UkO6rg6w$_}zUg~Z&*5AaN@jo2p@|>M*)8PLsGyBvl zkUC^`$T9$}3Zp!IcjeI&S=S}2m(Zn8Cn^{gWetKu4n`s$yE`kS)}=aFS23{tQb?^0 zvZ@nIi z@}%92A=IClVEkVjB4x6~TY(aZ?0UIzEL zeQNnbX|NO$D>~*5hFf_#qXyBP*%PHqlbX$Qke9g5r*Gs%}m4~D3vBPrnu01e+} zQls7nsoSR>E90C_S{;FfFK(v`09slan!elzaSm!hooF>rB$esJ)m90u(bSB4*o{+XD4A%ap91PryNh9}jNYGm`5+I~sZ zHFi&41rgfAv?>UT^2LZ%@yuMuySrA5NFQBobVEePD;63#35CAMWL zwWzEXa(YDvh{ao9X$^DLZiyk%vmxS@SWOe)ysS4g?jQCcln-8v1o}wx7ER>p>bG10 z#_3eXd`fT`Ox^^14-+4|Wg`l{i?|yRq|v`1(%^i$&VY+f@SS<^NmN^H`lJRr7pJ8d zi7!=s9{-61dtOF6%rSm#TjoN^i>XL`pz8*6UGTuQBclro?lYZfUa8f&k>}e#X7eW} z&eKvyKY!KM>4wC|eqR)DonDEO1$8}u)_vu&RU>(=YJCNIJNJGRcscx~(?Q(tyMYt* zW#X)M{E)X1yh9RV@xboUt8RykqE{mC$1Z7KyRs%TxxU=R{~|{}BX60jIeB^?#c2xH z!{QdR-0!VCT7G1cV(z5cc?^@O7&DKjGB{nI z>On3}wg*qXL)_YbG$`~}T6*e3jP=)a(W4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*DBV3jhEB008eL0RR91008C%0000000000 z007J=0RR91004La%zbs76vq?q3>tXZJ4oz4z~%eA4V~byb(QPH#-ZFid|goS728j zlS`BT!-LYcy`R-LzGW1tqw1^jhfn?gh3iY-mzwWqMOl5LKL7NgtUfFH(S5k}rSQK# z`*Q31Sy5AMDJlGf@^@)Bn$PE`^4V8*-}+yrzAt4(CrrLqoGWSyD~3>0^}k4+Sn|y$ zA3CbeXZc38t9Vf5w|~`C)RYDk|Eg5Ds#IM0)=`|t_TfiiDW2751y!6Yi4+ATQ4|A? z@2eTbiNaOBPeKe!(2xuIEs&-W?N*3R5 z)b~mo3aUQ)+SK>6YS&*Vzms;A?23-kiL#5*r{Z5x@WqNR6qM8-g-%TQMp01pl?D{I z3aTipI)0*UMN?_!wa|&n7Qo+#T!kA~KC5qDNF61kvWu@))o0a;s-yJj>j}Q~6;yGn zw5`1bJowUAo}(mHpOt=8Tgqn?Rujnh!Q68cwXgxquRY%qLjUy$6s;Rt1 z>A|;rByA~8DvPA>(bzqKD*Y>crWUBuq>@5eN_|!>s#0;HWK^ZnnD6(hrs7~CEKTes1KP_ctC*Xg9m6!jY#bNl}RwJf6~A&nyU9qkI0KXy;w-2dOu?CQIMQ;1M966%|iiFHXPO zBMG02zPE!nALkFCZ7lHPV&ssZz`Sd63OJ{~;i(GF^8;Lh8H~XB7R~u$$g~cH=G@rx z#R~lq&Uq2Ylo>^;T;D0^et>(@re#){7$C~eV-#WhznS{@JbD>&m+}uctP;W!e|z&M z)4j`uJvV9qt$#EsBIjj5mzCf#EA0#lY&WF~X;@YM;A7e6h zE|3WPnS}NoOW-aS<-65Sa~=pL=5S#yNbr*ye9z(DV%N3GYR=33VB&ee+RifND3ZIX$jpIcA0U{wLRj`+%6@(cZ1GM)SU%c0g5 z&G~Ky80|pfaquXrw0IZoYZz>q8k~v|&CIBCYUos2R8|R=R|}Sy@oX2c?VMTY=Erdn z1$zREokr1edQf1kZf*f5*Mb4h=))Fs1cSxOXd}I2cPF+M zqBT6J;0ed!$ke7h^-DX6lULM$x0>^Z&}RWS^8MIx+}0XP64w-MF+~{VsDe2u=lPI` zoO{6uR|W;{>88Tpr8#H8JjOI%lfc({YR-}Bjo$pft$l6UyByYf?Q2)SS_`&|Ijd9? zI(UC3jd?!7`bTq~2tl+AB&M7nOXHRtpqPd3TSGMGFA+q~ksW%ict>rmlp>hpYrs=a zfjl{BPS)WO1xpXk6QY>0BPg)>Kqc7U+?Pm3PQuB>d;>?-a5 zUONrdOklW&U72Cc*WFnm(47yHWc6D?OKV=CteGlWS3cUo>(Cptg+CO67SdGlP|rV) z3v1(|8lIZy4HEiskss#O#2b}bWlh$^Hv*Ci#h&}?X!3}=RB~@V>z*cl56Vx$6pWDK zz39s1^+J3fGb6<3Lc8yP-SCffG$Hw2vTM$Dp~89_*xJz43qrMg?al~XR0#nq!7Qs7On;2%UNVIH`B7~4f%@> zHJ?dg*K=6h5qZR7%RxFXw3c>EOS+P>r(l0%Y>~V7cV=xFNNDWjNgG&S7%bS_V|k3v zY!`dM<(dQ>NbGHyBM zx~=8D1PFJrhIy-a2fBT@Ak9s=-5L$*c;xV18)z?ow29!Y}}Jr%X2xQ?Khw3 z>n&Pxr=Yc06JNplvA01!j{fDL{DFy`RH zBNV>GnVJH>g7Ry?;e5e*G-ur8iblf7*!{RKX@C$c3hb1T!J9Q}tzeRuF#3NIABOlI z&GwEU*aOX4Yo;wpGlF0-@hu+iiEJYoRP0OKapWziV}X5NFsoe2-3{PBU;$rnEiG6t zKypDkU$9FH#xmLFBG|q&Ip z3f*Tu(7&9lv@m<;3@3Kg;-#0Y!@BwKSD%trc7th#omRz6KqN!7`49Y zpm`FhI%pvwh3;w!A713@6*v5Q_Ck-54LP85;pQ|Uer_RN{ayHcjC*$5{40!E+j}KD zjp>>@5O~axBK{Zts)|ArU-Ds%YoR7y9hQFpF;8`FO)E0zi%48Li#Y5p=9MUA$QYVe zh}YF)rg^LYGnDP?9e&`!)PL5&jy`dJ=h7@1pM? za$5tq?KQ|PQtcprFaox@=0 z9EdaEGUXC?AlLn1kvlPeA*_vpQd2>0?G6~$oY2|hEqec|Ag%`{8|=!~3Z3qwp*I+h zv25*&L+R|D!$LekjPP_H2JFpfL1SMzZUo$e5u9fqVxeeo7)|V(-FHOW9Gtal;YOUQ0V=^;AX!pmBbS<1XstfV%C?y_$#@Iw(lPTi5 zk2LCVJ#z*sn=dy|lwE!zn8W!lU`u=-Ou3Ql;|g4#T~WICz6`bFGJj?^|NRuu=_ie7 zKHECLT9a`q(y93XTFSg(EuHA3u>BZG8bF_8!_Uo1W!6&CY6`53#NU>Jqj2{gY`4$0 zmY$SWU}O{qhCjEU^O&{Q()d~m{1;n7w00XNDaFKW?TQ1u`tS$4 zE{y-xE261YdLd1hVLa=!&cR+E!!h&VU$Fm&7Cxjs*YCJNAi z2Yjkxjnu3SMpkTsarE%pLn-F+Q_{AjJvA6TTnt#Xd98DF#^vwc>FI7IWo$|Jd046D zSNKG{Ph)7-nTHVtyWsG4l;%>ROA5?is&wBUPAXM&(j1nxq{WKxL`ojNm+DBx9(jcL z5N1X^^%DeZ2Vc{k+PZaJ*LEGEO<~yP!xdb&mnU1z3{L6~3?R||QW?pbUZ1A77 zvra7c_pW=bl^VOKW1H4T*3+X&cVvv5-%q&ZC1eL&rfhBsnKD6Gb3C;#>T!^JjMxi; z0uzr^D}(j4r}Dx}ussrCs^|Xbpu4dy!^!J^bO-%cj%QX;7Kq zj5S9Osj{;{%~G&x;=mJhJd3}(Ps|YOvNq^Hm?iFmRnre$N*Qu`dqFYi4H#62AKtQ* z^1pl`oIB~B*Ghx!=cwb(y_B+)Go_pV>CKUF_kMVT~!l1CX81ywn=Cvr>{(Pf5 zRjH=5wm=(n4+G4GXkCXO4BAthqz~F&ggV-wJ?>t#EDaW>e}`4~X53HDr+=h9&&FHl zHTW%9yN4oKrwO#A{UtGIEbxlw7uYf_ibW+d(W@@QL~bVpRV9=(-U^)CNp%*@v_S=& z0ru7*m}ALru5Wto{|U{$4`bm&ZiEHi%zvCdmpoye)U3ruvoFB;smm)Vea}zyxWsE~ zz4kRB{)9=Gjmo~GmMzk{`>Yyao!8yFfLEhT@yASR;8r1s%;P1{5yU4}n?*lvDlmFQ zLG%{;PS2!^0~MIU|)m6-eOQM`2M!Rbn}IZ(1qHdUhsb!^c>Ve=u5Ogr{vmh z<$4WvMsd47r*gsFtg{;22r}`+F_?!aQ|Yf)JH((dwLvdJVZuO}pBZWX z{Qp|w7I5-UxW72Cb@#%zcLDK(3`6^SgABP0KI=!*t=Vr z1r+P#eQMCVzx7aqFLA~*u3_v@dfQZ*nojLmI0p4{{zu%bRB(T!%@{9u`ca!o9 z=Mf`R>S%*b8L`2&TN^YHWSRzhm;I2GR=<8v5Ay%C@@s?Iu=gwsH-E_!#oe+>cK4WD z%dEWGpngb7XQA`9ely8)Cg*^zIYlC9c!#HZxQyA750$)2`pc6HT zF<8_xjO)v&NAnOd<(AeasRO#m&yGQN+&XBb(cra=Zf*yog`@7GvYB2|$N3Me*xFTF zL$Id&zuDyYTY47~U&iMJnKyZUWr)#-KiX?c<)QYg)XJcfa(UVkY@7$@(>DeMp1Gh> z?(Y#&uF%m2-LmPiYZEVG%a_aHYYET`-ufeue%%(&J?ue7D+-##1DzY`5j4XW<)^)C zd()9m`z_4tYUiOf~q!GV1>YSVnN+l@Ubs0SwV|yIUTeL;Lp%V zbm&Ig`*Hsg=maB=ax-@8K&J<)Q7-)Bf7lzN+|B4M@Wh1Hc=ga@=uz&PQOB*sIt=DB zQ|569GP}o7N|Pv-J8`PH)@qF{E5XAMDm{lRq6dl9O0cY(fjBSJ1lydXc*GT0i6*=a z5m$8VjVkIHGdn17(jjH!N@7MtQPEX7!yZt;6!N0kvM!pxN`@Qj`;Gt(`ijGPZ3$V=WkyH?O9}JI>Oz=rgSq+)0W_ zc)u~&NQxFhr%b2CVQSq0Lrbk0AA$^~ky+PYOLoPMAa+6svQPR9L&fCNa4PHZFE=PpLMl5XA10^uUWixE2J{eJGYh zoN3ENM9EqRrk6yvT|Z_`bkc*cg`(!O-ISy3D=L&aQ9v3kSqh%U8);E&eCF>yx}m5T zlzCOp>{v4biL)$C-3R2uokva<{9?H9uf&vGfSFX5Ga~{MNGYMwXI**sIxT~3F ztsvvsQxPR?OBJH!DN<4XSF@~D8XOlLzo=zbwds_){&Bij|CRMbgRwVf3ZF@uzN8jE zQwZmoH0K$>RS-Ih;SUQ@^bBJn>fCGC-NSzTL z9FbK`k<)SziQLyg=5LVDF&22`cW;c<g{G{xw{mj(rX&L1G)6k6vMVbGsoU$X{# zJ33Fq0OJzc_O*9PKYY#45QeC}ug81@B2wI_Ey*Ut&G2z5Y!cIk8qM@YM74Qej%tR5{asj9b!7$QZcqgbLu^5#$zhZBQ&~bkJ?L z!MJ}OyhTr$l6=~1HP_%-7?ZRBe^E;U;YuC8#SZJHiGkJ`zD5^yd zqJv{qXK|O?{*1PH3L0#T_Z19n_toyer%62KYhP#T^=XrJUbnp#87~1V$ygU-A$`d7 zK-#{@ZO3-xPRC;hGhkO9T5(l%u~-=Ugtn_A#%>_*>Wus#(za%yAhkTUc(y_e;*O&WWT~tv#}EC~NoQq4N%m zZ69n9N)x`S#T+DLnNf9xQp-av$^1iU?nwns#flK(8!>Kq?K@seShT;z$ZE|4M@a3X zOxrx(cqBcoq&yWm6}|RH@;Ja?`^Nc^lx&;=pJ8n2OImO};}x>D&TCUnWOn)KGh|u7 z)jTBZ+_8wF95*Rv@fNln59ZLKT}G6|Hq(;xb)J0Z(WOt2#PQvenBuPc-?Q z6sy60y>lMjY<)zqkH-xkX}$+nUtqDvspeBar~((@Lj?zpGa@#jOgZN^6$d`C?tD^v7OQ`0ox@I}H{@De`aX zLp=`6q1+eSdqE+SCsoT+J)=HjP$R?B*9CO7`@@t^>{juXAPiB~;KRoI~ z&Y=`7odWyw_PDr%43}cUqcm$9pYF9%YS!Mt9R^}t z?IZty&C>DQHFZ){bRM)+0cX3a0?1vPQ1vD#{* zXH;>~m-Gb#S=!B_(BXIKN4r_F>?m@dVx1d&aEIp)ombJq?9pXu-#NIGk9BS|hC8%~ zy_#YSQebW?vO({pT}=Z+|0lRf&eb$;q5}7c8LqWtaB)$=uo<)IO`Urbd+bmvi&hIj zHlMfhnBR*}rx{@fDDfW^ts#2BvxgP~(Iw5NL!8Vd0nghT0TW z>kfSzxXWTKtLGVPDIXIqT}4Ym-_U?fORP4!?WE3-Dt4W96gAO3I=!WymF`n`0B3>1 z_|T%qjQZygTGn4}ct(#G-u5G8yvv}qz1|R7R9%6?-iC+Z02p)=qGI=!bAiM9E)mW( zXU07JVd5Z;ooMb_s-5J9gn=A- z0~m?R#%_zbt@b3^wRyXov@}>Safi7`d-5*j;^6+YrqN%*+A;1P#Lp*y^D$vQ#9z0* zVDZ@z^|&EJJk&Y(`aA`t|HXa#_xx50O?(?HhNVAa@U%g+@3{)18u}O~zs$g1g<{$1 zi?roVa`*Xm^Q^!1a?A-BbS971%_NUE(agin3Yl`nCK!MVYlky!rk1x9SVw`8liN0% zqwUIhHc^uv3JiYb=?QyhM3h8tvx%OLQsC!oVVS*;KybE#E9!J#;Nvvc3!Uy8fJQnP zH2%C_smjJ26#aW8Yn}E~IeVIx)nZ1i*^SDyS7|Rj8&o{CAFy}(V!zClEMSkGm!yZ> zOTe1n*3WeOq05bB$1Qr<5y(bWxWo5P^JqbBwWt9TNhdi9OoLB5UGw?Wt)~L}2^JU6 z0J+7L%`E%o(b`f9e3nmniriz+h5ZHH{1x_@GMJVeSN79k(A5bd>z596VW{$!5Bl)T zcpz3~yO`fypK0EDm)l58RuSzj(Xn8`hmvjM*M`BAWV8z4%sTB=V2v0{xw4%+=xg9A zQw_l3*us1zfODYm1!w2BB`fj(#x@UtxYpX@G>yFnt?!B4FiFKdq4c1;I*IiM=W{Vh zZ)CjFV1G}`&y@2|mwR=`CDuof!^3COJO=N7c+B~Ow^F4Ck7-G%71m^Jv3S7Vj*5vI zj;D=_Z_tV)SD@xi+#=d6Y6ab%yRIb>z@F*)9yP_<=)dFf` zsO3TFnRNTfOW_=0AT+B9m&lWwQ1`49!q zdnRLU#MEZRyUVn^eO&jv8H26XI=L)hh^pA8?MS*1Z5LJO8ET!?;m>Pa9-}gf$)QuI zV^L-A6FgoMUpobFVXXxlIT}u((Qy^Hkk)x4AQnR}-S&_j*mL5Fh@Hh%Fr5b}*B4xk zkt7VZBS4}7tk=)^qmhqWlXO1@`jK4`e61fQsnUH2xatLG(gV>S{X1HI3>H_^^$jEU zqY&CwUs((ciOE+XX^sZ_%T9z)>(UC$EvilCS!f&H>=4horjNxIQwIG3&Z8nio9r4z zopX5y|I+M!_|YgRzVnArnz~Yr&#~4c2Au{gH|DvDL}_>{MDNT;WY+XE)K z=IOS-AjWt_)G%j7txbN=?RI0}uO zb;$|KIiUi8(E+N(6*F#U2pC5ft0*wPj;2g9AI%c_G2Msyml*FV ztAnTvCnYl{S}2yQDy{46q8B~SSqpXY*n!-V7>+!Nu9DmRi+fFt^42iTnjh#UUOAvPqx_7M0nRv8>z4?T2 zu<`X(6*{;$J9Xc1#VVx@S`Mu7ApwukXZJr8cSkIDlg}-zUd*}VmR&%6h~jF)(KNWS zawg`*76Ju;xDLg%&ZFt#ZwlO%9a+YySVA_R!XMukJx*Ct#C4DOyN4CZ#3k)r1D&Se zJn7Ey^f>%TA^J6Zhml;c5gWz%wi9S^7S)HQWJn`{|Ib1!yhgZ!*?gbH+TKG^X*gKZ zS}QerhwHGm_c%aqp$=teO*;egQ==j=0(R<=2Os$3!*O9;XG``lD&d#iUF|>;>nD)# z1f#eQ&X*T1Kn;dWrsF*?c)Rzaa5GdfVzk&wGsb_RG{1DQ2EmSaa&fpA)xrMr>J#bV zt6lW@MPX~aW^EK&ISAG=jQEWv1Wltm4a!*)wXZdS`s7WHLU9ICf}Sb_L)jz}f@#nK zA1k(P%{g8O9IQhsYMYVcP%!jXhxP!^u@V6rF_f3!tq z`gQtn>hgTGbr^{bkGxRT7S>;%dKyJ7bd@Sk>TeC#7AwpUvl&dXDDPy-b6^K;|2n}6 z(-uqN#}Mqu7+c;9qYkOJ(O)wQSk-lm@pekE&D&hT#;?VD(w3>~L~Dh3dLHThG0pj> z@+ZC1bFinLWy>zol9RFB=q#L!92b7H6-AodW9eeP*Fqc&$@3o8sEp#=;xUx1{bK=7 z(%z!0@p!1jIiYI`T;Z|z_PJ8Rh8^GtB@(y)5?&`_|^ z9H0Fk*QBLWa_~m;BkQ_0iByUM#cz4JpXNtR=uZ52uyut6la;|L@Pu+GoBIRk!Z{Y*#bQr@*cR;T80+=5 zysNxcs=Va{gW2OU6rgN1QqsI*7p-y#8$5Uu%9sq*CD?hJI?wWV=RbYQdWdiFJOJm3 znR7ed>n(JoO&CQn zn_Jy~(yDiH++()P5_=2w0|?fdE7`Z#&!%HF)chM0Nm)Nn4SjBdN0l!&o0i{5=&a63 zdf``~dA||G$vd;DW^;wTMz?(hhz+@>BX`Wz9j!q+h{mChD!^K>-Gjza1ya7|CmeGz z5$Y?ca4{)KBsImB87{aIvt{S88efYI%HR@Rj?8PXwLEWRr zxk~?A=ZD@@Airct`g$ z;OE^~Np`FZA=KtmIl`L~J2h(_SmO<_qdXZ*m;b&Y-ePl;!rFHP@M-?v(Ae%}6|D-W zkLLwsnug-fK8?t_w3gPrNpIErx7MyzEV3VM)bs6U`j zX<=!+DO5T9=nYb7Q+zvv5&z{!QeBX$pxI=wi|@k;NjcLNH2V~G(I&y0Zx{7)j2B10 zyA~zqWvh$sL%rZ)@vl+(#s2QJx664C)_cJv{%A){Th1h;J$Oqbr7kEonC8V&-tw4d zo|5)>vK%hACVtI-r}ybvDgkc%2gD(h76;li_~xqvsm#|FUeK$_|}`4p%S6|ZDgJ6eyqW)>J}p_ zo%3r8JTL(R$J2DD=@~`{_7tu2qu>~emd-kI%=@KWabkxzx`M&xSj0lvNBL>quPNkS z3uoGr7Q+Uq`uFx;lzOY`VrO!bG7yc%)=(Pp9oFF51TjgNgB{+Q{DVyl!S2K#j8`nC z!`hw6n_cU)y<=k~W+0QdFSTh&fA`BvmAamB#nhzGu8nDxG5yvrG`>Gy@v1Xh47cMO`t7}75EC9#Ji}G48m)Ry&X4NMjk?Z1uXU$*wZVHqf8#PMIEL&uLq`6 z06WI7<7rov`O@}eR+cveDbdb{wQIay>k+26A;|ORZ$;l5<&)%rC=plWL(v}N zEP`lm)}gfTu!>N=Sw$s}(u{82M4r;v_fBuM=Ii7!40LNjvoD6NqgUG=(SsR7tgF0; z6)7_{+P{D%_jx6pALHcVRZBk>-g$Wi%xZHBu$)GC6N_Es%eK zdu!~$%(QYHU*hXD_EZPm7wq_25cC%S;eEl5Qa3~HODKH7j#7D1<3HMV)UunKu}eoL z?ijQjH)F_=5?|st{vtd-g2E~w7JR`@>bZ#^`UizC*byuf5dD}?gfMumiAxLhz`%cE z3z)C_h!`afaRE!=i_LFBD9-;I+(ldLzrh!@#r_-Yv^@qQ{}NfLj>WQ~kCIy^eOU|B ztkuJZxTxa`c8KqXY41bF{|U~`rsbO){}*^Ju(^T%K^*a5;}}l_lQ^u&sw7r+Bedk3aEFwCw z0W6pu9nw|p5yxHSN&)wnU-nv+ob=!@(BsiUx)R5xBk#6bZT`(#@7aISwuiCZJ$^Z1 z#nlECK?hte;L)e?6`7EIQ-9L9}o-xpEkJvMbZI>xH2wdMt{ z+%=gVf88m^IQ=4kgJIAaM~G^zSF;-D!Y#ob41@ZDC8_`lfft5=eP3{HogMl>-Elc! zBM?8YJynRehhVAf)P{#83Io@2?P0p`532q2Ei5Oz8+ujH;w&_=^Ant z#24)3mR+cad13_CbQH*`AY%j9iNKnZQy;^Ya*vWP@h4htJ``w}fzXW(f871%MCfcS zf^+xVsWH2)rJ#xD5Hv6k>`$(~p4vWpNi7eqvPx@bk}1S|RQzS-42XmsLIik2zSIh2x@%s+zmKIEt<`Mme&9q|u zUE0!MxOFaqr?!Nrc1Y-PZ{_n_$s%yh$Iyc9RRbE(#WX7QBxWa(@IMPDEzf^B zXJd;Qt#||$9%F9A*iQb-b|30bi3_N8WZb+UF~-N>JZ5za=k&7Uy!-xEGp%#JfXTM8 zcxRrNF^&cmZBI{flQl_m&hL>18^u7p<(_=huk9qdm7s}*vvZ>JkI*xJrhjV@(dMU@mZA~1n3vcAKC)4xn;Jgrej6FT)&?vV$bKne2M5$Mywa~loan9}wws5>+AuGe;akdO7`-Ql{7 z{#$FU&+Q+sju9rgIY8q5olpv_QC5x=I)ZsgRv9~(VjokJ+!C6249L_8IY1M?mLS-L zdyV9229_p4@yTPBp*VH2(5#M=tSQX9GYvo(BtoI*{w1%U zc1_is3*AhR?p}#5l(kE53XL+visIxR!1=PlVEabvArus4hwR+fW_OUz0;@VkEC}{> zavwTZK<%dX;jT#>`FAw?8G>ozYl5N&;Iy1Pd_K%$lmT{;%in18Kl$C8N)5JFYhTO8 zm2gd&ZQQIdmD+w$H=X0upV+$;>)dw39W*wL?_>SKel9B}RnWvFU{7o|nQFIIJ9}ZU z1fJR8ijRWvA{JlHy84;|^T5Ps#Y8IV<(LBp{*eVVZ{ia0(%+`hl?)FgaXEg;e&I3e zz*|n`pGN)SD=>NkY5gYt;Y~-r^KRyJy7l;&VB>G0kexr+IGAVlZZnsb|jvf{iGMv}l>*=}fx2 zXMaSkN!yjt!l)XvsP;VthFavQ3Vp(L?1XP-QMS~IctMt1*0|*6t(L4nXN_z3k{zz} zTJE(_3%|5u8#K2qz5iT*UUeU0E!4gyEo=k(+fR?6b8DUmYf!Rqev`Q}sxt%T(T5}G zk39;k{n*F^;@m+8+f9m1qItK~Ht}_RK2`CLQny{(znS%w+m5twxMyKsiudfOw0)9; zNCeA>&i5M_(AaCf#R$l#8!yN_8fCaJlT;3)mbqN+1^w$=2{;TSLYIOy?!<%bcIQKB ze^a%c^5);HX>9Bp#L=CFa9#o?3H9qjFEXpaU~k=72$+wr2HP4tqv?_3tI{@4U_E9z zaDMUBR0{n|op!9GGgDij?*f0?Q*$3{lfob?Raku(CJ>dl4AS0V|22lznn*2%bcrodl8wvF!IJg1;L`hX@@ z8n&2plJCJ|CPe7?L;WZrFSml^A8auZ>XG1OJhggry){vBoS zXWXRZ^UbhjVH8>|>B~HHE!1*X1zp}NHq2>7N6@b)O48FLi>%+ZrwZY_kS;iEC8aVh z$${f{y6r>I$Tqml*Y#tluBBFj*RuCZ+x%S4+A29REfEUich*m zwd&NhWFb^nQUBgO*pW&t-$HxNt+cvp&b?LqT~jD;%ByrSWixA=_O(r5ttRAN{m)?9 zUqfvK`Dv_UETOp$!Z#W?n9`(H;PP7MJK<-kfIaX06pGjODlNLvSdPYv&aq32tAv7$ zRL4Cu=bCZ{bimRZ6k%k$28^EC7Z3Qgc-2hq24(5`=XEq`{VuDl);YgO>@oSE9luW$e+#V``I$oY zjgizNoJT<)wGaFq|7S8)TBY7~aAs7x5kBTsd`1Qm;`#!Tm!bLqcpgXw5+IL-M#`{r8Jw8hqd^DtzG zm8Iv=r^RQeM4KJnyF`Sp6baufqeuL+6U#b`u=ov#$j_iNA(W@#C8~DvjI~6EptsWT zR;Q`BNpg^bn^ye#gS99qDyBG1H=YIx*fGfmu=W$hxoZ0;dozWtJ76zuBk$$YbS{$u zzhT#v_-+HbO;Bh@zSU^DcLQt|=q^H0Ve3?CT5gf#HOb&Ul=)*T|7lcjfC9tZB6@SC zYmP&gkY?93x^Z2Bb80_NiGaw51f%m>i8X6od^%e_d=8TL1!2-^&s$RIIr%A0nrYtT z@d;BA zU8s8(iekkJQGvxN35VknbK8=c+5kNm)*rce66I>4rfWKejM9*a-?QMGrK0eS^?LN?rHt28pr+N)4;1K9$)A}hi@xwwfC}uSx821{S@t~VCDW}r!1-%9pL}(26 z#`2pxy{1yZjtZPj%gv{M4SOJZe=T=tlA*3?TJ9s%XIk8M4vl}Mz!_L>(K%214RbKkvX=YC>zX3BDD_w9Q=ZuU zy3_5s!yV%C<$A~7)o%@te_{;>xo zojg(z*M2U?1)qTdozI7aEOPNmqI&opE`FOBGOj98my#a{r07qFc<(@afm+Yd!pk^g zsOsjE#-r zVA4?UJDO>n?)~)l!Ss8&U6eOp;()JO;r#PX;c_pCAJ3ipRUx@r#&ptyme9DmyD2TL ztJb)jrQTCutv?E1FfX8~2k-tEe1MqG!1!Qy)r+-!LWMU5Q22tK-XH}#hRN?UM#OgZ zOR{ns_Mgz2FSf&YS2!uJ1n|CwPuos6HyR4_L*WZ{EEX;R|KkhpqgP}98yu(wy9t?i zh!l}Er|nGA6T#tpiR*sKm<>NKgS6)hzN*{in-^_E-e2_vSJiD_0&m4&USF_79LL^q z6Nw!G>_o{JhL~LtNxs;QTJ9nv-$VBD1&4tnJZtfxGk=I+635Mx0X%?<2y#32#(^a> z&;K;ZS|>t%+jh1UVzHm&SG*$X96gb+_L=?MnCi!$eX$+2NS!Z;1z#{LBRtCs9EC6V zPtNCZ90KR_jW@8E&P-dEbg@_*_3qjtIC6Y+RHF)n((5_nC4iv^A)5vo_zZ8UY=+X| zZVH^1X-a0|ODXtb8VIk`eeLYaTqU$tGjc128*SU|>(PheZfX?p$>KF{^^C=bcxbA0 zlDD+(YfAUqRNbsDdRS8frj}LcniVEe%sA>|xx4IZlI}Br_ zESTKEs4sqAanB5p?Nz{80ft=x5!oW{S6Uh)z1PLYMCp|Cb!@0nMWXbkn0;ZkpGS;OCo4htU_$uQH5vy7z(%Fq=cV zumRtwePIQ5c3YBj+x8^1P`TSfTCzcbK}}YQRw4uw!9u$NAJUL%3XH$G2I}yKm=N!L zy@zyYnF4Ez7+C;e)p4L@{6-5>dD}(1>E=>`HPmyu_a52D zy3Pu!e60(6NhNA}#znciY_Q5}dut%Svb&q_Soq^6#R>lCy>xm8Vs{CoE0X9P?Z}hW zJt$pgYmhd{AK;3YO@fWUDdTBp0k!UcYfgkUKBw+6;-k=hzAcgE9TbHj#@?X#@V*)S zELTVTd@W;3N9AQr59e?v)*_v;PlGFdJD4+P_?=kewl1++Yr*n>tBWXJ4_HGl=D(69 zPrT^tUCaH<*yg9Vz38FedTE>UnmU-b4|GeyfSPmMX$i1k%dZ%UhM%$&d}4tsBWK7 zQA-&7H{w(J-Eg{EW0ZjT-3^s`Y$T-{Xj>C+m$vMkV{>jzw65RSwr$(ClNH;xZQHhO zJaMvO+vbXGpX^=dU!3!8R&~!&v$}d#b&qk6>uyQs?0dJ}yx&9s*t-^S*ru%Hv~Fo{ z1w}?UBd>nVXzR{^!E>^j5CCJSo3$3$3ca0a9_+7(Ucu7@<^lzk3UH@vc)20_iGW&X zF&9e@Ba#hPbAVLbu&_~D6(y68RY`nk1>(Ev%CX&X&=w}52H|o330m2bl?cD~Y4RA> z;k#RBh%vYLuzpi`G}V%JAEA(|CEaRUT`l?d+@Pf584!gm$CCs8{bv1bQRS=<$b~~~ zem}rw4H>M8G?&k8T?QW<&Sa(m$7YT9_fd|tAlo)gd0z>`^fgX>F|>8up!4_6nx+t@ zl&EZl6?Jvx%&&?$2hR7!HS|%(b#mf@23*o-(I>OiAeS$N( z^Lr9+#copRYoV?5F)P}i1+X7NT9xw1!_nym39^|XhWvX=!wUMD$zB)tt_^mbamfV` z{~)#!+g(UkH`e-rM2KRr-SF^o>R#tSJ4UTJ?%l)zlCH7DXE=IV$kO)6<*pO4Zve@z zoc`8u_HX7DG;^WoC0`=+)OSYZ(S7>g3g0lD{#;Po+Y7>*UM?LS6{`cXDrF9Hzvt}0 z{{KqOBqz2 zAL;A5=guSxxKW}Zv|qKdC}q*;`ERX+O8s+;M$F2%-}2yfLH?2L0-FmC>{9YNA>IF{ zTGWP(GV0#meNL$5@gj(=5R^Wt!qU7vqD$AGJg;Td&*Xf@C zI)F|$HlP&pbS3&A{|`=HJE#J872r0+9+Sl~*Z)IpiB0<@sIMQTXyBpqgi;ixtvqGw zQOtdg0CV#wIjt?XW>*9H`%uBLZ1JJ*%-?G9z})zJ|_uN8>*$mIDVDj;IEAx;D3WNNbxM(H^Vy++eaCXlEb&a3?q>= zI|HyKq@PORLf@#$x+qW7u8!z`#k;cvMpVnu5NO}FTLqqiq7)CeQw?~e9n`ZtOgkXe zlCxu2<(JolP@Ft5oV#JKA~dt_@y|sUvCA7@_dJd$9?h7j2N=NGPT$LiaU{<7v+McH zlwbvBMtUV(*+*RvYB*-RCTgU9e8GeL{o8ua>UzT#B-A0W2Z&9|t}2WPbmiiCscGns z0B~dxS|lUf!gN`j;6=q5?L#j%Lu)1>XY}7s^9y1rx>=5w&H3@88nKE3%Po~YyU%H=Y?2BEv$M~td-02aaV1`walt9m=R zK8}4?Y(3cXgidv5iGz9Vnv>OR`ovLWFz$Niv!R~hMb6iE%VFWNIAardoz9OOqo0cX zem021<&uQJP1Q>v@O7Nz!?>AtlH~dNsoj-q= zU1)+b{s^Pi>y&K?p+x(n^V|ewu-zn_llstUfgoJ9muna|2A2}Zj4uk78sdFtz%)rM zrKVpoS>+&@ZzNZNxt>=0{WGQtCL3{(oT`WtHa=Wtzs6I!iFf4*o@K!j4-CLJAyuT= z^LZ&Bu=`KBFLb6=%Wn4U_#9t|QR#1CBAUhJGwssTlPXO(zUQqaNjwuyjoh|hfYZni z?j4!+jv_3(*5K^w<*7t)9i_x~GFLh!7K{YaLDqw&Z?yD6ildBsdr`odBF}mLCP_yq zAQ$x{7|&*!3wA@(8UND2I=I)$cW#6Fkr*`<;<}*fLT`?=Z$nLZ`{Q9!kk>Oz2 zGHCRY+ZM)ms-|DlQ-j3#=w0yWWi;r@X4!oqmYo4=9cTuXipR%dKKuGv&0O?^aWLpA zRgR`r4kLfkuzL@~bz?qd;%|{kuPBAnz>2i*n_+ykzFXwy5yN)cyB?J+)_qI!5v~6a z^rrh`fmG?gKhK8QH8+O)cUQH{N8{-4Z&xJyfQB4Ci(a=?V;y0oi`ihG^b_|9kGjI; z3<)Q39knK#R#!X$Yl_o@_acR!EhS8-Cmxg+uaTFejHDs*D@u4jM^`9OA1+gVh?hps88Y%VsG&lKMyIP zgek`{pM(WSDhVgxT^#9bZ+b1QG;s^gb!Htyo_QtJ0W*l$3BsWQdLb!@DQ%^5Jp%MY zcy-?X3>x|o(ZzSCM#H3fx36C$XV;M=2eRQBTarC}X0_8DLP@J7!Sq0Xt%@Yo9bjuU z_@x>WFGH?@9A^A)b06YI=XzL^!KVDH-`q*WSy_w`Ya&0`;8VfS&I;1|QN#x+4#;t3 zwwo)lTJqD4zbY>>8&Nq_0wF9~+YQd$e;a^sHirO@k|_4zSzQAeN>3H>FQ_1CJ-SeYj@xOJMs<5%G7O`jaUj!#ZxX&9QIriPwAr zl!iHeUK0&xLS3}%KFLwjc85qG{zF=4N4F3o5aBw02u5ROiac{+>vabCo< ztSs|^GT;1A8_bKyHy>)&r+S8OFm9d8RQVeI<}@;PN-MZ^5w(aY>JM+J0NA9qF(Y%* zTeKyR)SU2^f8gL$7LjzfKOltWFKiGvZ}tABYBcbs;#~dx8>8>hdZZV913A;OnV)8( z?S8&ju)}>qCG<$MM+q)rx_Xtiow&ACtulbJ*CjxCL0lOAD(8=M$#OiGIda6XEm2c6 zKn^$J2V0(h;I)tp(nkARi1YCh9q+=2tbFtHrC-zYN;nMPY@jI<-|GvyW-2e0cI;bs zJiOjVNbU=qyvRIm2C!Z;$Q6NeUPN^ug1ZxK$7VHd4O1UE9J&@e%IbI#Uv6ce^?z5| zd{yq7rMAo8N+)W_7KOS?@Qw6Zr&qj8uwHW(#@2Xcwel-k!QOH-%R=f_)ZAm&0mlqw z&B(U9&vbOpS7Z6!3GAO z^Du>}>KT(CJ^Si4)JJZK6`%)NTqfMC>U?jkc5~{+)~NW}o4q8acKlq3QAsOjf}3mz zg&yR!{;|-1Fv9q5i9L6Vy_WatYjV~0pWX()Ar^53I?-AvBw?xOKNF}W(_%>H>W)ED z+;;kzPPw1r;R^6lz)?|ViV4^4QYve#LddP^)WsJYr}*IDH)EStMdkgw4&lZ&Mf8I>8V?<*{;tHqv3$) z#EHgHXYG7+Ml&xyZGi|9@>(7bh zC=6Pf(m)4v%oP!nl&PD^=Q9>Xox=(_g|3O}uQI6ilmeO%)Hx?p-2BUK3>*`_!t*$7 z#2R9`$Of<~MSz_<3m9{`0%k?Vpc205mbwq7@0C-lWTs%f&-)-Np6_un zFCSTD7Olb*Q*!D3JsI4N{uH076Tp_Ui7w$LL3ymvbdY=HKfV$pAuv#Cu3%( ziQ-=PMrIX=Qh)i2xVyiGW`AsYOVBr+#@^ye%2#Gqyzf3!pgZ3avDRFUIc*kk|63_# z9*<{C+Uatfiu}R1N^Q4yT3=PzHSjJjDt$G%4ETi zVFC}G%j*UE2+#`+-Ru%TTr4>KETX-lXUz4R*}mzSvgbs+DW=4+2T8Shkh(_3dazGk zvSZCi@>j2s+Z#G&i#!zvT&3Rzqp9*NQn5}(ojgQc2rRCI16|i2?rQb4Y`x9daG*DJ5lwhg3RE64STdb_5_ zh(hD!jQ9Ghv2FrIF)SUEC_#kk1~0b5itdsyl}K!MdXH*zc|Q&v;v_fTI2oP-oaAG0 zOiRuFnzW=t4%xa$@O8zM`2q5!Lbz+-K<=&y_1>m^q}gsdut6`{AQ;u3H4^5EKPr`^ zyPPUP_C)W;wLw%^RUyR*BHXUvCP_{6$k;@{=@C1nR*%*^s^;uAfT? zxRxJtg4IgBb5ruLWQ>A%n1+?Mf*Za3#`}AwT@e7;)7l_DWC3V$W?r2 zK;LW+R67Y!4z4mo)(xM~v;1!0wky0A1~Rcc(}FGWr*NM0T+Gkr9`v`CKSwmu)U13N z`QYhXHn@`O&{dd5IZQTF|3?q{@aDy{ya%1K!4Fv8d5OG#}(<8a){gxp(?b&rFb zwahRDyR|j!kT9i4Y$`D?4k!l zG4PWUgFX7i=tlI?D^g4w-?k=u+RXRf=>m81r#N+jlovzg7qStKtWnDS?8?rjSHdlY zXLPO+J0v^U?MyXq5y}_5nFjdn{f4*jx(uH~c16@nPL^}jUk%{nlaYb0!Ug5q(H z!h7@NFqPuW%RM~k4@T|+-2r}Xf7T@0ZSR&c#&E{>PEwsloH2GZ^=QM?v4&TB3GI19 zho$3i#2g21%=jx@ugU6M;RW=|T>0_db;5)doZ{=FPVl7LLiru(!ZnSgZC>VT!AxBQ z$bwd)25evy9!o3JQ}g3r0W0`vPwohuT4Q_`qi)t~eXZ=QS3>6wyge=|Q<_IpOKx00 z8*=JkVY}X@NeX!MHDv=JsF-r8$m*}o>Jqs`0x+KP!rwg+O|aP;dYYm zbpP}yB&`Ey5wz!E0?Vv|_nGuaXFCKWh$u za0jC8dzhx+z@itC`qJ);V`t>;h}LlKEN&){1;t|xjhIeO$n6`%V95<}x)311^nC06 z?CuFUs05m^2wZmi>9bn@7>nq*vs$lW77Y~WJnL5qVMl8nPhR0gsDYf|^?NERvUz*HK2&t z^}Z(Wc0UotSyzL8AWG!FU$Fueshx6Rj5y#NB=tk7=eCOY$o3W|({5(9v2gdevkOt>4l=OV^}uRo_%f?cCKxydKrRn@x8<-R z1(hndme7>5M*>pj_o4}V@rXS9e4VP2twcrbp+W{i5*S`FAE;}3OcJi*(Oov8=c_U= zqWy{kZsq}Sdh2`Ip4_O#jn#|Lcjq^kd(#fX^9hlw%5ULb)3}d?8U}<~2dgwbelh5~ zoJ09n*4GEaZ)3A%gToaYi;_bOom_Z9oQ)2WG*2{3e{eu3 ze2xAxTuVmR{}=svnavTl0wBM1AbO)Uux*jAUM_}-n zXe;PPr;vg2i2Sz&vy$Uq(Y^MhLlF;*Si`Q)E^THjevEQbcsUHv@IaExJ4C$9Bnbc6 z<#SssKVaHI_+#&6y0lj;_!<4RrLjZEAa_;I+?2cuJ*bhN5z^yrG52G5(^FhSnfJ1f zrQtM_@0&C8H)dULc7GD%1oz4l`Asmvxo7 zPw)5RJL{?N!Ub@@UQ%&?T2x(LHoQiEt1drWbsI&Os}$KN9fT1dy>28`t=3-NIQCP= z>kd1%G0=TKnfyV%TE6@v=5$;!awI0*lBXEng&Fo$RI5@n z9l~8Q$XFwKk;O{=n7Qz8K*hI~e-rDW7|ss$-`}uW+lQ0W(g~ym!t{ zz0p*~PL)CUTHtst8Cng&i+QV7>?K-OwCJJ69n#3?E8>sIaHZOUv_CWLV5LJGnsS2T zh{PBwZMC^PWrk8K4>sz1s`I=41J=2wHcYsd`Uf67UR@_CzUXA6>ya)1puJiA)97{b zM&%Mf9K0?S49IP`FO{1Rpk0-ZEQ<(hWHoJHeyG;hXo+ii94+!RQRRRy=#=rtfBVI4 zRrh}JL~)4b&d~BF|E_4leT+YXZMvC?*sOkK$HSJs8qNsB!S^ zp^S!g`NFi1$$35sQ=wW50%X@;`h^U^cq%=m1PtT_gyeqcZw|3n2xgtNLwDA8{C#qR zoN$W5Qfu`!prTCvE!wY3Il+^i2uXFgUaZEpsqMItD#*D$kiO7L*#Yje1#B)W{~T3P z`e0pZQ{9K4GKho^T_^ds^|gdOPR}YI>8Wr4HbK~wR2VLfN9DJ#t?yzBB_96;b7<`S zckaeUr3L4z5hIHyI86Om-w30i?a{qlJQ%;V>oI#RAczOB)c>p8NJg+(U#)tkhecz% zoLT6?I4tEH=xHC3_Ov5vebe^k(_32b2f|N3K}pxMy8h`hy;TPw=Gq*)EM_kod^7?3 zA9c?OP7Z1X5gL7{5&F=h>XAtd$XFIyr@iZSj#7>efb21pxoB6!BBe3_=}=S zo=z68uHqX%a^^f9e%r}EgsrTMMT}ozANR#wre$2Xyn5hn7s;zC><$~FFH-Zni;>10 zdo<#f1bV9h&SH^cEG2IiU!5}r)JcAH3)E^c0Z)E)eZzl>i*8=gRZ5&vnZQJP_U=c9 znVRpVU+Jfh)VwLPFtO3wW-obtWnzQElRT56jk^VRXScIu@GR-tgguYDWOzy3Q9b8d z?)29Eyf?g3P9ryR<*!@$E5O*VY@p{5k#@I?LTTlg(wr^L4)g;ZOy-BiR#>0NNvz4R zD;yH+&}EZJEeA=dhK`C-@344*yrmSD*Qw{pJSwO9p9e(L9j*M1+$8VeX*#nCzEvX%Bi=255XVlb3V^W zUVWlkRKFo?6^_Dw0royA*M}@K9gTkVHTr3(A1C4mh#6~FoJtuFx#XWdAp}@wY3<&b zjmeMwC~`&v^u6SYfaTsu6qa0~@%4b!0uFp3ycDEuYNozDaWEJ;Vz}U4#{Ay4#MC$H z&?GRgyEAqACfZIDpqAqs{Sl3AavS5m=S*%uI?9(E1tf^s#bZy6M>$@2tfrQAgMMJy zireuaa&C<8embHp6|;ZQkLABli726pE;i<6JJ=-9jziwK;6yzH1kC#S$A-FNw>X|s za%LO{sR~UR%J2jDx4OWWuoLSFO$=0i^Yi~M28CxrWZ=j~h+85{z0`OIygd4ej4k`% zu8BRG&IDFRcn1-;PCdnXdBpi{rP_x3UM)kD0k6qFN5ybEFQEUmA*7K@diexR^rK*Yf7i zK3u_5(b}C?TDeDs$NKY-`SXNmtLm^F7c|H6r09WSaIH`h5T{$`XFnKmo`4g)IXkv` zBBk9e^5r9AIXNFR2)$76&qW25GYVhzmvaYD=4vnIS8dUlvZI}*z~r+c*k#^AZ%ZbP zX1Yyz)O=Il-wvZW8EjnR(Z@4cTf4NrhHp?4RA71o%sd{W;G3 zehlhep#huBd1*i8UKU@I%|>Utbf=H~X>9WhkbN)@`~Jd$RCwd~3uB*Y9EuoIC0q`;7E!7&Hf$Rl4GrXMmlj#O%J9 z`#E>0meL<(>0p2z4u4zp2HNq2Kz(AWM2HvFmICf7@6*%CSIk(X$Nj3nYaQf^c$>+- znBs`RZ}VQZuqT^ZjCt~*GsI`DRw@=s5B7wiSDiRo=01=@vbId*OuKW0R>|(VK!{oqaTNI!?*XdSquOE3n-T5%o}Gxp^ zGnmrFQ3gq)0IAb)k}`_16{UaErGJ8xSJCbJv2TE?`t~~!==G}6Tyz1%mAJq^5h!OY z?uf_eD`yDUbyn@kFBgQ}qR<3vq#oMVCWS_6^}!Kfp4aijiU8?ZwS>fOngydc0!PHA*k#eP6#tGIKD*kHf5!c zHQfog%<^~~4dNw52(3!rRt6$9lJzy0#-qK}lDuhWd4SqRP+p@AYkB_w&(VAuy+^LZ zhRAn^5p+KfxNn%;>YZ&qet7Wb&D_0lDc%=t6OiXv_%;rB{$vX_YZ|+WFD_dOx*Hv& zuQb)|TuPP5vDq^a< zj|1qy`g|!~6{7TdjNBXU6(}upzb$l6WleYWwgJNGTD}ik$LWjTimtm79iLN8G9)!^ zD7kMhuICbUJh9bu-_sl~SAXgqe$f2lmRUf`C{>4y=)U?Bl9LK$CP-?OqFcpgpKsAC zf5g&pMKvBy5AW1=daU18yKfI<=SVsHu8GuITW1>c-&mhP;n<`zZ=(UITo4NF3)>eK zs+PPNsgdX;KQt|z$>mh`@eogI^?h+|Q7Vo*r^9{V_g=r(VF@^a12oq4XrQ2+?_M~e~Q`2C=C8M8*;TPkHJy1piSyDvw+S|&m{ldeNMS&4X1CwknQ|oHXuxU zh29+1rkHd#yhDvSBXFU$RV)o-tJ-TS*?r~d-%3s805{8R4O< zkj%x(oei<^XWM7>oe+idL}|7~5AQLil3!AUdE?K2Y3*x<>gTr?6RL$oqGqPjvE(3V z&BqJJYV#%^8hCz1WgZic*cWu)@WWUKKgA-IEqm~)t|Dla>oc+oAZ&Me@M_?bZ+FLA zKhwI$#k_?WOIER?y8e#V)_WZzw4QdYT8D}1KTic?$L5O}pLt`03T|I#s~HCQA% z4jnr5Jn$=U0$dbQGtpcwZW-V6=n#lV6187f=D(=2)7M_k*2%w)YDJAR3I|`}5VgTE zlwzlx)~3|qI+SjMohtm=NBMa(%qdO4_3L5zvWrU%KN{u}&Yl*Ov5A3%AKx!#DQrHu z!C74f=c#p{*ucqDjWp_kP~W-J_%R}CcsC_H6_;&^Ma)t;)gKTi%ivpz|mPg>ty`-rS>xdy*M}3{fF{S(n5GhV$op)WPh;S9m@X z1=f4w1qr4`L0Kivht?G{#!Fk-L zmB9)GE!_V*3L(WO`CcRVKQU_Iy~r!gll*Ar^pw2C`uKmR<#E40{%`!>v4o}Il3D2g z`?YA> z6P{&>u80oyHQlU?;i@~%JOzx7y!jd3}- zYL5~N#%|GP*Q@&`HxIRVRNyeDvFAK18i~4U+U(@pd3MvYxv1wc=r$iBWYTv{*&&P) zxH0s_QC_}_QVWA0T5)A>hsD=$`Y8$0a$kTQ{@duZi4%`*AJ84V!y5)dn|_;!ia@I_ zA}e_73S%b{GJESJdz^AL_7e)$9atp`=E$Cv-`_+d z>1#t`5M5_oS_P*$ln_|-FBVwThclRSma_jMc*gkqkk!8*jB($%wo550L4ZU(O|YDC zQ2_{-=(hcVDlkT*B!<)SXa1;t@M|P<;^es}FcX(prhceI`Zq|&XYX_o6?0qfY3JvU zo_d-UK340Ynv^sl(KF=BuFpRF>-$>R9U<+QZ#FK5TV?mLt9>!4SJ-VSRH&<j?18ej2$-u8%W+~8z}$n?k{rKe25*zTISzoJAEx{0K7D2CKDvjx;};xL9Eiwo zO;+3#d9Vgf(Pdqe2R(I3+*YYb?<}|nI4CSVO`c`vFHzK`2Q^f3f^eEOQn<{SLtZ}gh}~~Bse{^vRX|hcb55}1cWr#6WL}C z9dgTv+shf|LNg)2J{@agE*V=hbmUeU2**(0*Fq(ur!43BjuJ$sdACm?wzWXLLRa{X zu2!Z^pxy`025^%0kebv1-eWY`+2hfOFWV{`*VNT37PMW6k&dL#0ZMr5SuCl?Bf7s9 zSvst+&J-J}SK_8CA}YV~);SY;uAdgjd7mQ;;NF>KgYp^Q514VV;rof8$0xMBnJ6oA zlF5`85Kop5?KaeF(oeWX&e#Ca}qlDA;LxIW-wotu21DZdhoNO)DuPt_Yg6ylwT`* zMNWgKyiTBeqn2>*!8Y5B{M{s`d9t2Im?|+ik%q3(M3WQdgQCq=AVc-iunD=WwK9I| zrH<^H8NE&n<|4YWiEL5GO&{Rq1t;=E=6Gf`;^-NQDtcqN+VHBw9{$CmN?f1uI!?UH z!vhM!$(eO?0auLJM+UZCSm)S0?CBvG4W~laDX|q{5OH22lVeac&K}^|AYk}F*6*#R zCOtGO(|{b&=XF| zP7_HgD>4!DNXst5m1Jcy>o2ZqZPM)FyrOLif3QZD{X=>6$Z%xFg546BbiPZKj(Ssi(~xbCYA zc!qkwC>_w6MwEq3TCx10t0t5J;3*rRm>pWLoKy`MjnPzxvA}&s?wNeSmZDXcNVea4 zQ)*o0JfP=5mLCtGPg0Ug0b2NHG%740njXyvdlC zmU~ue7YP|&7U2QDO!sVzer#fCh3AxaRlnOh7_}G(!icuq{$JZF37BkdRKwx^HO?RQ z;5~qCNZc0-@7;M;oYffTfKfEle*)U(056>jj;748HM$9FywOdrE0>Ve=C)pDRZ8jYgURPDRHY zUgh|y$yML2Lj+y;!+V`|*XMwp06-*f4~_@%T>C{dj*T1rBqXHlu#{{Eo;rudN5MC> z(e}#Pp^cfdWehrmV zjl^T`Le_J>Ib$vkF%BS@@idHU-P#ZH!KNg<}kDE8+#Q^j_W zjnr6S#<6`L94QBqLk=u%MBg)HzNIN?Or!~wR!JatLm63-lW(76!H18>;)Z+v3#(wV z96vrlCTQn!W%y^m9zIOQU*~*#8Wo~v)(aF;<4Hibrqq^cvsE8SdYS`F0lcof*R#T- zvm-+=!<`S#AwM@NFPHgt(v6hVxxf-$G-RhosHUAbv+yK9$&8`9hH9^vp85yS5isCgP_Z3W=O7? zV~ZUUR7k}fvH4t=#268P>Y6YY*?5QvmO4VPJp?j=@?DquR^TS(wYqJO0oqzyDP)1; zxH=|y1lzW232r?E}xEUq?!tK~zgyoM$VA z0Z%`DZkFa+UY^Pk5gk}0IrB^P9AD>oN%6LGNrYs=s-W3>hXZq~Ul&`E+WiY+C6LvU zhwmcA3g>oNjd6q@1x!$*XSc7MH(}^sF~kIWH>&8SuZUxZ@zxm7h_5;SXn08tgjY?; zHNseo-}KRQ5v7dBk6x=HBt^8vJTdhTDL;a%%L;ODRQwSXxus}or`G~7#^*!h%??hD z)xH8u$8uvf-~1>JpN1Lh|93gzylD|`gY80VZz2 zf7+Fd=UXUT-Ju9VnLu{`z$|R6C$~`C$N305^8&(~^ZK7}x3#fiV5^;6J zLbxg|RXklP@;+|;fDOfwT-hO3s>Xm-0uM#NA1X9m+OQC?ITIAYX@*yE+>H0BhaPvr zE&e4{!(&9p6HfxBEX;P@pa0;HNv2*sU4Xd&y1-JC=rgkC`u#5`(tLOViJx7T!fz}S zLIX8J->AFjSMszRWD2y=555z7%S`c&(*%>Gi8NiLX2HkTw9!^o1z_ifpT}-^g1X)T z-O?(+azdxt81HM=cT1_7%11{v$tIw>%~n>D?Ppt$5>p^G^&E&2|`Q7#)jnV z!dKD;gC`#Ma5HY$Jp6^*%%yPGD}Fx{sh99LgBh1uRBCF|}e#a3xD?H~Uhi|Apvf z>N)AY7>I1WH|TR@_$KO?1ufm+>sX#6tf^TTPC=iV;%lCIl*#Y`2V?pb>V{{REcslM zAh@EOgaEDQ&v+p;Rp$aA}0x7B-gd---ub z#g`?|#+4_thb+Z~@kMov{zoI9c$gVvF!=fP8$cpjGfhB?L`6e*P_PpdsAO@ug;*WT*au-Gbt zkvMdSM)ofx565C*n&aVs1F`dr{D{3FR(vN79Oj>h#?5#%1^l@We5oxJs z3$>mUtxG6=tQ)Nj*2+vbmUt^Wg!??D_4F2BG6myyNb+>kR?Db(-xZ{cFM(R3!)zJ-i=u=6*706i5s8ULAr@7)X(3^OjFy^aLb{)LF+6diuG*hX=axb znS@^pIFl#;$zv328of>2 zA{x|8PUUpqzPigAp&vY-3Mr^e&NsNYHDMX?aYP|zUs0X+Ynh}4Y58zM7tKbkq`<+x zdoppyfVYX*K+ag#q`(o%LBm@A&A^p-{RUCEL)A+AceKB3+3k8Pvb97EY zAU4<@jhCJ)VP6f1+ZtxPT`vk{0cFd z@f{+7hEUmcKMRE_+g8?tK{0>7aNds|Q0HB75lBD@P#&nHWkHxwbL~i50CKnfxHxcf z;2^6qWGHIiB7fL9f#ofc#JUBuWRo(ee~b?7swtx?lubR&Ugt5@^Zc}=e#7A#h4TP{ zl|UJ9lSxnKyZ)D)s|0UDM)b(Y)>Mbln;t5lNuNHatH(kBQKx9Z5KG~7@N~C>zM#cO zAqayy4hD^3#xS9SyoK9~6Mtr`@E4$=LphO&NR7PvcRSiZ5N2AVq@{qQtr2Y;?T{c1 z(M9ZB(%l2@8O`OJxCz#?P15?(%HB(+2ebbak&&KYm(aZALw-T6j)kH~Zh^5F!dTYY zi^dOgsOyUMSmHk`5ay`|lT6N}VX-7NlgriXLQLf`g-+5bZFUc7l_o zYXZ7pbOeOkt;UG`q=`3EpbI^C%j!l9Dj=awX630lKCy|@ssBLf6nNk?4+d7ty}|h! zKE^MG-hpGWC*~6dmv$kxCz5;6|;?Is(1hpdXCa>gC z%`s350tbT^uz@1Rrhb3zf{fNqhEqLu;ny9RXW%F&Oy7!J;fnO)5{!N_t#N!w*vb zcZr2&9_D)XqyQt=>m^F$qhN96E=#Oq&3t}+O*ueO8JFCsj_vej$TBK zzuup0G=1)2BTr)MsEe~kE^ZK&4OJ3e!)#>=A#=9ql8BJ188W)>QG*xMA^sPtS_2ws z1@o-1M=eg!1ya|B|1IiLr>@;TNEsg10x-q_aYIjcKY`W+nWv=*v16j`cv*oGyWnn%}xbM+k)R3WoU} z{PdYYS2XnawDSxRif#C8(%_eBRn3Xmlg9zQyI6N%3(O1ZpzEll29dJSW?zDt}qgsz@$>x2u5N-YSP&Ip|Rzus~V!HtFy<= zcqy9Ja&3(CG>m5-TfvW6MWXHRTV0@}mZFN>w3TDH-1b%*OPqBMtp)if+2|P+AEJ(t z$ghDk#&r+~$lV(xsXCQrtOX4E){1(Ry_s+ek$Oko%eL31$G-bBx$f@QB~><(Mr?qb zx!i&AcC1pe#-gA!Fuo{MWAoT4+y=8`yxC#+SlkPB72A#eF`igX*+@qy&?Z0XXa!AB zT}W6tvFJ29z5On#MF!2Hhz4Pv129f6segdA^P{9gZ^?^h{Vd^6g=~#N%>y3WIoyLA zTsSF4Qt@kMR2el%_B6QNNy&y@iF0jM(5VB3v2R#1?_xOnu&SDTqs$II;8

jO6QB zlo}O~iDpENoFD$*6)$wRFh=7r;bA$N?0dV8OI?3tVCZ4CfvTj(!4V5j;zh z5V}qIVQ{D;xHb5=Eq&;%B}I9{83%c?#+H$&S7B@I-=}}UXZQ8Thp(8Vo6PAG>j~w~ zrfo^eCid?mVE-Jad40ZhxQvES$CY_`eW30LoONZH6x2SAp+7w79gs-J;OPFN>i$M$ zSg@AF{pZSB>ObRozlg5vVR2}Ltb7ftu5C7x>Wwd(rlDlQbRT#DwiQqn9qiqp>o%;B zR#>x@QBg9qkArRuJ68e0M81thNzZpaZZ3uicb&3>XndMeeju6$Q3MJtq6HmOMXasc z-ROZ;PChuP(*lRcScJrk@M9eL`ms-}*KSNt9W~=nWUkTz3u2JI06F#`LIJIY_VrzL z;CQDy<3wLe-=K(ju>D8H~}>*eaBZOLEiK^XPtgvh&%u-Dd1B=8hy9%EG%%VJ9O`S8xmNII}{0Pg7pxHoSM zT+WX2zNDI=;dqoNjY1|DHXnKNPU`TTsRFjjuE5404C^HTJ-1zdc|C z;opN62En;Yow&cF4Bl)7ak3XvGvB7+=6X;>EdyRGh{8_jLT|#}+!!lI)0Pr_6+)ID z|B905;W_!E;|^tqJM2j`g8CL72tB}9w9RZ-n@jPm7%1W{pyNO}TwKgI(r;e(@aE_)$(l9=%Gi(3_cWdjO+sW*h7+-oJc2h`S zwAR-CT#4)0Q05bPLa3?ba14HL;^y?4uIeEW>g`!{5uvp^M)!l25IxJq8*GK(@@qmS z=(OGRu$=GA*WL(XR3etF>LkTRIKmfExIpMSkFi4v;@E+JK)&xeqL@z~WBXX}B^Hlx zG{|k)(Wh}jQ%uV(fNNIL{#mF}Pq7t#YcB=+z&aFQyyje9vzqGCz1Xl19Q&=wkG|fe zj_z_r`3#q$3XjFEb#diQI-rDJyblcK-b7>#7KkQKJ;bPH1eZdw-R;27qJ2P+0e*EM+KE>3O$n`_xkydB*Aqq2jKx0$w$y=V*(k1~ z8%CbMnuJB?uc({N+Cu8_(lcl3wt79c>ewWH+3Op6UNbUScnDVwPBe$*TNyPri&n}u zXCAMt$8zZ}}JKjlguBlW4!Pekg&cDk{y#2aos)Op=BE&<* zJV1d7p^Lkew@^*8-Ybz39BL1=0n>(Dtph$0WpnHHewrY-I;;OxP0j>C-{Fszf%kaU zVH_c((sk)_!7b0Bav2b>aISk5sAEX8Vf?W>bX$A!lRfD4Dz1C1kjWJg<&*7H0L%J6 z?0xfdX2J4zY}>ZAv2EK=w6SeIv2EM7xv_0~<4v+j_RXz(|AF`SZ`G+&)n}%As;ADG zIn({=ZY~u%;DQXYpoM@LnnVM@UDA<|&s9Fpuh}S#oXK8`U&|-^HxG#wOhQI>vr>%v zjIUCbM$=kn*fp0ny4ol`%9hU%K!TlMuJ_-L&HZ@R4)8moYdgwa9g@021SC7VRnY}UlUI<7jpLb^3 zs99bW`&*B~`~d17UyR&V+%afi=Rh||l;m_ll6eI;+_MT=Uq#uPgj+9e;#f?1O9}w=wq`K?#GH9cpiJ2R# zaaz1letyVi3R?G#2%=8$Bd_R(+h02fWzRWu_(bF?8)LnuGDiNRZm#`GLZ))k@?NCA z>C|T87EmyOWrG<;l{%HxT{88|B&EKL0?tY~WKuh5Jwdip(2j42npGQ7KTa~b zNTC0!tUuy<xOmT- z6RbTOu{y5^xEob{;h5x~wH}A0{pbxrXG(5t^kMWmmPPcJ8*-r~E*1*tSBZvxk5*6D z+UIQ9|J7?5#EsvLw_PUzLPy)=NnITosaN#?Amw~?KTn~a=(A%JbAPq&DTxLBY56!3 z*t8RcO}T@4!9ZgJ`}FjjRBtxrmAH!}s=AKM(pI?soG&h0fekMd;oMxjNW;#ddaB~) z>Y6Bo^^&^XOPOSsSqVR{+gHWsSN37lDa{G3nT^Dx)z8UpR=CqlP*ez4~Jk;Cj| z#cJ6RbhjrT{}8HmI1hTSY4mHVwpbf9*hD;II9!aJa+yl{JL>97w(iS0cv=P$GE!wd zkG$W9`&YAhJrl1F$#1tD3NP%*?%3*%t5a!RoOA-(Bd80FfeN@+BdUxk$RX4qlMLY( zXjk*UKV8lV7ASrNp)GCK?A7WxYp>9JC-n|i=Xm(Qk89k~NDO0oC_nR`v`HP;Fi2hr zYh>%H>v-@Yd|5*H4NA7rQj1rhHh6Cy)m#4-E2`9!y zrEf8iRH%n76prsZsI#`PP-M~5y=@e8AVT5;f_q9M!Orzi#O%m>@sC8}GgYfCAng~t zJti{YkMo>3CA6*~ABxbu{NFYoeO+WWl*zQsBR)n!>z+fnmBf`KhOkd?*FEHU)430= zIKuOe-FOAnha|&Wp`M61>`0RNPT$p(P>Xqj?9{fDiGOYru+!Q}=ADQYwegprWl14)^tPh`i> zOg@URw`sR?S0blM{K%XKT+#YoW>CB^56N!6Jz+xXEi;u~WM@$uCgh1G5g!odxK(|a z{fq%Lf~7W+#Y`g=@z?3MVaJ2ng=~C@II)N*ri4ucC9iC*(Sw7k%K3mWZfi4%Mwu0L zXmdpI?k21*+PmFYy)}yz3F;H88DDA6&Kf^oPf?ABg$yc!SmLe$ zws{vB02}yY=V-E}IMuVEC$ar~2X5#`SjMm0c2rXf&U;>S+)zDO)>P<$w0tcrBRhdR z(E;!Q);p92WJpyAd=_46X+|Y|1qNue$l`igng$Fug7Hxb(6NcTmk@~Yh^diXt#L=O zxZ*C|;wah#W4OAHUt<2a3kH>rKD2!j%0aX28O94XzfC}PP7H}o*o>L?N3*|nH?_DT z7BDyBrGSq<5qB!u-{7Mm?nmpg?hkW83h|6ns)}+CMF$4D>29m^fkY9E36lecxn#{T{zasfiw;0aSXTNztJYaP=9p@+3#){DV{6{K7&}(U`_=I(2k9% ziw*pEM~=8^oWL^qN+E}#F`uoYgDiL`8006q7nv!Ult42;n-^O8S1|IIxR}Tg&{$+P zu*Zm2V;!XjC|Uy%3UCOVyPv9fnsU?GCro@6@21ueetSKAGMdqK76H56M#;iDzi*ZJ zyG9vr{}O|#V67pcOgf6VukY4>5@j>+XM5of@G)r1pX!2nj=zoOVBqiCQmRe-Vn@5b zO87org8BdRC5t@zDN+`03hU{GsEIog_NV{!INo^2_?Wt5sFo0Iw!W}4cc{j6J{azT zGs?wn^^s(hkr1p?ssJ)7G+d{+4?+xsE#R;TDhR;B7Vsb+|NF32zh)>fWMv@@p(}haGT@U4vVr_KoleFxxUI|-dQ?DifEYi|}A9EsJ!DDJth%t^K^B*qp-6@z) zw>j;od;C`w-nkYmr#^P>>L)3SWryJa=~|Vpf-%A{Xh_B;#@>#EDTE@_Kc(k22CbN_ za5Z?}I~o@^kI|04QzMm%b3*@%)SpjP+`|KX=PMDgAG+xE(TjXAPed5JCkOd6quDamUXDRcI!ekhp$jpum zS}nlKd`0{*F{-+_PH3^TC@|Acmxro{OnjmU%O=1|ojc+HVO;M-RV(>-aqntt>-E*X zQcgitdcR&$thkVIbOqE7i3wwq=e2O&(vqXaAN{xwD`N@>|i}O9%3vojzFJq z{&Zhd1p7af`~R4}o00z~-Uyll4-b)$r-Qd(W-u(6=|9xX|Cqi=1ZJga1&Q|6>y6gBHU0uXumL|LEd+ynh+U35^Zc^q!pf0=FwK9v6}{?$$RKe{CTZw}7?r`i8a-2YA7|GjblAD`-1zhto) z8~J=+3Sa~vB8w+EaGlQ{9TtyvW5?NLbB(}?ptpnfI_X}Qysx-p`HodSy0;2Mw#U{n z9#62&68A=nZ=#aN`{6hHTl1hqa$gN502-1r5T`M;-);*qCT&J%r#e)P zuPz*Om*`LdQ(|rDOw+VUZXM|z1{ZA&YeGaFgYd}bOUp(Rf|Fm;Q4y0y7F%q6Jydbk zEv7Si%NWUj@C_VWo(Pm7(J_rTXppD;5P>1iU%$~F5)#*$`y6sm8Di$B9AKmQas5tS z9RQ@30MZ^XPBiDRH4pu98|ma#Ro5EA_7j6V^^`NAszI@H%T3YyesmV(_)B?z)s$x> zgtF~~ECBZA9oyvTZ46bAR|et1vdxM7*w31s3n?`sR0%jGW?WZ^qm)2cJ_epM>YD*-1F^ddJYdPIfpF^w!vTWT9>J0KtJ z&Y1rZRMC8!x>jd0KMPiIy?V>1Sxw!qL#t6<6TBXuIC`1Fun;I?fwH|w!CuYrL0V2MCwg+l#230QGE&8Bqv^;K{K6}L|$SNDYqW!ym)+v(9k_Cl3MOB zj?@8c#r}fUnyK^pq6DuSayr*v?nDG6A}xUQlV%MAUoiS)l!=L>QingIxdyxGMIPy+wR^BVDphB zp9J8pJm`C+Nt2>rC_f-^P(g7memiIwaOf*fs&Q&`0?d9VYlcqC9ktRkk4T5hcb=eg zlnpTA2VYP1!0V`Qeo{c~YSgQjAJ_h^PIX;+BZEHxOVli?DM`tki!Vpl*`+``%i9Fl z6e`FR{ti&J2+(h=KlkZu&mdTX;Se|25Tl`w+f{>{Rfen^-vOK0PdjpzXR50N3xLYV zj7awG?4H=|EMHgH8Gx(Lpn7~BP{R_GL0jM|DLxPWVY!yaXI^_*KB`e1w z{4_nyUOQR`S~~*%5U4PJJa=AKToYxJb17RiRx-(x9R-ye9re3%;0H|u-Tn={C-gEg z-7OOy`Tj0`;lRwYSuZgfeAR@$}te#>m` zGPQ@qFC0jKc+~(U98J|Er)1I4=gfN~H)9u!^CQx3dd06X7>-VzR?x$twz^}MqPtdNK)gIT47sx>eBH2=}Q8V`_N3{@fJIfG-mAX0Bsd%5Pr~K;b+6uO5xN zp1Rte*YvLoTIgY&jsnmnv6OwMK5?pk&ZJFtEki+b+6ZJ|d)2hq?$@%)dI@AIgKk?mD8|k@gxCHOirZ zw?$%)O%SwHJlX?vVbHQ+s+2oOgM$NXIx+cFeU;*hUoX4`rAhR_kz9ugi$4cGvN5F; zK|0ZWoz9_Ze{qMQl>g`_oSXv{u>{LgGpq3`Dq-35D$`5aW$9JvV5E+|oxe|OHdjYc z$h9d^95L(DfA>dY!0k(m(EixM?A(NQIoD0lpa^sf-k5k$4BZ$df7+WDE_!{4swk=w z`LIAvSPy+!=CsVl(^{u=&e~KnFxJC-;b6G z3alK;la&p}D}euHx3uqfqsHn7AYIV#3Jj{K7D~LfaAS|oRxuaHdH}gTBh7I_n0)z0 zU>04SAApf990Bi1n-Fj?(KT_H*whIrXBS^b!N+NbMmvDeN^Ub`o6Pj|k`;CQK~A0A zE9PXP8&5jktihMMi0pF+b*&W9yb1L1{&aW z9qau0^E69JnHk0y&}QXB+$#+Qi(=l$#wO`#lo2=RQ?O^`71#BH&#%Xip2bIgK1)iBBS7-R0>jsy^=)hc7ixMp3;Sug*PAuyapCYHM{E7&7hHSidmvjzogj+Ru*TQRKd|_N_3c zx25B*rR~Cx-^aQtEbz36cVHueh2sBE@Phz>6aVqK&iszYW|X$`T-Fmqcu^?Ih_pV% zA_u0R`@kZ`^-XNe8_fU9K%ccn7xn09Ro4G2HIH5wS;X=~mD_kJH|cy|7NX=kk`5Jz z3)N&%z*zgTWY{f5*_?w2+^{BVzNWT2OvxjR88Qek#u`J5VXF)HXVzj-JxODYfa6B< z?bVZ|-AsW)z1LtS4ijPP!ATyUwk3-a@h&0kz=^_2aKU8!bNYPvW?CZ8|M(-{-)pLB z@u6r%)GHe`qsSPDv9%Qww37PACws5RG%E)_EeYME%1aiYN{e;G;B5F$`W|2f`ovsC zB~+ptV`6zS@0pY<23V;J-`9;9%N591TK8a75wBFB37nLOWf$rtq_X&8T~)(p!Hv;% z%}>N&h<$bqk@2d4d4ETUuksMIjv5(bfyJ*vbG3mhT;2OdcXh3B#AywO;j1=?+xv#GktQGNrcQBju>YiuuAU1LKxY0S5(YAQ&*%fatA zl{paxXf*;13c4*6jdMu6IGtQ%+3s@>s{+3cmrZ<;oJzb=RoF(}>>1o-T8EpXRH@N@ zCOJXp#6b`lq8B*kt?N=4*)QT}Hc{wxnV@4NUsG#^1PIi!-;055PgVUGgDiOY^m9|F zKU0@X(P}dwr{$r?Vb4OSt;r`AwS!ihBiKL}7p) z&=sk%wB8V*Nqy8xr&gxV6eXaz0eC-KMNOHI~lEf=d}CN)~D2ce^T3w39N*4`a_NY;Xal z#upJ(qla88M#qW6F`AanA-6~C#;n_p0Xw8j<6L#VoH6@|e|#Oh51WjF`kn`{!N?&* zZV*5{RMs9*k|dw8yON;2LtVx!IB;DsYNQxdKU;I{voK&yBsTBYLognCRo2kvH3Guu z(6~BTd1J8?)Tl7Z4bXJuZW)aS7^kv%B*-R4U=Xke`oPYyN%(92wIcvu4XxDO zs;2aV;TnfBHPX<_S6I5xEkjY1seAzsXGfld!mNuQ@Q_GR1f!;uU8<27j~?G~OrpM% z!xhb^`wJQYh4h{M{7FsqqgDO(lJY+VZ6f$I0K}KhAq# z2`mCN!&C^7mB)1R`&YWe0VHj`{zn_>F8D1Dm)j?};XjSkn|9EeMlS20aK8E_4Crd3 z;y|q#a1INX`u@E%wVsXbNyyUv?UF>!t{i0j4%A@v5#?po+E}xN3CLzMRAkN~@8>SO zj<(STg&Z)B2>f+ZU23VNa!H0S^0Y~MK=9H)QoAtqMnVcy3H?hUf#bu;l)%1Xq;BjO zAW~Utu2l0@)h{>%!yB^p}6Co`|%Rw3$Q zUCol0m534NhglvzJri2E!^$r#94oBFD*|az`obYy@gAM$793>@(u>R$hm~vbg{l-& zc*b3!?B@N|P$k-jKe-tp|E#4cp(|#4stFTzYU0J(xY#y}(ueCe?bk`aWUZv50zBSV zM@IndYM~VcEV+CFB#c|2(W-je>L!Uz;w*e$cE7ryp>#*?-fh4Ut@PJOE!BzSXQ z2D@{dl=)QY^pAlOT0-8#bas0!ET|{yVYgYyA_2{$%NyM)25($9>KnvE1+1;P9~9Bj zbzKkiN%Kx9(OPj>R)Tml06Jtl0clY_`g0B5Sa*f^tD((Gr~J#N!Jv86BkXJHFkw?<7I7^yLHX(y4?96S36HR6c*Hz8Sitha^DGaY^f)*SpK%>&L0NTM7@xg zf+;H`07elwPdC@t=eY`mSj9#R+iZ+l-V|1Z1HPBA8Oh<8=m~XazOci5oZS45lfV`J zL(9aQN!2J}u8hJwj!rerd6E;-xe^2NamSKy5(Q8+HP}XD8=KSxbI#3xKUIyb`-$cHeI;NE?t^pPENC0W5ONX&B@w^PhtU6Ez$1jd2zC$ z3mf|E?;YwVi+2Y3ng&+>SGG}y*aCBcBl=}9Cux+QmM9iF8d06^pl&^dt>i@ZGf2O# zyiNH?!4fiXMv8Mkl%}mwlTH<;B{`d5=PdSz(T+){E!Phf{SSA zw&T=E_)oM9>`pZA++qrbYKjkH%a*2N864#)znu!EzfkAeq-B&~^qE!ksJDwI*)vz` z+&*{q2DuT_Tf>+sBFKgEVMCDByao~w7$cl`Wx|8FHFsJ2%)heP#`=?q33MLeBD_C!hzPXPC+<3w^LDPQ2 zO2I_?s+a_?7$ts*;a#r>Lx+XOMF_{X`wKTqOW~Y;De$IU}Wr40ZfvKM9}gX zr(ZfUz_IB4b_?V63pUmXv=1MC$|IkR#+ZTycD>sXCAO=Gux7)>Fbyh{+TwJnUKHw) zsEza3Z_wT;(Xj}^5yZI13YWp<$U*jr2B}n7AT<5Ko+za|bIgR34+QHC9p*#UJj2$4 zX-%hbL=G*sv!843>aE3BIvAsSJ^ zd3G!as-cSUKxs0b_EcaR6#x_Y4*ep)`ZHaJ1I>gzH-gUVNfdWx<-s}46h}oqIeZZ@ zy)4ai2eZ+X33jU>BP~Dcn`y%KUC;g`vw?BCKM>t?1z)l^TKZ+dK<4e^!0FIrZ!0K| zm1ev6D~d-AwFoJ{1PFn?PkQc~DKXQgsOE^@68NF97BRgeQ#Ho-S_a=x93?PS(tAakYAmI$F+Ffvgxq7C}`3Lgh2s*p{y z$jT8FC$lJQ)SvWvA#a};mhcaB0$a5F19r6}TUWc*u&%{9;Z$Q(ybaYU6PcB_0<61Y z;zQ7jvl~8C$%nOhok)n7nb@h@Un;qMz=0&fUd=kj!x`heqr6Sk8Ok#=H1yI)Be>h$ccimtk1lq919`~}zF$REW~ zu>uL=3F0x;33QJNk9_@QdfA2K;EV`kws}LzyamE$+Sc@&kn@D*mt70q8kRxNe1=PJIEzOnyJAIe z{lH=Jhu@4<3thK|RiWc0T5&{mAx1iQdq8iM%13o}APM2@?zbNFd1`67EODy%!TfsK zJIUlYK@#swn;J9*oNeUVW=QRR$sm^dJvNAu#0>KEG0yWbG_!B??9f)U zIVR9=e(HxZiDa*lTKx;bwRy_u&vA@OCV^?XF5IYWaUMl={b1RjTDUS`cxLm8wjr;; zC@1|B66yK0R+3X=JD5amJLS;ihPy~dq*Rx5RApCv6caLQ;Q^U2zQ0B;%7}KliwV7a z+e6_wAxpIJqyRQH#)CDr1j0M7@HaJ37t~14r2t!3^b9I1{^U0H7*tSPu zi~-)yqhacwGG~8CobMJ(r355`dhHsAtQ@D?Vz!$)@fid6zT;eRk&^ia871B*U~~&E zzpr=1SmIbw%YYEVYtBn^&9>GEJf%V>?hB5FU*#q#i!?+9N?S!%GweK&X@E?bQj@4 zIcR08C@`V=v6M^MMm^MX3-e_O=z^Ls0kPWANn@^|G}c)MyX;(Q*XhRzfpm?WmxaA5H`+7#xuuXUF1K~V(h~i){dO$?< z&!?jus=sGb+fy{i)h?juS0Ea^qnQ1w`t8R3C!Q$v|&wOgTvKx+$) z&<^QRQe|`yor-09|E1$VyhgSmw^p!(j3Hx5UQJS?(A%thaOv$aADsobH(yd$?CJ@q zArxD=Wi4JKIJEh?AGvUaYa~?amkg7O%Q4yV{H!uE=ZZ7mrYfcnWkvCpsUxp8g7d$_ z6Z^&oX(~MBLMjSYW-yacWyt7D8qC#F=c#Jm#PIdh2&!Eorhoy<$p3_DwCJ%8@o92J ztjinndEJkZ0#+xj#mfEde1MDQ7)H5tr(f?N`l+B8P+q<)sxASg<=HQuWS!I<(2}O5IY)y*X4lVqdD&L%jh;f7Ejw*$T7d6h}Xy&$t9sD=C zGf(VwRhe^I(nk|#jyl)#lLb}(K(!#u4TQmr#M#(|2^rWp;rRD#;b_!aV@-s`S5c|+ z2Co#uhw(iGyOo7^KSePj$rizwRt6-a0b@Cwi_McmC=P5*`E(y^c<^(V5ba5#3~g5Y z!BP-jUoEjxu@Vno40E8v;o4nP2Qd-g0=ng2EaOQ;e^yx2Isy0RoZO$EO+TiH3i=Ik z^oQY(%-x4#Dz%`GLrhI%%dKUV?CSFqTNHjx^0}^~gXcIKc{;RW4=l!9c{D zQ%&7P84T#!GM2~0LI2jD4;-Owtd;pII?%o_+gjDY(TY}1UcF@}H;R0(CiMiTuO0@3l9HiCA>A67o(($$vUKH}I_d${9yg?p`wiEaBefANH>R+ZX zJl2x_sJx!UYy_Ai(h$U>(TWw5GM>MT?v zgaDw~+d*-|X`oWl2b76P)WY*s{KJO<~OnSR{cdO zb%sm(2)vT!GD)Nd<40|3VH;(ceIpxoj24f;*uwqrllL#rGrS zO2DlP-Lz4uM+97SLhq6zN(al3YmjI~`;# zMS~PF9G59AC+A390bY=h`N@fuC>q7kkFt?Bbi&8@bd{=g_urcL9lsb^hkD!8fO3G~Tr zx-#yQ39hrsq(D?uE7wQ9F4BWqD^#i`h52Qv>-ah?&U_*yOKzw)asFb(kp@=&%>Lj3 zX+(QfuP@2|hvfB#{d+*!JV;nxIY+dISPRReV4oiRS57rPkt1ZQGaH5Hi|<*o+@G?2m40 znPaNN-o3y%$-mQS4Kr=QDgZ0u3U-rYzE6oJNA{{gUTvL7zRj2?%8{)hyS8iQ#I^0f zen5Enb{|M-CBCWxsNR8DG|(=fCV+{9&bT>pP`!tSgU%5TmLc3!>?|hj8|pq`*p9GK z{JIc#h2fxdnZqx%-`_M~{N@(@w{r3e!O}I1WXQ5*YAGD6QFWurcH@f?zK1jd$=~zr z@YUujdh4D4_+caz&zB1tXlCpH*XBK2d}FRwgS-WGmFmc^)%36=*o5fw^`k7pjwswx z$0&qbr;)@y4ZN)}xi$l&wd@OwGa*tSy$3=>0S(KM*G9l^?m*}L!E25E1u`aJ63D|I^cnGek$Ivfv zU%wcstx2t15D^VPbf(QeErwaK z25t^MC(*cq{BQ}zEYRh*L%4@ZK_tP%kmN}B51mMVX}u?r9+-8uDJ44dnqa&q2_Kk; z9>}ga4U}tex72uxGe8^cT$OwJhxp1%;*mhX&$WN^mnxsqOW|)QbMg*R@#M?_N2%R0 zSg~@JQ-z1YZP-?#X;$PRiB_Z_OyY-QD+TX+ z#|YdY609wa-0|3`@q$hQ>1Gt(#^nkF>HDlQ)Z$R*uQwui)RO(x~rg8}5=i$tr0Up0#O|X?ffqiEx7WnLYHo zB|VKr7#cW{{@~c#{^5BR>Er7!o2o1^X0vM;94o#<;qEj$&=`{k&P`>atLKc(&%Z8s z!y5~Pw+)Jm7l?^0+Qa{;=+Y3%lA9lXrj<<~J2<(5EbEcsq(e%67egpqEqEsJDYd+x zJo-tt2@}V0jWpJ)(3!L?{%=Hxfnu2LsD$>$Wg{F%g8K@*TbK*e*OuCwa72$!mgmyh ze8CN5Z$p~?YUA3ez)xdAC`7%0TA6LP)@818ZZC97I*5Qv(^@BLl&PJ$q?HE4N?Y!7je zdzDg0$DsVV3uh!B`cO;HVaeNfjNYMu#szaC6k`4NcilpGMXZ6?AV2OhkW8m7x4&gJ zbf==gH91CdhQytp&%MzOQxFQX&ht(FiA~PCdHsYfHcr23yRq`o#@a?4U_K%K$cP@W zO|GwlT3?qO+i}&JGxolPN!TfQL6~jFFn274=R2F+ZzUio{78q>);lo(WmX3vn2@Rn zY>l^vz{`TOX|&b(Niw(_cw8+ah5cLS@A6?A9Vn7WlZ`NN@-4LZRNN4G2xG8BX!_6} z_t;0|_=D4VoD7LEtbFWc@-_j4dHDQ}P+#+9GAVUkahwzme&$W?^GXz`VE!}ehKK}H zoUQnpiHA?V?Ic*#pu@i=YLXlHVeE4V<>Jb?ONOx-#^l!UV=wJ`ro%kGEjh`#Yd^zK zJAo6{pM%x$cm!JpGKAf#o>=4qAY8p=qleuHW+fxCi`Fj)H!SZAA?G!%)0C%>&_2=+ zap;fE5mWY_P8KhIJJcz4cRf|bK5na2EZ{zp&U-s%)4M7GG%DuX);rgVpA(r>;cN~E zsMmZf+qw_E^D)A^%%Ae01pIaw--sPSX<+AHq4Cr%)JG-9K`oZ~7xkz3WU zN3dv~^ANh7@b*P&`*(b$!jkiPo^vG2EYme_8AqBkiNW5d1ooHW~5{&jX%O^YNU- zI!LbR7_`3`1vvu@3G|xS7-26kE*4R1v+s+{!2@P>8|HDKi0=7na>cD;YX`mv6;vcqQMovRh@u<@#4L;Eax|nbd(Y+znua#8f(E}U_ z{35aHiXQz19HiHM&gMsN$5(2?7i8mwdm20+ddJxza>mx@aBN{BFzs5(I8=LA9b=WvuS7yci7xYv(v5Na@6sU;J+9$e_N? z1qC&T<4!tkVap1WO=trzWk(?RlY@0wCpOJ==Y8NtkG`!A_x%nxs``eIHH=qi2s6Qw zjqyl842fc68Jrq(xeuoTW5(sbp_u7y^tnk*f#L@|%lK2}2LjqESI>F;(RG2VOWp=0 z&s|Vd%OJjysaniiOqbXy2#mNdHg}syVkzdo5;g=k6v3e8)nvNmdTUTSeoWxN-Jh;a zfwdB{G+~b+;^a||7-h9!LlC*6_f&0nN4hFK^204}2$K%xl5HUa*Di)Qp)R4M1YA`F zN33ASZf!ND0H}l{46tlpA>QY8)A6>Y6#Mwfe_)+QZNEz|`>ExxbFNl4aXXJ%^U)x0 zOdnRoRNe*8XEO;a>@yY^?hJx-t-C3j<71pKHPBphx?+@4_(N==Ol4`M(dp4{f{Gc^d=*ARDO>>mEw$jC#Qn>2IegVvVT1W++M zNoNsj3r(@9{;SZ@8X8SfrQGeyd$MiM32XhvTgDtwC-%R-QWTQtj&dkH!2?umiDg=d zYMkXiu+b!!b=OU;zW0*VISbOy6diHM=&tmeIF!7l4M=@yh{yX zO-*U70LXWhhBB$Aj2kRJoEfujylAF-h#;=CLPcLV)vOlOa+5ip|zH|YlMX)l4aNB}Z2Fr@s z{!uTNQD7R}(uMY^v~eqRzR_S#U=V^bgxy6z_~LHk;=&zUnz!}ABcgMo{2&Cvg+J;g zn!yw2@Sda+qt!-2!j%EwWZ*d14Z=u<8DbjQdXq-NY9?r3r8-LVidegWhvzL|Y(4m> zV8~~B6L;b;$k~Q{_UMu=f7S|6|3lav&@Oj;#!AOl`nRuZ6Q!**Iy_NLj|Udd)a56-Nz>MO*2wQNcG3ju zDB6Xn&^C$Jj3Ax!^G`q4c&&dc<5W`6BOct2G7lp>zDn)k>koj6-6S>!joArPO~)`> zacwK@b5P^fFo2j!?e{+rJg$8?O{Xo5=yCcUKjA_9*C90W8j%r2Gr6&ceZ@+-$s*NI zJ>7qt9gmQ{0O9rpz~0IpS^Ps?{Hs|{wFZel1OnG^I?*!;-J(Hsu>jFPy1hzhJ(~dv z8!;ZF554h7gtU{5v7wluz&wq$!+xT|Gbly61R^JMOvWr&J-ZJHGIN4+au|~l#Uki3 zLnyNW8dQGg$uP2VS}Nx{LfB}XcBO4lmcb-#&WT)GYbpDtx;y2;f^?PP;Vj&5RcS}I zzLalNLm-F;zzTu?My)gs59^;vERXsNZ&G0@h@2Rcyoinn@US|DEGJuIV0pa5JfK#I z-y)f^8_ZkyQ1N$BY%pfRLNN`JAp^r9k5={rRY?~32>7m$;dUv^)zl_uvvfrB$*qE``-H)M#ExTH%#rXm9LfMUJx+B;NpFw2 zZkwmI3AbN=N1 z0R_j3PbLHA_FwRHATwS)6NXoR(Wr1uIY-hhV3fMe11p7(SbYa`;~-PGq?H;Oc$pJs zFtVr1dtfcPLCQ*BFf4Jm`mrPoLqO{1%Eh8e)4n}4*MNkF|HjFlo1}H;i01=qM?s4plmF|fqZF3V2@5fIn`RbhEa+Un$3jjYrctE- zBJl^A;j|C>6dLDU@zNXIY#kf`D;@Cpr%PM z?J9=xXJ*Lr)a)3n$|e>WBu-GT4?X)KEZKZdaJV8X`7WKU`VQkkuo6j-2DE`l{=ueiHof^ENpibM@w07iQ$|_!6MTr&_N9H=gsr`ZcSlwR~ z;rJh)k<)5`Z12X1#oqP{HNTcF=|F*fD<$SskYLw7+QtJ+#b4Fx_Ur1z1+*G3vfy4( zrZzb3j(ihl_&WYw~9 zQ$oE3A1u$Peg`+~y026i6Y46$5~&dcGFS%}%Lh04Wim8I4d#qqz--UoGT+WeYZbcG zMCrg|QmhPC-sru`$?cRuCq6=zUi=VkF=tIib?!AD-u~^hxpYB6A|Aasf-szC zw!Vcmg~_I@az@=AS|UlME|b}>M?bu8MpF%~oqzRBGxoQO^RiyT>H8Be2Tn-g+Bgd7 zU21ru?q41r`$FXs7o0QLrrH=IY2)@wAGzG|p@GswasGh6`p?v*4JxNC0=`7=Mrwmu|3RsymmMy*FPqf38Y^xA5n`qEkClK$k_jtvw` zpOt2jh3tIM!qP;qsc&s&SEsYsUMok>jJ?vSZ~k!4Yx6CB)M4A4%~b=YG>ks5Q&5|3 z^)VLCV>ZQs%;fmmJDJ=?+qYvt~SlCFqhD78V!NI3HkF-z%=ZUG1}C0y8QQ2uNS}W3=eCq zNB}OXj=U>ToU1U2c&Tr&3(8RDx229yos)?hL3Ki5RJ?4$SGe!-V7RP&a0{*5dexs6 z&lTxA`Bt~GSYz7^awGrGB~U`Rd}8|uE+$zRIi~pWW*MgpbJnq+Z1?>WD-PmFY>`}r zMJi(U6spbT@$P1pLf_q5qiTF%?8RHdwzl9CZn$_47o8hZl0%IK~dWrF9&pOQ<-Kb4`=TH2| zVDg(-h@k1neqxweL5}W;BC~}ndI`pI4I}*(@oZu3JCS2hpY(b|6;D!fmhkjM6E)X>OUCK{L zP+t08Sc7)FAe;PGYNk_EqCg?pHaMWy)<4+5nQ_g+-(~=polnk`eE(i!ak`FyDP6un!;0Cl z;ybLzD}te4v}UvQ_=P5=^$~M;2Tw1)rq7wjl;c44!si=eEYK&N#D}QF{Hi|>bpqh! z9R%DZVe9N&GGmo76$A=*VGjdkuDwHMw=g=kph~#D65|kCh;@$AG%BsEn&f?s=rWPJ zA@M%6O)x8YtqfE9>`2$AGd8n~9n72zl*`Ycp=FR?enB1Tg`u)LGDrW2_#rcb3a__iK`N&HgOO zX#kELvioILMKevbykH#lq;F5g(>AYpak9h{;--2*Zy9IA3;FCFoc0gSK{~=UE8cEQ z0+tX(SP|;z+diGR1_K@~?Z0X-L(viu^`l(8gXo!OiJpY~E9I~qYk}_kEL=hN3_-kw zm=W>)-zv{x6?<qC&ExMKD{`=`gD|gt$@r1%;WwR4Po7w zpNZ5z#MMo#$`}E5*mP~aYO_}0r=pd!`{89~Jau(RSIO=4l<|EDcvwYy-{uhBP1A_T z$L&MPEX1u&>ZLGp-+4K~HT>YcggT%vCNVOhEu#uo?dgJ~^Hp3WI~|ulO}_qdv?lbj zbr@$XA=LEU#VyD982VbbfIUwIRH#6>rQL6gcQfiuO?N2O@`GO92J>fZ>`)A`AsIBH zmQPraLagnRQdK`a{D3o;%f|lugi35m{^)(ohNZcO-dRiczf-7jA>PnhnUu3MlAAv( z%_j0XF15uw#vO+>|0P9)SSR5thHg33qYRKbnze10q3mraB#&pa(kt@Wc?*C;vJNKy zwp&$2L`WFC>k7DC!+hC5kGozaO&Kl?`v>8`671w7E~E0Ak;DK6IwwugXl&C}6^c^c zJt?Z~geoNfMzCIy<6-38l%4Dk;Eelywg}s4HncdRCuz;eQc^fh=`t%Y9+qN zB)_ezW@-CZ&v|N$!vlpL&UGRYyyHOmAqjQ%FhwA$U6N?Zbt9SMu*TKd(CXml*uyvV z0Kc9ZkPxYc&WRa)Stn5qb$N>I^a?3;u-K&B-`mAzz?c!(N1rE)4N-zAAr*}<$YAUs zB7%x(J~O^8P-zNkY^&NULC5ELRx)DED|dX7+aTd=kCkLois01=*M)>dHQaZ`G;vc zGzkP1RGU1ok`ffDQ1lfUfE6Ex&@b2evhFCNt_Fb36P(TV*zM2W^!GH2ORh5h8-1=R z00TWIG58Vz4?7=vK9ie0%o5@AwV5u8odQfcCW0@ow}pkLCDr%@v>*G}a$As^DP+Ud zE0S^Zeska`Zu`hN)oGZG_7CPx?al$tGtkHKk|%c>IfPzyt#^!VoM+9M^%2?#K@fyUfpH);&r&yC*o1{oVBBg&zSHT<))pkgrmmRw1QqM5a$Ob`tfT z9&z8)R*8(Nu+~cUlzjh`^tm1_MMHf(k7VE7!LFo5Se39XPZ{vWK(l&=A>p(iwU!Ak zJ59O<4+zq3Q^}{BEpF5g3& z2R``8`oeuUkG=Q9NtyN}4TG(VVbCwQR7&zJrdW8-Wje&MsnXWqXkZZ4k)AY0n2Pan zRcg=29A2vmsX+dLRS=Oz;TiMEn={|(2KIiyjh%EVSgDEN7rOcnr2KK(a<&k z&lrU6=Y8^(yaGqw_~~#d>ItVdTwa_cNFEsu?o;eIoWD5AJc78`4S8AQUGLt8S}&MC zJMd>`sY9zmBaQoN@NwuDnq-Arq2*qn>h9L!9hMJ$InbYc5+dRJR^X!)El0?_6Y#O5 z-}Pgdhep2P{k$CK*hT}z#w^8BofFm)&r5a}R{MK@53e~VJPPkH_|!3~l8_kbmpgj3 z{9)B!T&l`(V4^#{JVLqk6Fh8u(n{*RR~es>fwQ*QwR*+GpvLpe+E?%R8wk~uu5dfrG}`g9g`Nhss|U#MQ@E}^k6ko zcLxna1>P=dm*LS}Y(yf`G2>5PXy=id^^c4a6>|D^NKnBuA zY+&RL=nANFmDC=35+!A+8^>Zrhw{)uIj$t3R#SOI;cQOjRodj3Mj$+kI{WlYG^xpt zJFNdM8oZPvxII44qU4v+banTMBH{j@cmnl5MxD7r0*{0jz{tixHfa2AVIP=d^WZN2o1)I@kDv^l7Mbi0L~f={ws1T#K&SP3m|32C~3UTqhAGwFG0KcAg8a`E*ddhtZJGDX2m zTP}nxg8uSurdB-HXA5orZ}OB--PENbfyGUM0X?BkbtQ-C@`2poH6h0-rG;}S*txeZ zTKGqPp0akLFu^0ri7MMawE$F~xf2b!!8D7}R{!8|FmY03oJ9;k*^C;dp8HM!y>u|Q zZ*i;bj}PUPZr*LhEj8;~*m&=!&wcojjAA+51&-)Bk1$t%Td+7=_z+!4TtDD06mBx6iw=%2W+P#xiPWB`qeBo>B5hGe$)~Gfb8bvR-d&V6zhG9O*-2UL9H~!z=CPV+isaK1@V@Yt zP6A96BwC2%YiiTOgt*701SPKLN7L;wxlG>^udY&V21G+BtI^^ZC&~YElS zmf8R~mKUHu{B5WvbB`O~AXBcSfH>ALMs1|Y`Vzc)O!`QPFz3qN(Ew@nru#uE(he&S0K@&EKy8m`xvfS1L$euP2>l0Y9^sV z=sW3)WCVgq!w=GTjHrF-%6_4C)wmI|gxOQOjfEWiKC69D1t#{A0w#lDd10`|H-Ahv zXZJp%V4X(ZsRQ-YAK5BfJP#C6;)X^Mzs)k?^HA#niUE%Y6n6_nLaeMgUns2)^vX;) z%!FYQ2q8fu55-gxjH;>}7oM=E&`Gi0hKmgEWtt1UB@kUz`4t5RapBEs&g^Ak*Vb+G z5(sjvP2Ilyrl_N(aBy~0s#5GHd7F4?*(mS?cm71OZy8)rH`FTV~v?K{BFt$83j?8IKf;%S(qxY!zc2{sG{Frrq+x)>@nv4x{UQJnxu6AB?7Y1gA~^>reXn;oP02X zjE&@IlQ1~Ygz`|^Lo^C=sdlO~ptxEp4%tNX;#2w^W$`;5E@2oWx3gm`?sw87T)U~k zn!I{ArHM3VGrpC-R8NyzA{gwi%cL;Bbhg}B${G-f@KUGOC#Uz>G(UgBKi8m_iKWjR zdKVn#MYZ`bv!c3{sHupbv)Z9vaHE!(+z74d)F?Q#6SFE0=(L7oIu1%o#h-i?TkSSo z{SoPbV7QDQir(IQ!^&v;_6K%s2PGUPA&4Wub}iE!>i^3qnyf69Vxa*6>me8 zTJ%ZAjWroFX{MprTH~>#ie9c{^5#sjm;}h&U#y(b>-lswggQB ziEOn;mN<%0dkl-xMqCyzfi(e&^GM}6A_YGaYzwH=Bs17h}@#HDXAx?u> zZC_w3f|>>6wO3Fr9B(*5LdgfUukAIVF~+^u#tAerrj;hqwF%PnL7oV?1@}AlU4j;d z$*Et0c`{iH3UZ$)?0PZ*PlKIvLyW$>U`*=n0a)*19lc^L!> zym>!kz$^2@Qt#YiepyT3elU{4%`m9OB+SGfE92`AA9JIqK#^igFsJU4LNeZ{*R}WoAX@Ir!BBm%e|r zOKvBiW~saPuMFI&M7TGo`bD&5v?Tv6rL-30r_dIe79iCl#6y#1fX`4XLY>Qg#CyzML>g+(ixWQc5cX54Db<;_ZscpgLoX24k!GJ2 zbnu5i`k~-G>p@-TcOdneoVQD-YK`xNWA+6y@7nz+xXq*8p~x z><=UD-E+4pRS=!&coOx$On?_&E$CN3%{<)5=Rh9tklRhng>0Ry{R7>A_-2P#ju2mH zFao?sA3~r7CfadgA_5(Q8dHgs4^t@oOpeCUC5{Z6b9fOccqhl+pn6 zCbEw=wm}%9EG`hH-z5^BF7a#Hmu~3Z)KhtgevpKK&OZFJ*%ny`??75hy}dO;rTreQ zx6wg`tHv}fEUmGL{fzm_NN;Ytp554DV<@DW###(4&(B&Bit(Q$_V!sOmUfBzU-Q4p zK`~@j(|D4F=h^GkTP&^|%N9J@pHJqp;ziH%@3RSlFNRaZP#m8Z-BML`{r&_f~i`JY+Q#1gtB&ZP)Y! zhLEyb9E!OFO3mL^6MM|(2EyL}^muEG9p3Zzc1m(#PJ+=YiRsy?b^0#aB%Uz<+PtTt z#2iK!HBce*Q6!twH7Xm^!NI@LV5kQio)7?4o;nJXd^wr@ItI!Ud~29c%pK$zSb899Wi- zQ~shPODu^^_Cs3-)9Ul>mK+d{z+5nffV<)S!_Bp>O|!o6Ed4oj%&#W-1>^Wvd{nY8IW#f(K%e~| z+w^5bd3l~$SDqEj&ji+g0-)h)qvTLfTyc!ihgW%ME0jQzZuQsZ>cHV0%O9d#CgMfeZ3D#Q?V5BDPP$v7qR$G_vSiS1(ucRC|aaxF*H z*}ZvJjbnH1c3RCE*_%FETV+$|J||B!5*YngwwTtUECuu*NHTh;IqGkMSHu;`EB<37*4e#JEKq8Ay3`Ia)IgY2f8^gc+_3<{FeW^~(Wt%6 zP^4xl{qtNH_!y#PwmiGxk{(I~Qi1O;&#wrPBs6`0+=6hRahQO7onmx_U^ffHF#=kKdo zeo>1(6zN2+D|*)d25i`hzSOHab`RNNnO^$4LAUT}q~Y39dSzEG8bJ%|nZ>-yq(h9S zD+}wR7vNCQDs#H!ReD>R|85=lKZvcM821v$-IqXtXeeFfJzpcvG$@W4n0gt?jEdoi6k zS=vZe;cryoZ0SSGu|R{gz8JxBG<(;K5_EGuk#Y8yrYPlRcpu$$4Dz&1ek1=*QxWw2 z+{z^AFEiSx+%X4|+hHJ1J9@{tj?YPxzgVQSCzu~YBDm+uvif9P#ePh&rN^b{#0Y?e9{-sRE<#%n%(MAIna_mgMg(ES;%uJ zo#~AgK6Ec!wvyd=r_G8ZQWpCaOK~sQWItuTfe{;}SDOR8TA;kzYrj2vTzWA<`>88l_Vr5`@2mc?N7Q#A&rQ*hd73E7C|Bw zEfd1JjpPK&pZ6`=B2i-z4-uPq0+3m;whTiuqRSzJ^vlis=-^Ui+$?}(5eb|_?)><goC2TBa zTK_F@cwB>jVK}%`UKEjSVruwPY5aIF0;JKcQ7VQed7Dnp%r&F%PlzQfe*FS-Gv`Bzd`UzE<$pbIQPnx0m$$j8h_Y46#oljoz8 z7g@B_(W<7t8J|>4h4D$yi?o#YYHeL1Do-w1#Y0{*t4`%d(Q^*moP7yB1}QGGyIbt04&FoL)_F9e!> z+AIRR%wR!gZoVw6(=#mnr;X2Cody#Nz#OUSCOs+n`{$x&Bj@505GA7$(xjzl=PF0G zrD2b~^Fn^1N7`*o<#FHUp(@!A$qFXuhjdxL?kR^o#w*;%QROrEjU1jKCuZ{MbUSSx zxA0MB@TghDsSyQTmcTZA<`qXXdFM-($8c{rZF|;iLOxAIGGhQLS?(*b zs5mLEsJJ%P|BSQ8b^WS;SPe0fJB8XT~|hK-epZ$-fZzj#C7(<8?45VSlPv|Vqh4^j)@w0l9MZk>)% zrbUY1m$3Sv74iJ)iaua^vG1I<=yh2v09wRH@AW~OFe%1i1dI2`GHR+n{S^MyJcFnc zW>d884Z<>VO-A7K65B!>dOSO%F6%H3ISzUG-`@*+*YH9#6>a6YQ6AA{+(@vGhFj|J zm#qL)TSJ2Y{Hs&WmZF>C!FH~2iVV>%y^d~!@RO7;h?07L@WxjzMbOglURlUnxC#=| zB(JWVs|`Tq(;FOS5D{iW&Bk3&M`*d4PIc=5J!DB9amBI;Rrt!hv^1@4ETWe8T^O5# zIh~^M;+j6y)^}<#&*)2(b!j1QGV*{mC?HKl)YqM*AmNCb(zN>~d1{5V^Cg`l>8@wA zwXpNYvUg`rC&i#=EiX6CV|@pEu9Bvqj3Hy`?KFa8k78(^tZ=XELv zJ&ND$w++a0riC?AKOk|qH9=dXcxJSgCGRkcWd($?-|taR4r#6k>>4#8&{3d;PhN0r zT@1^^fJSvgW3#C?dxfJdA4$5}NzVRh+hqBfY0w6gFETP1mZy<2?4;jg zSer32{=BSnynI_@wf0fLFSrvLQrJUl#NaPde3taOg;73eikzgL>`!a*JbAK=`kjr3 z?>^(41!CzcfcD*(`ts>60{0OvG_WZ-|0K$J{)yKztbhD z&gQ~z*gTHD=Y2lNlqpZ`=S)7AHxQ`)KJmB^)q0R(v`L<#)N{(nDcv&5L;7I7IF;>L z!5z)6JD#rO(wzwI#X+0UP{SR^gPN%D3Kv@2IH#xgqkmVAIoLvXe@h^As%8&?6B4lt zF13m|(7taN!uvOQ&^vUc7KB?>8I91*YhT0ONM}|I)PQ7k3h}H+YK3 zi;eRw;@oNU)d;aCr9M zjcn`8MKD@Z&{k398eZd~l5EVK18ugoepOxPvVj1e9fm9PqsPGVWne1?D6M;x&`{@hHO9*8E9{`qooE9u$QWm7t9aO(= zJzfcQ<-B*-C40ml)4(nQcRdfu?KFM+QI>!++Ibld--D=Sx#fhTPwVX01_>rb9{ei5CUP^zAD>|7<}e_pdWR5U?_b4|uTIv+y}tJ2 zDD~~h@{gX;la{&yT?UuW^RuFeYV_g?5`FfhCgJ&Yow-I`K9mv8IJS=Fi@TgZ8}UuA zSi1C%d;lZ+CgZW>k7|Bzq=#+FiX!;^grCP{4VbB)8$Ut;TbwXE{--_anxn%e z&w)0_&)uoUNn-Me1eo;ZYIa|A%PJobeh>WL2PZ7c(RS@e%6O{|k_);NIi3~mmcztI z8%J87pZ}JrE>jXrOg@&Bru*}tHV{O}pPl65Lu>BixG>skR5k4Jn0F}ho7Jw0fLU@p zb^89+VjFytE{`j@1?y?voKtb@?3ibf#%Jz-zIwCpJ)d3}E>M^b_(SE_FP8q)E`CqB z1!&s391zz*NA+x~5Ybngr%=fmG3c}{fl?0|V14?-xc|_Wb4F7tzs{^bwzf{EuI#PwZo+ZXy%hlXjHgfE~FfXTw zP)NtHHzVExg$FB$$6LKq*X-@a<;6g@f!=3fT4%pQNPyM->lwinNrEn$sn0N9GO!8+ zIDkjw69)lC%ui;mZDYE8PA)MNO>mg=i^jSS>7lO_A3w7RL`M=u1{q$03l@D>3Du&z5Z9o+xaunNi{HrR8?~14HUgVO%PJQ0yDoHT=QoUXe}Q~w z{AfcItd4AN-Lw3nH@E=i=pMHc$wRIn5C%VcO@0<8aI)x_cv+>Lx!6b4qid=C(f9Lc z38mI>F+(jjZB50+8@8U#ecDP!KDgai;ODTA#u$IZ@_>@r)FUH7Vq(-Bx4DS)%s$lQ z`Cf&cNpv!MdbUze1xds9Z?VZ8-!$m}kl~ohGM>dIC&AeCpsUaspB}8JqONgvwE>Ib zveGTTuRh6X(9BrSpQip^G2MCKRDz#sD}y~BYD>_|zn0BQ@8{SwTb0R#pWWHB@E0>= z_74`Zyxv^H#6Y4wGyvMFFOUel?E4*1l~NxnzLf$Ts*X?-ND>L=E@F-*rPK9(%eA@u zze-&N$9!n9r2{*5@>LWzl`|Gr$}!+i{BHPdH2W`;M+V4CQ%D{4fk~AfeXS|lYY!zx zq@PEpQOqP&-U^s}(kV0pxeQnD_HS9-$px!FgzQ#s{XxCBjNAktmLAlN>Yh{L&vzA(As*~yeN8JyU@N}F+<0ZO)fOd4MepIjv*sro#NcqQ2Bx@0jIkx(h<(RUdu zfy&rZ_t2oRIlP#+M)H_7+vZAon=|E-yD|IpU`ma&S)GbDD_Nnc|TH@Wb0Ho0X5_s}GLLEUZy3``50J1!r z`Wo;G-I-%#QOH-iUBP-6v3i8xP%+y?M-VON%|+Kp*9dz1(QVZ2(AM7Rsc4ZJ)qGCQyPpcIiYdEaOWnUd_Vd!(w2$P(ue+&e7tP8d4V)XY z%itz1Ho_b_C>dPbGaD0d>9GM0?k7a`)p>j2-fMe@=1TOhG=Q&ir^*LUyX)VU((=pg zMz5ToNZcl^di9%_kDI1K)azNz$B^aiyXum=IT@)7y2sYDm6ULVK`8X8)MjTG>buZC zRQ7ChBG{R<&X>Q%dryvmZN>py;v_?<)O(Y~)2Zgpw7&@Il|dN0{BLQ3yoQ95*|65z zENG_tnU|iLm^)Sin9WX>lbPdmy0SPG23An!|^=&RhVIqnZY z1?}iT7Ft_p=yVwHDW0(cLF}r-d7c{RwM`?$O5jOUj-PP^Xtq58_ zmFR9V%GnuM4@$|v4zW!Kjs)L~io1d>n#PJ@;ytt^ERw#b*MK(zK$O+xAY7{&L!L$V zdPgxk2<4)(C7Kgobo0VXkKY+;53Rdc+3tT64p93gwJm=W+7f@kOJ8foX=>cH=N?+K zZ{SJ3sv=vkFOT7v`XDh0_9KBSi2XApNrIk zg`W{!gcY-k$%UqRjCU`Pl-#zC&Q3>A2W_Z|Ne;oP`Zo~~Fn%oJ$Uj3KK(74~GB~no zri$)tKR0eH9$Q?hBDT_sU#mSlyW;CV-#Fb=s5t_=mIT7zXjh)d z2Rlfouhk2YB{05P(KBY$lW@iBRsHg?RIFlt z!%k#byL9G{`Q|jEvyQ)a{T;JTb9!_yTYWLkFR_%wJl>Dw3wqS7)A;$u9^fiQ?w`{w z*`xI5{I{z@v`5;7vBBv#*%>;5)v1p?`SmnkrE2|x+XY9%`eng3=!FkF`r1I1bUme? z3OK)c^KA77(xOfrTtCo1dVhH#%bqgnc{oYq%5cAF)@PFw;3n{Ul~jF9*HK&^ zi!zRS$nVC%i$KeGhS!nw;!mKVpmEIAZ36Adb~vT#{!Mhs zESV@fO9e`pb(C1Q{!xfzdM^Hfgm600?b}lc4=<42!Z9Nn%ku^EDc{>}$DM7A_=5J~ zA#{ECmd|9lo8+hB&pN-ttCf{b;Cqc1^|^Vh69921N80*kLI*DE2_uy+g)bAZQg zLf*{CpMNO365i{fSx=1MkCWVn=lxkw-;*bgzYu}&G??F|`2XEoLwf=(G~VGaFZnr1 zvatZWFs(Z`?IIKr6wzC1o7)c?2WTc|C_fRz-hU`4JKc-U;jmd|xsOevki?W*qry(s~hEjxccv}g+ zql-F>`q|TMCqnngKe>R(n4LMKksg#55#&9dl@e42O)dt=t~i@S=fsj(EC=TS-gcuC zjs(}5HCe97M#2ynu_I?V@+pLy4&n+GZvh#N@y^OMg53l;9+S|Rnn=Cq>=6+l(W&9b z%zC+;DM|M35?R)A(yOW7TOPY=>MuSAFA<9X_O3;1s!bE>uO4k4?10(kFt4|u6Rf*6 z*|3#BY?volPY2@}M{OSg?&-k5Ku`+m)sgrsm|W@c^!wl?Ik=uRjv-+xL(XvWZmzku zpQnptlG&qbcxde4$a2HSwH@yHRObnlU~@vk!kmEik>zIy*JhOO@8@n8v!%4s?aj5^ z4JvVo*F^0EErq@^f|FHGDojm&O->g0QA&;zM6 zvkm=fcR3l6Hk|9jh{`k1?%^&}x5yT`z4=E}Ke3lj9mDLL``=Bt{WKOS3Sqh#kbuNZ zZ7CyR%)ATTsq!lyqj{N_rA`Xrk?9QT z#HtOH5{J@C5qfRJ*5{xc%W3JlzRgB8`Cne_|KO^`Hvex{?f?7re@M6kiTD>o=JO=d zcbQFuH80mfDWBe{F>z}&XB^-cceQo|@~DISEZZq4#udr~x+ir-n(48m1$SVmv}b6{ zKm4nhySby=>a~DZLS}x1Uo{;83~TKf&A-Tgk6jL42-aU~!a2tZjZIRM#%KIyNCo^D zDJmhNjIidccel)JU@`&5Cv`j&mm(KQ+p*Ax3wS_JkJLJGo$B_K>dVV(ujt%-oIV>5 zVHc(k@){~E#_9Te9yx<)(luU56Onftz+V>?P@k-Xs0oNcl`{mU)U*HFZB;2`3uhSt ztFgVBd{sk^nObNt@*EU&ndQ^k+fB5pzGM$dFEir#M1Bc=D2{nc+9GVk&ude!`@=pb zuqR-Yu&YP7RLRxiRrmN(F1_xDX3Q!>ZTj3Ox{N}yBsfxSUD9Cq^)oXj05EFs!8UgSiB{cI%j*`U zbQ4_)S5b^fY#k%Bbp%VPOFE2E&GbvV)Y|K!vkFxqg)iUQCv)>nI;~b*_5_?ViDi@i zNiJRUtB2!_|E|RsmpGKXf40P#iOABSA8a^VN0Y`*bODdGJ?}0*X=V zzg<1q>xm?XUkO*K6~~cU;J6ci(Q8N2&c(g9tD*T5zMA-jdN@vs3!RjIBjg{|j~)n2 zCOxdHPRyV|1V~r7vx5uu51d&cZNFeQK(3^%(Rx~4!G#C?2Y6QB0cc6f0$z;gQsuLX zvsNCJ{ONQH28e;M+nypJ(K?=c0wE!D=!q^sqC}Tcmr?!eY4@^QIS5M0Ydz6om}K^1 zz?;CQ_`IHaB2_M{sj7bji!O%6r5e2Ck*@lO)%hP_Q6K^UG>9O>V>#`~A^)Xg70pts zx6Az91oV@kMEX4}8}r1-W-`!^N%tw`Jw+!wI%|eV%vXQ7Q7jZ6bEtI$#i^7Ap_sLb zxTD%vmLFamyG{Kac~U-2aM*l}Z0}*a^L`7K|9&=LZz*Yk6>&wFu9GD+@ZR{GJf0H6=?U>3J`uhqPa#Q}I%svfCa0YJ${ut8OFlK|g?ssT;YSSFB&pbN zcVKL$b8$S8vmRSaQ#gnwoLx>pk=~rugAbmcciFJjmGGId&!fQrYQiskN>K8agy;lT zbMCdZS%b(q2sD{lxr8OAaDeN@L~I`S>G5~ZN<9FUJCj;k35S_vy2IV!?lekFA;SsT zV$}dmOhLC-FSKvigbQNYUv23+1%Up7NAn94TBEjeTLjrU`PI+mIb-MLx z>h{wxxr+4}TKak_2%Ku}ni%ZLpDl8+4YNAVw8@xFz5pwIY=<^#0FTv>uDzvuh54$9 zDM!#$CwP^)k=bjd$VTl+H(lv2*b6lU?;L8+m*HS71OwtzJRa|fJKiIva3pcf4SfCN z%W9$T%U7|@rTP=5M ziBIz>GT;Xz!DwJ%)ZVq!bB&j^VYcSjS*6d4E;GOe(rjtLufDHkp7DfL>av7btMJ-n z0We96o+~2Bep+7x4i+!W7B|}8YnEp(zI`v!v6UkpIX@>EdoW0kZgk^t)f#k8$AEqI z_L{0<|5ZJ7y#>C%0Db{+%?cx03IT3E4H3)#+udf5$WsdR@rybL{4+VV=zT+i3CiI( zw_)#*J#q%WeCTlx)`WhrdL+4Uy~pZGn~oRcoVj^tPaRAf8A7J$`Pqq<5X?TbATB>* zkMqbD4#YChF{a%G`PzdY_$>4pu>kI;M^UsFBqI@hc zHe@dmm3b1pP9G}q3dVGLQ1*MY_)kpC?~X<~?OPOnubCQMeb;DeID3@wg1xHIk~3mj z(YX>DtQ9+A_AyAn+TK&TH%jEvp&YJ`aJI$Vh3j{;f{-X)3W{x3vPOOcYo6}Nq&4CQb}O( zW5;(}?+0kEdNl{@t*Z^4Jzl*6gc2g!d8*p)&cbFJ@ZdO&ZZa}R8lSP#biRI;`F?yx z>il?j;xmwnO9M;}vzFV%lq{T?QSKguyLNZzY61?~S3o*)bP!9|fYie?b1nnk!_D@4 z(vt~i!yyHh4Ml?PVk`$P(69Gq9esEz`XJ|j8UvPy*N&oCAJW4V(kcG`qDtleO(7C? z>$REX*j?s>b{_6;-oPWjiPlH)yEVQEub);k-kN$Te}4)JQ}au`ZTt;iqmyZD8gDd$ zgjBu9&MgP>(F_kUmZ>~HW*GS%PLLfe1@Zw9x{d&WvoTlUZk5g&n8mtA)t=bK>=W7W zHz1O6A)gD~&Acp18EziB*S8!zmmY!w=h!Jiu})tUr?W2w0?ZaY)Pa2Z0p1;XM7BK$ zf$G@^5J})KnRpD{cnte=R`4GTu5x4GAE%}JHlE3E*q zhYs^qp1aPz{H8q!|7-nfiCOm(6YHtX9%&bZ>E6Vzc-b2C4+jvn3MeMQ9Ul|=Tp?F1 zbhFlQ2R6Q*c+JEf?qj6KV0PxqPWp$PtxJdD!&OF&F%kkSBVP(4|A5E-A9$}JKqBKU zBnd0qj&B~z^LVIWa&wRmYB@D|hJ7P}G>fm7<3e7H)Zqv@;OU{|`6%QMRy-#Y@JAz0 zpSZ_iv*L4X$i%@)7Wc(o1&;g7(aIfv8Rb~t{=dHc4C7p(o7QIL(Z0LdpBdiEi;p!v z71K0;cnoV}H{8cq@9#jsY(2y|qCMMJM*2&!12}Msc>VS?e+KBfrS|3L>ilc%mfmmd zXtneydx>}Q$LZUa3$FO&o3;N@)p-ClwRL@8MMb2ksDPAEE+QgDP-+MwDpe3sk!A!$ zKuQcq4J3LI1D6shQWS_vQ|TS4(yIx*B=i8G1xSE|5R$y{KF|Bk_swtiIeX5mnYGT$ zIcKl`nZ5VACz&89Mrge!ISAVM8A1Jm;(X5pKS44KJGC=BFrYYb_{gD{eH0qPz1<>h#00-o9&K(`e!cm3m|R2n18JkFGsDik zQfL!{seHK+`D5;U{HH#h8UC7XOVJDu_2KIY0e*v_K5uvha%Zo7kjR@t@C3mh{8A!S zHbEqIk_HT>6zvOpSR1s9J;4C}KW#M-5W987nsWl+IO#;iTIe-*EW^D&lQyudMFrwB zNE;m`(Z^iUl#U3-&`uJ@A?22*SN1MWl?w8`4+TUQVL~4K0He==E z8~q=u`LH-hCzkZEY39_h9#B5dpXonmzWn~e+UD=P836x2$9k}$orMXYxt_&O8D;tJ z>N4tP&W}*A5d61GiW`;jyAshDF0wn(;0_*}P$5K677_#{ z@9#D`_nj01tU(Ko5(qa@D*mbLO$C21)?Ssl;rL=*cpK6`gq8ls zLZXh?OG9j#D|3JHCN{vHdx)s+|GpcCV@5eRh`9}ZbC~qJ(ef5=)*j{_q+FEa)fpP= z-Dog*E?`w{uAU#=x5yYXTMY3{acI=o8PxWQjTcjmmTBkHntdTY^S8n|&EJQ=pPR8T zadRORmanf&w<5^e35N_CItiX~jOC?er7}**sUKGa_$r-pfS}>g1YZUIw z`8oaHmZj7aJNU?WrN0!s9<+JiO=5It#8xSJW!z5>xj3)9%|K;cbYwYWGOgM4hU=ea_xRK|^h-*JgL9a{1GvHOL3Ynl{I`lo}tO+U7^ZF&JQ z!Tfu=g~fIoTWd=?>GcW_iKu88*O|_$u_p;B`@8rcm)+?~}n2;1Hh4TG59NihR-}87gE2d|u#$hTTa%JrV{Y@wOgCHrk|DEpVcl6h! z(HfFtFX{Nia6G5<$J(otyYZ@x4*iVS;^wuW{S;<6Q+CtnMK3cvfQNKOLUs@{r*#%| z#9^3$0nhh;&dB1dqADevpbAn2S9{ZjQc_)v^nLw`d>Ta?r81%+t8k0)GCr}E+y6xR2-4ZKc{?(bdgUcEDZg$m~fA5V52!3gLSPMUTP#+UI zSN(`F+F9x_Y3}~k;b`F^CVGi*IA29gZDgWTsdV(6R!Vj5ccraoq#k{@=wTD|fd5NG z8J9~SyuGM}@k^Kp#(|?EBwza}g31(Pw6DVh8V`)F02wzo@=Q!8T_iqJTR$uc(7Oh* z0!Ab@>be?|8=?=!YBakXjZo3KzqHP3rF&TUg6gfH7 zz@91jQoGG(5+>E6|0wQL)<&hV(CWk)QnRlEqF{v8LUm$%>x1wF->_ZYarRriLG_@n zB(D!GXj1IWw(-rSu_);KWJkJISFDqC;?+C;1QC}^Vb_SOhg(=Oi*q!Ms4SwkbEwsm zP-5VIcs7!SHwJwcrJ+nD_ohx6ocWu9&AIY+zEQ{JDKT&L%FhfUT``Zb6e{p zC|)(`uFkQyH5p|r?s*fI7l3)9%;z;{v$;d-mWP{U`G{pi;?6AbO%b>+8maDby2@Dm z9n4`rT6eP7MUnVJw%9RK_|bJsc?ePXN>a77*audyOW05ix~m&AkzMvaySn4e;W;cp)!5{If42SBw{Jd*x)EDCc4>ktLqT{jd zoBzP)MVjNndxo&2?zn7$&;R1CqVpOgxPNF)`buSE9Nc`Tc08|PJ4f) zIty84{x8?PCF9_YxxIIt@ASpY=}1%;ovgpN5z59+<1RWjMeIE8e+MqW{w;@O+=ua< z&UDnpJ$4Xg1%CQjH%2MZ@Dfxo8e48g4PG5v)&|G7PNwc26E2A2dS=;1Dvb~hK!}eH zl5^V@_uXU)lwQ5T5Es)1>u-vWCXjz=YVk_cXNL$o(fwyn4EgaN?`kO0t1oD&_{R7` zX@;0p8~qIZ6~K#6CoL_QR$P(9oe7JHB4&!;gXK=v zPZ-Ip3VUv>knI1rPsZxx8A~iXe^mQmuNwYN7UFlFe`bn|+X_1D-b2UbBD{;Jx6-7Vdtl4;%W2iXBw|2lr{$ z!n_4uGIXlGzkqrR1rZWOalV+PeCqUB3a2{d2GkuJdOOXKIeM0P9R7V;t3B4<=4@Ia zVeNw)!u>{)Us@oW@5r-vCpWXw2KEYj@pOZunm`R)cWdeZ=F3bivU6ZU}+YCj-0F=useosnuv z^pOBm$leB3_-XTD-$+8iHmHhWMp z&t9CWufiuHB}vtr6QH4cQg9_U$UU3oj`>RUY=i{fx9mNkJk@vsX?lk zw{9H`GYT8@>}hy62fPWyxADTR2`Gj*skAwX;Z=!=?X|kQj`-P{^y&pc$}R2HtmoP{ zB5NLg5>#y}ZBi9m0)eU~mq)q#6xaiR^C_y66P3rHBBN+`kx?_5=@zKS#^NY7YH7Xt z60tBy`8$27K)lIOY4OtLRCJu^_MCW4?B>*DVxpB_r?2M{u_k>o?cwEUXDhc*g5+1O zrlC*eH$R14u8_reQ3fS;N*u zXUy&iuEjoJy=Pxad7$~8{Z04_O3{AksyYP~a$4goNiM87TzA{R|12rz)#NQ*ol3_p z;RW6+mTyThQ2E7(a_~2!Z$`@~h0d|tb%_CaV%7Ih*;PlG)g^LW!aHlalBwi$p4}6> zCl-(WA?UNfs`ZY1$3i+F;PEe`t1f!;d&q6obmSb6?=Rz_eSxt;k)gfDKzaMi_CmK9 z$y6nhJ+8U};xTg{^_sgzd9)DUUel3Le4|kcT;sn!VlQk~Cj@M#hhm6+peAv)=pQGY z-)9f^@b*OO%yD5_ACz$?guMNiHuA3+qPK#|C6x;)!W(?9owASQIz45n!bH)R1Uk>k z6F34BSRSOm6Y_U_rW@s1{frA2Z+7beSlRO z25@i~9TyIq&YRZqoKM;c$(xL7fzN`w)YuB-B2wA1n= zvQ?wXNqH0d^3a{~WWtUiL_x?K<*#nHX=e)&02U_+!gYICw79An^lo9Op4$E~vMl^h z%vjDKkuj||Y6YBW7s+&UF*gD=A@?IWbge{G1+k7WAl|#+Oru}hCoWmyi-4}|KX}(M zQ>gd6Ck!R>^qyaJY%8wt1!`9OIB~iwew&1#tyk_%+%9FW9MMG*G8=^Sb#>tZ@w9d3 z-h`-JCQ}n0@FL0$(2lkE2km%;9a|3-e+9pwFD9nXm9fwFilDBwUGQ|s`yx5F8z@ZC zvH?X#!79q9U6hd1*o~<=^+<;UNsY+{UT>S6c2;ePiy2<4tCN;4>sI!BN*=o7-!5C(jPW4ooJ_4=ZtS<>BsP-9U6`1p_F2os?3@B@C1BNAdi2g+BY+S)bMF+O$IV^7I@5Ib z>~4y^HJ7acQ^4#U_4xjY-DCe@@k=6C64Kj7QXpnVSmV*b`5tWHoadV-Q}Vev!?@MT z1?@XEk+k%j5s~U?T*ON4I@ zO-aZuM8~i%`MsC~-$yy}hfcBb_2rNy_x4zu(Q7&$Fr zU@A}}u64Z4R|52ExNr9x8lMU|zzM=c@4G*8&~@iNo|)|Mi|07wPp&!So~oP}b! zbf-pcr&jM&PxQzFf>ephD!d9fU82}7yC5+;zgI+{#FbA>mx?=^lP0X=su5)B7M&1A z(O7gQ_%$tfFRQGEx_sNt5YNFFA(xkR&{P>Oc-(c>gm`js45SCZn-JKg+j8w3{dAY^ zf&@jNqhPOJf9b#{C)9db{z4JFwx?4qNjFKi#bVetNtgVQl`P6_y>X92|Fns>XpaOD zMsO&*nr`OvV>7Bg%jGL>K>}0#5OhZlGNu6;O3qWVw9l*pdI$H3)|Q|9R{pL0@`tED zj)J*0&W+`2<7-_*UH&-u*9n)BjPq#V$FavH)sf&zh6+BMbhyEQ z>13`D*3D|>FvppV%YME2{86dWRrqGA+sRt(W>n-G>EpSrE{C+~1ssLi{Wyi7EgE|n29iD;xl(t z=xGO&nR{sjS&HA<*7lX$=$PIm6qAPMJ^h&gWX$N{f$%ERgY{54WMNo08>Yn3t&_4- z?bGF&^KQg;tny{@`qw?to+02&)KF|R+VSyx+R{oMOy45#dDN?Y^X;7>rlv)p9jCt@ zvzG=AOov41pdDnUI?3hM1UGmv=g?}lpkQ#`C`<(fmfX4%%-z|vVcT3~n6aRJ_nSfb z;zD?8P19%{`gdI?&OA2UuW47(V`o}WkWd#$P0tq@2MY&O{BBNFm*Wcn4aUW^*5YCu z#;coj56)LOZAgT;nTXu9vRr2zRjOfgH_pRyjv4g!z(TI2lO-OtArD$v^FgmEU+gGD z-RnXG+Sd%=5M`SFiyF6$7o697GWOY%$4-q;%}>c*et<~H56M?^Tq@bWJPJ`qqdg75 znvDaIpdD9)3+=I6mX5VQu*~xc+(vAs@Gkwv>f396IB|z@?BBvI*$PTNT?ik#l$U8# zi4KpEN2I2<1*1V2BFSTf%UR4KKSfIORdEU)OR-NctsADy@I%Lao&foEyLnr6P7Mc2 z)7{}S9ZvADrs&qC-FayVP*G!%_wx_z7u6M^c&!VyCk${!N|s!7L;5%BUTZhb258G0 zXMjfVn2Y1_3!*Lpr_3)|AAS2+IMMAJvLEoE_(s)-+CZJekjQ|ObVJf1T&acRJ^0J? zL;(1a#_=5Zmw#zCck1CDR{6ts@Pn{o|0+~J*6u~UDcy;W&h_zZO1UBvM&YK*7F%}# zEK=?@B_)e;Qs>T{XB~ap+(h!Sb&lmjCcr^Ap%Uy-KKMK%lO>IKuipi5`1H7EW)7bl zJ7o>O_g@YjCa%rm0$pmTQ{*GGJ2m7>>)ac$FX0yuDm?V?gZOH67og)M*oNjQaqq|A&zcdff2P|4&CnVR@>1Q$PF*^ClyL zBSD36DivV&rl%+iyuM+4fCNbg=U=hrJ~mL4K;{i=mFC?PVJkZm zz2x%Vza%L;Lk;Kk>!dhIOG+N7F8fxk=KL#SCsui9aHX7=;Px$ikYbU(QLs1BE|-~M zFEhn})()9v54pLe7;}fk=%DY*WPo(l;Y$RHRl1MYL0{)>v`40}u|wfZ=TFK(Eg}K7 zltQyTSkJBj>Pt}PvIJU9fr&=ciT*R#zq+cbLEF8pEcimO@xfXOH@v0XfLv@w#8^Ar zk~B{|LlzH~y0|fAdzepNV1b*;+w&$lg$pC_*!JW*;FE`qTf7te(IeHTACWV^Pv3Ve z*1?Yv_t%{jNf~#)t~?ma$53~Fu67U2ziMPzIR^Pg0rg}3KZ3T0zGpsYSl9n_Ay3Te zD=;`|;0s)5_AU1PnUx2Y0vI3zap=$?HaqBWOp>noS*x?}u#|*6;DVf><2{3cTMxdT z)o9&tOfA{&a(%~t-{H!YDg%>yC!%R^U|+}QN08FuI{-Sm!2I4Ug6qvEKw6R z;3~waety+zalYj!Trc$b>e?9@J-I{6N1>hfa?c6h?vIh*Zo^*lDX@yrJr=cgzfIii zyy5Azdcf^3ej&cKf2lN`yjGGgGUDRQ9on%y-_j5W4;je}^Sbv#OFgHcv{8+D{H}Oo zv&*~oRNpIY2{@7SUMU8gg7$8s|3qbg%T-B~tea|ON{gDk_+;KWc`s%jHZ;(&9sr_fQxzq5DsH0q@NmIXrlv}z5bC2f=O5e&x+=Koepx#h{ z>-fVLcdva^Fr9jIOH-nQsz#;Y-YN8(i!fnHe2T7XvJLLpYn&)NKRammc~y r_Un^(7j9P$B@VSi%zMj6+z~SN`g)k8a8*acob2cLo2+Ah9`64EAK-SJ diff --git a/CPLD/MAXII/db/RAM2GS.db_info b/CPLD/MAXII/db/RAM2GS.db_info index 844e3c3..fc87f9b 100644 --- a/CPLD/MAXII/db/RAM2GS.db_info +++ b/CPLD/MAXII/db/RAM2GS.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition Version_Index = 503488000 -Creation_Time = Sun Aug 13 01:07:41 2023 +Creation_Time = Sun Aug 13 04:09:48 2023 diff --git a/CPLD/MAXII/db/RAM2GS.fit.qmsg b/CPLD/MAXII/db/RAM2GS.fit.qmsg index 9c62ca4..98c68b9 100644 --- a/CPLD/MAXII/db/RAM2GS.fit.qmsg +++ b/CPLD/MAXII/db/RAM2GS.fit.qmsg @@ -1,45 +1,45 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1691903572781 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1691903572781 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2GS EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1691903572781 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691903572812 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691903572812 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1691903572827 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1691903572843 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903572952 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903572952 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903572952 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903572952 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903572952 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1691903572952 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1691903572999 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1691903572999 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1691903572999 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1691903572999 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1691903572999 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691903572999 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691903572999 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691903572999 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 40 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903573015 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903573015 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI2 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 336 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691903573015 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "LED~0 " "Destination \"LED~0\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 14 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903573015 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCRAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 338 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691903573015 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 15 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903573015 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCCAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 337 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691903573015 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691903573015 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1691903573015 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1691903573031 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1691903573046 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1691903573046 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1691903573046 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1691903573046 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903573077 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1691903573093 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1691903573171 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903573265 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1691903573265 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1691903573588 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903573588 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1691903573603 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "18 " "Router estimated average interconnect usage is 18% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "18 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 1 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1691903573713 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1691903573713 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1691903573873 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1691903573873 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903573873 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.23 " "Total time spent on timing analysis during the Fitter is 0.23 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1691903573873 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903573889 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1691903573904 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1691903573935 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5346 " "Peak virtual memory: 5346 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903573967 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:12:53 2023 " "Processing ended: Sun Aug 13 01:12:53 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903573967 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903573967 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903573967 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1691903573967 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1691914217113 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1691914217113 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2GS EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1691914217113 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691914217144 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691914217144 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1691914217176 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1691914217176 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691914217347 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691914217347 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691914217347 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691914217347 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691914217347 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1691914217347 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1691914217473 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1691914217473 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1691914217488 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1691914217488 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691914217488 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691914217488 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691914217488 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691914217488 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691914217488 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691914217488 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691914217488 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691914217488 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1691914217488 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691914217504 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691914217504 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691914217504 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 40 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691914217520 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691914217520 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691914217520 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI2 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 337 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691914217520 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "LED~0 " "Destination \"LED~0\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691914217520 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 14 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691914217520 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691914217520 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCRAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 339 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691914217520 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691914217520 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691914217520 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 15 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691914217520 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691914217520 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCCAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 338 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691914217520 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691914217520 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1691914217520 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1691914217551 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1691914217582 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1691914217582 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1691914217582 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1691914217582 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691914217613 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1691914217644 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1691914217785 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691914217926 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1691914217941 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1691914218301 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691914218301 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1691914218332 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "17 " "Router estimated average interconnect usage is 17% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "17 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 1 { 0 "Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1691914218488 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1691914218488 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1691914218629 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1691914218629 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691914218629 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.26 " "Total time spent on timing analysis during the Fitter is 0.26 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1691914218660 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691914218660 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1691914218691 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1691914218754 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5345 " "Peak virtual memory: 5345 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691914218785 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:10:18 2023 " "Processing ended: Sun Aug 13 04:10:18 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691914218785 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691914218785 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691914218785 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1691914218785 ""} diff --git a/CPLD/MAXII/db/RAM2GS.hier_info b/CPLD/MAXII/db/RAM2GS.hier_info index df04f0e..11d3420 100644 --- a/CPLD/MAXII/db/RAM2GS.hier_info +++ b/CPLD/MAXII/db/RAM2GS.hier_info @@ -69,19 +69,19 @@ MAin[9] => RowA.DATAB MAin[9] => RDQML.DATAA CROW[0] => RBA.DATAB CROW[1] => RBA.DATAB +Din[0] => XOR8MEG.IN1 Din[0] => CmdDRDIn.DATAB -Din[0] => XOR8MEG.DATAB Din[0] => WRD[0].DATAIN Din[0] => Bank[0].DATAIN Din[0] => Equal14.IN2 Din[0] => Equal15.IN4 Din[0] => Cmdn8MEGEN.DATAB +Din[1] => XOR8MEG.IN1 Din[1] => CmdDRCLK.DATAB Din[1] => WRD[1].DATAIN Din[1] => Bank[1].DATAIN Din[1] => Equal14.IN7 Din[1] => Equal15.IN7 -Din[1] => Equal17.IN2 Din[1] => CmdLEDEN.DATAB Din[2] => CmdUFMPrgm.DATAB Din[2] => WRD[2].DATAIN @@ -124,14 +124,14 @@ Din[7] => Equal15.IN0 Din[7] => Equal16.IN0 Din[7] => Equal18.IN1 Din[7] => Equal19.IN1 -Dout[0] << Dout[0].DB_MAX_OUTPUT_PORT_TYPE -Dout[1] << Dout[1].DB_MAX_OUTPUT_PORT_TYPE -Dout[2] << Dout[2].DB_MAX_OUTPUT_PORT_TYPE -Dout[3] << Dout[3].DB_MAX_OUTPUT_PORT_TYPE -Dout[4] << Dout[4].DB_MAX_OUTPUT_PORT_TYPE -Dout[5] << Dout[5].DB_MAX_OUTPUT_PORT_TYPE -Dout[6] << Dout[6].DB_MAX_OUTPUT_PORT_TYPE -Dout[7] << Dout[7].DB_MAX_OUTPUT_PORT_TYPE +Dout[0] <= Dout[0].DB_MAX_OUTPUT_PORT_TYPE +Dout[1] <= Dout[1].DB_MAX_OUTPUT_PORT_TYPE +Dout[2] <= Dout[2].DB_MAX_OUTPUT_PORT_TYPE +Dout[3] <= Dout[3].DB_MAX_OUTPUT_PORT_TYPE +Dout[4] <= Dout[4].DB_MAX_OUTPUT_PORT_TYPE +Dout[5] <= Dout[5].DB_MAX_OUTPUT_PORT_TYPE +Dout[6] <= Dout[6].DB_MAX_OUTPUT_PORT_TYPE +Dout[7] <= Dout[7].DB_MAX_OUTPUT_PORT_TYPE nCCAS => WRD[0].CLK nCCAS => WRD[1].CLK nCCAS => WRD[2].CLK @@ -164,21 +164,21 @@ nFWE => CMDWR.IN1 nFWE => ADWR.IN1 nFWE => C1WR.IN1 nFWE => FWEr.DATAIN -LED << LED.DB_MAX_OUTPUT_PORT_TYPE -RBA[0] << RBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -RBA[1] << RBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -RA[0] << RA.DB_MAX_OUTPUT_PORT_TYPE -RA[1] << RA.DB_MAX_OUTPUT_PORT_TYPE -RA[2] << RA.DB_MAX_OUTPUT_PORT_TYPE -RA[3] << RA.DB_MAX_OUTPUT_PORT_TYPE -RA[4] << RA.DB_MAX_OUTPUT_PORT_TYPE -RA[5] << RA.DB_MAX_OUTPUT_PORT_TYPE -RA[6] << RA.DB_MAX_OUTPUT_PORT_TYPE -RA[7] << RA.DB_MAX_OUTPUT_PORT_TYPE -RA[8] << RA.DB_MAX_OUTPUT_PORT_TYPE -RA[9] << RA.DB_MAX_OUTPUT_PORT_TYPE -RA[10] << RA10.DB_MAX_OUTPUT_PORT_TYPE -RA[11] << RA11.DB_MAX_OUTPUT_PORT_TYPE +LED <= LED.DB_MAX_OUTPUT_PORT_TYPE +RBA[0] <= RBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE +RBA[1] <= RBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE +RA[0] <= RA.DB_MAX_OUTPUT_PORT_TYPE +RA[1] <= RA.DB_MAX_OUTPUT_PORT_TYPE +RA[2] <= RA.DB_MAX_OUTPUT_PORT_TYPE +RA[3] <= RA.DB_MAX_OUTPUT_PORT_TYPE +RA[4] <= RA.DB_MAX_OUTPUT_PORT_TYPE +RA[5] <= RA.DB_MAX_OUTPUT_PORT_TYPE +RA[6] <= RA.DB_MAX_OUTPUT_PORT_TYPE +RA[7] <= RA.DB_MAX_OUTPUT_PORT_TYPE +RA[8] <= RA.DB_MAX_OUTPUT_PORT_TYPE +RA[9] <= RA.DB_MAX_OUTPUT_PORT_TYPE +RA[10] <= RA10.DB_MAX_OUTPUT_PORT_TYPE +RA[11] <= RA11.DB_MAX_OUTPUT_PORT_TYPE RD[0] <> RD[0] RD[1] <> RD[1] RD[2] <> RD[2] @@ -187,7 +187,7 @@ RD[4] <> RD[4] RD[5] <> RD[5] RD[6] <> RD[6] RD[7] <> RD[7] -nRCS << nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE RCLK => UFMProgram.CLK RCLK => UFMErase.CLK RCLK => UFMReqErase.CLK @@ -243,12 +243,12 @@ RCLK => RASr.CLK RCLK => PHI2r3.CLK RCLK => PHI2r2.CLK RCLK => PHI2r.CLK -RCKE << RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRWE << nRWE~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRRAS << nRRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRCAS << nRCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -RDQMH << RDQMH.DB_MAX_OUTPUT_PORT_TYPE -RDQML << RDQML.DB_MAX_OUTPUT_PORT_TYPE +RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRWE <= nRWE~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRRAS <= nRRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRCAS <= nRCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE +RDQMH <= RDQMH.DB_MAX_OUTPUT_PORT_TYPE +RDQML <= RDQML.DB_MAX_OUTPUT_PORT_TYPE |RAM2GS|UFM:UFM_inst diff --git a/CPLD/MAXII/db/RAM2GS.hif b/CPLD/MAXII/db/RAM2GS.hif index 392ade24da5f90247394d26581caacacc003653f..1ad5e656444fd79bd4f82dc810c59d693fb69159 100644 GIT binary patch literal 596 zcmV-a0;~P34*>uG0001Zob6IgkDD+My<6&ku(X%_ptgth)C!Qz%-Hkhz4xVJg|13?SIA6&ohV9~D`-uJ))2vul}uwe zo*;;(*BD=8WKCi88Lp%j(2b=wwZI|3a;C%v!D8|9`R!|Viydv2l{kqM19X0NVYH^E zVt3oE2n+@=(gusUd|$BQ0p2lvEa70#WLy>Z#=8@{+fS==T8h_4E)!Q!C@u8^*e=%Q zr__1D4g$pcI-ITXG8(?OJ`A}^dLmaa%@2~({Jt3*K7!eH6Hrq`Lw6o5eQ)D+Q$Lwf z&PqW`p-RKiNi?1Es^EeqG8Ldovf&93XUazQ7;~N=ViQazNjxPMcWgF7BZmWGj!C6O zp;-FT)r>^FrrB&o7c)QDZs^Kedz+T*4w4%M+i>pE=yn!*{_<7k5^NU_F5=$O4?>sj z+|XME%jb^sz>hZJcD{+)TYse6@XR+*g5d6q$3X}^7x;nSTZA3d@^zHLh{TVLOplE? zH|C>KmAXx5j2F4#^J^s(7oe3fej_GjsW!Y)l`BCjb?O%vUx<&0hVMnL20m z`v+b42mNXP)COo=n0OuMyvhuyvOY+CtoC)sT+Q#(_`Dxv?3;00V%rEOF~TG)v1 ijrR$&kRcH#48{MH+ur)6{;A}RF;bxgc=sK`bRSR04<*|G literal 596 zcmV-a0;~P34*>uG0001Zob8lLkJB&^fbW+050>@ZvD)z8agwsTQX}91Cs1tJnHhT?pY6{LFYBhl_od7L?V+q>kz>u7YEyzgG%}5` zX%S4ETS{*!(HtCo!fROrc4Jv9TV&WsGaP${g5~n1`+ZZh<(_rJ8qSbXL@wVxj5bVJ z?C*w=pveSBwTeY;ekghQi0^rQs_l@BZScOTamwS?D8t`#>J@>pW-6%Sq7_zBH-+khz}7P^aI<$GIi$oiWy z6}$pgL0+jEouTtoG^GHR$P`eMWYaUU4TtM9lM0a#duCJHN@B;>gfZhf(G7uYwM^zU zlsQjdysDI#*EyT7*>dg&yDeLL8*keedkD#mf?c?9S#&oKJ%9B|a~?p#;JGB_4wi@@(J-{-_Z(NMEm$1O-W)I!sR; zocF3TnOAkM&bTNGRnIRCvvr3@`^yWLJzaJz@Eh3r# diff --git a/CPLD/MAXII/db/RAM2GS.map.cdb b/CPLD/MAXII/db/RAM2GS.map.cdb index b68444109e3e7e98b8149a476c2c5db10fdc409c..467e09579451655127ace82aa417122ca1837a32 100644 GIT binary patch literal 17989 zcmeFY19xOW*ESq$V%z4#6FceHww<2Xw(W@~wylY6+qRv2x$oy)>wAC0yPnmnPt~eE zr>koBwfA-HsxEX85Rl3rpx;*z5bN(3+jpsE>fmSzv?FHbVq|9gNi6JaX=6gn!TFQ; zCl?b73lqyvW-el7DPkE*CsSfE6HBM>do}#P|N9k-BL6KwEFu1<{LfWP{D10hpyye> z)9Nd_TSOXxu$1I^nh8e29Lok$1Z);*@!T?ou9CuJ?WV3X)9{|{q^x<$e>BO=9+5;p zHCQbq&CLs>k`=i%S^JF!q_qEvrlYK70T7f+3mFps&PpjI7zm>y+<&w^-L*b>U3DJ4 z@lD-%ySW{Xz3@7AJ#9J)969pmJiYvR;(PM(<=tXqXMeCtUMiE3?G!qYr3EUwmybS` z@fxkUTCSx){us>wTAp4(&CbgKubcxtR)yV%?8qo$N9rVpxHL0_awUmC=mS$2ltP|gAbbBav*fxSUH@=Ww&Z_QuGc+ByN@W>4bSWY&qS|7&<}do^je+?vkP;CG6We80**0VtPp*ubw6s(YzeN3j1?8R zihPm72Tpl*EG$Y)0HYcWYJ|!t)gu4Vn)YP3_(A-X1;FPSX_KSzh=DtoV=OS@--daz zarb?$c+MUa=(FkC;FbQ{X7S>CJ7B5yc1b%0zcWEokgH^{W4DJeQ$lzAq$gNct=GfG6JXeR;W&yvuh)FGB*#ur zy4EaRiVu^4)d7H`J1>R-_b(UO$7>_|xS}lqA)SM}Ny@KcnBU;|t&5aZ!xt!cN?Q3y zT-#A~Njjr+^qZI>QjlaFsf?!`md0H!deapAI377m8Xnf}M1)U?A0y%}g0+C-lQxg4#G3KF#+9_&mM1+8tRd}4% znRk>FTgB@z$}FONR5}-p7yC&NVZGDXjgkfRhhe_z@^v7-zH~b97lO<<= z62S73Cb%eq`@H2wlB&Z6@$?|0@JK)lslwhpp6##mJ-_)!2x1@N{7Z(iP@YnAH$AVp?_*&91@ai?{Q}U1 zcHEN4_XqmgxQ@quI2dW@HEi7+5C#^v^LBQ=S$o7^^B)CJJ&^7!6GpyWVpV#S9en_u zv{#ggCqw^uM(- zL)ln;4*6Fiv{hf@eaZT{H>GD3*r3P08MbU)bkDz*hz12F8=C`!t4~^m5(BUjdtFhRt_Q1jJaQKIS_I%XQ;uj) zb}NpDx`H`ZgJj1-o@QJmsYVfjmF3`6L* z``rJ7>%#WfrG*nN#gZ(nixj2hMa~Q3YWbEFUfS-iv0P$QhhDNinJ~m57-tf&dBcD+ zWB#P6xMri%8~pDGwxuweyA;oMK47@(tPk7xWCI`gyypLfQS(*Ulj-qh4?`X$VVPmaV%?hI#k1Y>@$r$Dg%rV%x6webr{RkUc)3mXhyQj7VAxAr2MRd9fNx_M!9 z2(^LUaSs5)&C?d;$D_M#e>aLLFqzp3``dFOWxu&Eeqw*1%tdDg9?c30w28SJ1P>UD z=E3L)YIhP!#OrY)q4@HOTCce&Z|#c>wM8?7V|;;lOy8y43Bm@lr4B_H*X+E8(=h?s z5>BSjS22WkmJ6@57CAr>bl_$TCj5T!3{D?=j_z5kH~kuk7Sp$O=zEKIcj;ykw?nu3 zkH53W#AIbl{g1z!cM7R6GP4awD^mh=FnDLfA&|`G~6DqVhWagkXSjDd^ue+gbwX(IXn-;-v!tS)AWL0G{=583F~!e zgG&h+aVcck>b>3n?AhP`qt7-H3CS&-v3Nju3M@Y}8^qb{xXwaZ`!?ywKWeg_Q(P(i z{rQa}Rrg~f6hinFc|mY;VYWX)8>f7tdlDV7I1b`@k4Y+$+fn*h<~j;JpPuWeSv zHhKOlRGHNla-E|Rq7R_av%2~0s0dw3i|(AI3WZn~G3ZYPSy*fx+FYU1Eg^1pZin@S zRSelod4wD`wd@abMAce9l~W+k;IAaiS3aDjTi=+KX`y+lzbOg*?>)}$nxTck_=CmO z@rw*-v~Pz96RMMT>y6oJQbIOf36^Q*$pNJ7aJ23sN8Jjgya3iuY7`RwOJ=rJI)~NV zvlmd*YlPlb?pv#;(Rbr%Uj`<3%!pz`a{kSE*;FCda|N=*IMxn1?KU**6dJO-fE34` z-0zO-gQ0xB*d@sfePM8s?7NcwLoX8?xS5>VNvlEXOPJ=*soiMU4PDu6A?B&9=N`8t z(K|$te|9#acj1@Fn*W%WcqXGj{<*=x=y$d~+g1;ibXU~VoMF-mZbO$ekRRX11rzuM z*7a?NNwTUvKvEktt!-Rd9i&A$--dS!6g5G(@WtzYc)o@`gk6uSdo^bZmP|%0;QE~h zEazik^p}O#l(I*BgO}LH(P^6#n}w1FPg56ARfH4P{U$=GkRsNjQZcMBl)|{$C82;(EJhi#SHW6AH2&W z>`-q5#*&#%v6U~=yb!kc)|+ApmqHX`*Z=|EATCa>xULufE ztXv_L^t;b3%MdRgO!JZQ38vd!rm>3Si68uenwCXmiY5M7t08arNQprm&+d)B5>xa& z74?SgKg{N)tzpF}4(L~OdlbJV+x_v$uQ@tv5`XGs)qI`NrTj4o{<@ z86NuvE5*MzeMr2xo?vV~91(-Y&JG1r=kdG(m$HfEdL2;pBW#-iv8#He-8^1O>T85^me8l$jA2u&M<}V!bLJUjK;eBPX z!}L6hFB9Hf(2 z%ok^5y~<^kky7Iq1HhCWQa`%`7BI|2nxYCRJi4lggHfD_XrIa+Amm|D%5M?2-#}!{ z`GnpAuq6L4c63w8r=+HyUVKYYIU);FW@alhvXcWV9! zs-LI`vN3a!dMVfuhFvxuS}YiW1L9d+W_PHxN)!!8Ia9A|{%^ljFx@ zqh2ga7NA0==< zxIwK#iQ;e^jzk0dd(Cml=^uWLT$bVH-v6PVuc|Kb$j#nsA|H=i8mVs1%;Xmth75Yg zPDZN=h1ECAdZurD{IlDR#}+UEd5R}Rp;r_uzM;Y+=JwM>;G5%EevS^O-{tjBAMgFh zQ4IH*NlWAW_K+~BJ-ktwX@J+#Z^F7GU>H~RB&ny*NNy0{mWFJCK|m4}=vN-@&3#9% z? z)dB+mEiOU-NmQ&Ys<|U!zmgP59Y5`CISCLl(Ep3seaNkp*KSbt@%vWDOTSrN&yo>% z&1>hwP%6QTpZ{<^S2Ouhv1u!6a!6qT27?=ss*P{D=1)0F%kBCw{YkSi!3vr4v!hI4M|*NkHBp zcVPbZTSOoH*#BVCq5cC?bEe(9%lOHJ9k73?@l(I$xNQ zQ}pK26aSB1fpzaWYI#XjOZzdi?Y0bm)A5_Hso@KoC*c;Clr8RCaYFv;)AwW*`CC$1 zctK9=PvRO0=>4;;L(haC{s=Gm{wLd&3lSCalVUUt06+HK)I+9lhdctCn$lcyom5^X zHx(|7K%IxaVr~c*q|gRbN%ox+{7hID&EWrtDXSeuRMAvTqEFD~c{< z6LMc!#~X{PuGv+RGf7XgkN5xMWgm)hgNn`Pp70<}BRnpy2zDIEVd9Qu8W^9Wv< z=ez8O;_5CVR*I&@Uj`fY&IrDHW(J%jOrMFk*ET;$PVE5EMop9H zQgDpLpT}{)le>v}soKbXzR$SI4Z+eUyQNyBm0c^tfv1%sK(8r0Yc}q5vVK>|lQzMl zh|m-fp+YR7u=lPpx-U~FQn)8C456+5k|}o27`-o-m)OSB{m@n?trwLcPv4E}Sl^)j z-J;pd_OoY1DiUl#U<=)D2Tm2G#}T2>j3%m%;LLY-?1d z|FL0j_Vg*zG8Q*Z3gO0P*_)r}?g2GfkDq^luj@Vk?_MV;!<1^+IrnqsG2K{4E?PE& z{}AbuAu8d|A@OxpdGmKu=DGM-S0+>+7E>NGxoOC;$)+G_75(hLA|L+X=c-rt1kd3P z!L!YxIGj|+f43XdO+9xx-ID zN-`w7;o8qxASuolO8l!@A|3zDtT}BWZ&KGzrt4Em-XEECL&K3v%Tx9ZI*@ODi-i`C ztg4ou8xGQ0efu59mfC9m5Ow9N_nn{8s*`GZGIuZc5>}@&5`oCyix~0}-y(&mv;$`! z5`_zG7DICj^K`!8v#KPg_%piai{xGD{R`R0=<{HpJNcB`v7#dsE^zb;Wg~tV;L%}q)&CJrk$-@z0mXi z=ZHlaE_nySft|_}IHYUV0ePZVQde)Hcq$32>Uzc|A zSTTOUs~PwkMpjfL5Y!y<5%TdEA{C*sj8_bFGw|?LgI+l>d4qust0~0E0khD0%KBl* z4RGkP`~)hWzYx26B#J706rC~Q5Q>9wVY-q?X~vHK(j<}7X43!$6e0a-TsPhi1(Mw^ z=nkQ%QvXR}pkYna8&5pFm6VOcWs&}x=^{(P!|IE*GE%CQ^H*E|w#QbGLE zW(imgJ>t7xNY?*w(y>@PfWD!Ah~zE%raEl+Bg?+yv^*7h9b=~!e`mrz1V*9JqBZOw z#uy!piI@tb#-sJ180J$FXkp>obm^be`QT4wM$avOID6AE-rId>6e0wM9LZ7Gyoost z>wpo6R+7*I_5J+~Fsl7$($?_eCvGON{^KgpZ`zu^&Hn6-Z9TM`fWpnMs;Ja-s0lG9 z$5ni6piba`tv3m+OaEdB=5y%NoU8Y3t2fcV{vohK5Bm-oL?oR<(eNiyp6J>*1Zf2% zxIXCfTN8P^T25xyZYfsWf!@ zs+h#V5}3H=m|ePe2yt;Slrw6+ z^J5RNY`!sX@Cs--3cez@L5u(0j1Su^^QgR(!eS(L@^DNtpF{mr<_g)eBvdiV3G&s* zTwM}u<2GZ*AlAv*f~F?|H8n4>Mbh16Cn35!euR%K5`gh*LMQh6-E{+)iDEYVx7uHf z3HP(bnbKWxy19T_e@^c^Z|Ju2)3e@0b$1gZNWtf4O;XALXYxLO~VX zwet^(Wqn{3BE2cEm=Lyo)lnQ~A9W%pq564b7Yqp6Tfao*n}S^u34J|VS}AWaTIoC3 zKi-R2T1ce)&JWFKzKOOc?gOG~`EO8*C1qHDYj35}66PsBpMZqk%ay@^+E!IL!>N9G z?A**XWw1+!+eV44u-+d`^$wD4IGKR3sU&RN<3>mDjdmpaqR~i5h2oVet1fjihs}(vjxz7%I$PjdtnkM7?~(97AG_K0$AvK; zrrN1w+poCgfBcC0Pu>>XX7^g3Z^s>-B}&d;$pmY(5D()SMzX_NR+-t7U7~CR#f9RM z-j)*yqkG7WW^EmS4eO>w_&~^hp#ou~U%efM6p6zps zleKd{N91*DpH2E+F`9d?DIQ4Yw&(<5M1A2B?14A;9%#bb?uAnSGTElS6>iBvp1@vC z*RuC-apuO>(avZ0<#14FE%Idq*I9w9Xr^yWtj&j+69a ze(ZE&c#OgqNOpqxlS%&Gwi{>K#sR8q52qlZ4E9-D_dj+|;0!*QQfOaA#e`c;-g`wzyr1WTLxgLO&&u+A?H4ysh(~!%GZC68 z$64V6Tf)W4%GHWHbzJ0l{1lU~kflhoJkyM+GY9^PsRJ4~JX z=^io1hK<@|@`OY?Hu$_Ir|Tv9FUk>RYg(lQVs<)bc$z*%wLVa6Ye4M<1jsLz%Gd%X zQtwR$Y};}qRHl9*SlKCXs@n>7K&H}Gue{-i^nZi0aTGf2K zv#QIPqIz?e@nOs{6#!DbwUVt&&7trP8HPCVa#FmxlLQ?7@+sPD28TDb{b}S#MkX};Y~&j6P)vWdPO8bAcj^&$VDI7f>t)7P)vFnsNap4y z`-cXCOoyw$UdE}H=y`*nb#*3f^CgwbMiKDFMqxBekdGB3n_iI@DbJ8SWYc}QcylD z+8iA7n|`zPZ|;Zb`{>tOIy9V!>0GY>tWOcm@PDQxAN=4@r?J1ruow7JaSl9lx6x6) z!c=Hw`O+>c2ci;gwAijehptmz+aU=2cv~WXcB6Am*KM))v)|^#ANM36aq6H=yR|S( z-0C`B?fA`ZUMFV%&Aou{V%!Z>;0v}wv0%2 zK42PUr}W9?lx2CYmK$S2j*sJM{6|v5CfSAfd(_f&{@Ux5Zf0EI#`SE%U*apRWE>nb zWhgD5Dhm|EN?&oUz*?kwnu8QsLRq&TP3Y&*#*T>zhblkC#~YB!;U|sIT_CuwSTCcz z&JSmCE$_M|QM||#rYw1msW|jiLUf3kDYEUmi~Z}$PDQymmwrsq`BN6Z=D0M+7eBd{ zon2yIsGb+w&bnP)#k-{1x>(rR)#vg(N*wruVm-S{?;obh#ePs^QRT=?tX8U?w`+I$ zW{_W4WTPtDA+gnhr|3@H&6S_Ss1%1?rM0!9{APLd(Y6v@ zg2`A)CSgh|8v4vEqXOdL*r*zL&AdT_!mLDBn*w2wr5tXL4gHgIWT>!{1)oSFgk&=;#p!!!QH2<_+#57NxBN zg1NP**ae~Rtx!GIaCA_vMZxW#EKBKdw1=yao&!<{lU=~`T%~v(AHW|i{4jIoU1gh; z+TPw)5hK=gY!@~IN}%>65*Joq{X{H+77flV>WXxMZXRs!k-vk~l5G; zbSlc4%$ zLNv?*Je2t;(d8pvHWKsiW1Nol=N~mi<>qzG&;G=tQcF{A((iZhmwG%+WgnZ{qhe=h zv>XWIFw_iclSD{n(8bUC27w<4gsP6So=Yrc?0aes3y5aSl{cxMxarvwXTl@eHHE+R zd4I{>|5p6Oud@R7_%=)FhdPn1Ry|UIWiCTL*ps%AWS*Ho--JE;s$4ochY-q@Q&mZZ zO(mob+{Og0*WDe1n)#4p!}^@| z+c~PAmir%n4Ku|b5f;C#*r{1`eD9jtRa#W!CJj-Z7KkjyPVL z!C@-tdeBWf3EE|S61IkWCv%;JY}YtmMm%&MwK+tJ9?ExeW77r>_bu(z2eS~;F#Fxf zmg327X&;?U0L~iKBAY>N{bjOuT&A~NnRWc)oKAMg%GWxina!1}p8GY{j)@K@MpNf; z4#Wr8l6M2dq;kgfYj6CPAyXK-^=mvX8vY0ilG_Hb`YMKDms0RpKnk#qC^wP z9y_oQyDu*!mWbj(421mcvJT1Y8-%6KWV@H+>?>{1s|Z1xKx^`5tt{)C{NEd!Or?0u zC!GRYYdk(ZQ7{}cpKjF?)_fekY*usC_I7B9C$ExMwgNlIs?97ovfVt6nO_}ClsU_G zYwxvsnIxg`64~ZN7dS()lFnqSR1+>&0-I}ZT2-q(>-Q)G4I?f3@e>QHq*V*=CW@;1 z0~MC@Cm({qt8E-{2uy($ycx&up6ZqkNu9eH4WOPH@n-I3wX9>>F$I|B7Ho#($Qt%l z;z)=$vEBr(9_pDMW1T#hY^|bJ^W9sPDAjG2OwJT7Ig!Qh($aRwc~F5uqDkTkFgd89 z8G*I=?y3XqUOa9DgDe^5N%mDc{2T%zewYD=KA@)=HYd&_xrs$9WqudS`4x zb0_Dv$_`AmjN%GNf(&b1W1y|Am7G%v5C_Fpn^gC=uKH5m=bbRX(vwf0&{TFey* zIsa{F)q`3+lYd&8Z|IRP+y%M)bKvPPU#}0F!30ewc(u_jap;jeK_}(0bbWz^i?P;6 z`8}#NBF|rYyBXyTP_23H=q`ipLXR(_PpSG@Gy-;SpOud;r*F-OUd16uEl|&U#7-Ea z+iTJX>Cn;>EAv97pq3$%&`YYzi&hiY@T%6Ue+LUWl2)~p!&heyYu3lC>fvCJLs9)* zbs9fKZ`&i==K4iXn-2}=7d!s`EwmQ_+}qa7QOMbvu43~73B)$bHYyK2{^ zY7VQ~2g2A1BPle_@{6(on#)ugcgeRfTW(|QJsDd=Q8j}ISc^IgEJe)?P`@#ny!iUN zP>q(<-Z-SsZ%5@fpiwF7)mdk~oVw-AyhRRYkly<~=?U4?iwt=p^_jE-m%ePL_#e6^ zQP~TJciD>Tr7Cy>q%d(b2 zzkA+;%k$!n^yVztq_+K-zMmZav$-O2Lu*Ir3p2T@Q&w`v zDE4S^*yZdDXx(jnHDltL`OOtG=e>4bceI2nB=BP8 zSn50#o_O8-HMSkahL*6Z51va*QZ{2q!)$FO^z%Ek7dnj%v7)04=F|nc#wEOgjZGk7 zadryjUf{@80d%qgEO5_*ke11%VqR-Yr_P66%o@CG`x$MfEkH!N9|&w@T^rM->IHQR z6%*^6b;iYTIU0|?PuhECi;afpi|nBXdKcQtu^Hy4+=%2TTf7XCl=H)!);%P%-1KMI zuZs|(wmF)bRxgig3q33B9|8|Om9bs&OaEE1m>_<7eLYlOH&Di7;PO0XNh4X>qqHG| z=#){t9&q<8gN$16G;uzhbNBS-0UH#_2(ns$CQErnAEHUVI0nGvo3BL7?eJBMySdS+)d!A&B2xWVFB>*0nm!TY-nxCn`{Orxv)UY8kD zt1D0Vqv2QLp@zdXAx%O-cenQOk&ug6w%D@>1Ro1P9U35E@_#-TwDM6GZV-Nk(mi4m ze$4^@KYPL>!D!cNy&aeteYXli9m(< z=9usb>LoN%Xa!8lh2S&nV8g<&T0eqtS@~&f)vkpO_-r=CORouOwpS*=43}+lXt+B! zH{Nm^X5IK(yWmu0;}sH=LB%;A15(Pz|A@#9qdq|%Nomi#m5K^xrxrfYVvXZ=HCC}8 zFF`T9rdUWHSuCC*BhuzAjB$Hw96*+rgVq|`D)3l_YKVrcpV@mf`v(Ibb`#ME)W_Oj zo=^vI5a#)R#3FlCaM^J(%mQG#Zr7|9NnZ{Z88t%g|5-yxEqxSHLCj;9oJnn&E{3c@ zb0h8^*AF7}IQNzg#z3`9ea!Q#>NfyDMcZ+=a4{-Wk;T(?OXs{x%kGJdCKv>J^}&5VesF5@ zfg7IGF6ktPNTf^^ywOK?z_x|tx;_}0RQ?0sbuxfBXwfDRUoUPHGkLB^ycjcjA*oWq zlw8k9qSxT0CaSBVgpr7RMlavI%v1OuG`BX5^qFk3B6TXnYQ{7Y>sd>aL}mUkHNBO+ z9{JXK9nh0dcDmGfe@PW4=T%T)g)!$@W_L_Y9;VC++=0Vl(2hPR1@~Gl2d`AV!HfC~ zc1Nput}XgvKDFt#m~&LBLU+zDTbbmjL?@5sno;EeRTonSyxkk#uf91c(XY6LbL2bLevl{^zU#jF4X;EmORyxc8$d4Dyl{xZb)#ON9SW0dwYXRC`ahcWFc#cZ*rCpoR_h2-X6zO z908e3);PaR0A2Q4(jT*{ZY@2jI+Hvt>||#7vN?_OFKRD>-f2Kj8Ri6_&&L`IesksR z4p$$1Aj-Pku=hU=%W(7_1UJp2Ol$Ad+b#eZn;W4|B28>Vb}?%&!mbgzUYzQCxxKbR zW?%D!(#|~se*j(ST_s`}dFa{VvBq!x6)i9d@zFHB1o_P1E6Fqbtx-g)rBOLf>F6u{ zjhYjpn~Cm%t_f1p8m-CSEnD1cI6>3*RKarPb&M<;63(|tn2?_rSar<{H1T!`@;@4F z?%L-)(52Q6epG4^vJKZe~hv)OpJ;to7Z?`_ZpI*|4wZ8SXW#! zS{Kmp!!QAa;H!ofSKI+Y{%{EXCJ6slsCDiGoaWBu6tk7I>Lxcu4Av(N=8QTv3(-p_ z6sE%jBzyYI|8OTE!0b3yic?l$#0KZJUpa(l{vi4)R2{aSiv899IFBYc+4;E z&B`;mh%x`*F*VDE%%=yfo`M#ZmNuWI(aGQWc)paI)FOSU_UXrx&#-V-(EdjV_MSTxRJdZpGb%xb_#qEmxRtWhBbHSUOjL7w19H-BQ6 z^(cuw!c}~dkLe;m`2afWSZG-DTrmwR_FBh1)V!?o0I)3}i#H-kA`CdbV@SwgunI>= z;qCTRGgaNrly`Itkt^Np_3t>egSaAMbwZho3!$Y*!c!HX@qR zVS^Uffd3wS#87W4J8~3@-;y0m#EQlvxhGT}zp9YaS>DFuBeg^f8Blx895;L= zsfEi#ai!#8%&6y2J@y_d+D3C@q=$5T_+SYJ7czA(J zZH9WtNxG7>m_1Z5dYqQ`cF0!;s>fs}5(Yt$1AXAb}--w4@CyHME~+dCG*A?{`m zfF#tht$E8R5MxB|!S%^0Hw0+5e9?$Fb~@Zh=wM*jw7Dt{6^X2|a?aKWfZ;{l!}R=? zD#9Q<&lC2MGJ>p1K$yJo&9l~S0*kR9uvhzNmEd$))&*CuV z3$^89EI~&kAYeWKauNKGpPrtK?V+Y8F}>T_P~ky-7%*E4q$D;!>E5EQJ%!W6NjH>2 zJ=xIDq^ABx4(4-vb72PqNYmpz(DHCMaXT5PK6Xq@j&UmXdMB>H$y(w&rE4rU#DDi` zl`ID0(ZYYwVyFcJOpZC#&CjO5MM2!(7|-M_FlCP609XTrp+ygxyJ+U(8&MQ~W0o+H8(qghHd74&c-*jt4Za zS{^8QqgQ4QTD^d={FEJLHoE;J*b9k{)y@oU4T7m{Aby{=i5yXBr;T{~?OUgV%wdSXC5!DRJWO&N*@;K25W zTOY(e;|SMdFlv;>NX8r<{1Lg1H4Ho0f1nBLh z!kC};d?ZOTQV-&#^E_FKD;2v>8Wu*CL!2t!%ZicYv$*UVle=BR*uqfRFZYsPjxUVL_QDJbQf4WX~3Xt zrLpKlHKpUCZ#XiquHZ%t8*nY8)oOezaxC;-6lrqdV|TJ>@-5tozpy*mzPKTpOiP#9 zwb;4Yw?@C7KdZ7TIeCW}1Z?K7!TY+DO}i^zUJ=}!sS#_1suH{c%aBT6ev@}Gg zM(|mNv+Gwqw{To}r@hb`a#)+_S%Mnz?s#aB!AD3##5HM|)L_4guz1q{tL*uE4lZqS zL4v?n1~92{JMEG;#AjybZrrF#Ct!i|EN~g0{PudQpFBX@vz|I*+n>L+4q9#}d_l3c zJT6yNc!=YBaHnU4ST!D&=Sl_sIX^U8OFRRL#gW$Ed!A770AAYXwq*>93Y}%hh^wW; zX;n(l)VQmHg$ephC1ljdW-E6sRHTxCXD zwXOdi?s9v7H--VROu}#QckmWr{Lg4v`kNC^oe~lFT25+QghT(3h*X7HF($etgaQBP zmR0DPFM9kIDI|w>-bEr$Ev>`o1yhD$u9^nI z*fXTwJO~w|?6#H0_cSLja&?{5d<;mlK=?bv-FRu!TJzFZ+5|7rSJOblZv6uW0Xxtl zD;h7{y5c*V_tzNuxC7P@UR*RK<%CJt?G{L?vE9Uin*s0kx)*qG$r=Ja8g`z8=)~Al z-n9ET!o`?Q?iE-SLhR#J54M6jrApQ@Wu1UxYg5awW4RIJ!TXGK0;bvEx&~R(M$Jgk z(IhTXb|?g#>N(>&m6cMxTBvh>5MVj2__J*zOlZRbDgNyrulyjt`}I$KR{iER7hXZN zlD<7!vRm8QgOx7Lll!Kkv7VVMMn&^fXWd^$ATd}ptefa>S>kxHhn9depd z={*jt`nSVR*xC%4JNr_wa}u?Hh-k_!%Xhr!1dCzXM?xgKDF`p+e-p zHMPj+#qYO^Qj2|ZE3Ow^`%v@7ZK!^zrm2;P*B((X6VB)65S%o0=7jf|-a7Oq!tF)E zcR1mkSChKXDn%baV6S2+mi?CPq^0KyT$WZS>yH-8OVmnVNK`0WdNlxV9kP}kJcp*$ ze!_=#jJeZ31c1CX$css7xtJHY5fP{g*>{}F(?YWQbJ)y~uIu`fB2W26fhSL-<~kOm zirIiP%Pzi=wQ``TF)m)|_`c*6X3s)ZHDr2@=K3<3Y3M)H7M0(mZIw+DpGPGEASbGT z>G+0Z`FzC`c8B#xhb>vx3(WHnfV4|j^_~}Y!^&nPEQt=9XOSeHvA*x)kcedb{j%>0 z%Z*GnB%oLcKRMv-vt^`g^!r%TZpmOXma5vQfPSI`OOWAFrHpS42NUVA;Kbtk* z9`X5gO4eeZGmx0G_KP;1%MPU1mfkUW61n2#S1JYuG5kx&PPizY-Lj-)^Pt)k<|?PF z;8-Q3JY3w3F!9!RVpT)xREF5ggOR&SayLU9bi>V;FXuXX8Yb6VI+tozIj4N;^mHuu zeI7z8>-7&dlhS5PJGC_#=|-O1lk`Q z8vTS_7wZqyP$YVFfx15!>3_~x#+9~6$;^MoF{4$C| z8evojq#$$z$74TDWkno>YpPX{l!QJR<5RiWdN&gxO#QzC4P4UfU6r}xs~5fN;olfW z9PxTWkXz5>9n(LR)Bm}XwmAgIT@mXHD2P;n47cOx3WAb1Nu3+wJF%o>hb3Yx9q4zCfj40M7*@oOAu}tRKB69kmB~V^(8M3 z&v`b@AnvtSb&>GBLxw?c6K(xL)gO3D3DXB3lSwl|E%QQ29$j`vOjawbBdqb2hnG#4aLz3 z7Y{}q)ao7ICoEjlkEXj?^|anNtnuMIp{(C-<6)Hu*0^W}|0qRCN0w3jU>isxm#m(`~XGW;u{ug-k=_?bMiof12S? z(`1GIuI{Bsp=5v*B$AzCtAul#30tXF=$~CoS3;n!S=s1OnxAUM?J4X2=2605<^t1m z3HuJe=t2vvkJ!bGj-#CY)b;Zn6y^F7ofvwB`CE|6J$O;qV4mDpcm)RQU@Lne26d^G z&wb?cY%p2lfvuBf5vn0EL1N`+pBFM+)>lC!A`5u7q>8Sh?Q3TNzR#VBsVs`~7don> zVAtUF^aXuyH%2rD-ddN|J++lS1o`1MqiKU}k)p+!v$ut8bz01b52}P*R>4+?Z4a!T zfHa2z+Yi>fwfygVm;NRSu8fIg5;)+k#|W2>hi zabZAm<+u*-B@a2KxthMtGX~w7qCReN0td59y)+IyneQyXrF0W6o9kXws+elQUqF7r z(4Eip&kAQAQ3?&#Lg|ti_krWk=Oa^D{E3$oB`3rpY0C{c(>=v3%@*E|LMkQUe+k{u z;T|S3OT*P&piA|Bi#41vP0U788VhYs()NV=;ND)NaV#xE6 zFcAUfLM5TQnvrg$!EjwTEX?eAB)(>@n^zxO#+x?qkP0v)=+BPC*nW)&^U$LvbNHXj zMvOgrcoF|LFL;J*2kw{BWU_z3-LDlcEFS?g;qXcg-2-$tCiXwL*Zh5iE3bkNlD}4L zQ~snOUWf`^b2a|8j3BU9%|?qfT$-hsI-$(t&!KR$OC5hu*Y8~8!kXedx)di+I1)d- zkxj+}YBsA@+3->$$fZF)UD-^R*<02~xO^R-1e2qu^qrs*U~@OmQQ3!Fi0y6TPYC>C zfP)DZ!>24}UE9YrOLD9D5#4urcOL03R*KTD!Mu^+-o*CsL*axC;w(ytox!|)*?2^$ z6&JeDW13BLQ6${-05jNCaW37FiwxZniB+5)94evSbA~!-LB7B#kahu5wp@iNWi-e9H4tot5vxHLS*2%dBhH*Ah$^8~3-8rl71|umaEYrkU-nBIPXRgKO z9&;*Qw<(?RQ~q-3|C@UM5~UXgDRX`nn8jh(u w+?I$o2>jV-J+W82;gsJI9arC|lx6QklZv?BzgJzawLn-d&F20eZcuUq01m9u0ssI2 literal 17885 zcmeJF^K&NO7c~sWn%H(Gw#|t(v2EL$IFpHO+q`1i))m_}-+aE${r>X&2~XYCReidu zPw&&c_g-u5bGob1K|nyNet>@OK|rj(H@5Fq&D6=+(%z1knVW%`fr(he)zZdKlJ5NM4SE8z%^*zLG%E`f-wwe932aT|v2vj%P6?bQ>(@{Uj#tnYQC z{svGBH?_yFMYG$xO1GZ zUVNsW-d=7Y1v8I$kDRagpSY(zv!6Vt-m<*~1-tZFSy}I_l9o#+COY&EqG+}XZ|P~S zR6HYXyLEvYnDph=U4DxGtg*ru=Z|{4m7l&m@3RQ~t{1ZvjhxGYaf4=Mumgywcp_(+ zS}Nm;t~J?grTY07z~#=ni>vqQE5G3_fA4kLVZqAV-x!aUJ3OYeLgbKPfuf^9Cuz`A zuBNgj=CVH|u%a36T6uGREW0vO>-=uXcNn~%jO=rr=PVAX_@RzfeK~d3=c+3Ur;c7t zrNiudm+!D~A7#07+P4@|(SFzFpo^8zhY>;xr!KqIL8Zg#Ypm7M=HR+>dfCCCvpiVn z@N4I9AI3ZBr=HVq?=eTUfW^RbBVjQ~I3408;s9HjWnOEvBsiCEAmPc3Dfy(7c|Z_7bvQzB0a( z)%I+z^~9_zU6Hj!A;_gQu? z(CJ)%vuZY_MHs|ywfW|4x$A89?dt~Gea$Ki?Rx3%d|^)atHWw&S-h!F`~J0c`J_l1 zT{R|2Jx#pBqO1aI@w`RF&v&hwruM)TW3%W^M3-)%lT^T!pgGuGFT}aqO@}eQJ9)}D z=IqzpLSs#7_qv+*%-P;YoCVuhORzOe99`8D6eBg;?l=pF5ah=& zk^NfG5M}iLx$YBx;2tzJ^Z z1zVn2F0C~X+~?9N=r^x8d>}!q&muvp&l(ix`-v6B`LNB@R6Yv;@kfcTDt5C45l8UW zU<=4^HC|_g!d?}iC4CeE#0hIcaz~!P!No`rzE1I(;a(A+;Gqve`d8Y zP*WpYd8$iE?Kos8-zMr%QLmEkPfQ%f$2UkyNmR`tQb@2ctmhgfpA4>Z#oe|7msG*0VaE zVd}5nxwZ$H-``>GQ`VgA7mx`|*fwDr+VdkxDAZI(K=^cy8o^1k znPjR+K-X+TvOtZfaPfS$M|?1{uv{OS>ZMKK-nhq5MOt*mmx01<*|$S^k;a%txFrt>tzj)xI#LcFnxL`DNMmu1 z^PJ;V{w%J_{*D3*5helR0nBjSe=s4zr8NO(>-Zvyz}5tiV?8;s$ix=Q$>hg=!NQJwZSyYcr!p9+-E^)iS# zX_`uiRX@_jhvldL58xX%iD^>Marebb!w@9)P8W+^`IR@BgvipViS7i<>NazwOb4G< z4`D3$__c2QX)CWk2Wn~?Z;y?B5TpBt;}V<-;`og384G5` zVO5TFkE4<$f!j?1e7#bylhXCsMk9Z{R^#m2+D7t3c8DJi;cT|uW-$Z*3tEpwO?BR4 z*GO6WWX0{wAPpaOUCtjU$zThvpteQr@SzwlBIo^o(ihaN@x3^d12|v5AQQyooBJ{J zlq{b4|DKgeZTJcDqMD6q3sTIBNM!J0S_YrVm{Q^pVf2iCFdJf zZ=+UEV&SIxnp|T{rm4S#mkQ+mZo{*P`V~}@=(;Iau5$9L-FT2c;w~_h6Yt0Yb{TmZ zVcMs8VQ}{a_0+H*Q=3)rEv^`n)zq_g>e|mGd1I?Fv7Lam$g%eBuS|xvJ`S5L40wr`#ZmB{|oY&@6Otu#(*1V^ugeghVMJh9GG zcs#^FQKzrzMFhP2>u+IPtsq#GH<$i6K@b$fBqweLr*`66u=;X1Ygd+^HBO|sH~$T$ z4ed9YN2sQ1&qf7ju(Of4`X{6J;Frk&ndYV5Nhpw+H%Bz#XKPEn_K3rzf3$o}O-ug5 zxpK3>MdWtIAiZzHJSVqlWJ>4^$CbK1ajp?F8~>Oo<=^nFg`^_-hX3o|^5L;k_E2`e zu=l@$-Du*ekq|pC?hpbW)=`c#&x8yr@gwRB{&iL35cg?i|+9cO^YlNl`=`%b(KjL%nP+UP2J9(#d!M(_Idp`czAGMw# zwQXd3&p2)s+hkakz#)mBn>+NhLr6@3<_)SWJ@J=m7K9#~fBH<7Y3>J9B5t^bFsSYH zhJXB*uXjO`J_ILf=0Q*$fBX*HM{^zoyqx~iRh%{@C0$KJK?xS@n_}!6j`#fOD=TZ12=H18)1mT?pEoo#0OE%9gMU?)H1 zX)2Wk2C6)qJ*Rw+NS#d~b`)1^7E!R{wPHZ@5#z7Jh`NLz_zdn9_aBL#+CTW2$Khd@ z=8Nb?n@q&p-rjd>j-kTtomqIeII17l1t31mbOTEkr(!0k$P-|7NV*AxVFj{D*yk-Z@^%~yw`boeFp_F^>?%5c|XgYerM^3J{fz5jwJWD&(8UYmuSO& zN~tZE@!J$sbaAoouZ?-fYV{>)jt&d=%I`a$q0gZpp;f~A;mYi7G(5}e*J%0Mc_?Q6 z!Qvcl=D9?QjnF1xFM0BUZOefJ2hyZpx9>&lJ)(ydjgzn0BSyxR<3>{K26hy|Gr2D@cqYxBSwKk7@D z&F)61129+xe6Yn0cORoLrxSvZC4%TiY}5lHdigFR5QR^er*zM*UF6SZ;H)2?tg|D3Ff|deGTO{WedZCtBOya723lduHiE0ID;eMIXQ2#V4V8n ziU}lzfF;8K*7qh(Z*nG;|B*;+&%gFxs!8*04-T}6Ut)%$NwfH^AyU} zSnx0j%tlK)Y8A>qMSr~(8H9QWJaZ&r`7R^-c$wMneb=&iIdhTZw;0Hqt7T;$Grn)J+H%-9N4?{8}NoI#Lv$4`9UZ6LJ@t*_3I~&c0&i{@5uzuA~ zLNnBp9m`C+A_k!CPYHtwtqS9|m4V z;Z36G)Nwsef-TP`Uv3J3s;gLiS6|Ud@YUPSoLdI}`4)K^x|y1MH?v2qeXK1X9MaLc4+Q#f!a09)(RA zQC3+x)ltsMK}*6_6e;TizZf3&;|Zee67}osU8h9Vw{MG3k-x8^)vD&&Y^-i2_4S8S zjN1KAS6?(xxluw`@YwibSkyJwYuI%+m@T&FY(fc zn4cZJABtOZtc?nRr--n-yNuE?P2Yog@%CtO%H&=z7CI-I{;#LB?8-{kQ6EbL>dV)h@?eZ0JM&8iHfp*_Yrnsr;6HqM z-ViOW`-}W3rPQ>Z!Nf~bU*@1Ui^EEqQ2sw1z5)21h(AVhH!lbh@gSp^GVI83-(h}} zy{N0-SClHIkm10$$;&>K+>PY2zTpiU%%E(|9c7qD8DT|ezmsUU|53Wys8%MbA6y*! zd(|)*_fNRzUkQ}UK?>vPhg#T&;>=wb^g=v=k_yaZX)GB8Kpue z4**9O;M`q0#MDPKV}-f%kxQL4j`6%)6MS8Dr^rM#+Ej3o8d_JKHhVX%N{Oa<9ZiHi zu~_J%bB)jkGYE`wpBdq*%^hr%0D^};J1p2nkcc?kF_FuvB=f`A7gC){mNteyxUIe zE6gE&E#IJL5$&4t3nq2KI2U9wIzcUSPR+vNw>_hY70R~b@U4+h;nw$L9xtgETtXdM zzs+aN{OyY4StqmSPCxR4^e@)ABh~~2yJa`Y$x)fV==Ii9SLWxH_wZ#$$Fr;FSDWW< zq~_tT49td>lZt%?ip2kwv#8iZ{9%NcHa%yyC>{@;_Ufd591uRDS0%NU$vBzH@9I-7C|k8dot}>YWeA znoKlQErUtWJB#*dAK9_S_9V7Lq6)Bf{5UnrV`#!v_^=1|KoK_zO&EPdMEsBU|3~G) zWbq`PA7aOTjX$e(9#F~02e4O8f8(x^h5IOQO;mJj|HeJH zgdm^_S}3lQl9M)&AyMwb+LUC$BMW}xuWzNBnHrdB#)XSLrV;a!M(DHs#-cO;8H-8D80?{;-LB$T7XPX(Pf zvn&do`m2iqMC{HFijwPEXUB7s)|8wmf`ngs?jH!DTz{HA4v6S({r%CY?w3CsD%?M9 z35esAd_T_`&K*8@ULKR<%SvP&Vzx-cBC?uI^VValJ?b>uA9Rb_(x(h|b4P(|FmhR7UF6dVA-j{xJ$$1r}6}M2xT`b z5j8S4advo+IFa6F3MKGF?aY)Yyq?wy^G;o?mtiHSR`}Rkoau5T8P5t`Bs)QUO0Ls4 zi|uyLfY89Wwmwx(;V}9pmn~0pTz}z8WZs}S8=AehgGo)5UNbARaP3ihcCPSIIt_8s zea{|YLcSwdMFbvpnC&{?9;r<{ME2*PJL(GVoKy0YT^w9G7V$w!VNjgaI`rLEtj`as z!tW_oM-(1^D`3E;i=Ogr^FH|^?m{)Sq)o4p5RM<{%bWz2I;bH;bYZLkq&({~8Q)jP+nE^z z+vSH$?eVXEL6Own^n12MEDZMea=%IM@ABsJ>BV|dn-r<0wBWYyO2PqxKlm{RUcmBN z@ihS|V0mP{C9ql5nD~qx9QM3LTWohv_CqIj$s?+ zB=UTEBl4XF$J=_^C&MH1Dai78uah8L#*r6%{FETvjlRKB2`!pt!PNo$EhdWJqG^CH?15Nsh%ZKQel?ez!)WnORuZY))l({+ob zExUNypK8pG-mx0ki&pw&roSL_9PNVX6{fZAe71M4u;c_jH3G3_zk#S^hB6jIlls+YkR?uOZxOn_@u4NDAXygX5&TklEur>?l4sU~i***??#&{Bi zcWNZ#9{~O|rViuhv`aoh8w7i=_t_`PpD?1oc5=wAjZw8tHlDSTmUjDN1ek_$Gpw5H zgbx=K&tvRxv3Lar%-Yxuc*T%2HXlY3jCYB$Z@KWF!0G)m#)v=VVgwp(--hI_ypKnt zkOW#T@+63Soq(cOxRauFv!R-H9X@x5(s6rRo{9gyh=XWgEE8=bc)IB4B8$l2iaF4TW<%C0mXw!&6HDZQ16 z0-|y(DzWRs{BnLiY{q|x&*+en9i8)pLZRF&9bW%pvcnU;9?<^x zc=uu>=srFzcXxgyv+74U_IQ{3uERR*r#JfOtk9e@JvwdreQGyAR6OP_+D1@eZ2F8m zX~5ZP?p-JD;;7h0@Vkf1caJq2!DW*-Pffp92@MfGRf6yPqM0g>OpoyaW|Eow1z_`E zj@N&5ozvyJnftnKUw{KXd3z0`%}EkDPV`_VcVFq{L9KNqYC%uGix+Kp`S;o zxzDj^#4DqzwVik;hL>YT$!Z)jijyA4hP!7qE;@%qYw#gZ1-~kEexn%Rp5Z53A+D5u z$|ppyp)r56ZkwDQ^%l|mbf}$AfZ51V(E{a{{}5N#=`9x2vRIkCqOg2^l_lf7!uvC{ zCU{|D>d+L2&#;2{DvOTr5hTOAv6*|JKq?1Z_^y=AT_W9oDRM5vqBEGFBi4y2e?_NE zC}w4C=%eFjm3@g6twp_}TjGk*nep1E)bJsR+<8UuUpXVhFO+CRiWQx_O;Nd1^;;Hl z3q33knJA`pg>$zXzRJ})V6xfeQH(U2KeSn0t5!r%P59dj6MGm*)PUZ#i4?)^hp_(Xe`N|YtGEzD9K2ZZ)Mvy+vhyR<6s?@+koQ-*TPxq0CO zq9xM6vU8@Fw^=S=(k)9gvwpcYS?l;E^Q)-!|C^2oIHaXzMc@8()H&KpS zg2R(-hkpI%O(0@ zyYV@l@^Cjp$z4AIssaI-bk>2V%(hk(CTxCxX{$zDm~^Z}k}%nM+t1|FQQ#kVfHmoj zUX6>9APJx7K2C;ylA8O!nfsE0ODZlXMGg|IqSMSwjfkq`u6t?sOg@M3~uCUacNglji&q0vbAISXZuTBQH9+yH~iX zP?-^5SNNp9s^v%@KrDtyA90vo$o14nJnd{NjxWY^!4-B+J2jf@734u+pN(dR{(^AH zEC#rA4owSWaB1eZiIgxb97SFV9_Vv|)ji_q3=5 zc@c5>^BGCw(z|L!d=4Msi2=Mf+~}@@boYjHx0AJun=^KYaA>uo^rdSGzD3R}d*Bdr z@I_>wGFQ$h;j4Z00o+mWg;BYGcCJ6d;NJ(`R4Gqig7wXz0h?>8!Qc;(P1_gDj1Bl! z20`_-zt(>8t}hi$mo55x&R!dPx9*Z*DE-mH8n#<78&XSH7;bdQNIS4PKXz zev$Nd1;gcux>(#A(3>uJsxCDQmkDz#>y-6DaR?7*dIT4~_to4*aZCK;Lc(pIAz8Hys!$|8%Mt#*C1aDloZxo-YOr>$I-D&kb!Q8^n0s@jn2ZCVct2fU zFAHCKm?*HjT5*!*8-?(PmJ$&JdinX5BBE9l1*+*Lev-6l5N7 zBVJhnqRlEiK6Xhzu}B2DX2l%W$_;(w+f>)t=~!Qja%>8AIuFJeZ*2j2N5WA43@SW{ z->bw-=3D~GGWD^Tg5K7mjsqH(#8GYv$aE*U+;H*R7qSR>tGZ&+4o>k;M}@YxPVdcIm^zS&-42A@EVH5Qn1=DKM6=FRq&i#x1g= zE@e+#uMb=rk=fiE5X)k4f%iPmyUkgp?8Z=g>ke!S26wO+fD;&muIEV1z@P6s={6@H zrncWiRV6I8ncw}S{9A10I{;OzSlslmwpvb{lvaYR#{ZUcE^EpxgL0-JX?tdhQ5i=} z*cfRib@~DN_}C5nY}C~|z|U|IGfC^}*`|+jCxP>SPafyW30Gy^$jbiVkNnyfa&u2M z1h2*LMA`_7gTg=Msrv147tJpj*v$o?iy%#yTm)LG`GHP26oodOOG8<#rr^NOTINA7J~<|*WD)i(C< za4&(hZ9Vy;C1IWwDmgC}RPs4&VT*)oV-hoO5e%oW33h7jO*3yy?aL-|7%u0^QJdbC z53^=5>~y9Yd$d0$&>#lNwJv{qDGmSg%Xy&CB z?!NR2ilIa#+BP`tu6slM`&#P?UkM6VPhS%zEK8!Ftiv#5lhC(7lcgs;LXR!)w+4?D zotn<&(WUDLe_%pPm-n6VD%7H%q=OyRag=djbr!zI8WtNOA|!oAK@j0T(HwqMA1aI0 z4Aq&>I?fpU#!z^H`imWRTj2A@Dplxe$Zup5i#0<(3*e9hnhtwUbaH3G1Rx~zeO^6w zyPNKdSU})D_{O?f+)N4S<*Db5(2qDSq`PocUKnPFj`t$8y6v(v0Ow<Vcyxp^q5o#AcGGy`@bfj`z0MA8qEK19lIy0bs}g)%{yi4;3V%%|UwefKQZ1|d9L;b|* zw0?H>HaQ29v1Bp1N|w?K-%2=TfJbtCP#(xQwT4DzV3U0TNK-hvd3A&T2h9$4dEh}=qXg_iQjH1k;85xE&;*0D0H zZP~M?2x9r`8}$w{HTwa_G(k5ATKDve$y2j7%Ov=0A{E9wz8a=Ei%kJ5bsVLg24Nav z;_GLQUL}7*>@Ri`&HdCY0C21e%s3)TpwVDR@CyN!z;?OxVoAYWGnwuPy6GnSdMM$2YD`w|ONEyj8 za+;f0uNhE~79}X6#$~I7O+(ttC}2{15Gd8?+@0{Doai~*r1>(?qnv1IYSOQBq1@yLmlzgPR-*3igdQp*G;-6GIRlFk`Vu0FD(P`f7_cQAR$W}&-9M<>qvoB7iO!iwI6s9yRe>5m1QlY=+;Vz8og|0`DvWJ0mN@<|>2Xk@S%aBRgw`~PD=d#0nO3z?-oB;F9Q5TIV&9Bhxr_zWi0m$Dt90kzDV}6d?xm{g};b0WLz3a9Wd@cT~|5LPtjl5Vr%GL^jA!mB z6wSauSYqD5dkNk}ePj^*J-LF3d$f{L23QLC?Rg{Ww$DCf7Do}3jp@9$qpA?LZPAbb zIf~q5)){jCAXhU6S&j3bwu=xQ6>^iDupTvK{gJ&C)V)W~!_rf}XL;e8JwNQs7jJ$# z+kw=0<0)*mb?FWwm_hjc(YB!f1N3wa$2k;?@0tAK22w;){>fmp#?wYG zg4rxN-{s}D^BHvzcH}i`A&A)eaW{ikeDDdgS`zkYgVyp=pZrHud>^l*X`!Y{E)>sa z^q}J#Gy^t`Ck;s)Tew7}NO!g=)IV=0Yy2}L_L@}%&ed7-bm$UwsD^2iuvZ;vZr73jRhuLQo^_|fA)T+%*&2yBd<1=U% z6!iLd5E%#eh<7&PrJRg{%Gvc%hm%de&! zA`#3F$z5`aY$ciayZ!Qx_=GT7SAKJ!cNMG#zThR=&ES!wAiORx_{7BhT5G9L7hWQ9r|Be$ayhSvs zs2g?0Qbl~S;4+`b=1(o}$N`J`vCx=3RX zHESAU-4BG|D-u`ZEj2>xsVtS(qVt%U;K4FRYQ~N zk~(^U*;Ou1k-U{LyWm#Vjzwl@xoVaRuKm^3OXl|>9lRZYuQ!6MH;M-tA3?`0-X%>uN>8j$+{D-J2ax3tQa zxg)fVzx?#bVYg1-a9m&iIUzO;e1EGuFKhaxS}WFd!7d?xCi1sQ3`Tpx^~*OGq72oN zik~DkPqE@E4lP+8hV?#~N~<{-`5~YQ4L5Z|iQ6EL9NV&8Wf~^2>QP)i#$IUjO%a&r zaujH-HvQ@pGw3+RW4lwupOiJV+f;pKNKV>TF8`9>@s$Y@ox0POrgwamOK={}>sqAg z`kF~`uVkGSkW%!f_2M?gpaScGB>wr>BU6aActWCiPp)8c1yxo(f8A}u1h__&faA_w z>iodOs}y@I@6LGV$*tJQz;W}QHPp>&SrSF0n^@8Y4j7<-(PmJ}(xddTmpMdst}URF z1Q5I*wov@c#j)fJMy8LeE8MBPTe$K-6aC5z0GcHrCBSYyyF9XjIsD*>54LxC&*yP# z5(}*r2SdIVMa9`Y4qF8_B=XS7aH2y!u}P9bR0=&;C&Tpm5A5Zl_$k0NNETY3I)sH9 z>0W3$&3dSmyx5Y=OVh`EG}@!7^lKL^9~25^%EI#pJ#;wSz4buYnOrH+Y1P24*J74G zY=^>$c58*GlEh=bPJXM$9Y{plLn5868n@+X1akKLi8niHWDs){6f;ld1;xltBacbh z(On`kso6mK^dIKcw_rNZvB$P?lSkA(*s?0lCX|8ze?cKJ5Ms9g{xec7g1SL2`LQfBmM0z?@)n_Kqe&_L8cB2a4d}#ABDSXj zJWJE011{4B=`H+v4{%K%0ocZkiWR;i{cET{6s*YzcL~eaDI-#77En%UKFXJ;jJ(%- zano4oM5hzBrh*m|@i(8Oo&&KYv+b_&4m;N%u zQ*a-b=xz1FXr_C9 zl7(662dkNOclW+d?{GDZ2zobF-DpXqxS}N$iy9g^JIquEgp3U3| zL9BRhmUa&>1rkWKV=qq`nq87>tva>VDE~aff7d2Nzk}ERS((3KNW@e@6 zGCg=4VL^o&1ZkH?E@DtSMICIMEEzm=Cyp!CMIz}W(sGa!YPdAslu<&5SX!cIhS=S0 zZ4N|0aymo8LwNpU=r3}Bqj~p$cYUgeZxpmn{sN^+r1U^y8wb%bIofM&#E=6Sr^f;ukrV zN2An(Dd_v4nMr$7+bX&KdGzlyTFi39*aBHNJY;+o#r?n_A{dUwls6=Gsi zakJL!HYN~pLUfhxiY!P)OQ9NAmzoLac$c8|C{>@Q@o3>I-D*P@p(G~tkix{Om%M^{ zt%eDko>6vv%h3epEN8(1zS5)8Ts{l5(8Bs{yM55GHLOQF3!tA6w--{eCwSIW!x42 z!v+FMPsvl{!T9p573`Kk(sDWe8o`><=+g z3Z71K>*XNcv4K>*ID6~wmDiGTE73WU>iFd6;ytSZsyP7QWG8~RRkxM!;Lw3R*kmd}1%LUv2 zCLUfm7vF?sD|r+bNd`}QR3UT+FNl2zpn3?z1AcTl3Mi!aNf(G8KSBPYjxL64<4}0~lL1LJxKXZsp8ynj10>w(K zO)R&bgGF)5LUoJH%qM+2B|F4 zywuRNjcp2;e;rW2h{J-Ow0?A(@Y^+Am;_WRh3O0o?*mcq+^;%ZymaRedYLt@|=wRhFVIq9?NTaujfTKK}25Pmz>(npJVqFedHCgpoK4=Fat`m?Ba1@UG zrvDHv{_IS9OHrjm2T}~({|oi6qEN|Ke%Ged&%8#<${!*FNxmKlkK{tXSVBd+#>N`k zjzojqN-iVo{yAgW2hgD3(XLFsrdP|D0AS3H+&>R_IBj(Z7dUKUHoDMYzp(^=IeGRi zpa$?6XRX;>;?d=s$a0n?IIodL$p7AJbFEX8js~;hEqn|tdl37p{Um)rokUigj2)uv zhAS91Kviis)tnLsK|B%ijrNe%P_I5f7H*|7T(6*0>~+-d0DsTI=eL`qf)HA`=idgy*ti+Yths7c*QLXI93#;U#O&^R4r|5q7bp2(48A19P` z$buC?Cpn1b*^zC=^<)CD39U;PvoUP(#0(FzwC>2yurv~Qv3Hgk#}dx~?lMi~Mg3_j5`PxUzP3q} zi`a1^2jBV$CIMkmy7`z%R<=@!!oMq*3?5%Ks~x_qH-nqUtFD`@`cDmh`?y`dBnuQTbvAIPs9}2?&-JBP7D#--lj#kTQmzp)4T+o3gw}c)kkt`v7l6 zPQOI2y5%who^roo-h@%Gb+99IM_G7EbTPz9(5!C#{@c;r7r2L4B40|e#3%Z#v(fCT zamU)OxF>buB}b~YE#L%Fq;u9v!jn}Ls1cA*kvVILdZ=*>Nn--bvm84>oGhcP{cjFS zD}u1&m3tOvFFajB?J~RwIJ3yOzM#;ABa?e}jRwCyJ<%3ufcBV#o=z9Kl;n3 zUPmFv>Q4S-FBWRoY%$ThrX zEOM|7uVRaPj`Z|QP3-2$4M~R)N##J|zC4^^n#i_vLziP!b^w2YL%)cF!DS(G^_Z{6 z0BPyYMOuJLoH0WLEOy01EyW$PnheuRCm8$$hjvYbXWKyWb6_8kysoU{pvAB9{O32&`GIcbi9mhcR9TtM)-uI>|v4= zB3&Zxsiv$y@bQE{w2yb4t-NCfDFrf@iQiE#ekyhQN2Fo7LFWcJwPHG5faF*)Xc-F3 zn(ziM`*WJ)-4m16w?b&)*3qf-9r6?YDt}& z@PUwG*7b!0@6WlE`w7U(3*}UAtB^yA9UzMT5mAH zpeBO8Ta#IKtW7??PxLVk(CMKE``d+G=_*`u zk?Pf6j9~=~%(EYM(Xe$`IH$1Viy1?`g4FwQc?OPc8Cd}hF``5ZP70jc%~1mE&v z2?p_O%a7~!95V9<)5B?N6{t-Pz_K2mjQ>}{Wl|!ag?LN91C(}cA!!Mx{FZUVmS^T#+K0-@${pAHo4Fr8eO_I3tfHU`kpwGlPxl&t3}GrFQDsRvw$x4P z1a@Mg|7iufNAvzYsbV>y8>OAGPf|0^>Hbh#QN$QWJwLVMIa^-gxJPsHovy0d!~ zuV~D7Od6T)2wOVzrE}G`3pex?^$KWy6qI10#%gesh^iQzu1jSNST++y1v#_5Z8u61 zqhp@UaA$F20+WIvI@l0VAVSZvV9^l9$37wA;H`LT6EkskPrnAy&b*j_QI*rRv zL2~IGcPi7-p~=$44@o3(fi)wn&e}pxtW|t4|Hl`$3zryzrz3Vn+=8_c7G_Q4w{IY% zoohGKP4C3gg5(=qRt5k9iVe{}KOSK2;5hK9F1?p{=me_s`09d0QDOD{KQLg@T(rC(bW(kiu2gc) zlLQ3_2&f7g_$LJdvix~iex$0ggQK~v4FMx39U~nBfsnJgl@S3OI|Bg&Cp{ArJre^X zCxMa_fsDD6F@dO&xzkTwh2HBQY^zZl1N{igi!q$?`){?9H=%TH~KfvPKG^g|V+|p8P zhf=w6JDNSc^d`Hat}F*xw!$lE(^&4wL4jA1C6=)?N%lP^EB*v)i2FRo*aQoug)Xg< zljza8;W!Tskya|RTn+{8P2H$Im3us5akyTgm!#A9-*l1q3Z*+vbA>h`V)zHm7@Jb! z4+rENju2eLi{!nSp#yr+YHCwZPjlNrbVA)ojxdRE=Cde#6F}c2M_M{W|3fd*WOP&m z7pEan6rrqJTe`0#US6ywYPqlx>6vsPv}!5&uijIn#;nS>72!F2rJ@^ke97DzXK4%m zXXq402`cyKJB$zC47@35wrIUn$sC8GT#Iy?RKqE0tiUAF;tcV7F5?`*TP}K*lEy#c zIw|CGZ_Ityz*iTlN7kC6@Na3RampvbB}&Jn32LDv?Z@$*$H|?POCMZvy1xSs>JGKC zE!Mbf=L;uDbCZzhjk)lP$LfkS>CHk~<`>980a(^@9rQP*9Zh$c98h=DI zaT5%*LfPleSM#|G$2h^cO<|B!|y^NA^M2}I{B}8IqGfNAl@p3<~vv_Nt zGOgltwD9YqA$tqI1F3eNH zPq1!?>xPbBW^=B8%zDC}X~i)QAe!1&W0i`SARZ!$r9pHjspC#D2?uA26S{D(>+9`= z%Q!ipAi#G|GaVmIG0aQli;#$Wky5IOFUV64lnjKT<)8Q1ToBbZcX@S+C`G7OPw5K0wrMHWEP;MNH zxJS|xBav046@F!%8Z4b4wLLI&pQC6WoNiQhYbY{ML(|>Mw;9V+Oi`knNVCwAOf>9E z5O*tVq|g~}C`rPNHeY4YO-Zknbh@vfRHkFmY8%xbzhyX1)`+%x%f&8#`PQPEL418q zy{g4t@D(QcenB9O(-q#lUsd8?aiTPp!qbz3r#GY7gdM15M8$Mh9 zZl?_jCBLJ9UnxaTlJbO%eY`2l;;a1~nrOI zdRMFNmiBFU^mhwmko_`M@upPSQ-agIIIF_)cZox9V7D37iuaN-U46PutV5>vhSqn~ zM+ro;_&Zy%ZSL2ArQ&b0_ougUWjghenGuJ!ai8w>Z<*H}r|ni`OZar*LO$)*R%NUw z*h~Sb?lPF?WbU5Tq`d~u-xCyplpsoTq$9d(D;aLyvc~C;TG7NQdu^I{Pl&NSg&!XV zIGJwhQDm5{5WR8Hx zyV!{{pcu#d8r_}7bg+9Obaa3%0zBnmy&Ik}I#hkFXKuxTz|-GBr27vX@F64EczX2e z$%>9qLh3=>73KTygnF6Bo_F@>_5y6sProCW{=yn*Y|=HO3C&0siBSV&cMZL(7M@lb z=Q$sw4Rt-SkVdxr9?a3gcLg-;A@Ur*(H<6G=!)CNx2tSrwd(;1{uHiom=e6JRmC%s zTy@cLfP{YA%8c!X3;0>37E2gy%bTIn4JBmF?XCJmszb@)Un2rJ#q6S~`NLTg@O#J} z@!RikUT?JTc)5?#y99Jjp5`;89HOU$_M#g^FbC(dS3A0Se_{F4J>cNW|T6P zIFLd!#|)f1X2v;!c8Ss~{@!phygGlL5`yPP%wx$tbRC1(S!XH-iNb0cYbhC)*3tOq zrFxz%zzI6#xg_~PleX&PH^_D-_J`#<&DZR+G~YRV{>5W#bm&w36WRsk z)4?Zbv;Q;c$iLfZV&;Hb=9-PDk&R6LF_%QzB1Qzq?frQ$o|9VsZJSeR0#9Qa)5RyE z9KrFNnImmi{IK@H3-*Z${0nzZH@6*8p~kPGnWRWptk1~8kk4PjW*aQ?t-jcwp%k?k zCqmQyFz*Cpd*y+B%5+zc`Jit!re2c2atu>XCL)o?!;ijRz$3k?|0G@(!kjZEH`w%m zKZ8&n9Rf!x@J(R&rpZq~fLUiovqY{j ztj8%3Y`3uD z;l_vU!VG})$#y&^nxn?g=NgrKj68d98|AyU6L)TrA9Ut~S>~v5=NNxFpI>fH?tKA3 z9?@c5bJqv3_wpqe;ydR9<|zRne=`kUAm7rM7R4sqijGshkk5KcMR@s??5~5qhkY}S z>*fZWtnZ(Lc2@Z$&734u%f!vRr5pOX1HZwSjF$R{fhT@jA8B{QnHmRpH|AdCQi{Jl z;_q(6NcA>k?ZtzBvb{GXDSZisk$ubeSby9D{5)h{a|5OU_WUc#vN#~`cA9V0y@eBP zrmJpserPUlh>{dIzZN(fSlTpXvg^NB+^BMyS)?(LbQR4|LcSk(5hLXM<F zmBKn53FEiZLr0V1oyM4H-xU@FA{HZ29A=5LYQPc8J;}1~1{&7jeZu%SAc*hm2G@LY zMUbFg3@PkE8EWDAciw6AK--}sEoy{bM=+0xiX?tvO&EO{<{Y@7GRF(RObGc0oq{vV zi9LNmC6?jIxk&)QnI!t|zET0#AuK?`DgR^9!7qz46_W=;SObPdecGf-F|Gl##?tm( zKAud@qdw2kivJCfn9B3qH#x6y0NDLKAniaL!bGfou|BSe)cE-tMono_WI;O zY}+eav+ZZXbAxvAXuvx}A$ahI++{kLJUXe?;T~c)RjbtMxsP(`T4ba=nm@>D!d8sdWF#I>tSXk&roVF>>Ot-^FjRvzIq~2@JjljVbXWBoi3?tR>6MXUs974&tsyt(9 zV=fQNh@Qs=fiTfbpWm6!1|h+yhrZ)6t#7BXM)$YKLwoVgd=MFH!9G+VlnZht|1Vr+ zcibSirCV~L{Zy>;>vVPufwh6_x<&1mT2AnICsXfF&Oe@JBWRKG<;)#1L8#z+jg4#T zjXn?pa-!oK(*d2+enqJ%O9Lw2Sf4(yrv zJcbkLUrkT96L4Fqxhzj#R^qN{Y&0}9V``i+q`#ih$PU#sG}MpHR$_9j(T_0S+V?3* z8yI~fQ+ta!PQgZ**kWN!(GGsnRe30=V)vy@i7}WoAV!&B7bXK2wweAEc5U^V;R%9^ zJ(RI9JY#qk`PZ$CkA6vnW_nhmQD!|iBg%RnK$dnn2Q6&#^qbq_?=`Z=*=1;*qW>#p z7pqzmw{vE|pp1X;OJ_cJbuf7#<7{wG%v$S|oVMB~F=ew&Sh)O?)e_(yh)g{*^?M=D zMXA@~#jMxD)%-{Pz}4bM{=n6;*W$TiPUAV9B+ep7`c*k%^8Ru`eU+324JvSZ=0pT5 zOY3&7lnqTXZYYdR4Ky<|MS@Wfv?VuzLcO8EVLN<-Mq>k^*5raEXVEHq*l=puoM-v} zE2@sAd-_EK7_C0NWqXkm1?QtgkxrYf zQjHNPb4)Qge+DmX1OEi>>nCtNdyOsKcI()B98=c#nVAXGJnNF%B&w1cE|MMZQ7)!{ zC>OmSsQp0h2jYMz6Mn2sLwvz*k%!lO5l-R;*b6(idvoG(^XoG&FIkQ@b|z)qq!I(F z3Gn0KsRqOrnGlJjxZzfjv@{E`d`IV4?@M=y zp7aG4QIOok?ChoXlB~5pTd(KNY{J;A{ZlO1g*(jkFMGO~BLAirxvZqNb7XaHBiZ04 zk1nP)e6~yQ2pL(Up~i7J995h>-XfeUtS7nsfr)LL7Nk6p?VR8mV{@DKCz~?aYjll~ z|2&WDo473B4$g6r<7XPxdnn0uEMa~{De8TeoDUymGPQwpPizwRW!kqtX1N|yy>cZI zUS1=>J=aCs?xyYr=vz266SYFP*Rbh}kR`j;4!1A`=CJIGD3>zP;KI5e1DOBc?}6iNat1o?Xal7KdPt8Fz00Ny8Sp>VI)jtcBvI3oiJ>rPN~%% zalc^Pm!-ar-8kBT=3?)<_jt;+00|SNB6?2!5C`KvnMbW-Z_0=}>-AE-YCz|Td@`-I z#|EK3BJnRFd_!+4@IC@e(%v3{9>wfHzanr{aT3_0>g-tslh?17;Jh37lJa5UCFNE9c$W%jrz}%4f!I|hSp=-q zYQDuOY2C$rFFU3##nO7_Og}iRn8X5xsg*imFZbr>LdNDJhM&ZEWKK(nXtRz zG6DRfc8a#_0I3{nQeUOYC3QGP-#*)B!AIt$gSqv_j5Ra+1S3n329Ir2MYc%e_Bud- zL)Vr-$0nI2Tsf!qvLSo^*$$qkIJB5;NVwRYCUt4_s0y!Tth}l^7({2=G$rpbYfYQh zbDCOLMtW-XD&nn6|K-$3dMx(2i!5Mur?Q^;eSycnPs^}!Gc$-SF=SMU#vQeNK)v5z1By*s!tnc0 zwBb>pddZg0mfkJeW?=?bN&KL*c2=9)JN*<|%+m=AcA4(keGVsE4F1Bl)E;WLxoGW| zJ@A6xLfOu!`Q_cZVoc#M(UMu2ZqdBf7hThq>Xa30>f~2WmkyKn#5?X%ymqH5agui7 zN*)_zKIX#s)cG5`&ZL^QzqZi_?ANe0x+knF1ZdaDM&&ls(2Iv^wQj1Lo;1o^*{~!P zvqfx3bzStfNsC6N*(&}!3&cCbJ29?^(YJSgQ5NUxgy38+yW10|zisb*zv8clrMkVC z>gMq0=4Ss{7Ao}P!+d)8r_YlxFXYxGM54f2RJMkZTG_=HFY^H(7$v|tahSq)lAv_N zeHXA&M$nkliVH$vZ2Ahz@xx$QWusvgd9>X4DE$`#mQuc`(p2GFV-|&2Ov5c?jcg&; zQ5sLu7zK;u9~Met*?SGecrbMYn~{wx9A=oy(3#XaX2TQc3oq7%ZRRT-Bk$pdOQI}W zN@DYB5d{RBq0U!_?@`ek`>(caOC(MR(Ej)~u=}?JUJ&Tg)${Pt+%T^*}q2^O*}h@NqL|UfJi3#Lw6-dg60C-p7eANkx3#rBuRKCQu12 zrWwGQ;aDI}&_$kjwdiSs>IyTJZLsZw_9+!{#2+ zdQx~i8;2Yt+B5yzu_7lN=6JJV5|;nH2Ln0DQ#gp-#96+M&t^XjtC3^!@tv{Dip+D! z#wf{8vgdzFx@H4a6gTSWkT0-bOm;;B35HY+qhKenFG}`GgnW`Jlcg|xNS2bB4ji!l z=KbK;3lsiP=1vi37SuyL7y{xz$BH<^K)L@wlut(ZVPeMwswlzoj9|kW^ovvYUR{dF zN27{TE)2S`@-WMKKZ%!`s4K2)w6#6#{7xpKN*&4gTwDg^>Rlul$%h)N{BPcO?%?Hc zu35s{??jn#*kF-^=&bzXVjKtiDhwt9a8%in{J2qfDpo?!%|jSO^#sV7X-tYZ89vbf zD8>G0ax+5+X#E62o{jdHhs}>SaaNy(-O;`A@Q0b>2t2vgN&a^zEAm=Vis(CeoJrxs zk6Y3~(OWsN_!g~LA(9%(97sTZm=n1;yZh?rcit<#5W8oWFVAtQ)@KsDl(pzv9}r)_ zBO*>}_=~as;o2>H-U2@{M>p`?*;1jsWD)2pC*YD9yinN9fhz+LQT^Zwi<8*qFA zK@P087k?M@eeJ_gWzG#E$(V2-L}8-WX?!cPOHb z>k5e%ApmcYI9G`&@C)c|!3EAKmk=)WR?_`QwJy{)FXB%4t5)#FZ{r@Y(+v!D9`?(q z1N_3Q&r{ObJJ9)^f+*YOI{JH|EN0Nr;a9TRlf2u%`uO+mt36}%l=!3wbyGf#0I*u}P1-`Fi6$ejfvT-%N)+F|Xd=>P~SlEVDh)uQj6&5VmgC6K#7& z^9Z)WIbKC@gRPy7o{J7FnyckG)e zP*wvMIL1xw)6CXs)-b_Xv;6vcVc;<*YD9eZU+=1QQHFpS1Y*k+L+J*W%|2?9z#t|j z%l7tluH{OLi&Kq-y7rESu7(Q3p^PK9#RhNZk&Gkj9QnP}q>$U9-Ku~XQ4>{(M=J~! z@Fr8ioBQeN>zHKfmBuHhC#Odm?S_9XrQSyY)koRZ+0d#1q>z;mASL=Ys%HA%AIvM& z`4OefxDnq`NaLfG*BY%Je>eU$UnlcI>wiTYL34YqudlbO(ccJyq1B&2{Jnt<^$Bvs zt|~=0`^;Rn@w1~zI`;21gVt8E$8EF-?jg(SaTscQnBjHA9UP^-QpRjZvY6t)hPcO} zgU~S?8aqoXrA*0b@HxQrB=Dy6S{jFp*G0I}KJR5$ir=ZpQ&>*|FT2yrFQ}aP|(Z9JLbK_-i@U=n2sq$GxReWAVd_znrOX8A5~1Us;Y=w|J=_*apxK^7gjx ze_T2ll4Z=;uBOthiVemBb=zNF-uoV%Srm|O2K+Q~tx}8hfGF&rWecf51c5TuX8=cI z97vuz++6lc)nKjVmk`B(qNWub%@XX2n__wA-?wpQ)fy`LomTrd_s}XW?ymODI=_c{ z^swJ9l&$kGIW|mx+l4XUCwAoC zpgo2#{Oz$%+5;KtP8%-sB#W)s<|sw=$_)|(3{;Nny5li;sGYz22}A0xOK!@zZM*wL zk1P*I?a|`3ipBRTDQrV2ptX#~y*5=XTvH#%TK8c*o9e5IXk&DN$exJ~=?IZ;(Iq;o6 zOi9+|el&YC&?dU)Tu@1@!N&-DA%@BA0TmJOL~VXi5c@|~JRs5!GTzN~xU%~_!zSs3 zCn@2-4~E7&)Ld&H?gXQRqqoNPoqh2P{)wPFl4hac5zO&Ub}p=1y?#{8p<7*+2)GqKJobM^n6IaL$H%$3!k6CRhGdCV|CbQz8kK9(~=j<#CRj=Lm;YW?%M~xmX_vDoA(XEU*p@~XiRcM zHKu8P{o>Kv9@^E4;PG|Jd=E)|Z!L}UMZ6~``h=PPU1X)i$24$fk38Q(JD8Lbx1% z@-fc(!Yefmmq*)w#~vSX3GwMLja*cxD^obK?Zf2eBJdaWY%1&>(7Cz7jWXhUfRDTr zey|WfKl4uLi!~ohtmFS^QB)DM=$oHNkUE(z+9B26i*vX zupP#8!)`~P(T)NCI1vvU9L>?bNPmC_E%@zOL}Z8?gD>_0Vz%A6cVNeX zY{J8E;D1sE;RD*?c75DQ?{Aplcs8IObvaAFsCf3vs0|KRXE1#h1N1z+ql&Yu2X@mv zPwF-JTAq#rr>T1b*W-6yl^EvbF&MZ8|~RGQB|c`XZJJ+pI4UoOYq+jXQl|aUA6Quqaas> z-C}O2dD@UKY9Um_AbUG}2zVv!-5EZhP@CtTMa&L9d_`EX;=ty1C^Pp+X-71@QpteV z8pN3CQe}M!Jy;S_RqCG*#YMIt((#GPWQ=3Td6a*@(u+I#kAbR^zDp1? z4oRRmN2g5xG5YV=*i)0)R1_B#u zbfA?!ph)i$2?xj4{UZgqVBq8>pBh$~VTrL6pU%Pu6lV)PsjO1sbD?y{mU1A?B$fh? zn|CsCGX8sW=99c~&_5dz<6nZzmJ_P6tGSWFU+3%jV>$muQdO~;Ujbaay2&NyT65OO z{kQ|Pg@3#(Her|?PI_=OKC18D%BF~&gF`151q{4(U%tGwy16XZ!KoD(WQyAvY3F5LIUWYoI3NC?VM{qjCV=5j$Zq7=P46#LhrL)yg@P z53=mt=#AKQh#%MqebJx!gFzJ$Bso7nQ8`;^jU<%Yu7mnZ(=R^7JKeN=GLA&i6Tw1^OZKg5mIN6 z-Pn{}#WE58Dec<<4(CrDzsEU-+!}&I6;E$|fBxw%$=}??gE;W8e8F?=tcta#u^REH8LJ1d(s@`m0+;*^+fav7eeEy1Yg!y3o~O+QxPiq zF0#_V%rDw5H2HRh7u7jNckiMkyiD|pkBuAM6=2s>*Ufx*po~I|Ure$C?c59!hOuTFTaNb+i%X!i!>avAXk zB!ON(>ehqt09IWk7a!<)mD$IrDV=p+@66(|EbvI9!gNYGNrr-=SD_rTM}u;ResOdV~a^ zpW{JcnF5$?{&)#1aj>|u{HFs)kxP1{gs9XM@9*K<%EhFBnK5pM%zoHiGCCiTbzHUp z7|)U~ej*ZZbb9S3d><8{dp%CUhOf-~-i(fS-08u{LueXyx=_jv*{!QN>QzMBpJ2 zok>Wf=jyJ$Lg{`~z{Ibi#ru1(O8_}XCV|=`pzowa(A_1v-w_}V!2!f^vFALQg1NIs zJM1Wga#mH}#cT(gDOu#&ILe$2J>=5dC~;$z{HPl*J4V!1p)&6&!o?jWp*Kr(rqlO? z^MWDj;aX7yF`?W2hiD#Mvq&$1eCCKJgDoqAKWlhTQnuUF>gqNPgehDP!3nDyfEw2F z^g6j8csHnJ?eC_QIz!b>U7JIX3bt?U&DZd%UvkJz{$nrwu@j#@c9`Ea>*lI>nd*N| zG`eZE{8%fH6}x)hcl{l$K67lljLu$o{T*Mgu}lp+KXZIS_9v`0L|nm?*6_NIK7RQ* zOW3PU5%~x*Y}`U?J4KOivlTH#!k1)nYIa&n7^C(u)cVNL{?}N!BOTcSTVA=}5m>UN z^^gPW za0F6)=)=4fAl+nJ+031z$L%xAhCb`ov+8enPCa(n6a2GRl`)*bAIDuo*{PDKE(kHrNHtqG@S(GLhm!9N{0=a)2aH%vPqU zh(0Gi{y1JIul7q+OkTV&KB$7OWgMQrZj$X*K568UTV4Kg9PAOd`A&PKCa8EaG}^(e zL0U7y8XZ!$4CxE}-F8}XV^p2w>TdS&d(gH^M%&nsrnWCX&1brS^?-)>NB`3$ciY+w zlL4(Fk|a^Nuy7pBR7P>9n<{6GSS+yX3i{?yjD2~{GkW-)IV%7Y#v_9cToo1 zfAgv9XkwSi?wRb1H1V;jVsdoXw@Rhg2)Z6k_YeM#9CovUnyb3u?L$F?s5|n4yv_^sl7xp#o<gFek%7TO^%QM=c@Fe*)dw?=uW9wwIWVg3WbfnR6#DI zDh@82fmKOc6&<^5e%>QMcai$pmfNge1D_U4qXKNtAVw8+GYD<9~Bb!~8tzNNI5;A8==#5chwFIyf zZVFGNc=;w^&vn%BC3P`#D46GGjX?*65KoPkKRkH*bClDUAmweR?{rC$#>LhS0~=za zz>D~7SOlxWW7q@SBIK4+3IYGiQ~yfYxzez+ql$|!m|aCIl~tRG^LobE^*D8U$zI7r z_;i=VW;`Z(nY^S^+fd(g8lGhb&SxryxpusJY>Hs;5V}!wVxgzpT#A7Lw!UjT49SdmNu8&>)z;q#7 zfr82<6i*2ASzxj{U_}>36N_GHtH|EEFR%El!JcoUHA8=P{;{1fmxTzkk;QeRRsSbn zEgiobD;Zz!e#1gbT^n#9)Hec;4&z1#Eb70-sefbcw&es3>I&RxE&#F z`^>pJ4|`Nri*LZ;x@g@+Q^WP$wIcBeAP5ocpj-E^Vr7hEdouYV5`@lT0gB`$$Gk>9 z%iw|QMk_mb978~=N;v=nq|$gandM|VXjnh{rrh1EHfoT8h|g)5fQ!$SnC}}b5$b{W z#HxUol8M>7^qtdjJ-y9Oq>h>$(nlYlInEkI92W! z*Ce9}vP_+9jXS+P^d+x-2=IlH2VO5)E^07#6nDW25=!!9pR|N?gTkzrXeR^=pSS=8 zrmzIqu!Pd7{N;bdl2trcvCa8|!J^Fmw-5ziBTD%FlL>YUm*q|bs&NKXWh(wx)6^c8 zlyt@lUkP7csbO@K+Zfwci9j*51^w9>3z$VFol;)&8N-iqt(aGGW)+z+%~5aP#m?tH zqr00CoB-!n`t}H)^UdpE?rwJ0dt2suHqI0aT<(JVFyH48aey+MH+1%up_FBQXoq`a zhHEMSZoNfnA#vDf^BvMy%kffj${t3&+rgK}@iLxq92A+~Uq|T{@ptymor&wt+HrOk ztz~AULj?1b9GI6WH}7%dv`fq+<+M}5;fW6}cZ7Aow0XAm{50h>Tl@(Md7`JG6-!EP z>b{?J$_9fAsTXP@!RH#!om6;{5x5cqPq5F=SCQrP=tg$@_YCIS%=BmtH}Q>~*jFU; zJ@)h{cXqrhFs4SQsd=y{Emy*_Lc6Oud^xu*YuEgdh~{ixCg>$xEp9% zzDyr{0y5b#beG;Pv>R4FVuIgU_q6))%J2Rj{bgZ1`HrbMi#(L-$-!Eg3gu7Y@Qf(J zptQK&thzVKOIgUBbH|YJCUlfk`~ivijUNl&NI5+kXyF3M#}L@v4QLKJncIrZoqUrv z_VbOi*;ayF{hm(T-fAQra1!}x-QL&O-TkY)ak+MP*gV0X`7gY%-IPB$9p*%jU0}60 z)P7x!K+m6GwfGc%pZdU;#=Xr(x87wc0d%&>c|BG?r&I{SA}1NU@mm`;hphpc!|2h) z+&?^~A_w=9m>s|M;Cm4LjoEukB&s1rCQCCPjCqG&0~5oZ&AeXI&(X`K{-oH(!KBz` zk?|2th5QOFgK@o%VtK%u{H;}sYbU1sn)GYrGPP9l*b2Uj%$sj%43r7~kZbv2E3v{`WEU&{q_;2op zmc!fIRF*o5RRX#f+sD1zRM@=10yJIwoT-cUX8!j2pfZeLKC`)=u4G+x4d|S)Z|1vl zZFr_K-)CMQ`%L@{fb95|LUdfKoG7f^4h_{R96h`NSM8WA9FSZ_lI#f|_`TZ6eBbXr z%r}&{*9G>?LN@aBi5e>|p*QK)m|2Zg2D_kXm(c5DxUNN9fRDfy*C51ZvJZm1jpdlJ zStIwTy<$r%jGK*Wb4zk)Y8K7r9Lw)d!aNN$9?k?*H%>pBZh$lauID1^v{Vf!l5epn zEMCeLL)58A_9*3xFN zu>M1iazHK>cuzIP$HLHVE+zPPrort+WZ!o)#z%vnBlXg|U{K%sYwzj2#g?a_NQQQ) zSi(O|XT>Ok*rzgB&z{JP44o}qiuIL&?P z2)>R3UbUe1dq6u6%5Nt8+G(r0_p2XOT~F1v@>|-3d%(*UvK+bnoNT|KhigE_=#vN8 zm8gl2#t=IxxaGB!E8zAWDkwz7xm>xHq%!=zX&9Yf`?Jbk2I(gl~Y8;FXqd z|5+Ft6=dyP-*BzGdQOk}y4KuwUC-WdZ+PZ*%;N){v$QWCz=Djp+Xiw!{<)rwzMlQ# z*ZyZQb1OOL2#)uMyoup{)E3z4bjsIaMTm8evW@*=UWCI>z>aC$Wl<_j$J^y zod`p7({sH!+j+<+77$o6?diK zX>VxJu9l(8!O5j)am7)%HoG`ooaD+Sxn*In59>8e!$n#~)Z9Xu@9 zD@XJ~$k)!??)1=cpIhCRYC~&RNEF?*fE@4`bVN%aATdael!a(HcytkyHPh2qKhrjH zoJ2am0Gu2tuPzy9XHWObW15?-UILO| z#0UbJUlnDoue!R{RB^_?-Z!tl`CU)(fr`nyYN9n(e784?sHQOE8{h5$wD)^JcR610 zYb!Jxut7Xk#YuFJ$;UhN7r;o_oh;pE`t{~g9H~Zjwz7fAi-lM6c5XHvm*K;vHB$e& zcLXqZs7^|Mz0u9z9wPX}VB)X+qr%OnDZUDvmN1{Zup@_)kbc(10#pl~+M)^hRv-X2 z1hlxcHHTWz0{PWpzT_ESVd{sliv^z&i)bwBnX|R{`ymT!1K>2q~3h|<#CU);*sT5cWCz= zC9tOe!^^ikXI`h_oNp6`o%6GOXK3aGzwbO6TZLGqGe;X2bCDyv^yc+FDs~*IutsAY9J!TF z%9A|h^|P*oAjiE+=xkIq7$J-3qgO8GNR?i{$ktANXJxPl(QcW-dz2Kjrx^j@1hJ5r zk~$5Pm80cHzKp_|6wR7NrE^koBk>h}#`2o}c2T|DwD2}J{`eF;i|sePbp*&bXGfdw zrsUUrvu2*fOkv4~tB~QR?zuPVk~Zb0P;1UT08(3@p#|r!!T6XO+O-Adam`gq=Erw? zaX+sbiu#T;xP^vV`)U?Cg@(@G(XHG^f3x#i)@C)P$YdMn2C=)A*=rySOayU*uhTry zVK$UVbhKw=-qwKmsy4WFMGt)N^w%cb^AR&PB6x$HX8G)(tLweJ~no%&&)+qLtSAi%sWE{8mXZ*=RG+ua(1)EP9!M6>%yT*Jw zxp$!(CsJ(*)HfM_OIfFVT%6t4UmVqAjb+ArP~4U5nyWOQUla1P?jz-e^}?koRyWOi zs>wMPpZ#`$vmIRFPlKh%G71v;da{};dOEjN(wX0>hnyFZu ze{|kd{F)j*IzEl_pJ$V&uy$v!dlCRlbPbneLeJV$KmlZ8nd%?H=p1C`AlfP15kHwp7k^?(^ zP!(&S^v(-(_gwFmPVRC7dT{JMG}^sK-HtkVy^dm>za4uWU$VMOo;=Z&dSW9zRNmEq zVtZh^H&n!Q7M|yQ{O-r%_s;dLnVgmOC1E-_iSlbG_j{wB`K#~#xShqxJcrKydlo+w zr`{6(mHl`6H>KKb^X2X5kR#M~D(n{pj%fh>nDP_Jqy12lo*v9s%i5keHqT3>)osUK zn<0$d0DO0u$z8p?e7p3(dj`&X;e^pCD`D$-{exfe>!YI=e*wXl#O@ZhuMgp)f|%1IoU7v0hTpK> z`b&;MpqO*#v%zhQ!R@rc?aw#`8`|CSGreFg#UkryF%{B_ZJQ42E<*s|rq5Bsr0_#J zrPO)gTIm_~e)A+gTO!T&0Acwyf8~IFJ2Sq*mAL_5(NDnfZ|$~qT-mvqq_o&QZddlt z;d9in3%AxvzBp9TW{FO@@mqfV?8weWn0%+$4v{3YaV$uKnEwsT?fOTCA;MJMEvi&jKqa+lfuJqIr&kD zz%n!Q0Pzkd_LZ~qb1P${2K$D(3wC4*V#0ek3v@jjPS6z2aS$>~N0>>y4#B_aH)5x2 zN7=>zQL&sb4r3UIe~b)zyJ-^fajg2$B- z+C!t8CS=~{D<;jpy=?s^&G*aRM1j#q)zv+MLe#0IZ>!UaAY(`DRhC2pWLmNSBy2w`lr=x>(=L#9qs(no=qDqMqT zZ+Yda7WV?4egkxq95|zN3v{0&!pYwmqaIY@ zKbRGfFPE}?a1A==2IyE7k+}C+N3VweCLEW?7zYdvp21dOY*ppbhdvM=(!^UaO8IA$ z(*7El3NaV$!Yo9%)aCjcoTRR5Oy)b(p=Q4ExJFroL^XBkum+m05|IvAomD{@=+&4u z7V2*x;c*Q%%#5v2EObq*u}Tf!Ejk45-x82h z$E>2-RUR1}ur;Uejm>Iy%9b{H`Mcm$+qhi`vew0T;!^DA(IeHvUxz~OiIZdjLajHN z83J!5H)kof3OE3gxPp(5#9gSt_A#CUs4;T!2OPB#Xtr9p@UPH)(qnWh$)hP!?4nES z!S~Pxg!$A-xVc_XE|V%afkx4oXo>c933vR%?T^&?IRMLolASO)8#k@0T^>Xi8AFp_ z<{K)HHTbeG=4#aISh+6I1y#4^E0pWyd{-W#+-s#(LRa5LZI0wZE?DnzaKL zeqkRviFQD-d|7Q3N4PZ4xTY6aKbositT)PaNX`8pzAzi3Z_i*Onio$x6z0zPuE<2O z@61~$*6sPOR7JH87i`oTeR5qw3vdq>t`!>#z1O!QhDY#EPdrhgV*|BAJT@!Lyf{L< zUZ}Bh(TJ=o3hodo=J8Y;+xV^!MGp*h4?UIVp6|?KDc5mwpIZyAt`~CT8+E+b6C;|L z&7|`W%tbd4S#Ch5>P|y(y)I)So>@W=uQoL1avse)sMeABtX)MtH%q4!8b`d=`68an zxLSk_G09)P1ZIU!&Pv+af0HQ z>VR@kBk*lcksiwP?BjWlp@55Zv@BXzdvz#<9`~jpO6@uA{x!~ymL%G#K~v|&m

}TRc^mOl$4t~4 z;eF=oozVHF(9Nn*w_c&)?87fF#dWRo%*v__E+M0C8~%!xeAhe)QVp zHjgv@gf8_+FSyk|DB}S_e9ynyQ4YvuCWI{}E(zYkNME>bf4Z2Q{rKf1y5Oo6^>IIQ z%{tG9zbv%!pbW3IxJ-9HGsiklNcxv>cJXUC;PmR)7Z2c?I&iws(dQ+kTukCsO*4;C?6K{v-GqJSTxP5)mI9 zb1mOcQff|Zy!ob5)aP$I(YDa5WAh9S`6YhffnF;%xCEiIFg&rvcupKvc{WqGzisZz zKN$3y3GEZT9*QlTYht#BRe4S2lyEF4Ka;mbT>Q3)`(Dv*6DJm1cKR5aW^D+^&WLCgbeYoZW7HS5;xNnhOhHv_Nq2S=yZ>RjzZRE&I8X7pO5lf5m$xPfN5?Q_-hodfMbs1`A;Ppu2Dib0cK0Q@&M9^_W-! zncna`pFE^3c7#dwRX^=+E_fIVJkReukt0*?y_&{;q>#<;^>H;lv||ih@n5ki0kqJA zls>7M%q~VaTwYb3y=<+ifFcbYlW6v;)#gW4l%#j8N^CnO2bJN;-)2RBGg)~l3|vUq+EiIIo)CE2uhdgPnAMOR@IgN;-+@ra2NXJA6gxs zQ)UrQ5gYQ+4g;4@(*zBMWEyqR@?U(&cizqh!|<*Scl5}Q`PhNzZ?%y(G2}UkCW}!= ze!pW*yXOcu-TEIM6bSuB9(vXr_Rziw43@STDf6JKAlyk-M1`f4<)<0SNt*4X{hXCJ z3rxmludT4&Y9Wu(u4EaU#z+ni>i=82mDLQF}&vLG-gl1CD zt%`?wUmhcM_bKJU4G!FGi0gAsgxiZ_uS@RR7&5dDK-EGU2H&>&N#sq9l^>(uDt^Y~ z#Xvf~V@ObTjE@MSEvM8u8qGYOk7|ZZEBu3#sseG*UBcUo27X0r<%RoMzGzBCICdtT zGS{7KVYHOG!K)B%KZ3qmN7^S6n3TzvKfmqN|3O`38BCZNU5*!y*cpc;-hwH!lCM^n z+>CO0Jd}E(YY+tBcinvOUQ}pmeUkUKgGa~03xr?#09`Nnpfs{FrXdk6M@KfHe~F#% zbUZZeuqBwKJsQ(lUYym>KVQ;F&kk=yy@Iu(Z^*-zW`JWjwgJ!nhRnsz z_`0Vqm0@TBsYb&)PW-n0%m&lZ`2NmTI)wp<7J181Iz z=MRd_Ji%ND7aE#%Pv$=aE|YQWpv-EERhSc5&oCCcoN!)isJr1BeOIjHV|R#LB1y|P?!>{m4$zJlZ@$1>Pdjq5;5B}(c{6(*@Y;FV zpHnGUu~1C@8;~pzVNY3>SiGV}4o<69qS2@A?OV2do_w7l??@G**UtuW&+L2;b_f@lP_bQu8JT(i^fD``cXSBfhK4UhRy^>#JYFhQrA>kv4_riE}*E9#(oZF zPkTw~BU;6PxR^cu73uvA(UIwXyk9;+&Chii#)wA?>3fsX2zkpKnjCk)L z`-Z~+J^ZWR2)sqR489to;IZl7u7Os9+D9kXOhyr6Rfv7)YuZA^?;$~Z4oIW(suMAiWwE@s1(&=_36rEm|;zx6AIn53q&S9mtI z4(0ha--YZ?JHNhLxI=i*+5|eiP4DtOW}9a!1t|ZJX&+(ON4vC=NPLn!L}GiTFU@#Z z{3q@E+Z&&6{S1ho5e3*`_ekuV>LAwgQN!z1Js!}w(=;`t$(P(8A#o&*2CJXPz#f|K z{V|lviGKzP-if!dq&T87-~5Kt_<8^!$&sdgGZqeVR(f0Mgn&|6~$sQ9nFXxX$IuN=gRc1>IJJX5jp#jqo_5L9LMD24O z{pk5EnZ2V=IU_y|6R|*R?^u{LU(<_)F6XA)Nt*~iKLW{{e8tCy+DV0J$pqf!i3iYSz$mss!vdlTw4qD@4)5lI9fdl_KFHyLheWu4w0g zQ5Xf|!{D)kuukFS=zPsnxYj7N>N9kME`^>Zt8u}1MWsyolzG>cFi0jIrsHL6B;BYQ z5BTvNWvPfP9!`&z43GAT<)=Rx##od0fpt(M*r)KOtc!!72?-@MR7 zTJs&w1;vEqpc+PO=|ZbRy5o>s93BQsqXDFWf4R#G8+uhfM$894W5&L2QVw=$2vi=u zX7ty61#*7lP>rnVRR&mgp_H^U-P}W`5KN|b6ngKR=%6Q5NV>L-H~Q zv~m@k*I2xcPi$$FdbGaxiCE!_VRV!ex7~FbHOlHa@D*|DPz?#Dz>sWA&D zzVn;wK(UT#u3GYNp+QJWc2}VylOOiRas7@&|5bF@TlxW2?Q+es13N_+PBo0N3GbtS zs;}H0*nn~8Qv#O6ydq$Tt-zp=ppbWFTdl1xOZJ57nzL&xj0@5Q2g3=;dikvW)JL^o z%C>gS3evl!tt37r=-b zSd`Mw2B`1vZjUqf20(n$9eX%#@s97kNhkx5JW|_4ZtVlDW_r@yMdYviKC)=$g{L3= za>=@(U-DEdzNi0CE&D{DGRKI3O51>%_+7T*`=9@+zVZs{{lZA(xUfqq)&M*`xZje= zS^v_-Ez&L0lvFL7`z!NbNwz|@jH(pZUITw~-&W&(Wb-oyWR68kBruP&s`@;rd~Tt~ zC+Z|$QV^v=W1j#=w`?lxKM?UBi24u2{0HK2bib}7s0nwX1|LXN;*u#{ts#|Y@1li& z^^ZK8?M2Jh^pO)gu~;kakgFM)(k;>k{H#zd=cw0Y&?M9?`&@=zp%JAKHjg^^SFGMr zVD@m$JrGROU_)_^%H~Xl%$cjURws&*eLJzBLZf;))i&!MDIxe7rLJsmlrAnPrvjnq zp9&d3l|gmTJ*w}h;-w1AUyZsXFH-@2+{c#so3eI|d2@FMj&Cl%u3J>j_Eqrq@gOWu=| zD8%-;0YKK=h;}@3ud?*T&L=JzjcVJkuWhn|n-OF1*fe8{cF?cQdev%Fm_rgJF-kBX zntbb#{b&L)(5VA_TlDZPkDO>9YvTQRv^ff14aktuXu-;V6g9^%>Ey|dRNzDj#o$mZ z#JM|kl5XH+4nu?v<5s|;2=I8)IZv@Cs_Cn2cp<%rBqwO1vRi@bkHqW@{I)Y!f?(Tq z*>B73`XCf8*=eE+kH(;DXsSJdEIsZC39)va8|y`()#7nLvel<9WN^vq=ju&}t)DYs4HvIdCcrsvI zU*@!tww8JS`#q{ta4Fk6a1tfl$8;k%c~Ct1b?^$0oIE#%N+S3f0VcYHFtt+p=6b(K z?dz?2?wZoE=NbHYQWPpK5g$MAh z8C}Ybu@zx=gWiv;cuEk@#282d|AeAA{um=t-TP;3f1Ty|#qL-$Ef`09I^Tz^@R_&rXvbdu;uiH~;eJP9&DK_t zqcFFmAA6Qc!TabHLaW}z-C7PdPewH+ z8$~bEB0Q`mAa-AORVH9Do40R5$sS?Q-9))8__Iuv#&O7`hNUhbhSo(cM;q}ED)=9Up!NG#KihPeI|5c(>z80lo`t{a#x(8MecHBau+XKf>~C0Z zGnY=&qwL|cU8)0xtJ7a}k_v0$eHhBXOSe5B!ZRGdQllV8r z245?tw}n1pa*3Fpk3X28)vh3+LIJP|K4On%9hZb}IW8pcK^#KKmd=ilezyCH-#5k-rb@bB zREK#6XT-&*qw_O+G`%g_%(7H2WAaJRN5E#+nUbkWa~{L#qm{9lK)&k;z~CdG^vAoG zU}ni+;`0IkmSEHoEt#17>$$hFE&|@Q*JJz=Hl`)vx$KrOoKas?ZO~-@RUWn<48Qm- zwFXHoRqkso8yQ*Oo(o})WoaXxWSzTOh7T#9Vr@Op+eH0059$AhT*H?`Ng-iwF>U3*!2lIK6878rj*J!l*xo zcg0D+z@;2P{7eRn)>~9~UM5_uvXzpr&ipP&ufW ztoK7-zaoU4)||3pzHrDgD=|thhQ5k*BOH-%^o5Z$oYaQtQ^QjelP8ol^fP3N~2PLo?N@=~>5klWR0 zxqp7t=68DD!P;5#HtImK)>(Biu*u`+_h#7fjX=_b+d269Yu5x*Z6jG!yG);q4?Y_~ zl1R6q3kql80AB%NvEiXITxm-PA^cdmMM{Vj%$}TNI#!o{88RmV!EFso(JKukQy%CJ zVkrmUs|Pk4rCzZ#tBV+05HDl$>3f))R<7OvC~ z7xZKTQ_f-Xan6iz%|1}6v$U*j&lTBa>b|c24~HuY{7Z8vmfZNk7}ep}rWnS2+S0g* z68v6DD1*EuHtZ3H>!5n-gyIxoy(@*L{W7;N&G`&j6BYuJe~kL|?GG2=Kt~-I{4j_Z zhGoE)LeiwAP&j*Eu)BMbib0Mv6#Nj@@WEv70$lJ&oHoDHeecR^p-QkRAx~-~IIBN% z(37&zty3m-c*4tH@-aedjOg3LUyUnzNc%D5+i>6T+2_U2l1Quv=4v1%d?~Zsfu$cV zPVI_|)*7xaZCu9%C$*^A$_pEcMdja1(30aK^PtT$uCgTuvC+HffA2BA8}DlOM}I74 zboG?%R!1BVJk-J3Q??nJwLU(16JKzMR?cW|O-o!}SNXt6@t0k4Wb0pv5b$Ri2U}F6 zYb)E=GI*Aa!k0fsNR1q~Ccedtw^$J@W4iyA+vY{<50D!<{HCl0R_k#WZc|z4Q%qk# z6S{Wi&vQZP@GLDGonbiJgSt{a+Ny1n*$`dptUi(DZc0a=d z3}dKV-cki<4&OG7SXl42h*I8))>RZa2aDpp`GEnVrRA51m_S!D9mknzh(q}F)bJ-9 zMHC09qrr+LY+*j6#C3A(?7}lElxc*xc6lUAA0Ex2=|VWvh1OFIHnwV;R4#dnwEJE} z*@66OC!_nuwf7ZjnRjW7&VL+nN5uUP_NiWwU|l#wjQ~VNIPuGOxF_f6AVd3&(baM7 zSq;_c=?iwxO60P1nYQhzC_#JHhO~3mJ>Um&~vN!>C5J#lukRRMo{{xs?zOm*OzXVnERhP233Iei#!s#rR#}Y z319l{0zYzZoPZA%oVz!^eQ$lyifPl30|VuWEUReac5Nz$pG%QO+w~0SI*iMW{^QuR z*(B+n)Zbs=2%@>X+1Q$8=D5FbIm@P-_6SO$Gr(^zyVCE;Q?n6fk@4{30{4tlkQFpP zW%agdEPuzBI-dEbx8QMDk2ny#>=~<(;r@7LCqO%<)3=EwfTHfYzAJ=jfrGRqH%Lss zhr~3qX=fdZrQY&Sk=>+OBNP(yA$zpzi`&d%q&AP)pTqRo6bsXQ%vns*pSimRWmdS+ z<2UAo+jq2*zNu6wCJ3debTng;d)Q&}cq988RVLnWID0e%TQ}@DZ+a7){EI(PY9?^N zki8w{%#qX_XG&nJ|NeAFudi@XuJR3N<{5ht|6?`;{?(i4wFgB}4#?RhOZR~NmX1Y=VjyPNe9J2Xh^I}v8 zAN*OMC&omLU^sp@(J8ZQ%-c1}xKP1(WMcSWd2dK>!mT}DF|K@2f}nFeV~oWA3R*wf z^T*=v)J2um=nU4fM)F{jvJQVoCFb|$_x1m;VFg-w=zvCd8>z@+e9@Eg!YW*pLrYfX ztuDKDLu-CWU@(%^n_`f)HJgEW8Judb!>{#21)4b0<49&zNRV@@@#mvg*G5K>rl zfIVITcXj4N-rw^0XG1l{ivKa*ZS?Ucz7!XQlX20t6!^@a*YCcdsW`6v4~^BIGPcuv zF83TK-;JG7ZiKz;FNk=BI+N#te9qjZ80VIM;p$$W@FF@UV?#11Y6h0jM>DZ{kPA~s zL{@F=@ujYhk6Kr~dI5$Uni;Up4W-g0)o(lLJa7fY3UM8ZqmAE~&l5Lj_gy66qU~h>V37=mT{c(n)RKlIizn2hqFt8+x z-4j5-$$!E)W|72Ui^M5Y%@~vze6YVdtV`j*MAuNG%e{|`=6dD2LdHHwAUk*xi>IpM zNDx16z4jKlp>}`$)RDOt8##y|mWbc6)4fEabT1Xm*A_+KPcv zY~d(`^ku3CKZf=nsN5dgZbSkxB^%3A(?W7&!t=3?3Xm&!VJS(M2d>AloU?UZv1+}g z_Sad@%VpQN;HkV-Ngq#FB5gRlIi<0b`dA9lb|nRMyE3fdz4jDv25zv~!h#{Y=%oF! zKnvL3gl?K{+S3oJ;;y3p+w>WaE zLmh5IZ>PbzE03tsC`G-SCd!rKxcw!|uWm|fsd7u|uv$c6b$9QEvukynWV2{chEX9%2ktetxCf2l2HOlmED20V}F(lVfJ zUkzLs5%RzOjjCPH5zemd*dA3&#gLGBY^lAxUvSYAt&hR*0jA0wDqhSK156I55^=S+ z3JSDuM1m)crgFhHbgzmk>k*d7U5g^KxrxB|8ezkzFv(QK^6bZ(b|EjY+4H z9jttp<_bp>w7BTh9R_)|sUL^-+Se%QU8j=1DZ<_51-B6-@TD+TjBY|E7dv-~@E3HH z<+{GSn{%XTMNKlXq9VK+p`R=!r?3_-lIcZBY-TxUW1^Nvz$|vz5y>PIrEh6qp>D)p z>u%dxaH4$<`8`+&#(^47YG6v&`V)=7IPIEE0Ab~y;3F;*BHROg*lZizUBU}v3FBYf z|LH>(w~bzzj?~oPMBPdHF^sh($t2;<77V;|gt{JNbC-1Cu6-Of)i%B{cXT;d5-ML8 z7lMg?1_hn99If=|e2Q7=*cVpt?)YD=*1YCQWzU*BvaPnmrM42wn$}=bQvqfH|EW-? z_I};Dtfg#lc98PEe@(0IuYNU$yzxJ;#-w+794L4?xFy(NGLMHte5yzs-aW-;IH$ge z=xO?q`(?qs{sBJrkdPlAT0R%-92mODmQ1!eASI}>El;&DLuxwTO_ZEiT&6rfb%4s# z=jjJPZ*YB+^aVWx*Y3Llr%d?57diTIN!3-5s|ma88VMPkishT)p#(NzGmZfZ-ox+>O%%x;Z6XV{e`#R{UqqV_b*A z85SAlq!&~jYL3bo5KWfN@WpWVVlST){NUOg(ZHCE@3^V;YeH0(pQDq?Yx@cni(m8W z>G`@KDU%mi_G3ZqchZ>ORA+n~z$*blgD021td7_!?dQU-0L6{?*$2}2CixuSgbKEx zzU8M|K8$*`#`1l?$g>Xxq7&?x-2~)Uo>vD71(k%ZGe^H{6v$ur;q%*9 zA!@_Szk-rpPeRHLAbd3fu`I0q0y=Q4*Sjynts^s{c54_&`kjC@CG>$jXU%?am!Y2F zVBb@zkmo6e>b}OBZe%;&TgV{G*`Md^Ak(fbrcz7Zp*>Y)#6_r*$LO<5rCh10+I0MW zMLEXdR4M#bR*vr@r3vBFeN9t&yzs}Y)ZL1>HBB_6G+bE)rmvH+L~I6G#e+KlH-kaU zR_X9Ms~BM!W7$QZPTK1zs#442yjEusyhTp!{ol zoIHGG;QImxcvwGj@%qQ`5#OH?nn&0NbMn5O*caN~W$|Mgx<@krI-$#8Wcq~6``Kdj zZw=Oqh>dHFr!+A0ulo4INH%~Oa%wm&_<}#$QK3fz#8XPyO6Pz|{oq94uP|YQwToNQ z^6t5)_7I;Gut~ZeU#l0fmn)apK9!0Ob{6jv#c*8y;f{^ps_4@&IlNcWA*ji|3g!SC zT-ml>`KQjp8irY6yE$Tu{Xx&py)?F`{GAebVaNaBo`bFQh9w;wTh7cOYztxk;BPRF z08(CfL-TED#+;trHhMdLZk)|(lH&Xr;2#y~$4Ivb*)~jYy zB@2g@fvbaO`^z(|+)MI6Vp;Q3MbLQEVWOQs^KpkH)v|_W2=}lceJqJ{bu@wR1ctHdm!!VPy8r=lbp6G>J0zc%Wv6)D^F}UR;M&61X;|;)mW= zo$76?6+}pu<~LKOggmzA7xK>dKLGZJoNCn;a zo7FAqqi!JhPBQCjF1g$M#XfCDT#Ed)K$&~k*5jZX)}VXqFnmYf zc4{9K`S``g0P}3YG7%k@a+AO+{4XMOc?@|nF1nDsCU;e+`mUk9Z9QTeTMcW0K+qUH zY$XyArqiL&c|NB$aa)iPwGKu!>T1K03}HeRdF1Hg6VzN06prdhRu$<2hJi{bf^ZOd z2W_?l4Fj%zD1v+{IVPn(*ld9qyZAJ5pu=#W*i2q!9nedp|4dmCy$}kBb*ekD4_YL& z$i&cPxRibMfVQ8VyEs7lDCne$;U~mrD~-}a0=f%Gp7zg*Nm6j*ek0sC?tRLgFf%Cd z`w$trlUx&N1^-hn!)7*1tpHidkvOO`latvXqLDe?rQbq9%=_SNrd=jh1~YcbI13`y2Svh z)8>2z$!l^cD*fNHpT4yZ&jGAkW70NRibnN?Cv&895?`W@SwQ%ZRAGPIy7OR*PszXH zxlKuBtsq)$F^&Jk06#xm?msh(mpGFrZyuyISm=%TYW_$ku3&HHCCoNNh8~X)F}Z*p zDd|`Xi*-@&kG^m`Ld^tdxe$z=Cp#6t%I|)Q zAKSGJEohonaH2$$WeM8>@rIvBB7N9j*HuzJ&=0h2#{&{nkq3qwx?;CSpj7nR43;<+ zd0U2iF1xz_K<48PPS&MVnl4GAf*{lQ=`4(`rYEhtthZ&DDn5RmL! znN`TJr8e?q9obR1Pm;kzT(}AzN~iA3J9@!~4g$qc{Q3kHbaaOM%c%6o>9iKJ0fq(f zbm?k<1ehm?u|Q~DK+e$QnGidJ<-`<~TmhzuBVJ4Z)RK+wqu#GcvFIyn1Spl`T4|t5 z*A^&x1B?jru-RC#cbbrJTtk|8;&QaF<1L})J%fSv67fD{a6iuUBTvw7_@IOI*m|)B zTG_h{d?MJtjPz(X{ak+H3;CxM;kIc$O5l1!a7Q5Jm`E^!@hjsR#y4V!s@$#H)T%X`)Oa0j?Br>HQd&G@wX zQ{xHP;ZRN@Tum{Ko>NpqPIoDv-67xnWyo7v$#S$YCo6ls!u4<8<7Hj z8#L~^HOh}K1t+g|pO+JY<}jW*9l_q%xGZMnllX2twjG?)t#L6KyLIw(oRNu1 zJI*({=Wl&2gg*Atf{Q`kJexg8k3Ot>Y?DN9N>3~oE$WkgZYOJ@IOE|bd1YyqlB|{O zS4*$ome#}UiRF7_7pibHHVTRLN@H&yLu~vzihFC+bEUtL$j2JT_z)h=vs}b}x6m>6 zvArsv$Wk&xZ}?~yd?ZzFzrOBA{(16Bf2`HCsokTo(%^V=<%Oye>%LYt^6G+M<^;ab z%5^zvU+i|3S4^nSx2J*K$PBPdP*uX3uLW&g(Bafdoxh>Hjs{&NdYe?nIMy{=bPT!M z?G5Pcc~X+h0_uUCia2psu<}D9DP0+VrGy6}g*VzQHzMnZ>9^cH_>(zT1&G}?)#(M@ zZ@x3T`UdGv&E23_=O5u5VhVC!p)3qO{21RXBsY8W`1G=_IJ>|o6n@ol!ozpR%u7ll z(#RjeXAQg!@ZsmJY;hSL7WG=ucLvlykvzIr%5G-j8X@)UKLRV?Zh(|4wC4}VE>FR- z8Ry@5*Gv6Ht$(No#zh0^WlTjt-igB6+) zZ8Lkkzbuan(vWc=teyLXFInQGVujxcxY}U?Kc3~G>wuoCl|3E4KWwmrd7R*1jfGEx zYd=AiqFuy;)Lf+=>|yQO4Gu}RlLcF!I0~6wt9!S65KO*99K6AOj;c_0P%s`e8=-_U zEs{0wIQn-JB1fO&-`GY;^G;0Oyx)(muisnnH|f|x@Eb0}k3YW%EFkS|7UrIlsgj?P zhjJgPQILp(JYbqW8s)ZrXw4Iz4nY@c?EC~3MJh3$I`u=n0ireU7qCM<2z4bW_ozr| zMqad_Jg|cVICyCb2y=PR?sqa>6njdZZNgmgV4w+9eKn{P#E87%XpTwv%ouhO-ZmsC zXOflSYF|L)$qY|lh>enFoDwE)Xe7l%=0nxSd9xdIa;5GVt_YIi4CzxLPsqjM`94~o zM4;;by30(oPhAuIgiCBQeC?9+62eSUXSwW-e!5u@ZgbI^`HUnRxPDrY|HUz{;Z;aD zqjznZZ6w9p>3=@@vw(%fZ8)WInrKR%C#RdSZh*U0evpZ@P1dSaq0)ED(ND*W)TpPM zwT^}r0W!-AE;-NkLHbIZdPZ|JruU-ia1E2cTE*qt5W(8de`UIPeFRG!jtS;ixo)TE zP&o3sXG}^_Xpx*~&1CxrrenKf{^}+|j23=;rXw%mz~s;L?`b+=ut)tlv$2W)eY=ndDiZ@S zDS`Et2OY(y#9S63_F`ou7(Lb7x?Ci9QDl6Kh$`LY@ISy4qyV z+my!{S{CHe2t!X#b?Im=98a0MoRBsMU4;W(2GMqtY=IayTo{7Y(23$Hsjx>MnRBy# z7_j_BjB}SSxi&7-D<;}M49!G-VIl#QHSXLC?vFLpeEc!tE&wDt4COg9&?_+9I^hoS ze!XVE_IMdK8@Qie>VE{a<#^7 z@YY0VHjBi99hY^KQE$jLXOz?5Fy^TQ!A&6@V`vMj)hjkSxy`z6v41*h>@30e*6)-R z5sY>BU2~0GZIsP&T`u-4KA!arFv5 zBg7YK<{P7!Jk=X#q6ydue+dg z48b8M0fwW-W&1H~)+FC0qxWvq7A@QZ?%$#tv2CP}-ztkoT2KBg$34%_*h$YxtY8;;%e zTglYRat67d(49oSGP>Mm;mrswE8e)aX&6Ks9{mc%tU=bQ#k?6ls&JVimKP^xVAcOg z{+MK(>>H8nm(S2Q{K56|xROmUjJL@%lybtG%jp((V<$6IQ1}6>CX-3iW&MtLLp@f#lal{+Ta z>qYb>Y+I~@z@2QpM>tl@f|teES8-E&n`)1|zI?uD)Gf+Frvr_CfHw`96Aq_vmdSu54i^ zp1Owf92Iikccp20ShA7kgpD+3&cxqyU=s{IR5*0h+J^;qLooV=W5MNmxZx)Ad)qp! zlHnb{uN*+65?j+8zY%t(ZZ(;*Z`hjx_y|7j4t=B{RivE2ioQajQ|yTs%vPG;un=+W zOS8Xw!}WQZ{0+kJF`-}HK`htMkN0CaCZ>G6#3nmh#9}aO6THJ89WA*>&~#iK8f@Ex z;UNm7o{0V;kf!GT2{6kC=>5``sMd%Gh^GP^VmjM$$9-Ne@-J57|%{}Nyy zfC&}cQ(26zb)vS^749(z6enf;k`sowhXiO2 zxSzXYnc4U!BKg%BaT#Xg``gZ_gZ*!GNf|5u&6RIZ7#-}9g>a{NryZkSy32ky=95Xt zOX5k{klGjW!Jf>&8#F9E2JewX-1BlH7l$`G7EMOXkVY&cexZvGH60B}-aTe` zv4G(^Ej$%(wAZt^_O5_w4yw2_UUW}`)Ph0I*fmVh)ul(3hh$4SYb2+&I>Sh)G;NN=$Pe-H&}%N!!; z&LKS1!<=uc7sN^C-Q!8O=$1QB+Tv`hNtdrGdormsTpMZcQX-E^Tfcl22c zjn$VMg?gHQ)EaoUi&)5_7;J`h2MnAJwT0A1$`Ar9*HzTr*#h(g5Thq$YF6FmZp#@Q|0nF?5ov(CZhy$kH=rK=9A(9X+z~!bBFQpu;S;^lr&hwr z!+ys&9pPw4ZYo}K7N0M-1GoSbHWC;2R_0rK< zFe}_8nyQbzucZKnzAE<8kxGz;p|6FkBWsWzdKH=6mA6Wt$PVGzVpSKjt_}{ti0sq-V#(tD6q^kEN{dyL+h=Q3sog3}r0k zR5bDb7WcZ(EsVehOIklq-f~+~zmvZ1A(Okk#X>s8N*cPmc{&$@>DH3u_Vp-2Q@wK9X;z{ZXi+YnL&)rK74o)9fZgde;fGo2-Gs@s_8G8=Y#S2*bKE}bT5Sd3-JTzOFuPK#mR#*t~ka#Bu0mfG)19HD6yj-A; zusm9L`+K=}8c;k@nJeF&x%qa;2rrP$y@3q3t}~6^`klfSs^Jl9;?8X3?#`SHGn?)j z7@lt7APyeQ$IGbZowJ*@clbjS4b<7kSNhxe3?$3vhRXD#9YvzI-kP`L1j~)wm3mn< zag!F-s3#p)D5uGSeJjFiv+I$ymQX86917?rAMXIYVHD>CFws|-#3{+Fd+llo>akx7)d(-4M-*RgCY$b(O>tRT;6C7@51f0y5WXk?8z@dfF9pzc~sJqWq+CFAu2 zaHwOdyLLAB;0g^3!sqe!I~YLTP^&C$-iZX^+4kkjURop8ye*A5)}nm+@nO`^qGI|} zk2n3T6F#4nr5eEz*_Pip6A}!R-G7y@HoE%r6Zf;%wE%}QbM2@g-P8HWBFn2bwDE5I zN0$>1c{30pc5XFwt=6`_@p!Bj=yl=G9~uq zNoU^-oyWQ~ZQ@|NP;qwW^`-e{PtiwPf41)Zr@peMJFYce@Y$%t@!KVF_+8v7k(V7S z87?Pn!5Bxs!}IfN`;dfbuIDGO9lpUR<l<1EFww*TMuxfvL*hTYyS8Z?D z;CG-o!0dhmADv-!&|-BMopBtyba$Y!`dF@Gh&kL+D%}#|bUp9}xghbDbboD~9rK3a zYkGIJA>nXaVDfH0G>{7)Z}?}*I7+2UQ4kL`??dH4)_V<_nzP;!gL^WMJO zYD%r%M38C>{eFiiP$@$CwM}_HH|-oFGmFGyE0fA+9rpY6e|W`|w2;L_p!-<@VILLSf8BA|Q<#Fh@ViLI;ra z!Xn(d#O)^B$>5U8^S{O05B2Ng`kTD*e*t+3hW0i5&cv{VV}BdQo?eWvNPQG=VcfkA zAHqshJMxCAe zqz_kDFM=bkm*!>UeTUV{M$DCqUK}rjIPJIZ4-Vh;-iKJQ8RM)g5JuvJgJT@vw<3AJ zQR0NCk9^L1?JtZIw*d19n{b}hC-oL9qy1=$SQ&XqmpMKyGJ7CB$7i-oa^kvfj+46X zN44`gd@>$u3geRcF3ZEXG=#Xse7*y-6XVha_{*U-w?Fzrop##{Za&AhMR2s8OKG2X z`8<0z%EIx)POFoRaPV+E;ma3}C)V4BhH3lEcdHJfLn7=bwkkO1;7fCspYYny?*ppf zUAv{fpxz_M7BYaJu-i1=TKNEHQzg7Rr7Ld|m@w?0WM)Xb~S%$S1$k zdJ}NeONf)-eV{HMu`Kx3&?4Y&nD|euX+NXya$`wYCV9FioX8%Jk%EGH*#dlAgEVYF z)AaP)uPhQ@a{4sY?Jm?O*C{Ui5oCv-g|h3~PTDxM`%d`MY4EdvvQVGb{JyFOgCm@Ojd(aij1_2-1o#P}; zRqTEV_z1_#zYyn?BE+!@&Wpg6_9a0?yPwS9lnl0&Dfk2Xu^TuKZNz>hPoMO!TUL(H z?t)$RAx_4neiX(0lVki%C}%tmab5$TiZ{fGqFnzxr9e1_I3J@=&9nQ(0{=W+fO8Xk zp0>DyIw7AY3viw-z{&3k<>5SA;PdASa9)Ma=iywmb|-k~pXW0;Q5lK8 z=bv+sA+K%D7T~-MpU<=V`2zo(E5P}n0Ow=@&MO5tmkQd^sREzBT7dIHfzK}#`24j3 zoI7BL8?Z0?kg&b$eDXy&UFh@lJx!tAHJ`^2C$9nWe15?Ltm2krm>^=dU zeYi%7;k;9T^Sbr+|!d5XwFepJ(4s*zh{;y3H57>Fco< zkjeYku1rpu&+)uV>Fcp+@S^QAytaSDZ#qIorcj1n=<+h=2U!-%FhQq5x{Q06p-=F; zg|uzoQuZ5?d_VBaCV>rc9tX~Iz!$UM1V9G=KzIrH?1y~QpN!)?1)O{rbqwb?K(^=L zWLuxf!FdH``hM%sevEZDV58$kr)fLTP8T9&%qLE6B1IZ*44Iq{U<&Ow2fI@*k3N;P zpFqey)HVBJ`ZY^K`&|Ie0pNV$C#&IHZ+#G`GvjN@s42AH7S!jw#sDgWeBMRgy&OK* zBOguI$PnV(;I)SkCv8h!wqpxr??;)^3voUmkWC@Zhk&zgZE*?%zT5;AoML9UW^$d) zGQlZuEZYp6+i-vS2@r>Tvc9GeXO>TgvlloiziSV|3;E=Aho(?=^%a(fd~Pbh>Cbx$ zPREPVayTW2vU8189-ls3$mb)kFywP%0iT--WLJM6>JaA(1vojT)fDncf6=lKC-1f9 z$*%W$2wO#;oZrmDxiiCOIU6lloW~I>WL=5#B@`v{$^8z8vmgCK-xgdC{0%C#={dDt z^!-d5%|0DJO;)mFnd2qNHYd&Cq&EH2PvJK&7Q71Ky`DMT>$!?=C@&zkwsx;aI3Ov0 z_zf9dRAzASb5p66nr;)46-l5 z+dEbExdPb_cDU?C--|zFW$#VCQ5%mv&&oS9|33f#0RR7Z0c?~{NEC4t$Dgj2=KnwG zwwf(L|HNn|C81?$W_D(FWM+Qr%&fWyO+}^>NKr~bMqQ%t;?YZ8EAf(1hr}-Bx#-kE zC~%=J6?rlu=)Ipaj#Invc)P#%`F(%y_ul($2b4ep08Y=H-zY&}iq?)MrA60P1xI!* zSz@N`SUJgYWz(_pr4UEp<@)VE5%gtfZD_?eXr{s@RCR>6fW%A|Fhk@s9-xkqvu*n0r5myv!c^8bM%5=y2JwL%l zkK4MDDLcAmJG=SCV=EhHeYwN@9 zVlCXq*i_GqPF*FH2DCs$%qfa&)$x4BW-rgb@hB=%KpDB=diaVp$5v?yshGdvlNrD5~! zW|+s=qlLm6A!My+LH0v=TN}!&Zq6Ju_VVmLHQ)SMydD}uMWqGKpu(cQeCu5$zi7#QlVhkhA!yXNf-x z1L`E+D|{)cz4SE)+jw6uu>GyCdDzGMdWL=cc|z@r^u-ym7S1g$GdQgF0s5{hz){-* zt^md_o#gfay=J*BEyGU9Zu|joNeCy$+ZHDWQ8{be0a&$BZ?&rp-LfrHZ(H|%Ckm&R z?M4%Ns}&S7$ba^Dp$(^8zhh_~LJ2gLnnfm|nF3)r?rqhtC!v~v>bmVd(1#K#xv8Y) zsW@qQpt0MXzGLB7LZx>J%w#%-YhsP^^T5kwyJc=EVQmZs-n5Ja%>!>h)3I+HqtR36 zw3?~y{y)}%KUU5_1n;F6j!;OfoBMpdR)C{JJ$G=S=TJ+b$>`-68952LeAo+o+bV~ z45*WMukfX)_R`k^Y?FPx!1lMk7Ga<4>lyaR=Lxkh(idmMS~$0)%;B&;0O-4+07q>b zxB?izd{Wp0jJoZ$wH!MoyZHyeB_*63Z(EWaM&+z=2Vm7^qur@B4coD+M#sMQJ5e~J z;xt>(+wGu`VSl#A3vIfU#vMcR5J{l1>Nc5_W(I`mdbc&do|I||s_TyTKp#q^S7*NHsUMlNGsd%m%`eo^u|FKZ1 zG}sJL{<}geX33UO`Sg1N=}%y9jiahTBm2JVJ!z5g$;V*hbq{~Z=5mS Rji;`6?s3QQZhWIi0s+;1@jCzj diff --git a/CPLD/MAXII/db/RAM2GS.sta.qmsg b/CPLD/MAXII/db/RAM2GS.sta.qmsg index d0a14a4..9c2c87d 100644 --- a/CPLD/MAXII/db/RAM2GS.sta.qmsg +++ b/CPLD/MAXII/db/RAM2GS.sta.qmsg @@ -1,25 +1,25 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691903576561 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691903576561 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 01:12:56 2023 " "Processing started: Sun Aug 13 01:12:56 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691903576561 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1691903576561 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXII -c RAM2GS " "Command: quartus_sta RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1691903576561 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1691903576670 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1691903576795 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1691903576795 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903576826 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903576826 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1691903576857 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1691903576998 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1691903577029 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903577029 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1691903577029 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1691903577045 ""} -{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1691903577045 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1691903577045 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.970 -99.540 PHI2 " " -8.970 -99.540 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -7.571 -261.130 RCLK " " -7.571 -261.130 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.200 -7.385 nCRAS " " -1.200 -7.385 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903577061 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.289 " "Worst-case hold slack is -16.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.289 -16.289 ARCLK " " -16.289 -16.289 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.286 -16.286 DRCLK " " -16.286 -16.286 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.153 -1.956 PHI2 " " -1.153 -1.956 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.098 0.000 nCRAS " " 0.098 0.000 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.148 0.000 RCLK " " 1.148 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903577061 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691903577061 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691903577076 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903577092 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1691903577154 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691903577185 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691903577185 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4676 " "Peak virtual memory: 4676 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903577232 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:12:57 2023 " "Processing ended: Sun Aug 13 01:12:57 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903577232 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903577232 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903577232 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691903577232 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691914221676 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691914221676 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:10:21 2023 " "Processing started: Sun Aug 13 04:10:21 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691914221676 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1691914221676 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXII -c RAM2GS " "Command: quartus_sta RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1691914221676 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1691914221785 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1691914221926 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1691914221926 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914221957 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914221957 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1691914222004 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1691914222144 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1691914222196 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914222197 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691914222198 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1691914222198 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1691914222202 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1691914222205 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1691914222220 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.193 -97.128 PHI2 " " -9.193 -97.128 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.818 -246.083 RCLK " " -8.818 -246.083 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.245 -5.591 nCRAS " " -1.245 -5.591 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222223 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914222223 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.888 " "Worst-case hold slack is -16.888" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.888 -16.888 DRCLK " " -16.888 -16.888 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.289 -16.289 ARCLK " " -16.289 -16.289 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.679 -2.377 PHI2 " " -0.679 -2.377 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.104 -0.104 nCRAS " " -0.104 -0.104 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.157 0.000 RCLK " " 1.157 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222239 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914222239 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691914222239 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691914222239 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691914222254 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691914222254 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1691914222332 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691914222348 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691914222348 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4677 " "Peak virtual memory: 4677 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691914222410 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:10:22 2023 " "Processing ended: Sun Aug 13 04:10:22 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691914222410 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691914222410 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691914222410 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691914222410 ""} diff --git a/CPLD/MAXII/db/RAM2GS.sta.rdb b/CPLD/MAXII/db/RAM2GS.sta.rdb index cc4283f34897a437d7b6a1c09139b3d1c59cd486..4c614d744326a1b68b0185fcc5d6d17559606486 100644 GIT binary patch literal 13084 zcmZXbbyOU&{`QL$EAH-4+@0cDWN~+Ai@Qs4S=`;--J!Vq;suI3Eyew}z4yL4y(CkRKQ1sA=Ks0(7t^XXj^OXJI23cLUm*lk@Ph zk+bo$a&WM6u(9)#tI3fo09`G}rObh@kh~n5|CK0a|BuoKTj>8D|E0v7|2_AFI`?}_ zBOZ4m4NFV?wNTt#OmkKrCBJ)78v?xXoAbSyLB;JthdOtJlOJE+;AOhOhMT@HTU|mi zM%W>%&to1>eURN~Oly^0Yovasn=CWeli$Ago4luAOWl__i8Pckw^TpSG7w7Q%-Q^q zy7YS*V@c!ILQ+~<(lEh6(B*Jy@8%lyX=iqQJ>z~Z@2p46p`FYYdGKw=s<7dS;b>)j z-RFeiy*tjoH`2eC`x*;ESb*$jf{9@e%WlM7x4vZ=A zgOH1*i>o8w<;8X;tdfH;milB009~IHQ0QOJPe=wD*(v5FObv?h7g25-?&pgty1KBg zbG`EbmF^i6%Jd%}o^vV<_Zwp0--Y*^bDfSJ1;1JMt)SQg!VUZ_h_g|`efq7P842O9 zjt}<%e6i5I=JrPcgF7aU=&_$AI%P!sdA#1bJ2QUcD}IJw{OZ`W61V&7(34AfRwd(j zvwwP?Z=KAECfl!*_x!HR=jGkdHH#XH7$oz&=a_$LEjfIQQtCHc@4v=LKeEOaE3;|H z7kL-dqv9bD5r*aWS!jg_b3!y}O3L*6F%6HuZO_>^CJ8B)5wmFfwLyOH@p#h zcm1!=)Kl~+razyNwG>!$K|Z{tN%MRxANw^$kShM{9n)6CReXr<2%%@8Iyum;*fr!r z^r^1h**~ut-zR_j6Z(l4?M^~vUNw$Lrb3WVKSEYV&Z@#LBkVrr#-X$yUU0FWoS1AK zB|gi;gE)cV0#H66EEKalZV$Sz5t8Pux$>FK%A>)Y_=b)gu$8YgfKF6Y<4An>JVA_% z>fPy9F6iQ4)04!)(vW{`l*0_Fz-S%GdR4h!TJ5DEHziK6%Q|;oO6z|T;{!c)4aTpd zZS~!`xn8fYEV5QaNWI~*3K+(s<$=oo?p*T}PGpcx-Ww8zcr;zSVfqEU;$}#cSnrGL zJn#NmSc>_rvi!M|BnAzpBxYYR*=}X#`pae)S?v8-%ka77T5{4TN!=*CN}ETMjHZ%^ z8+wdSkr0p1X$dShVImBrpj23IopX6_Qg13r-duBIzmZo^tP1t%U1|`9Q zwL)FKmAcqk)kTa?TM@;E5sT!Mos?Kpi3V%w?!~QrVgt>4aUUgT=0mix2qkYVak)20 zk*OyI8uss;kN#zG2yfwdPDH-=AQ4`?qMw7TY1BAcu2Q1D)=3FOMOT1aMP3pzr zcNw2&Y(h5upD54NG4&#aljlFcSu;sRms^y*V_xhoK8uKvm-X^2(LrINK6a+8$g)%W zn4fq2Zxlp1NJI8fkHZO8j~D$Ymhe&{^=}NTw`FGHe_e)zHz1|B+9lk7)*41Tv;Gkx z_FDh^t&mvXj98>5&K{FPCfpS1se?+2e&Tz1kB8+Uo7kHT=<)%Gpc|Lmd@m_B02P! z-G5aJACWKoV-C?6mgM-?4 zdm^-D%W(G7GEH<9Bh)(BU!te@GUc(IxSKJA zG{oiQ-cfQSX}bE%dWVL3q-;qsTd=Md<&uSe5}b7~^7WT#QtA=>Yb(~qdkOHtic)L$ zm=w;{FFKJ;swylG>E<#rDNAW(ull(mNM@G5Z~dJt0JlvchU_ zHTL>K@z;>S+Mq?cIpH<16mZ zn6@f;rI?B#iHg#kwC*>RPOXnDoqiH5@x)9O7K*rEJ4-vZva`G3ohLh>5h4E4($M2| zV5UT)v>+lPD-uR>n&bQ@*?Vn^Z%adk#u}Ur_vp7BPJSPJJ1*kR+h+`)MLgtik+nD}di$m|=p;!^6L zVGUXB;Hi}Qr*)Nq>gY)Qzag|MiCMU*^@~~gs1c6kVbq<}Qrq5F&Nw$O&nG0gxB4bi zHL!2X4XB=DJQz`9g1;S{7r&k62g+BK#J6))eacuC&qMNSWHOj9j-o9IZW*X#!fnD0 zYhkMnHEAh29%#evE&0O?ro^>JxIul$uBQ^_ByJ3eZ68SAz&LVj7wVJ7{xKEJoHgY|NkXucKV_dh-Bfh?LBNJ?HxT0FlxK<+D`>yhwj5m zE3tk~hTud`8YeZcfTS#3(o?#vWVsg=ELtuUN@893I=r2f=4O3!_a(p#TT1ny&`u*= zVEP7EZ`jH?KGbxr;p{YGd_oHU1P;TYJR8;x4#I}W|By;J`uy;A7x3_gs*+82} zXKzn=SK(##%#R}6Ox#nwa)*LmY&%?(0(7y0k5Uqz#a&<3{r>Q;i7uYgRo{!nnj*KR zuhOFUeTYF`|A;Zl8^c=-Rhf|zv;|)t%=yL1dY(%Xr*KMW~Jj=dI0S**5HY47TF zX};2(;7-M}QQkuHT`SEx&)c1>ey~0H^In!VM=)V*7r>Wi!lRa^sHfBAd9r3Z(2;eB?R#)vr+Qk{`u!P9q%Pd72kaq9r8;kky zVDotWgYc8q=iQ$L63EB+q1ozHxt>Qqy*Zf=zZ*^+T3Kd90Gp=@V?ToFX_JF9FTV;$ z%hR&1R8$Ww!af4nV6@X==%)7%{{srOG#Xs1Tv~rDzpF1Kex+!-g=&ZU)XAz9Lp_OKJ+0Cf=CWRX z_32zL2g?ZJ@jl8D2~gVprX&#WPUDEUF5tSq%-QfgnXrHS`T9&&ki8cUuaeKAKI4~c z?FWeMRn^eC3~8exEF*+{>UiRRwb=XV*Bemh(ba}`u~5N#O2d4MK!U}4LUMzaG->CS z5tp%cvAD*6sF4!oAKpp2eY&a8TYkz4N0HZLmRNWuzPyw*HU1?m1t~=eN7`tMq(b7r zR1BDzxKO~|^)yF#TUqM8*v~9XFJ^FD{>e2{K_ML4y7_NMob&FZq8qVJ{@@FV8`5<2 zP?!Mm@2^i=#Il&zyj`xKgg4qbD(}

b)&Yfu3PUNevk{ev^dB*Yd zMt_=3OMEXgo`2KC+qp;vO&BOK-0Gd^T59Pfh8bG5zVmeMmB0wtBaou7+#Sc~zy9i& z-&C4IsRmvfn$hbgGKq{^bYCj zw@ij}mR~ZoQMpxybj&Y5e-U%cL*nC0bwK?>@<40Q8=!yttWrpUbL^>+T_st3=emG? znkejx!2O0FBhW#vR4kf$IK}8#De9{a0(O5p=2+zUIpjYj{}lbz;4YpP{(N(ivBD$c z`k^oQZ(~|LAQxfzIyy@(TQ7zkn?L+S8Rl@$QbDk2&!ab(ZlitwiY>t2>?Wu^cv z%2ecog)mUp#k3EdCrvI&p~MUAhO~2szfwtT0gs0nLqLb-V8W>Ztv7?v#66p&HCAIi3xsEWSez{7;?>Kdycr0Y)5-m0eyAtkvXJFH+zcnnX;Fb0`VcS+g zznnLT{2t}OUHjv3c?GT~rzPo($!|oR*noO$&n-Devs5{9IYW-xe)ICFSjk<{?YckF z6}1-Z{L*u&FuTm6)eS6ZGICzp&U1)93Q*GqE;yWvigP46hN1}cY81X)#|VWFz&Y^E*0r;gbe$x z(*#tm=}_>9ca+nKAjWj;-@xq`if2>(r}7L$c&u|rd3Kf_GVHyDrolgOPVECuWjJXxN#{=?oDU-+LADyD?4wvw68ev?&9vMz~=#Sl3 zK39C%vXnbYw@y9&`KbEqQIVV0K>K(DTluKQm!64BNs|VJc;%iiWDKyRwfdPfcQJE=Mq?*5Qa69YghMxqN)O?edw{dWFmt`_T zNu-ctD6k>>uUG#?<}*6eW6H40yP|W|+i3g>< zT6hUVn(4EzsiuWvuwcDytU4{z?mkscb7k~Mb=Sm9T*oXEaq6YzvWLpC?paFHtu)vY&>Bs|({6I!--{-#~IBYIQV&r2q zK5)na^jO_$IygwU$gmd8^Ot?m^|r$$kz6^DhP z0psV%_%vFUJRbb_$Uep=IrI!`p6@b-&kW6vPoEbrNUltsJ|+05R7kF6(>5j8`AEFQ zyFj2E^(D%2{1ncdLlDvGjYZfq*GRaY`(i`aDPPP5`tjiK_# zIcS_1_U7v)%G8Dqhq_zIU;bAvd8K=<1>Hkvqp~l~PpJN;jD+{nVL45bMuakXxzdBR z^CbR(HVPs?u(fhZ@qba4eEYEv{^R4PZg5(4|XD?;T+6taP3)xtXyRxFpOU zJ^p*^*iELm+g2giPEO?OyX!!g$nVQSj~g(4Yr~KpEs9Bt0k38B0_rr%gU#3)P)e4M zaegGRb#l?5SL%uFyF2US`|Zb6<@0@)qCJnp?Mm@S@@~K7xAu;XhUKOCd8KW*HdIELob-as-k}V^35H6c&_+7$mP8P_!s}K(G+lta-2UQ{o z7FiEF5^Rbh8!BE-k?@Dt7$Y;5tSfr5dfe`iM5-0U?o4?6V&p330T)g)G%BOrWsFPG z6=6zNELV$6t>=sSbO(mFcnX!-p_%(XPP_=AHg~xk`)_RoZO${2+musvw}-c^dltWL zj!uOlpK)%_>Wf+uxaJIi0n>1{DGVA|XcQi&ckUGi?Ay*7)mmrMsvUNQKMqtz9nbD7 z;2BE3Z4O08*(Vd`Oc3>rcx3C!YPvDvGES>@*~xLcd{=2yErGARh-E4H60bjoq1pkq z&Dg-fT2if9T8KNk(r3|_pw(AzW7NF{Q67XdmdFiy<8k9e(gB$Bn7Wow;Yo12P~@xd zX&C3I$i@o{a{-^Gbd%bKUJogvkyFlbMgW|^w@;#?)>sJ(8oBttKyYkp^h=qgl*raP z`UA797|psZIqF^DZ}?>4+5SJM$}UvP*1=5lfQ=H%C2IlaQ@rM-hT#p`SI+FdUal)l zD-xS?iyni@6@`r_8+lke*K@uSgUVKr=lckz*qIx)Ly5hpN`jmrPKBluv_SxRK3*!4 zF0P&Q#qEZ9rRjGCLFP2Y_^1o8Lyo4db;4obQ;nL8a-UmNevPWk`h`{6Du7XOEot6sMRURh`+3So^tsQRj@=T1+YgC&`+uoKY5VhRs zN+9S7=Qncs&CdMYlO0D)ROB1zZkc*_)cuK~?xLB3;%GjfOrND38``eUh*KNylQ~~a zRFy>Z{z~3*$&nvdxlzC=!s$xhOd!%xfLr8SGi?tSMqQw(@!<+GM4CG|Hs62x7jpSj zdp^O9O~N;3AK5aE%EREN7FnG+xkcB+hLyt=KJd4(6Jq7Qk$wztozqxQ>SSpE#Me4c zM&|Rp8K_%ELx``tQgfCSRNo2R8DBE{<%TaAW$c(X7)2#>p^pah<&Alsb^Y+ z6jqpMHUn${Dgh@W<<%tj7rv%zTKrvC>UKerx&YSqQS(q@HpF zMB$Ftr2!|bqjp>&=CkfWtecz))SRYfVMyGjU9{c^)>1Ef%=gbyz-?xzMIFTHQ!Hg+ zHT)%|WbtZg~Te{qgbkk@ajs2TkCZ!1FY}Xs2@b;yAu=OrmaS3WRZo!CLrYAT^YO z5H;a^)p45TQ%ZM8EnaYF6dzmaK}p*B$~1pO!S^4UqKh$VMRF)R*cS!ATXliEt6CDNy~a)biixWN38qzU`g%2T ztV7n05{DFTtC%(|49`s{){W>-N>FqH#QP}pcmPI%*BbdeLd6|hPv<=l9bs|QJ{NO^R-g7v2Pjtt;PcBib}c4f8!g$U*@^7uS=lhmxPk8gfhU{tH0esQOR9w0w}`{tpIs7{-;jmYM2r}}9`1fkh z%jf8}et$3+(UqJi0nk$<^9WS}fZB?th_JVlK$uQk;J~bpfAh!Wc;y~mCbEzO`A-=#OZAxV#re+u+Prtx1wMBi~r@!r}U~-OMfX6s3Am)oC4}Nice^1P)sH{4 z^x$0OK{I^nn~(H?OA#Z6s>u)|0r_SbN9u%plIopq6~j>1A&c1|FEr(*JW#DTU@C9U zm<=Aj-%y^6#|Z*!DPX%>eR0XuK{H5`T3eNS(G7QQsyVPeZKkC~BC0exQ=V}hv1!aa z8Z7__1y7v%?Wh;p!AhAF^->-b_heWLel>gz4^+eE86bBPP{s-_geViR$}3avrT{Uq z=~TyvQ~}uoT!_qG$>?OmXKQ@s;xC!V_4!VJ#_3VuE#b5iEuaF>vBy7`AxFXWF!x8ZVZKYv$#M3Hgo2=nRW( zSAzVEyDvCRDdwhnvnpMG)*FE?a8aODN3-Cer(J(0R_MVFC!mFhO`u>u594I;KC)X^ z2D&t??&SyQPr`2sG+&RpK-}tx7-O~a+Q?fZD zo~-x%1}UN?uwhbQi9|@g$Qd5jNu7;^W>PI#&>L_&UphGE7CQ};A282qts05^q?}i8 zMurn=Gv_q-ZJAvsSW~xooL{l>)qGi}f)mgjPgW#y7NVC_!mxU98(lTyI$t?6{7v`S z8Uum;kZ#a8tl2Pmu-7ktt|%X7{7QYbBGN4;W4lQpp8tF-F>?Os)gY>S*dF_JkRmV7rPCUCt`^2AFs3 zkg@2ZfqM3WiF)?Gorj>zic5V>!TRM!{Yv>+LY?7*iBC;(f{wOmS^L^DeS1lZ0yQ!` zlf*ix>Z6TVhcJ*gtI8hn6AAXE6;AJir?=?z2KwM^9bq*;si`j)IZ!p@V|}%MGC|{e z!d}Jba20z5CAle}6nurquOiJ{JD8>Cb{y#oEiyZlq1lj`t*l0pTL5-afrl#3-(n*{ z14;jfcg0V3f!qF)@lo=%yINh=gi$ycDIXGqqUYw{5+@Az)&~}s68E}fr+s3Ix)kd~tviyNO$1l>8ht7A% zi;ANR!_&D4!Psd+X1_V8nT--H8Z+m^T#JR}XG_UuL7FuBy!jlTzH3BjLhDFOeC-@Z zrA1j!=G3m2>p8`k)*2W0M_ErTDNdIdYw{%GNVO0ht|=s)hNHiJq3fe9;v+~zWk?0H z%9!pN`cz96znIKOcac7xkCEfH;?3$NQ(w;yT#TIywBmUT{sJ2&HcP>&m%_1krCTl8 zjmK)h(bObT9IvS9NxnbArKo7ZFu=^L8Uj*X7#Br3sDGDnGcG- zLa`)!LLSw+Z0D-xC*YR{OLYRf{1pKjh{~vEnYJ79@tBH72LijrWny>Ja+OLQcR}_qe0i=Alr>5mGW1yPl zt*m2x2A#6hWc^1-ub8?m3D-fs)5aQ3$i^WtY&{UE z%*e3xZkgs|JXFdId#hSqZA{JgC8E-p0TPKqn``|tl#_fQV59K`eWT*JzAWjEcI_Ue z9NDuQ)$KH6(ek6do(vP_a)nhu=6?A~He@q#uCysC^t{Ma4zegpyE<1&!ot<=u2I#g z#GlT$icZd^F%h+p=gJhD9VwtG3M6c%JY$?g_QrK^eu;ug@nW^*$j$L|E}luq&&_Ek zD!2Na`!S^!h0u3)J&QQWe2CG22y8fO$;SV5DyuweIfnvnB7iLLX*h?@eyx950Xm0e z;yNgfBts-yWX)|YFlPhhS`E2S4XNOvQi6l^@Y2eN53Hu}=8nld+HfjcUtRdDd_Q(w4OGK-wHWF4Wu>Uj8Sao&tD+YUiYuuGB?%T-4SYfRVDTebx<0(OA7V-lYRzMiwLX;U!*0L z{D^pJn(N&LsCV*bku|q!2o&m4{cd(Nz&)fqMRW)97cB5FPlq42&`_~Qcn6U{w#6-~ zI>xX|)x@IUygLRH=@wkNWO?RD5{z3|K@<|N7TRj-w5sBBF)-G7rK@-iBC>qC%5VCY*E(w~AS5T1{`m)u>c)5XN@r z8c()i)s5#m#H{OQuY6f8%J6&mV)~7bv4q-6So7VZzmh*Sx8)#U*~kL!(JKqmmsA0PHW}H`WLwajX=}$P0o)#E7t?vsU+HqvSjJ2Ngg|&2&U(eXO z{D&wy*()0kkmVRs$vKxw?uf12-EXxO>)co}t&;J9XR`Tq3xBFm$Rcw9xIyYcJwh`& z2V5G9Q2`h2r}IyZ2VpmcC4VX+S#v3`YNknIkNC@v&Rs};5CW7@$B_fr1;whh;e9@_ zBvf#=-nOu&bbvzgl9-58`x;hIvXL;4te_Z*4Vjm|(B2}P_k)mfJ{jM|nm|(&qkp9T ztl-lIXUCq}epM#w5HF5htj|`(AwI*4weh&2-3Lu0`tOR3>h~a^FB&OQAVlUM3@9}^ zRHi`bkH{y1q!RBukW4$y%UKF6NT0!^mxQcB7M=HT5AnV$LG&vYjVH+GM}Ht0GuT5y zh>NjNkxGc#{>TrK|B4PaKe^!^lEEwdFYEdF5fs)x4Ma6f@b&ai8I!v>cHdnqZL|PN zDXi2nXM*@lyz{Oa<~xCcj%5^3s}Ctr8oM}~?Ethu#ohoL5d;RZm9T~{3~7J-SF>hb z?gZ5FR_k*S%1}Dl4inR7Y?Y!ke-UjO$UQzb8MX#JGSpo13Y9Ph2Bo6^r-aruR%vz9 z3s!!GECrG(Al=!WXj>tj<2)D$mjJORo6RCe9PU~PI`3b&Y=Ena+aH?kU*soSO@m5m zP5+D2kAH0wz$rzH`$H%EUz2s92Y9ja8ofSTcm1~}x*K*(Q!Apa|5KrbzRvq!paUaa zNi8p(;eS40vi+G3q1Qw0`_L%$AIpZGu(7^>&i`+2@n?lt?_rYy8{;dCkMwv`VduQ_ zjfx!UX2|Z1Q;iu3<;XfEJ=U5*{BoUCjEqiGC_+Tekgk-ccoG2=PGXLY*yZH`u5U0@ zHPBJ0~tnH9)Hv_P^%hO|`o6p_EdyJR*QUt40JRW0eZk$xdq|nid?ag86tZhbS_FsWO9;iLtJupXVk@F znJv&>7nD;w8#`pow|>Y8$j7QJF=I}*V;*7s&gB=o#8!$J2)h^$iMcSPu(6Y#j*Sbg zGI}qYJ)0}+tFftM3Xc{ano&m0z8x`@*2i33(BtN_;Q6G-l_9@7aZm%!^e zRaVT#(}JyND4v#>d6{UXl?fqGV3+4p-E~0@w#>4qkcPXKpA(3;0jn23aA%ApP`I$U zjDDNjrTS-}rqLV+BySKd^gqKx+=4>rr*Sr-j7a1JO!Y-axCTE#S~6gxS0Vq4C4B_d zgzK-=99=T4DxfiCS^!RMY60)VM;kmy*}>)!Hrdt%S4Z$JHyzZ!(TeDumHifp5kJdb zp<7{ppD?yBUmeLQBRskX8I9Zoi#Hce#jx^$3z8FGTkn42n8@MbTgCpH2_RivJk)_! zVeLMQXRGeQW(@iO`7ljX?RC9?K%r>lxJNl!B~!Lztkq=pB;IzIHXlc{D&$}H(ELG5 z?Ug@|`gqH@_GJz;>(?nsA5ZW1hOf@f(6ML*G?GBd18P0~-b{zmdNI@~ahrSlx?ZO7 zGYhtos;PkJomofVy@TwEA4X8(*@^kJFSU+d*^LDM(67$<%-NwEFCb%pZs#(O*% z(3EHNF4fDip`dhy$s%`1jUWBRPo+AoJCvMD>f>x0~WZrTr_DAJDHE_J?Mc zgH=gktMmb)df&d2w{pNUqk6Y`b9+=yMKih116VBv6Ssj}p~T_EqEo&vMyhc8Zn>D) zNU_%98@y2@8vfl*oWGNF?E@zEjxRk;O99kj&wdXgR$nqgP+t1yWmgwb-S}p4Z3RNK z?F8Y_g9q%2Ov{}n>cN}w8xe!p!WNrIb8waQ1rx4jMPbWetg5XAP~MMASMd3&=IWT7 zPzkjoXk2=37QmH3N+-AU5MA_^Te>9?z;gdQ@4vdzyWQ^XZf4oM{&fH9dV65v!3Fxf zSI-N&BhGz1%&=lXLn}=(w&BXfXKTG^{4n+Qz7gjMj^*EyzNeu=7xkT-A~MVb{GFS= z$rk5*hnEqu8N8OjEL(pMATj78jlC~Ie3c-pMPS@D73%W$M8DFqn)x#=nB>U8&9ReJ zgYa46Z_{jOgIB8Gn58bg^;!PeHNH%4b?hxd~=_v>`W^dGmQpLTX0jpv9A z@k2adq(+W{vrI%{m(l1dQ46~^kBt|KG6f+YjzewZ^bu|%4nRIFM^cHOz5zN}Th8j|m-RbOFbog|YcjtM)d!4Ovf50VZ+WV|uV*a^<0K*Q$M zcA9L)iog+Kr6;f(Ca(opA+xz zo>2PnT>xk?>@YNEr;doKtDwkG9yv1$`e_zU%1)S0WJK#-UApx22#Wa`NqUfjvB}NH zb6chwPgnsWW?Lo@3j4?aXA>tZ6JW;j&7m*DMFAlY@k34BlB7k? z*x-?qdrHzlaU;X#6<{hnMd;(kvlU}dh9k%<@?9$$wV?LMjDn5*?8i%jsALTNR002_ ziaP!sn*fi0h?**A6hjI@)6dFL=8b}=SkaFSpZD$!7uVO6PzDi4R;Dd&b@@N1Bw6J^ z&DL}6E96gledoG#E6t7vt3F`dbO#+J-bL@bc}&Ms@B9B}Dg-~Xxd#`I-H zC7eU|ms9$nXDaXdQDlFDU-i(YFU1kP02H1qYnj$eYr}8j+u|ORMi59A_8T-W-jD~@!ruZ7%AuZGY!`iHmmM)UEp>8Lvm`!U23v1}_x<`h5 POvsg4mAXa1e|-Nxo3wMV literal 12936 zcmXwg1ymeO({=FR?!klm;_mK?yDskT3GNVrJ3$s%+?}8a?jCdl!6jJG{G0dr{Ejk>meDlT$_Tz=29>~+n!OfMLTZn_3gNs_)$HCc#nqPp6 znoEci0N@00aSKsvD^sgFc!Q|rY#h8{ZOu0Sqrm05w)>ize)EQGE1AF6)YS!xy>!o=`Jp@wj8dOcgo=|@84G>T)8AIm ze|VC54CM*w=exy>J>WFAd-sZbFwx&%(C1dlxeEy3TgMI>xZN$=h>M+ksi|`f-W(r4 zxZH7H-0T-aE!-3()|tq-rS1S^%l2A$2?~=W4=VWyG9i)oniW%$qm}co1N?fwmtG1y>VBH(#WUF;0P>6A*|`hh+Yf9PKu=PtIOq| zW`gnXeKwT8igtIn1m7y8!HJccOW^l^FcX{9z^F)zIC|f)bS4MgS+-x*ztAdVI@?)x zCpg3I#F-Y|pzsb{5PR(<-lQKxL@C{UWjcib$bkG^FAf^qHTWV~7Wt^(pq3Tn>LtAv zZmT(Wr311ON*6vTk@G9>y>K@rsA-adVg~#JubZ{(@bYsobX^(dDkbztFECJoOxfS2 zX|vz57nJX??7K;ph&A){3Y{l*p*%Y`G)lzNj*8cRUZ@XtRHn^Mj;PgYryAj8NGY@w zI#l3v3$dc>Xv(#N)xBYGF-Uh<)zE*sgSUqtg$G8t6o0 z9Qbl5mo;uJ2>b)3Dfi=|&zOI-N-!g?CExx@})zP4s@MFpu<*1F^!q?m{PIdUeOd8jS-YZyDRa4TyR6rz+d4%IBHTZWv1Ed84cs;nEr|*~==; zim;TP?%m06cF%oG;mZdX zV2k8=V9GVcuS;9rPGVdgdw(cK)8+-1qN=rL{4Z+;>sRTn+7X`PjfLb!~ps$b7AY9ZPgmsnp9 zN&m0iXGn4#2c$na^jtRWNUE#va$#mpz#~^D5j6`sMyWvKWPMxb(n}@jiMn!%s>ghX zR7dO?#ReWk7R^^&7C-}ATk7`?{hnNBA482(TD zTN%WFT9INsC5hGCJ0|znt#eAls{9|OV&Z-;5kZwq3hxVk0;5D#s#tT-6xjw)&PLE; zHnvq#Uu|5{2A{vmZjC>Ebs}o4rd{;j2qdb#-BVjmP{YEEATM?2V#q><`-$0w$)By- z8Op-1)RoLYCIT=*4*VRhs4OYh`&pqBazQieLMVVJz%Ss(Mx@VH#C7C7nvQBsB_VJo zZ^KY~co&ut8ReJsxiq(l_)LrE(@<2wuXawc{?fGyFl9hn@dAYpcoTJm`NtxNN~3PB zrFu+POGnx)x4vOP`8Ye{;;cXep!wYRTa0Y`sQYJLTEp*NH3zLel%aw3^4cWl*D*m^ zg4TTg>+$d&-q>C~k8G_rWY7T9P>YwO=%FozvKS*~0{Y!3a9v};?UhXJXQp*mSU{4M z6q=+Y{ZZqe=IY7y(bi)|UuCG0!K2BCo*A=#+3lsJrTck_eR>I^U~lW7>tjXbNe1hiSleEDdBIF&^J<)$WCcrT-}kj`>94;>QPv*vCXlTk%h%; z(6g_1}e`uDuU%^xs>qj(!SmN)LVkI>G3g|9nUY3It4I(Df z8@kSzESEWP51Vwlet_M3^2dGUr-Mv{BNCQA7lUUmB32 zgxEx-cL_l0Ut-bYJBt6Wpxo&An2s-wh3OGwHE7`#WQ`I%Ow4sF6EW!=57|g|@hDux z3!?YX4)_a=IXFLN0xG|MEknhxM#jZzjrf&tsk;6nKuc&-2Y`Jaxlr=P%67^BWGcRC zIInz{z}u6Jtt+dM;&P+&=Z(SLXIpUbJyx|<;SQZ}=Kl32b-M zR%%(nlRuAT*%MQ~e)ah-)M&xjoMGm;mJ?+tF3{_Y!Q}|tcFL>;XH7seW= zuf2C)Fpnb|1H!#yMl{}jxc3mQuUV;HcjdKn8|*og|F!+=({=jVm6s6l{GR3dX~Q3> z$0~unA7Ah>4^^Y`YxTsgrh`sEj+1n~*;_?9AX@MoAVHW&Qz3Vja`8s>Ima9j5L7V! zCP!Qj)cA=wjuVLxAa4BA;y-`gclmV(&2bb2mf|^7=^svZDBTzPk(j$eCW0p?T~nr~ zxs`NTgfV?Wg1duQ`?Qt>9%+i2t@-6va%v#ylVhUM8EE@*AbImGifa6W(eQa*p>i1~ z-`g*IELC9TqaFUD^kR-02)cLfWHuJkto?p7)vu&q0!wQ)VOZCGi_E;7IONA=J$8qE zLZ56V{d|u|=t3B0#R894YoI{X0wDK0tvM}2^`~xuZf|>wq_&854KBrfPJg{dpm@;v z?$cYFjd!WVcXf;zk80lRt<~&X(PkIUf60&Hh_MelX#Dv)7RzPA?;;Y0o{GRI1`D?I z*32e7hM_%KAuJcc7E|9^F5^_p7HX!cxW6T9g%;^OlwZrx))H-Ji#Ev=)KZA&OY!M< zQdVixPe!zD{Xz}j(D^#h80@uk^i|;K_YgFGI|UDuN#+}5PnDjwwIVHAGwWtort<2W z{o)u>04-7zubo~rKGGi4N_Ah?G^?s>m*LJD?`VqA$54ihs^OlRvtkQ0{qh%Ue8Ox{ zIDpn*V#bx$qmFM>^XEIT3}H65Ebnb%^7aE(i8J)`bu!37JRt`R74XIWgHya2bc|Ds z;_e#F9fWOei+p}nB^V|wJk*vU*4hiMla`s@?MNyj1T6DjtlKedRM+H)O#UtO zWw=fNtB?pe*0M*%GG?aWegdzlm&RI=R{fGyd}L8NqIpt}M-88F^iLtA^Vd^$=PHW` zou>FZ+@(bKbLfvO=An(LFkLKmYx%B7$THQ$X_NT(EP0DRid4!)PeZY-rnkp+^Tl+0 zJ3|UK21uWvURWt6!VDA2C_??c?!v{^2tM}8AV|I1&-s1B&KW)H`Gu?ufSsF`RL`>u zgTjj7IqxsL_#JPlPrDw0hwVURVg>IS>;ifJV!$MlbGZ}m?ZKsZ!KnzwpqMZlx8_yd zDBpB6WVLdL zk5M((z*X@(CunS$*#RuvG5>3scJTSUjx$iy_eygeYMdsQqA&;5y7A9%il{ieD()*R zF@H3ZEiL`AE6_dBcbFyaXfrr~C?X2HQMo{o>-TOW?`xR1DE~mtH{pJxhJCDD^QVKj%O&v=fAZ>23r5vj1Y#5E$^RU;(&H`JxfJ37ub zZu%W3QsFi*WIigYPX*3rYcgw4`r1O*WWXadMJD$2QW00Kh(A7bdd+AA$sWvapNpdQ z!?}J#yXea^0a5NUqjE)M4(Wv@vYF)kF?9l|)#-M1;~SWB38dZMcrG!&|sFc|Qm z>~up=vN+3^!OPd7x7bKE&B%CoVx381+on9*sLOlWhNUwxft1E>W=j3npHn0!(Y3Pi z2|XQ-?uZ@WB04tn2R7N=?3JkVtJ{=plq2b4j^T+TYK_(&zmyrZ{G`j!Q2xQED(BgV zy0uR*6*8x{orCkg8!Wi9E`lV6G6eigw2M$WpcdQ3e7tDq-+}`{8neb)^8=#IM}#AN`w$hPa6*I@Uw-p_Niq#772x)RXB46P+8d#Nh-7W*DB_- zpHff1T$8$5&{rx-=Q>1wQUo0i?RItI=q#&b0EIdP&*t?uru2lE>dh@PCZ zw#YNZ^Gn9&_>4Yol0zVl-$o}krM{CN&nhq~Y6TZl&J%CT{Pne$2znw@klxtz@D8JG zC`=w!i_afciMVuKEwB9(6fL1{<-~g`3*~k}lR*s0&N*3lRl}uldnk3N7Q*j^5TI63 z`MUa{Fch@U@whzB$0Y0+`S))W-64!4xU~0I)Ir43JKc|(tN#vg63vWm+7p~B45a(s zucY)uyr!Zxq?Th#&^a*9aQSDr{bGEE>w2BfPAgAZgXOMJWhxiiY zIyVb!q%2*)hZ=A*cCPbfgw!X|?^erdpJgeso5@>t^VQ?GSL5Y3zwAC?%w;Le!Jae} zTc;pk$Y7L&b)JNkx&i!=RZL%px$w%&Os_=PUa zxT~A#JoP!`rUex8LrT~A3lCKi!5n9o;y#b--ACIQa2ZrC!r*#$>6JyW4{9$@ezGv~ zA#+zS-Mce|w5yFJ{QOi*al5O(OrM2%NbC~anrKPXcGxtvKE*~jaR!@-)u$w?Qtk?j zm&Ut`=OJD9U32xhl zSH;C1B+xIZC9cycS`D}A{!MK`k{D2~ z>sZU^_RAD{Z|HnJ z9S*VKC^MM(F=Ha>OX^)~YUD-cvx*c+PO5YVvJ3sp7E~lh937ub+mDMO$LJf24Lkh@*gpm$jM`ydf&b{dN zsuk)IylXboHLf%yewcG1TiVH_a>)#qd>?}M)ZxY0m1WXRpf0^hG_Pwuwpn)qPOaQF zkcxk3JC((9h$;`xA^;M61g*B&o9-+DAy$sJevHdmlyVkqQ>7ldmb%JGJ_}#>Dj?;` z<+q4L@00?P?xL5TSQ zbLRDnOXcuD{V?oL={_xdrK>mtHpk^JACI0=SA68&Ij_)o5fwv2?h_B-T^AlK$WW3QbmSL_9|?PZbYvMx_#>g43fUxsNmZ8DOO^R-G%p>7eJv;vX??3npJ%m_9Q}Q<6T{e}~&pL#rxZ9QyUn~O}qPxzAQQ0pR4Y*bEK96GUx zyXcldh^=kYM;@(z|z3FY;KVv+-8| z0}U8QYf^2SvUue-zz6Zx7@Q2_;zh{acDyFf*46&6<|`Yfkt+$x$FLl8PVL%U7Av-S z49$MCQQXD)tg(*=0<6z0K>B^q_(O3hA*xhf`EA>kumn8!=b5>9qNM)3Y(-|gF#beC z*|S@xAXdWe2MPSe`ZJg{ry$sYSl`01Uu$t`AYQDOV`)F#B4k+z*6b%5gOSTAN3>lV z?}f4hNsacV{@EmAMqr`%T&XCYgusk-6OLE$P%NGl!BeRL-N86s5VVmpJ^^p_!I_O# zcvxsI@ba43BLDxopC1qIvmbb|bmWS^)fhVNM?CUk8P0--RVx)klVrLr+lTZ1O=MC8 zU8Om6=k4(dj7`luwwG1B+gmZ!rUcB5mE?m@VRgODX%BI@zvp)lH+79E+YVe0#~RBi z4n1)|)?p~MY#y{*VO>#i)>{PCVCE5qPP8zG+{vd_&wm_rAK|nYTl!f2o!6B&B2>zW zuRE>NkVWMeVP=&%qgfbbGmNF617@Bvxfs)Nf+Qhw@AhPUoPC3K3K6yeJ8WJ23Yz_O z?3nA*0ukbv;u$pA1M&{A5k?dt)lRtc-MuTA6f{UH+*ZOwasrE_*)v7DTsqfXY^w+|m(8H?%}B#wyps7J;qO|e{# zOGlJAeBw+$kXdY@_t0Vh)rm9(Ps##I<}x5O#f~~ z0!C4dDxgbs@kb}T+Sf8J@N3WkVTtZ>oE!O8qfQZmnO2!11*b(W@a@Fe+ZKn@?@s8@ zbV-PB%eXZbSm3Shkzs#6c)SHD@c2yg@ybv;ZXteiMlOHcoA)MYEjJ!wlFYB%3e;r~ zb5C7ierzWdTwAxfPutc;1hclA&{Z=lsc1wR~uVZf{reAo* zoQwBC-&E-7Mn-Jag`RL>gNer+B^n_!F{W$$HIe3=eN7E9&p59Fs8);0*m0PTitohv zxg`GnfqQnh#xhqSCJ&&;37@HZZ%{2>AfyJzK`sJ|4dH*^y60wGnB*(dNlHS%Z6!SS zP8j5PD6nXIP=j)C8b1QwWoZB6HP9+P&&n13F{@zJ8B+sRC1wluwEv&acz zD+cPDM*(liWrkh&;RtmD(Z+Ehm|eVOChttx%azrRqP{6ZR}NQzy_agt(4se2k9?21 zam0!Kc>o1y!kgojL;aOAiz0cWVN{FQJKprmXTH|YWLB<<-xN?kipH?}#40#^t#Jw8 zk-);cgM8I>D9p8TQCwk=XAeyR5(;O=YUdzbv$!7{hS4kIs+T_@g*;qDuocTmj*p1z zKzzU9d9Y;SyyR^gX)DRG--5@68KpvASb#kr(s12O1DhLEU!t)y(BcK|d=EFDbGJRm z@s^!N6)kffZ(Xl!HEGS_1{y#AxrOd$Zl27sjr9IKw13WY5CS1PSq1;4UR0d{q4iD= zS500F>W`wWDB6?qd25R;A%0hrO$C^w@S{|to&pEC^yYG=HB!w5+P z*>!BOxaqryb-J4=pj?}^%+~BXt6SOe@}{;E`#-EnCjG}eEbrCJci-<3LSy$vj3Rny z_J-3yINh%IKvp_$%z^ODq(J}9Dv}XlTj00RFuENcsOC9@UQyZ}Z_ME}*(?c|fE;@xNinh7M;ily$NH&<-bhoQ-C1mLtl#&8$lO9~ zCG!AmDeEWgY30Z~Gi2r9$;+>GJTrT>V$5DswGjg1NR7PmYIIR$eemAodJFABHV#p$Dp;@r+W z$;(l=#;MQfTWX*1Cf7Eo<($V(zV_JJvM$F7fs6o_E$C8zYcysZt2jc<^3weaki%a| zXNm#k=90$3A&4)A+r^`JsP^ZA^F`N~j^UWdAvH70jT(`Ib-8ogv`Pgn*0oGJM2>g3 z0>Rx5V#$(?T|7GJU&X7~rM)Q-G?2^VHgNbe;K_)FwC>WgHXH;Fz1yW^w<3Jn5q$p&m ztlx3aOr!SBWI2(bgnB=G?eme?GZ$g~O`0e|@Z)ez#xYQg*H$~zloqV9Hm})ty7GnF z*ax2@#3n4bZo(TbsguLG6l{B`^twg2{wj-bMEwaID?olY4I$71a|y z_4ZzY34IL3>thYg{#T~3b-r{-dP;fCMP}HAg{ec9%(jWFOT5tc6)hYokxS77P(`bn z+7cAmlFw_arbEoF(Y7Il_Fk@f6U%#mA}(P5On=WF5aXghiKkvfa*s&*;-eyfrd@+hVnb1hf%qAZjoEJ?WskT<)L_^?JZr z!SbOj=dzpqx}{OYjk~M)SZPJf$Yio38Xjqb*!w$EWV|URTvLH%cgWqW}M2lZRBT~ zMq^ky^-0PWb(>8W&(bpsSL{B zdo$jJocJd)!^afjGGVb|13^F%vh)~j#PhXRwI#hbG z_@pU~Fn$FhQBLX)xQ&!@prSqs4-QGqA2pUD*Nl^BR2B0g!+vy{^(PY>RV*ZA-g4OZ zs64}%S@p}EeoOmVQFCTDp1ksx`v~TuSI1}gTIC89GL)J1ZjNRapB%mNg4p<{CTo7XJJoq}vMCoVi_ubr$nMxHZwxF6J`?M}v-A$3G!t zv<`Hs+IJPVRP8HqpW{Gy*g2V`MSdT?)`Uk|d zOG(L<%R2!%2eCPD?_|XW2I&=aCQt#@hSc3@XnQ0`tNfsqWh4>CBXKS4&w>p{F=&kvV)t_Jeg_Tb!3QoO(YjYnQVbBNu~Z?RM=x|PeNWO}EcJI9JkbQNe3ah$ zBwV<_GKIx$?CzkxaeZ8eU&_4iqH;0H7}k0Lhh``<9yJ&LZl{{ml(_E$+Z@ef)W3io z$;`@*3C=fpE&Uj`(FKct>e()k&%lRq=?VQe_{_3W<4DacB1_jpi4OeVk$Tgb<_|9OJS-^Y?I0hj&HV_4KD3}BCy{{(Y4pX&@MK&KE; zd&C%~@n28YOKa8A_HCr#{}w$fXJ7`m>yHcUe|9nPeH6JoN-bb5s}?NA8_h}XY5un~ zj?*HAW{+zJX7;@ij{I-zw+KAoIDDLu`k7>61afiE6^VS7e+P#rEYT{$Gz@0Uj&>QA z{WmW|yn_U)_>?r`teRc02_^?~!;>|0u%ei~$!kFS#}!UWweQ3=LSVHrvJlEmPcoP7 zaka-$rbuI_*m=3ul%+Q>n9TP4N}Epo?*QS01v!T*hEY3$g#OzlF^FK^#Wh;R4rJFb zK#^|Ba3>Z~e;#FE4}xR1R91}M49-S?EsN?+tt+!UU=Nqr-)8+y8LmOvg?sw549<@u zE%#AX^L<>=8pEP%(Y;k$lLUt4E-EyXj^lWeFctWT`4fRsc9XB-L>u$TUg$e#cwi+T z?Cw?57+x%bnVYZe^}nf>)4~LM1NzYZZ)V3OYX=B22G_&>H^xra6!FX|E{~?`_bXDSK^LC_fVw$?uL9=|ws4b~jGTfg; zeE%$ANUwA@9`>%{PtJWX^r$+jlW~rdgho{%q8-Z31%mDYREbmMGx6C?0C(zCGtTh%`Zw# z8PF}>qAP#W<4-f$x!h82tay|(VAv)XeJp=)eD8=5Rj5mF@L=pfx+co$VW1%+9KfHYy3OciSCEsM@)0BiJ36yy7K+;ZV*L;^C z@h50=wiVQa0HsrY`jaOV-zqJB)qhcEJ2*V4zC}&(4(JWkCn+LRh<-Iky^A z9{;f@m5zjL^EY*kh^d||8f$ub*Q6JWDYZ!bXER+j2VB`DGUmwB&&Qew&`5t<<~uyP zsCn6Oxwb1>(I`usv~kTzZ-_NV;ht z{R-f0_>@!yRqI7uSfz3PP$D1)^KuXWMA>waWMZKni|;H{4G@JF@n!$Y>1i)nm3^Zt zvQQB3a0P25!3h)GA~Co1m=u${`dTxwN_hOqPL;Gf5L@^AM5(33>M5p(pZ8g?z)Lr` zs`=U$R@>m%naA(R{mG28i5$BfG!;Id!vs0c^oQwtuSd7}n7mJ#f`yag@?4l=Pw1SV(w0 zuLs`Y&Fw{cfkTl1VTCylz=^ru|kR`}gL|e_T0LvqkLQV6p{*p(K(PV#6 zqRlkn#wFNwW*#a3l2(}U{b^711@Kep7h)6_Yf_n{fhwwk64}^ks4BGiz0B0$1%2v! zH->(1GC7wOJf$jqtqnuAk}^4$qQ-beZc+_-7dweQJ~B$#(lN`DdyzStz+xqiE8^&0 zF|`obU!dnto8|H$J~ovo=m-8 z*@X3El?87ADksc{v*K7FsVe;bYbcMFF74qpL5M)iODHcF z=#g^~^l**$(jkt~r95z7V&?BJe&~ln{J8rfnF_rgsBI8PUdCdo#wvL#IJB5A|13g< zp#Uer*N4A}K6us9En)G=!`(@cBD?F66G{HXoNc+^h<2Hi4fljNEB3Y?CT^K9f#C%V zFJO2N!+RKF!w?&WDKJcdp#}^!U^oNA85pj>a0P|}Fcg4cI}F=l=m$f;tk@`WARGVa zXn`D2LTlQM^(S89gpnyNNKUN^=Yr>>m}YemL%fl?%%<@DmiOc41mcq^Om;!xFVirY z1q_6KgNb{NMSqiO!`}v&bikwqCOt6ur|W`An<^KdG6e$1YSD`)#;^nt#@%)n>YYR; zOkn5*V?>`o3?fF%LSG*J+p0Pp>XT!!+GgHB(&*7|!R5TkNrI7gkB&Ta_YywP5cW^C z`%m=;9a*GV)$8^g6dq!cV{u`~LPEM{#ibWeI^w(a4Da7FV)e3z*BC#r`oKs{5Yc!& z(Lj<|U>-eUarz8kL$>~vMZ>>Jbi&%$+h)M#T*pp?ZtRpWGB~G=?S51caC8Zh9?{@OxzAqbF!1*!(b#a7szXL*w5EdFZ4kUjt;h7 z6kEk)2G+1uyAD%h`4v({iKHGxs&I#Rn^o~)y_e+Pg-9)X?8EzP|ifD`0uf?Q$v5I z1mmth7>wH;8Y;B3bZGBUC(Um&0M9(synA>qw~MooogRS&7Q4F!wEJxdg{7rSbENae zI1(hzH#gr7>)(tZ{^?!yDKQ{#XB2DF^%zQZ6K@+szA0~xAU1g|)7dgQ{vVHDPat`% zJ+iQ>@Vz`1c0XAhTOyx*zxq==QO?CX`)m7EyH6?Q<{cV3*<4{!{uN~QPK9BE`^k%c z3P#jUWzV}uIB2j=jg=3}XliE9%Sb!-w?BW#R^eZ`KSc`)OR+p(ZvIowu{TVi;n+~0 zpYQjS&ecs>mVs_|WTKy4_JT#5T%C1A{EzocFA>X?B=iW*9J%S1o#P8X_O9~6@x`}4 zhWpY0Ka~H0J~0KLn*BzxxRfdFQ(ebCtNYz>#t54olm%|kuW0@bKhYb6K~2@0PX9iI#{dIMF(*JV$5bIFPqeE{+wT}FeV?ah_pt2X-N$|By1KMYo-}FgP{@%4$NnxROTIcL z6xXIj`J?gdevXT1*B5P*LvlFLtGH7oB>hh*N!=|0YK4uprp8w42^F?!$FL5XJM^+p zYFJsfoQaBn9OY9m7gAP>qfl#$fU>#;*2!^Si$KXacTZ=v7J&h}_oq@XhzVdKtx*(oy zmVrZyjC^(#`BeG$J$e@`W+f>*v3Os@0Wk^)iY;8Ye{Kh(Q?u_=!1hl8hIEMhN!0A$ z7;vGWV+l0tFt65cKSUKy94AhG7y*}aqf9iiQ9wI25!NE0RTNe-Uh}T8*yS74Vm7pQ zpTuRsf$q-3?E~>5#p3uvTO&aUpL<^EM$&7~0&>!(>g)aOder`|0#%&9ZCJ}TQz5YR z=w(6v?-`x|>72#anugPEIVUUb=R3CqoG4suA!VMbHau^!>^ZkD0krU7U|H?d@xOuA zyO3j0gP4TiL%R-t2#AKY+kh+n=#g{Cb8FuMTDSWaofMaM|NcN##KAkK5baYi;-U5J zGG(Wbt^$Y_kOoy~>(8Pyo)w}FBZOZ!|3-s$^PI(U*hiv(8tOD&IDA+OT;m((76EXA zLAG*?^bgJHwBOn{(;Hf>I-J{yAZYMUj1 z>uM3;Ljn__7PfNC`wbL!!*BSh=hvD1eZ#IfD}m%cZ?=L?{9L3YazE4TG^G?jUFnmn)ubVLAuqbpH%X z0YgW*Z`zXhWZ;XaJLM_JF)-AX-xHsp19H$EXuJOyAc!v(eW2ueYSo7gdCr9q0kgy;26 zjjfF>EP3rw1wsH#scfowW!`K*c(@@TItJWIyJ?;GvZj9-fkKOH0T*duVddm^#@yiNN`=PjTeao z-`GDgUD3FPIJK#Nd*IgjrD9g%l-ff@o@-{Kr1FJSxXGRQeF909H0p3k%K?OHyi|#`4BKOx^$FN0rCF>vWy#wRdfkO>dB1ZoQ*6X57r42VVt7+wbh%>V2nZ?g;htC03XyNs`{S`C?+$lzdiGJ)x;}f1 zfha4xCI^vUdmXSnaNw1Ip@v1zPgS(_76QPR+m`%WWVCFqwFQ&E1E)UuVcY+|)0~`* z3)r6UcXXjsA;)MG)Vt!c%kDKsQ6w5pm-~}j7@h# zWG$A7?pwPK1EIgVd>o_aX8|Vv|Dnm&g`NI(eDxD0kLUoy(&Jk@V<_Y@I9&nm8kq8< z*A*LXfoiY?e?-z?;DGGCoRf?)IrlaQ=-`Rt@?OphpADzuMW#Sm1nHJjlf%hJfL?&0 zd+VKZsJ|xr!F0v{`Ku@TAAiBmoM-wL;8GLkf*DWh z`z6sAv^}A1XUQK$FjInjDi#OHf%_5ulCn2=e4nR5cYs#1Jw#}+D`HUU`$G%hOoFsF zrE(@xy?yCTs6<;%=3r);nLfEG2@P7;F);2*1C6*3_#__&@zQb3yy_{#P{d@5MtIFO zFT$$p|JJ-c}j-OGdiHE=%i|nnjOJjj6FinMT07Eqw_} zL02xgc*{$dm}I&4K{4t9M_8%~IX;m>yK_{t-EE?LjqctynyG!+VJDhNQ&fZ7x%+)+ zjkHdxDL>8dHJW+jAR3mD|^31xHgpe`g-A`+ltY zd*-|EbM}*5hjNe2m}j?!g7$XRp7h()x^!0)Q{mx)lc-SqZSh_#M@+%NMVP2U$d8%8vk z`s4`9do_?`aH3DZSY`iZP_+VBFRJ<5ZAry=!Io6iJ0@)jNZD37!ay2r3C@rA9~7Qr z)$g6{0^{nV=@G~7)4J4B8l;uL?knxw+0`RQ`)OPEbIQF^%)te3Z08LPi6oF=K5<5*IUu1KfEIRX2`cX}m$Cb}Bkx2K-)c;YvOto|6H? zE_Ct0{T#cowRqN0`xos?4Q>+DitXj-oVQPJMNUDGa#oRGP+I(d-HdGUnVP*$mP-g; zJkvUP2Dk9ACBU7=x<((W(U8Y6hZ=MfaQx%n-%mLx`~d6l?VszyBuvyU58LFVfvK(1 zp!>hLCbB9`jX{A@6S-h?`RJlVWs244B06%yX}jjDyvX`K)WKsOIX(1rTTnvWj~vuB z4AGk357MnY`+)IJvQKaZuEys9bp19S|<H;zCCH?IH_be zImD3P7RGwn;WsW-op1{QyfkxsR$=z6;{LOW;AiKN&(4QGJ8%9h$WGQ(1SDc%YmVdO zZ|BM1)|0=%3%kkR@JYQJlX|x&^=u~foF<2yo!$`c7E}g5H1b)0X;!w6xP%sHty(>h z!%%Fc+G>UDaqRIf8TzDa6^)i8?n)TT7S{^0d%!QgO?dOYru=)&yYJ<^dmj<@Mt9%5 zT=!8_+vvOWHM8igy63a=5zpL0KkSjn#_sUE(HxH5*CiopLElGA*uMRfv*`Yu>xxzP z5r^FuN(a7{uNzMctyoM9HJ*J?S~ld6KgF1M*^wgJB_f(ovMss zRuxf5Vl;G5!lM2T;zsJ@AoJz{BT?|~Nm>bH2Oci#y8ltd-cjNEyx}xA$5>zWs?S5k z_uZwgT?qPfuJum1N$(XuPlseLzSbeyC_mXlZ1M)WQR{SjmU7g78;CEev} zCEe%w9_SOxCJg}gV-I$Tro?$TrKLZ~3FmbRGe<3(>^+vLJ7LD7$PYxR(C0G`L-`tG ziAtlHd{{OgW3{3~dqu#r>FTSY3V{>nSEY?H$C`PYZuC#p{oS4TA#x1($@>LLX!}I)qIgxpjGK$9bROJX1YT}nEI7bB9<(+>a}=9JxXFSkvk3d$xJoT3^h+@Z zN8T%Mpau;bd@=dCNz+(rafV4>;uq!er8t91;$29s|Fa~xO=5hes*Xp4SE{ zFfAXILztisxyV8uClT0_2oRG2sLpa(IM>r2So7d93>IIIcE$SVqKG%NA4wRba}!8A z>|YGb(MEc%%%b9u=&p<&vKWs?f(h~<`lsFyv{vfknI#rn*Wd@Ik@%i6M>W2R2e*0l zL@d8QEQ|4xAid$XR{J!nPn_JtkG+RN|Kg4?d)J5kXED!^=wHPnt1_P}UIQ9za9NTU z6$k{}<6{<8iP)`Mq<18;{WtW{I;*C*+s&qaX+pDy{Akf(`R}#VckZf&w#NQ22yP0o z2iUs|-+`0b@-B3_P8WE;>gm_geR;tx?JgjKQ}y|$|CJ|1>b&ri&D#XxhllF(xO(p| zxm~m0e+|+3{mQ_|;@T}SroTR57ozUwCA~oa4T%+MM?|ctTzs!sSgXSm0UggQ{V+ej zCgd6QV2Ex%AZqKJ%f}C4kBd87_4m)==Lg&YCp!Z<0^Gg#*bszwHi;~5g*xJ^m!Z`( zs~*dXS;}Q+Y2O!~sfI{q^uV{1Jze8hR2I=zx^S}X0SzMH!URP4#Mv4F4o8MvtytGW z&?;}dKly3lRt~)8DMJy;riHGv0`_qlLbZwKMYN!`r+xM?WR1JcD(S&9>$RUQEM}*$ z7`8Lrklb^RVG!Oy5@9zFl4}4p$eW0!=2ZgjBT_ibgC$%SuvyMI4xJ~m6+oEvVxfnz zPqbmxqEs!_Oc@L8^)>oJEZ1zE5cswW=;J~k1=OkWg2Vz|l7d8NDK4|{$Namq#M$21 z^>4c>2{1Jw5h|oX|1dC28hdU|{04pL^LfRzQ!2}Pek%JX=5s4Xqz%*1hJi@(HL1kX zSeBwX>fI{MdX=I*aCQGj8{# zi7&tbP9v`i6NoS1=*FxJRGqFtjNAYbBTP1LEms@{h_fw~4W%d;4&@e5{xB-Jhp-4DlN4qv6g6;)EAbaoKOUN^T-1IF%Jy$9YAu;aVW-p z0q(k%)5NZ?<7FQwsFGksBv^GX3|i~`7BzEPrR*(Co(&DZ`-5BodDn(Tyg~%Z(RXu*SZg` zS)wg+zaYyE!T^B{d@~YVnt2-@QjM~EgR;QK1FunTYo%9!6Q*^VuJHlt0ceuH2zyMD zP{3k2zfT*g{W;*sk)5GZ?+s?Hbt{9$N|RbKnlI=QO;p4K*ENYid{Ma~vJhoW15OR0 z1f-~MK!fR5011433n0D>GbWhLhE}7j84S@TFO=&UFl2-#c9`l0R$c_F4~EGv@iq*V zz#nP-eI?R$?XlHw0=AdXJpmXprEp}q8BD}7L6!4*Gc(3@Eze31hHs(&kwC$&bB?}? zf`(hajj~IU;`Hp<0b+DaH%SWntE~4B_Dz$#G3NS^WjwiWh2+D8Y4C-J0K}Fv|FdpZ z@-kOY1_{;aJad9jz~?>XOTIvHCgA9$yccxoweI&F-A^*GZowiSX;VS_IrPsj=$%bx zjTe`=rRQo#345T(>K0-gD2FFfX0g|YP|69wlNFdT++u-Ts|&-kHwD<6-XqnA7vo4G zBD~Qt3NHUeyW7z1WG&+CmDu&nC2?*p!^AC+f5sSZSxknX=2KiLo&zgTZ%dWnRCxMZ z0))rex%k3rrS_L7aDQ$7T4=X_{(uy`X9*t*d~e!HQw&vgm|xR+Ca&=h`xLdtZH)uo zuxMy&JZs%0(rFt2%B;?dK31DRCaT4gx4eUK8!dPZ4Vd2pn3cdDP)YX4j%+11o~|S> zVz z%S1mI%;usWKpoX&Op2`-r6tG{$63p6B|SW}6@aw@kX&UF$_~18^xt?uBJ2>ZOl||S zDGU_#kRd#X^MyCCW4RTFed`@4=M0;RXoS9%$qP5rghqsnz0xwU7FYbG0=-VML~&F7 ztW6tdS;S~BP!x= zC9q}(#aby4TV#K#GAZH_MD;q?&Bmunhqq$|btiD`-l~kTra2RJW~2Hm-viW~C=m`| zeJm8gDZ4C8@!YAQYg$2tZlZ8Hs6p9A#2-<1S!s?rplo@74vNoOM-!JM z$giIzyZ||gZN=c0VO8)7-qcYUFMQpj~}`U*$TgpkXu6v0BA&)xxy z*DNpIg)b0iM+G>wWotV&Q0LDHy4uv&Zr~G8cYhEZzun%!h3KE1B=e@}7Wb*=fF`e! zAg%E+u4yOCE}5)vFU>X7bz7@wVv6v^*T@TNm(hY(i{U3c#=o54t@nV1;XGRv!TqTC zMY9*>Lqb6dJsanjEl;ToPW*U2(e&XVf*pagv)g@`(7ob+jT=W&nj|Bc)q4zbqI1Dw zv5Wt~g^<7MuRTH#_nAK5`U9e`Uyd4dOuuUkDzsbh14DQ>HRlQ6VwUJ-xmr)MGMc*g$f^CqRnIiRB;`S||28@ggp zWR(YZYdy?@b*giYovsA>$JedpFI#ict7F@}rd#1dv48Jr>BwT#`hn8C-guHc?Q21H z9^Wx#C15{A+L&r7L0GuRS#pp1xQ}-P+@hMqdBFE8Aw%2GXNCY7g9dPmsBE3(H=@AS z%YmDNdxBl2qIO%sXJQ~@ijM)h0W9(dcUhA0<7tNw)Z0IGN#Qvr8D^b~#in4P;h|GZ z7i!EcSs48!%+03TKg7c^@(;jfq9nnZMpS^e{;^J5J4Bl^3F+6bLu`aKT-wl8b903m zFG{*N-^qEw&zdDiBhfDhQ%jizEXoe{pQ|l{r_d>oT(vFmn8yS9$Kd6Zqq=!D`jg~a zP4tuQ335nimJT7<+!a9Rv8?Piq(f=*LguqlNj*~iw7Ncikl^ZjF&4vGEV$wdcU&59 zF}W+q);8w!@50pD07@Az=+~x+Nz=qr(Wy?lTk(*!lPoEm!5MObR_A82AvYN9v)jWUSlJwP{RXu-aSu*)~E z4RPeE_EeV!R|7X0?Sj+&1|6q%WP)YIO4G{l?qVqoS=gS(A|UBkgCbVzQVnMRkq1>b z&eA(7Qin0J)M1H-!kYhsr8Ahj=|ZfW4d6R>R0K@Vg-z^f)0RP*>50Kz$AWB`ECus&#kNKyT~I9Z<8OwkltNMKC2xSuhFO6c_pp-Dn0Ds^ja*x8e|8d7I)ikTMn7G(yDO zsPj(9!m7W{NPhE)oovZhf)ELVn;-d%BJP? zrpg5Q`*D={QW=JnEkcKl>~Ba30|xTyQHsW>>$CWAEMJ{jMB~q=i{UoGgvkKxsbc5OgM?cB3qA3~#?v zwL?!7XDPdeAg?vfVjqEY2Hit&UsJ~~5Obemp(9xtuv%RLJ`cqa1O##MF}-|HN=tbK zJYsrf1oGTkPB@yM_m%LH)>6((Sj0S++kh2pijbR-p;oJa>C_>RWS>zsaMaZj49qRE zxs*1vo(H&)3+MzpuviE*e+vwn&cf4rU>V#ZMZDY$*;#~5lwG5nF$t#_)(c;H62!>n z5~$!!cHhVlMsjm_W#W|p=Z7$ZhGMU`^{t zVZ*us+_&G(ALlR$UM6URlp&zIiIo~#)5tIvvq2zMES*ujWE#>k0D0$dmul1O(O3#) zsS%JyM6Mo=cZ`+@%i+e7pu#J?QIEj}JLK=2?9)l)s8)=8+hZebi@&{-$vQVL99gbR zY81OS*zELfIEzvb(N{}CX$oUe4-SOs5YwTxj;9RVwTULa`MTq;#_RXsXuj>JPFGu!CiNF=$1E0-t4M<3bPPf#2BmVINIgk-Ng9u( zitIi_ZaB6>9z?l5hWlYZ>Ex>4sR5zxkH{CKK@loZ?#EIg^Wh$bCt8q|O&J}d2JJpv zKNPWlA!>Ja|E~1f%WdS&HgbC#8Dc&LWAEnaCK?RIfCw=7cd+JMA!AczbyIUSRB2Vy z7=L}5eqIZ9*q52cY?}R!h{yR|s#45^Yi#NM9}%_=Ku|N7*M0}#E&F%D^?}cae7<_N zK7owMkPK7~dXR<5u`m457CE=2d>`>ivr4veMW(E0)ljr3uVfT!2UX!Vi@kBo9#8j_AB(twwM|aU3lJhdc@n z(x4dt%uI5ZEOpfuo%WzfsltQ6(Ys^A-y!X_uhV4`P)FreJ?|nTV^~mQx_lL4MXG>G*i!-RZk~;bWiG|>6iMWrJc#6# z(fKJ|aSBD*{cb)Fnl(@EV{@yTxQG*7&3TIDRP$Trm4M<@way|TeuFq0Bfw+a+w__C zgsq-8rdv!?BNpJC3RF}E&j(#4i-a?-bMv-y;QzvmVQ))I0S!;5#<&hM@ch9qmM;~< zY)0A*A^jibU*W(np+pzRv0#gRrW`d;>neKx_t~7i4QEKG0$O$GC%-&QgbaLw)e9<) zN+XWVZJnzmlq#8eEHqr-FnDh&!ohZvFk|Wdn>5wL4DuSsy0?dLd5(O>eA?3+nV|xO znRRkQG{VZygB9+k>Xfii3bGM*GB zLPdpoAhk2Jr_Zcv8OvX5LfMpcSLO43&H>}s@|tFQu0+<+;ND!cCAYeXaD7G9INh9C z4F&VF$|xs8%DkhjNEoYIUPdl>LAu;lQoB;N>2o5Qp9BVg8M~splOvxb9gze;Yl&Bf z$j5ncBn+u!xugpqK*%@|9Bf6}7;E0-zi%yEnqeijHqgWb{%NpY0kB|ajF;iUl9^IJ z4a^AAVmL*awe`Bjk(kXEB>Me8XkAZZ#2ii(Ou%r{CdY5$Nj*e>bPBUZ$5^rm-c)7ngw>`vPh$rk^$38D$S1)Z#9B7Qt6;~H zwNNP|fL|x~mfae6?)DHfo@a*^#Q7rFb?5!Zz8d&Bmp*BkU5{OVgM^pCG)~9~LUlq6 z;WQ2^NqV$rE1mlHv0xfRdRYMXHzwc+PE&ROgW6S(OtXo7n>gNzY}!=mm;5l4R&7CSt!HsAm*KPpSOVCpwtWz1L^lK9r!~u zm`+FTaP;z9Zo0_<9 zc{I67D>^BTR3^e=sIyIaS}xClh4ZPyFrLZ}{2HmRQrb91Zk4Vv(y*Hqs>l+F{&EG_xI}pnr9PY88KsHut8R7?JL0ToWGz44|)(hv)Lii z9m*Z!!!3TNQ#bK+$|l}zZLEnkxWoqAaq`_rZ1eF4Vl7ta6NwN&vnQqwhdR!N);^eG z&dSb6Hc(cb*1+z%R^r+p3=-ftt$O~$62S$ll7N!167x_7s{HEEBSX#FD8`Qx?aw4cRCj@wPS_TFZfY1-{ct8tk z(C9HH5#j%(!7{S0iS?LBD&z3b2SwO%<$h7g>%iOMCvI!6n%F4m1h|1AK@$w2rz@`n zae1L(c*DRqmr*Dljs3_U)bF$Wl>l*;xdW$%WjxkEUet9BzWtWx-wQbY0@9;F3W6+q zyrTJvoBbSYBJsiuVsH+Yxt~Ys!H{4glu-XQiz%k7>Oc*&*1)Yug+B_VH+RJiv63Z) zDR6oj_Xz}ZiR-q8y8miQJXzuOT4cqA(aXg7f=Y?+LiQx)Z6?GIq zzXiBEMA*)OX0dq5tWXg)?O(tcB!P`Ulyfy~J0}Kg+~iHC>2n=$ zIuz(=)f2|irqdXcYl%g3V7}GrbfZ3 zeQeJlcNIL!HTeA%;J2}hWIX>8D1?2n-1#MLrcPsDPyl|Cyu=j4ab#M6`USB@S1H}I z;KB8s*o`^f_~pb*FhpxvO)Ho@OOpQugIFnORxQf^ABsi@{N0$Uw!JGq zu-vL*-~|*){t8{;oW^dLaXL4fM{5fh~G4p52BIdAyQ-jyIu50fI z+Q_(H1kFkE2)KxLpp##cfD)S^Z-94<1XtiDk0Z$A$niU$qoB2;XEs#Tk+479@3X~1 ze3=Aj_&E3*QNq$V95_vtawA0WL>T4F0y4qxBNCOqPBklrxm`e@Zia4R3Mg?gnf2>} z6^cW!=GphL>;2aku=7h~iKHRehP=U#VM0PDU)|X9>JaK6hl(pBzlkMX7U9@QqDo(2 zHhcIG=Tmp!94e^?*w2GR$&rw`V(|@jW8>9)n<=hld&PaJ`v-Q)8*f@L%gELG$?83) z!1I&RtYy@}5vd4Od_x)I;WF)~&=60aYGUz+dlj9Kszo4;aj-Y(-ONYK{xJd|xLBrG zu!-Sr@{X<3h=^8NZE`rlhWwOYIPDBy!aT%sq+Nq|cku>>{SP-LoXSACt`#(`G(SaS z>@#rVOVNd;UC4PJRTm0dXoDCWgSoBMG%@Sd0=Rfi3+<=tP+0_QR2K2GFMp#9KuN)~ zfcc-1U;`h(vGhg8r$S&r6zo>iBWpTVSNSkNh=>II$uJ(CAhl>eAn$peK=06sC(-M) z34lKxuoGsCen1k^ky392Qc6-HfDzf2qwog% z6WhnaNX1$@^AA`uH?hdb#Cd{MgTd8YLeJ_&`bF^XB2eQ&i)o_A>|g_&{iumKw6{)Y zH#sp@#@L!#T^E5e_3tVw`*vxeL=ScX#|T(zP%*+zvDd?MQ1y9?i_lsXpC>xJB^PoO z`pe>v<8CLBO&^dc;F;K%%*BNopNJD2?o+;ZafFL&l9te>X3K0`EK~mx(0&-l`4&qG z5TW7s#Bv@F!FF??wMM7;Y+hG<2D$7m5@V(B=qyGs`ACr3z!10EM?7`}*l>?*8h3P~ zSC}?nL$;Te=@a!0_dk%-F%C6#f@kNg)E&@XK1q8A$<-)F){2b{(bb0O>iJ9E;{AhV zwH%Hatp}zFMU|MJAbNQN2o=hPaA2_FD$JOAeVY7odQoYX5D(UEXnzDtQ)9#AoN>fC zp_W`E+{=&kAL5eXsKW-ehr<085%=>;luFs6W3Q9VWOTALgOkDHcWEz><`h%QZ3 z`6&@x8Q2G&Ub|~NldCd27Q4Qy*V|2ZP4x=Qc%4H-@Hhgg<>dTwa*@4E5*B{06fc9s zihxJY$q*^HTI0Z#nWNx&<6bv>7oed)ea~a(egN|ui67QVFPo#7g6-q`aXL~nT#*7e zgH@l1+(!4o1=a5c69p=>=MnLEKa+*cf04((agX5OvI|mXeBz94w*MZPHTpZiwcB}= zF_a>+in3tKhrqv#sTx?n<$WP%L^Go(sh;zgFNNh}NOTb%o-smA1ZpvQ#wClRE0h1YZ_2xr^}e?r zo>@yJsF@7WgF|gKx6z7%co>$&xj5^{xu;4feJ1}mFc@)=s5mHTc)#|O=5uVi>xSSa z6w|*gGyS%6ZeAYNCU$NZdZoB)94=WTj%(?*dJ}pjzM-~i^q&`>C%;-tL%d2ZAcIHu~2&Bm~dS ztxRPA5#>mw&Z_%_twgZ~cvx#CP7XsKv%adw)YvLAe28(@=ON9mi6bhh}o@bmh86|GNq&7^Lnfb$+a z1Q(pq|G7b>Y}99=e^F|_1wO{TIk=${fj|y?rem^dKKmgHD)6DQget+%M(r4N-1Lh2PN;Sy77&n4Obu1zKWSejE9$*Uqst}#!u%_O_!AT=uM zsSQ?4;9j3;N^ZcQp&<(+^2@#AboP|hc-866U`)<&_W)}hZ=VnOPM4LA$jJQZ{LpYn z*80((m#$}a3Ct_ZrplgLPpFc(wEXhpsWTHJtEI_RzUiq?-@Q{NlsQRF$IT{lN8h{e zOHO{&wkJ(*(DY4@we8U+(O{Yuq@Y^k%QU;|bMg7r$4T-n-*1 zb@uFS=cJ71k&$hYhlNe|Bi==3i&=N4nu#_FT!MGJIyy%>hps%^u$%We35vK{Z9Zp4 zj*xcqCirD%30q$_Y3VyLrlEf7edCE^*5RkXi%lPBG~33+PTg-7JYGgwNecL@|4y)EqX z&~Fgzau|JgDEmGA^5mD~?T}J0mqEcxt$!9Z8gqJ5w~OuAZ};vU{R#7dtKB}Q<_=!k zM}xS$7D7th%?U*Gvt8Li7^BI)jOf#Dby;VxK~?WA2F|`$5_nC0*?b#mPn7tUzW>^c z4Zftn`kmK4j+NuMs0LzRx81b!4?R@&H6Dqsz z3e&JpdH^@5cNSLCx&A@8O5--w{MmPo?5=J1+BathKgRWm1-Z#q`YEYrSae@+-2A=o ztcc!jBXJ{hLp5FX(e$fJx78f?p552`F|~7^@y{Vdfk#A{%t_y@7ppEdJ3L&zS7qu- zt-fh+KbYjCVW+ouG*|Sqndl1__b+gHqqEf9rd{v#bUb`?86ISdeGZ<}`Fv>&$g0GBP!ro{$OTir<3Giux2g;A8CY46TX4sGo2Xp}YDe{I}!W<)JtV_@t3ySr(fR3HV=5@8wXV0 zd|ba9Gu^kJn~k5&xbgW9ui!v>BD6*>)AY`zvVSAn{z%`llg$5jRP^crmH69jZTU_a zMXzf<&g}9{KgE40f9LFUYRZm#AKuc^BfFlhSvtRKv5(3AZWMVNHefWL)qUyaj{Bi< z`9cBa{-%r6)V>>{{eK#1@{slBsvzd;K?O(EH+1%(liDR5e&x>N`u>>j8O2ZUuWOH-Otd{? zBFnT_=aEqFtDfS}pi4Zp(rZT+rB0Y%t=c~xuUh-;>ydpI5EaTo@0Jg=%E?HNtU7&^ zNb5n!o@%T;y-lRHTIM9ocJ(K%pr^RF_siWDS{?WIKarUqOX~N3I`oG#)K!t8V7ZNF zVxTnL87F^|q{mT~c#iy69>&TNo%Qgwz8xb}kv?@MQ^I*^Xy<1Q!=P7BA#s^idI?n5 z%U+>xG#pL$rYX*6{B|Yql1B~eEL$6L)Go6t zt|KiS67DtfG{;K#vhrZrk)KzdfB)~mY72VVP&o3#w6qlE$Hvd(Lpr5r zE)38IYB|@UTiPAD`l7;VQi{v;+GKwtx2GSTU%i1%zp~6uKB;7PBx~hsdTc5)6tAh+ zyxrVz1{=7#lPnE#QIlJPCMVoyBZ#Mdj8Zb1#>gJ^qmd!47`$JRc=OVG&Z>Uy)Vs9B_D+PwX>?)JHJG?R2yD2xYrKEXVacv6Yy?VRQD*b zd7Y|DsK}(g{lhZf*2%dVJyI+D?x(1LDNbqV{9T7U*cqYgscI$4p$=!QN~enye>uHW zb~wukKT~oaHga0>P_SQ;k&XNee&;2^2{)*<^LUbX?yJsdot~#_+WwDrsmMJoRTLI} zCtzgxu+4`0=%?7q7pRW-e&6-Vnv)m%|HSv!j`SEwEk|#^vA~#4skHy{s5|J__RA@W zRlmE%3J!5q&zU_cE8Tks>FqHSsWB!hA)4$fFy~dQEE}w1*p7eNb?K_AVWE1chM^Ds zX>j7FJJ+t({pfTvye6-|3{PNs=HD*(GqNg6`F_>@%)8~&j{AS68t+|~#dz=Ce08HB z#3=fAAvQGWP`|BZi0ng|R1a89x|$#RUxmtW(b^80*eL~rLdNF^XcJQQl);Rw$w$5O zm%iVAeN5G|<&b>LC(>gl+uof>yYtjLJq zy5^s0n_4fH&-zh!QcEEOByYRS9h&QVp6+zD?cbS3Wr1U= z$14I=`}WP}r;C!O2cO!sRtnxV`zLLGslylTFS|=blH}a$e}^p!#$Rd?GyLdm)uent z?)HhtE$yBH0y}QL-fQLPa@MmwRS*kkWW7xtX)p9LDGYor9p9_3-`Q)euixC8qR$)d zHPMUe=vCF@{p!7whx{`)n1`gyRp%k8bDMemi8)Lje|k=9-vOhPGUu3oBs)HmzJRa=+9cPpRpWe>eo#mJo~DKdp-5@8eUaPg0~r4$0rxMwE?XOKVZ zT9wBv1{>P`L6{s5jR-HH5+(^`${ZpOcn=`U@PiS2M?NPiZ+wE~&!KNdgyX0L3IR4T zXPyU?0;Rn7KRfe)JkH3&)?PzU^OI8-n&RXST@azEV)O&hSUwgy!oqK!Rb8b_1TLNoq#+G{` zYT9|5*Yz8|_fjKyj69X;f{IZTX!3JQvE`=e&)Lz(E$h_DZo@ zYf?*UUe`QJj70}l*IX^BtLs4#g)vubk8V_AH~pbNRDdPosHKM}oIFF=+zh^FnZ6RN zZOLfR4{ztt8D3Ni(!tvbjIb=!9PCXjHNtQ4_4Pj=jd5d^{*tVlO?Amd8o>FQ0SThh zy)=?$N>JSPVTE=t9_?itVtUT0h4$CSBb;IagmbLGzFoC9>!oJ(xDmBH4TS@E7S4FtKtEGg4# z8icFQJMfWtH}-`=wFeW*;}6=7Gk&9X3ElD=yi%>~-UQVXgXPu=hwAZs6y&H2^Le)HlfMKf4Gk3a{ue_&Us#bT^(DPLVQh1Qz)Jt3jeHdy&v)F%LZ33e*^etkwx zMcwTbOOJyo98`*G?~(UUoc}yuHKLg1tB&@(9g`az$vD#3lHD?F6Nw}wPF_DuO<1sU zbp_>7wg0*Ba`1-brxn*g6(kQZpVD-jEM^TR-TQq8dE8SW=wpLj<><5nqYiNd*4F(9 zZINq=woP3b3Hvdgm)qLid(@XMxb2SfeD}rmmtyrjKmN5K<39|b=W+dgZ|JSzk5k5U*ro*jT+TdAu$LXgjL{~1`qO5NSo5;u%k8J#7|AlpT|vQU!4 zy_Hrg*5mdyguio-zPSnBDZ54QQm;wi5-pUJgpO*-d4Y3{AiQ(ez`)0mk4hhgl^{&+ zHWXEP$B9*pCOM=1rJvxbMl#~6&#CwQbcGjtFwqIDqx)TOFF#`4m+@#tx~R!4zE8a5>OD ztbb2tVM!e{SQ+=%;92QAp_rt~qL{mX%~^^I)S(9JYshzleh7|wCo)BtWM%Kt1NK^e?h2AJ@8a!!KCIt2D0M5zrxSZ* zQ_Y#!yZ`DIO7(i&);zT9Hmnlb8;5LM;_17n(zBySkPqwm(w`pp{pbqbQClk7crmel zUsJoj-mTv7P+j-MaCC|~P#8cm*Yv0_S*0rd3KIz{nM;K56Ng+90yVq|U?J8?n#yZWXP3pG972c_QgGP(fVbD7J1pLMb zLf^IwJ^|7`L4MTd2tqp%@C;Nb3-)=hurQJX{)h8L1QpUJm>RD)qqD1O(w6b{-VjQ4 z5a4ignq^&Jl@%!xEc|Fd)$@}2|3}l8$3yjh|EG zl8?j`5M|iHkfzXuRLOhC!eN(}`@Jt`i(wop>wJ{8*@vjg7!~2H4PbADZ%DW4)u=BF z!nR-VAZWxv+S)dIhGh#UK z7&kVNhW3xizKG7~tSv^d#?J=iR!D{L%Zd{^>TF&<%Lx8TxA!q07nNF?BQ_f|s$b22 z>#klT%Z2Clw@_8(dyw!(<1YSyhV1-oK?C6ZMfv!ppz5(dNEQRCs-pDg+ zjb|%^M{Sbkq+`8&$j0gqXbJ|78>emD4*x50G`srYgyB{T0By(ws%<~4^jfBq!8;}K zeTj0nLt6ZVFjt17e;Sz06#BY``4Qztf_?+;;0zx-cKAfO+LSW1&f6eX;nFg^OL3i8 zL@$edkab4s<2~rv64kIY7VC)*e_>O9zlx{Gu%;ccZX{=F1WN@Y@Kl{*zP*jMhV}p; z+)6*xpx&Zyv>8Sv1BnLx-Q^2GL(pnkddF~Jp2W#As4;JZ;$$|B-|47XZuTBIhNX>? z*_n@&8N1;pZJ!z!X=MUdgZEZB16 z7xH7)IP*y#d*5^UQyQIut%OI6eb?h&#>dB!L??de>rtjhZIHhmM22$`6uA=z#nFoB zd(f>zIqp?;n7bP+6NLW!&+ju({PNZQ0Odj2`g_^~88VgMP0?<%K60U_l<7@;g z2N}$*ZXWImWEsJ%q-Y(!9QQtsgDK)gl9T_g;C|$MSfBEV{NQk%Q=_pbH50qP&6#wH zqeI`U=>mCq`9A9$+-kd5!Cl0qaO?J74XAAD?wP^etSKP?P1}z{%rcne|G=bt;Z>jw zV{uqh!KScDn&I}t*5$FxY>wuc9Ri0)%!LOU;&T+yt^`j1uUJp`LC*f=Z$RqK6hp!y zk;`w;;^>Z>+(#F!4r?2S_g^!sb=3RKgd^G+W^^?8P;FoEDYUBA&#}^eXETDVy>k-^ zkgAU9KlD3;0m?I`3C3-U>hcGw7@j-9b77L(*{F_$xsW5+f=&H7^!{+;QrV8qoGU`- z{D27n)@qq$$^PA*VjB@Ss2^Hr^?|>VxV_e79Oy(-W@k-shZiC$n17T8Utja4M`MQR z7p2lgf0`C93pBtR6U+vR`5gUN~8*<_hpfTz6! zl@uzJO+rRanIvo8Mpk*5n}`~;Z}iKq%cBhwha6I}q%gje@y(+n3&T_Ngj%6@vv#B1 z(aWXYjm82dlritQ)zDSk$B=lqYJTgC*T5T{ z#dgD(>77H8pzI|J!M5Mi7c{pbK{i7R_pxrx!;G<0O(631s|w!AE?jkGB=9(?;6Tj3%Vr+Hj~J0Yf%_{nUWSae@6)h3Ct_Qw5J z9e^jZu>S?te~%#gnljp!x4AsHOZWEph>Q+#$=#O*gPi z4BQ@|3;|z_faeV{x>nR~X?-dR++5|rzCQkzeRGG(#wZN@J2@IwNn9@GoLgZC0IVTS)EXBW@hn_cL7jeQe8 zmri+eM_%$8<)KTXao`Q~#w}neF!gP$6O5G1D4+H%D$7>?x1KMbG0%Z}uD(YZB4Uob zv3ykhJVWx1w2ZJhyLa6sfneiDl)i%#KLBFgQP5KVvOwiIun@9%uR(f>4I2B|wUTU9 z@UPfR0;|(~D|z;}K-Q;&Z;>(jv5i5a(`(jgW?>5sji19jn};>*dVQ$tRzqIv zsAfuFbyjlJa_O`r$-~v~`}=|wr6)mp4=Ll9ZQuzKa=s?A`$N8AC~(LBX1?RTo(L2C z(aa?h-Z-pz16^~|U0p3xM+R9{Qy#chVGP+&yNlw(zWh~K;Ti6_MeIVla@}{{{hDN^ z#QP6AmpHSGwziblauYZE9EQ$r&k08O+^Cq22)Iy@jY7oF8Qnjk!bZNfwwSqGI`?am z)D?Pyd>h2GFaIdb&12{I;9lFCV@hhM=Dk9LduhIbh5Sd@xu!sWx)y&CkTrVFPlou? z+_b|ARX$iJ^eyu=nrsLChgr985_G%wBA!+@^xWd#>WgcM5%OSr_gOdw9*&P)J*M(Xx7?575iDntt z<_fo@UwJ)b*vwPBhW5Gq=DtlS7 z)TM9m9D2Sk!Fn167CBd59H@&)!F_2OA8-%@D|tNxQ&V1(kH|WZG;i9|UX*S8-gr7% zl$VW4LQDv!^heFUaHX`2c8B#_j#2BGzJ5j)4-WH>h2AW{sdt(n?@9FvXW>zb*qAkl z)M&a+HA*-GRV0>ksy?_bZZL-6Z=Y=)Fgv)fu+?@Nn&yi+SkJDjY-ze`J-0el+%qpi z_$agKM^eh9sOsx)!fx2qp9Ej^-!`D6*tA@0`kR!|asQ9oiOrgC#-Xm->dFxk;~{l2 z1ZGN|a0eT&W&mq!8>_>pwzz6qGcAS|C9u6>-PH@t6Fc_6)yo%;?Ppg%>qj|8gZj5> zT*ctkPx%er$AzdC{jNHk+rR4lRAWPS4PtHlKT6SHc%#AUso6;tH5JkI<<1yN6hDT4 zLcH_foNZB)W!bwajKg8&Z)G)@Ah3%oSU}=a58tdynlCtD7hIa>^51$h+qkYs*HoS5 zAB4^3?MaAhO+!4u>@+p(Y5rv*Z0j~_C3zb9>uWE1Qb__z?%>%_XP0%EvwE-Lx3a8~ z_nW_T+Pbm6g0WBN;*(V6e=F8+#*LZj&xy;O39Pfx|A8+K`Exs+^Ryul@gnsf%bWVn zRE7YiduqR?;B}R?mud-LIj{$R{v*|9)eE-_HP(9^_X=DI8 zAUMEUP?Phk=DL)yHVzo}w9Mogy|2RHeV%=qbNm6%;E9MaZffMjnZtk#eBIsk$KWiB z2D`>nR~JoP8buh{lm`>p=yVfqez_F);2c3S&&FAkrheQ({Abm=9G|8n_rR4y`}7{^ z6F=Isn2N4#lrHETu`1uP5=i429qLs9p?e-D*Bo`3b<@`%_LB#4Zej|2_<&sw*y8%( zCC08Go|edgm6(8@nsoS?26A|(5_|$#Ehj9W3M}~pHmn(UZi117QT+q%n|%?uvun zR5g(N?HN`kF(flz$LhDk4CejPqENAZsMpeHnc_eOJF)#Av|`BXYnQMclZ|=nX9spG z43(!JUvk+Be7H3`rJ+6COQ`UEUkoMGm;W((WHSMP9NZH@JuVES+wvRr-&ZERyK7WrX4X zWO5nh6;MIk%(Kqxtb}G4rdg{5cq5J@&?WADd- zc$Et$vCC8B1s~qsaqcue<-C~&V4c>S+PPBxcyI7%^6}$ch6#OxB4*F-A*#!7;eO~*?UNN`cHsAw=kg-L@~;M;-g+^_Sh9)o00_o< zY*;v(Rm@WaxscM;FF?&Lc_GzZHJq=Q(ebkUFwjQfhit79|0Z3e!)}WCjo+k|CE>)CA zvpgC?SVUvfRP{~_E>U*o9z72|IDs&We5C<^GA`_>KBZ#6g2t=nJ*8 z|5=M$KY1u~{j-f8bv-YI4Jp>}u{P<^?Aki3WS_5PL>BXintmSE&8``sSsJo=E@8Ss zvQux67jWwMStPq*6KLCHu^KHPQXX8p_PTQrc!Y!gsVF2H8M@||4BtR0vb+{96-|1& z9ae^bTNgbkM%S=eS+I=;R65Y_c?3`#Ze z-Z@0V`PTpe3teViUc1vpF2n!|^57yS_3x9ZX)9K3sK%$zO2&G*F=S*ZNSIM~;Bf5j zVNpQ1E7%&n3Zwcke*RWe!+3#B8Y)|+ZbiW54*6}x0gYwsiyp5ZoeRjAuvlo^GB1fasn=C{rFl3oBgpBcALY} zqtlxf6x`CM_38)K7=SRy7vzb%L4>oYXnZS{@AA|np!efgk6-G@*j0yLhUKuRRAvY< zEI7vVwJgS^<#Jki^F<0`mn8`Zht~4p1(r(v0C_ z4MFI#rS1zn4Hv%MoJ7W}CpAbyHV$f1t1FJm%U)D>#x&umf}7Xj0UWsaz6hSiZ*xX* zefEErZX{FOkCV?qQbWEf%gC_6JA2ElR-T6U*I6WMh6HQ(Y(88Jd;?B#OHE_-lh0OA z!HzMeDmUaS-(A?x%!um-?0O<;uEoBv*26Ly*hP;2`Be?I_&R)c!#`Yw%~<5f3vE}{ z9;8rMtU_`wWUvt|^*r$Ffm@k7*xdE+_^FnWP@}*K8O2Wkd_?O5eU3nCKqG^H)0d`v zw32jN)YYFa=4Z&<=||*9NIWeInar&2)dh;Jy1;F>^~Z+F#xB32{=19`haY6`Tb!wf9ydW4Z5$||H{$0WEgJk|L1D+q`ujQO=L4HSL75}oyp7}g zS{GA8hA^wb&xmFK|IwOHOeR%_Sc6vlc^fl2Zf!OnA&Y}(+J0lFVMOkw%5=AsIK0NsAs12EY0iz2p4`(w#~ zTSNVJSg)iC)~}?*f)bYZVb*%iD7kOP!5hS}J>`XcWh;pNu#9oqB!1`A(6ndZ4tj50qsc26Knr+seJ%Ln#@TYa&R*wO{I8L^LsOwZI1vXw) zpF(=x*9T@RP6hWCta6C z4o;hpYDWp-l~2gmds$}&OH~Fo_l|uGW1E@1 z2IkdokkECQ^M)!l`Dk3Har#$?voharU{Bb{o_e~-YNQ8d)4nOd4r<=*2X&*ST`e?b z>W!J)ybL81(*~mfQW%JOXwGsA^>1ICf#hI(OIaqr=!8w19mqI~=@+ho?yNi%ebwTN zCj}<+1ERnKEUhd5s>QjQWlM(t6Fbuil82{N-PRAcP-UEm^@N{~Ck~sUb-zb4bucuT#fcr!TvKh1l61USAaID)@83NmDeHJvQ?p~ zJeMB_=TI=!x|m>(=81+2*sFE0h7ENmZ|u!0btcXjlB`ld=8dzm?m0r3(z#o36X~_u zFvMS=I}F`ODIrDn))q7kAgJuWbVeQ4YJc;#`MO;|+J{L} z3QN9x**Z~yv3v7h^vFz>G4anE@0fg+w`n}EJLBK)sxNQSR{z&r6IteQ=rs9e^Ar5# zSv)i8AED^RWDAFerj!gczyyG5o}f~a8;t~qUi(wHCsH^Y?^`~s*su}0l;1Qv(%4if z1|B;(ExVrN#V=OrO8{@?MlQOeudq-uj*r2C1NUfwuhDPsoZb$50$`f-iI|wClQWqd zsu5?Tlml+{l_Pf{1*eo;t;L?z{rX)+_2HJ*T*~heu@!EiC2|@9#t@zei>f_ZKJIwP z+j60o>Xj<|FwEVwrI^~Ot#q)JhYAiy1w8m8(=gmTSr2$&$VXSpy~dc3VgEL81~=`E+97-bEF>@>&P={oakagPBN8 z*aDN22%JR7vPpstH4Ub=ay}O z16X65(w}-zQgvtn|0}14)jeU-8DXkaihj}uG#2XC9wNi?Pyq&i5Ca0zksDbP*0^4z zKzZ?Qlw*MKsfHSzGo+mjbCI{RCJa=rOL3k{`*xgwnU8L4{(s1p=8dT4V{38MnM~`H zp}K6RpVQ|W!>e4z9&1=!))4!~6chPwd{EC#3cMW=AYG@TRROOwN6S2G!3W5^eNw^N z)V_v=G)8m=2QTthaPQSCeKB~xX>l3Z+8|ou1kIy%(q3%N>;(o2P@G(;#9sZ)i)dz} z7wsM8T;yRmhs`l;Tvw>%ns-Lx{Lpyt@0_2D7+s#m$@$XbHaRo{f?Y<^T+=DC=cdS3 z=&EL)7>{PPgOf5ZrMNVLK(!2DP*0+BZREJ+a%_w=UPhJfmhA`Cl-&ZDB?|GDcxG18 zmNk~(#fW8*6 zzyx8~A%A(*%R2qU=V`dd4y-K#r?Dz z8A$nW#fDtTa23Jq;MA}hna`;I72+D37cGfsJ3qw-O-y}9oaW*nOJBf`v@Y|{tfuaN{gn)~-K1pj503s1xL z;5dAn73}z9%^ic3IQ1w=f+g{PjT!DA5A=wprD97g$GnadqE{xn*iV%IcdWwo>t<8a z&3z$DHf%pPie)pA+v?sWO~R8HMaQt3)kdv;LK>fTwh&tKp^H!_RgUVYOJS(+G~SvM z{xbJOoThp~f3x|0fD|N^Gjug44p40TSk!R$__VNX;$f?^IpPM|%#`NMEk5zf{OshW z$n`c~vh8@tOny)<pr}bsT`@}Ybs(v&$1&4TDw_6YwBZ%adF-Eh zeyU#Q#sp7;&Q*$3g~V{cHp^uFP^9I8+f`*=1~Q;AL7?T>W7E4&Ly@kXrd^MLGUUy; z4UL%_A5^nXo~KNe8zL_2G?{e%Rqq^xnbBJ`eg*6(;{6@f$Ft8Z>vtt2&jvn>n?K=P zR_vhHO{JDYVjC|@M_|Ge9K)!}I`@{59I%0=mHLC)R$XTc$Bqwkt9fztXUDHTp5c3Zn29e2%SmMQWh zf&yFNX7ObV}Fk{9J4+JnR-O5 zbypZSSjMQxY4*=|*~p#m9HB8jA7>SVm-3Tv&lg#e<(iw!F@LB=bK9X+Rh#Q7+KZhz zhTb$GoBpkTbALU|v}&xo?f^cGd~?Qw_$xNPM!oKqj}}Svoi4S^P{j8qR2}Er-PO~P2u?94j6s6IUP*Q`;n_h{ST4h^mY>))2i>I|i7a*lJ|{N(JN^-w>?u0~K0 zyL)A>#@UbVb&aCs#SW*175>DxPtMZb5F&&4sfN9aL5qX%)dP0*W+q3luSz$t+XBxQ zp!v;L2n?n9%;(%OM-hTHpxu)o7oHhSi} zu`;YRW>O3y9|*H5XpAhuHXZ5#3twZeITeK7t^@Hy_!nPm4ss1}x+DF=QB43r<}6#a z9t24AjQ8XNm?U}!K{mS$+0g8&5s{^j2_zF}vAykO{`^bf-2_@KA^5c&R8T*zWWlhA2gYT>NcI#wMLt;qg zAY|};t5c9=TuE2&kGPFf-uZ@}5b)6ivy?g^+es_V$#$TuG%uG-neD}d+}Bw{9nIdd zxUA5MJKmV~)AHgZp=Hb~MX|RICscQO*?m+ic3tnuLCvE|A#z=0fzo(Rv$5MJUP*}X zqz2cyZqId^E^e+<>tB`Cw~0C7aPxVMO&j`qO8rcMtZldvAH&a02|`OI#>s-m``&3Gr-PwfMZ4aqI1lQTt|)qF?fO>03pe z9;8yXBe2V$)(21GvA3h(OQVcp2=*Hr+@E9a{yei6a+-Pdl?eERU&e@%_tKZ;>YR`!d;H_ym2O_y7)R3w<=*)bxzUs7j*PvW z^xLK47H1e}Y-mXlSmBOJ$%Iqh*^K?^S&TK?veF5}eD&gB-n<3)vOh6+GEoeoKK^XO zH7rQDV)`!f7oeLY^S+f0&IPnr6cf8C<>rFQ+#Z zhxZ$Sq{%$)(2J~`kohp@y1{lWId*?4(L>)V zR9|d*ZrYWI)Z?d8Z*X>{Ui_G=keuN7QAk9M@Z}wF2d7kV>;53B*wf#`XxCT=vYCdq z%qQh#{W2xMf{W|b&6q~|1;k6 zhrz9K@1n&@sg7grsUH%VFBi&C33upmMm+&#Jy!=p+GiyC(yGI`se|w5^J?Y{LaYeE-bgci}g6$$Z|%F*ca<0F@_~GQtAHqA2Oiv% z7Vks;>7<7v{M&<{M3X)3jQOc2ccuLfCYhz~Hz?nbb>Hvg+>QxZd1l5I_trX5V<%os zVuL?FJtMz^(2-fkn^R#(>8_?1kn$lbVHY5Cq-_V*D0iODZ<9w-Fu^HPO@rnAzl=yH zN7KI@94R7~AchaTP&tJ5{;;5CciHsUeqGoN?ET^fc4!F4w<=J)Xh^#iaBOc8S@w6B z=(?p<{bkNBkyVe?0A;^HlW6ks6g#l})^1!($fC786wM<_Nq7ql@88{*!1Yr7N#Uea z-Gjn*1VTTaQ)hhndYwsw%ep-K=?Nk`iG4#A##}zU6~@g)O_*F5Ht!p{FE>4+N|Kxgnr3MK4ZczGQ zN5D=f0MpKN%t>`Veu@ zi-tNHTi=Zt1Cij;JH(U5ZT^bOFBlHPU2^A@UtV1P%7uH>+F!aPd)w0FGi5gaM# zw}IB3{NQg$#sVOLp}{X zfBiF>k)P(Ljjd>}&7V1~Og+INdpGTTpL$z;k@wU3KFDhUOxi@F zYQgCJcY~9EwW0*HVbb4bwVzH_EZF7H)3|U+3)i9@fbrcgc=c`yBliAmDx??0?;<9ASFyic!PTO-`uHrOU7_M~p;AJsXD~x^ z&5>zU(4s8?!30s73;j||&FFS{4GaZ&WM? zv1Y7*OPw^Uf@&m0#`7^SV^ImBcfp2lM^NREx)oLB$*4hw(Tpil~`CIpf%_x zpp6g^5^pwn;ardH-V~P6-gvO~ENeCUdla&`JdOUF8YMsLf@_6)bA+^l=@mH_2}SpX z-I5XZpxgkM;tOhAS?|pOFJOsWaCF%UF>xB<2(F|5=-MQS^%b-pS~{&*=t8}m=$9~H z9I85IcFX&qCCZJ_)t2GJg*t#slcW>uZfY^Q~o`#@Dz=_0NXM5>mCZAp)A1 z-6WL1(E^!0>Sbxe)W97T+g$B8Te=i|4h3`uhzTiUDcY>Xz$)};kru{JHOpEKM|2dR z2`4yTPg+3noWA*Y@Q(CLmLh+Ba_N7opZxwZv6ny6dD#17K^ODER-r>JBr}ezxb?K+ zQ&|X`UGBLB_gA7<~Ni&>dREu!P;Lj8Ze0t?LNm#8{S1t@`|vW7mPBV_Q|ToD0w zrYoOYwzr$q`46LT*=%Svb&@1W^&aP;dPo-{E@ED+=|ISY7#iYNC}fSPn=EID`~@Gh>`G1QNDdSCYsO$DdJ|Cg5Z z7k&nVX|!RuN5bMDkk|~oqLrD$iS)`d3#ubB4-+!xze(4I1)NOxe<<21338;rwj8Gr zUPQ|znKft5x5a4v2}tMjGm`8>D?sVL4;qSJ-^28>MM^B|gVLBYmsAj=&(&reoJm{4NcN&2uau{an=}U?_tX=u+PomkeYO~gA@IgO3j#ja?f6rmLW9X znf?lMXFC7_`-tKCf}tD%iEo00_DIP*X?xOI}R41s{N9RWd^_ znta=PNSym8mo*qW@?dKJ@4kQQUr!0Qdzj&tAMyDW>FRL-j|;qgr59(U))m>@pq^;q zeEiPicx_Zz8JdvYLov*p7ZYa!XX@}aE(hB5Z1SXqL@kA!_~Ew4B|5Cit}AaX>qtMH zi*c!U?%R~Uai#M;yIVVuq_PH`=$v zKwwX2n0_p`wct;*Dw6I+8k)2XmD>|#vR1OS06L0luHAjjYv;jYb=!{2J4>(a@(yPB zV5N+8&H_=bNrOjcdD{uplZ*!P=dOwXYZEV*Zs+hSN)!pvxx@}T58kyMS@jLd6O}yW zhX2hAWZ{1E(UAr*MUl6mV#dDg#v>TBM$^>8#t*U%!3VGB06^k>7(^_?bcgSEV( zk(O3yOvT3@5q@XG5bfOg25T#(Yn_SH%AR39#f7fw(z|!>J|^rUfn5RG2k+fuJ8S*T z1z$oeUa&t{q1L+xKWwT1t*W_s+fkLh;z{$Z0wK8+HdHCj#4#A+r6)@G_^|V*j_ggY z>9z+7(Dj(2)ytM2$f0&Cpa2*81b5{2^{s;V!)i!$vI8Wlq&%9cGSu!rq@e)K?R|=R zV}m#_0`mX!F=$2TU$aK^Q3W?(F0J^b)8^~r0>txg4;UOA5_R5_PQ7Z|S%E;2R?FU#qg5F&Z_3u9l4XRdt#CvxBJc%Bu$cDL%&y0+EKBWodoQR zFF3bzi7FD~ll{`}z)$&laDs@w8aXGql$vH?-0^Nyq2iC0YXtsoyq{2g_#L6*M)*L~ zA&A}MEFno{)_djJc8hT4n!2fdK>Al3&=!~6ph%}{^>0^iZ}M{yk#Ie;Jb=8+@5MWO zO5}C>GC@yP{4~aenS}huaQXF))bV0rvY)0KLn49k#exC+=f`UV*^9rmLpRip)Akja z?bl&9awF1ZuU8Ub>^DNc54JO-JBq6<_eqjiUCwotW_SKA>zM^Fv&gM?evLij=cy(O zO!MgE=KG&fPbUjoH*Pj_DnNI%ZYIAFs89NN$%K54gFk>$U&E!G?t1WmaN<(HARpCs z(Dy3@!~H7W0JJr*ekN*mHdFc*mLk8WdQ!`v_ug%0T^TB#mdOhhjP=q;x4yD&yr2P( zNG6byUkcQpy?~1r&`$cMJYJF6^S8Q*KG3u2Eu>`C%Lee2r@UJun-jq20IvHP6?s=1 z@?|F3BLqA6C4;Sb!^XavOgnDLH8(C`3XespH9Y|7%W+@x&`jLJcC@bF>T?#*_M{dJ zN)=bk!w93Fk_mC|)l8r&ze+}(-ZY6`RvACH%-@Pw^gocBI6gg1>R?y#?33~E6dI~5 z2iB0s(@|fteAR(cZrI2cd8-(N5k!AN@W-HcZ2`q}n>Y+e(57zJ8BxP z4b=g9IGs{97-57|$*QQdG{q6Dzl z_|dc0?r3WNrnje$z+j9_4d~xZnC_#Z^%J36v;Qhuwfx$-+~OSM9rH4`0h#Ra*8E3s(oy(UT|mv;Ns9ExjWGflAcA{2vc-nFUKFl$;VXTuY`W5I z{(Q8i;RlnfDTB{#nzA?Fdd~RaS3j*Ct6^1}wg$X?YQnsF4NsTJ??X^%^?z`>x>{|k zxlMQI!M{Ms*mKSV+v&Fl{h}Q2(K(OsS6dBv?%b5Ka&hq9y4MbNFtbZKr7S$aSlZ7s zw5pk%P@dQL`jfEiICn|%f8#~}!F>b9b8Xr^6d@gi7ElTABur0-h~?Zi1R z5!mJMo|_LE(N)B=t+_nz>baC&Mz1FKI3njdw3BytX66Dp$Rq01fyULh+0D)34n-rj zH-*2Q_?JL0}~Cx$_F+^!R<)~8o8;P1$qCiUt&G1YC~SoHd|b{pQHMn8Rj{s zs58@Wv}j~ia7oE7lm{praL}ih7KV|ejmcI+-aBsv5tWdb8{P$IuPc4+z`9doSzC2H zvnoL&^ER!YjQaX|ej*gleMp=1KlIsN4*0eCpm*Yss8 zfwurQ{~e?~MdCv}Q#QPfAj#bXjgnXeJG$3yEeE>3aE@%L> ztWyrQzCGXY3t%;7*Bs6!s9Il8?MG;YE$xA0t!}-&JdlTO<$f{{4a)@h!WDUihB$)x z)i&A}`x11-pxK#C)bKKAV$Ly( zH~IFCpK)zY(C+)f{r4D*kivz#WP@9}ZFyHy=S6#FaDafY4<=#5o8w54|ra zp7}2CCoR@oCl3Xk{)QN{(sNp=FS-_*b}BL}_P;oU!0iQE(w#qK>k|(}6AA4!OoBGd z?j%3jV7*fyM6*q5#0YzX`koMYyNy;we~mMLpdLfWQfgBvzWaxK>p;PJ(2>4zUUZ9i zK7Td)MjNV@{sELve{B8h)pwr*TajaZhF6=PWyVD9{w$V5(>pHU1Q#lY2?~2Q=Wv}z zr3}xdM;B>1cdg{ygC?Zs5+E-%LBF(Y`Zn`qv^ySme9ro#5KRyLJU{&$ivN%-chWjP zp1j0`BQD@WpuGm50t4uXGyTVTQ8FGMnswjo_fMY>1|T^JCfxB>0kPLMO(?!HhujkL zKBD7}9f%v?IZEhHT5k#T3b{hB9$*DnCk`D?ZCsXpLH$(*=vgWXP}Bz##6`+m6Y2HZ zg&fVcPBqSZISs&kipG;kT%t6EzpSKZ0`(nyxbFhZ>3`r)R8VFD_1Zl7zZj08uSLwZ z?3V8z=wgm9NT$vE%|3wcanc00XLf_1OQp{5#Rbg26J$?;zR9}tE!k!DzoC72M`YLs zf6a44`*Rnl=D1PEep8Y8M)c;J_N&Xr+1={*TM8}#-%UnBVlIU?p6{s=`5wBmf1RmI zmrLx)Ju@Gn2T+?dwQnCV1`C4y6varrHh*4n>o*Vhg&(6!QPH7)F^wkB+O1pwFt-rJ z#S}`Yj0yzXxs7eF6RRh!x>K*?Sae!;>NpsQ9#9uNV!Ai zBM1(S3A=aHA{KHrNzMep{0lTXP)|=nrANh^WCpl_q*O;UjQ#pLdFzitRK_U!9VGh*-lyGgCu%YV0o%C*em6p9=smxfe>7ntBX^B1Jjk84WDUl|zu#|qrJIo)H z-6or(7ixN=mNYbbt%}0taza$dGvh048T~Abg;Kio;Bn>2f#KvZNwh?Z7O(0Vc;K_8 zw$g0@5f|M~Nr2=Esv!-7Iw<=}07<*atN`>VApvb{bz8I4DeLK511662ju&be-0uS7 zidC+X*Kf{+N}|`w)K!eQuo7+)kv>e{h>IU*v+h z=EVCZ)by%o&QJ2-u`E3V2JGG>8KeIWhgNa9> znqmz?ovMP-%Om(v5$@9zv8|!AG!ACk2mag<;(qO;S8g$u5k{RT$EQf-XMLaOiBHY6 z;{(Iourr@+z-rBver~`xbCd7*9W(J7E^U9I8KHkOUCxP;-Zqr=K4_fFwIOt#X$5vS zopV=jE{q3+!I|jF_8&VTlfTXPS{Uz&oH8jtGCa9*3q|9*lFj~I4MZ0(qz-D$KD++W z*O-xlrAHdY{Hvldr`$+y{mWM0N;X##Wr2fGxZKY}smk-`df+ zWcKgrmL)?>z&Nw4N}jaL(3x9YgtgZ(XpRQsveg~6rh(+*_toIqn-hMu)s3RXam5p+wR(wAexH#oEP>~U1wK!TI`BRkBjXx-LV#Qq>H3$Z74|;xIr2I;2t=sRdY&2PKN_%f_%BOHCre@klc-(S-?XCts9Q# zT=^Gf6Q&32{uA;<>k}FPd`#E=gcCu-m7wzk&r z?;E!0Gs^}OB@yIPgGyGKeT27fPT+)K+}3@Bx#HEfb*d8UE68N;sGl6`{=Xt%uI@EX z=z$zX);(*eQz(D#CFKCZQz{|hyd&pwbM^5qzQ5f!c{~m0NFO-IZ+BQnH|8@z(US%@ z<@A5m-=iD_dLGJK9zZ>I*J}A%{b%33WWSYLeY79#q^}U6|vCbIPQDXu>|w21LZdOul{1F zj@A@K2sV#zJTZv>ZML?+U$hgTx3$&$i~S<%S*C7!ZBhrN=Qbi%&wIN1K|V|;%?MFG z<=wd-hrcSlw-+BUTQ2RWHEXWPy{D?)`@@7%7@O=dzZN%L(7M6z9!Jy?-|#`_Js@Ev7m@ZM^FTj5~@-I97UQSC{=nBLKP6{Nl+1xuF?e(6{JI?g`ONr zKx$~xArv7r385vBgxop*_x;_^_gf~j_w1S3dsca#wb#0?^cXJWtvVwJ&&c6u&oUVb z(DCkZBXX_?b|?^mA*C$1uTAiH31-LF=LgO#R#N8@x1l~~iAMIMrnq3rOFAT9&Tc6Wh z7UBVJkKd-K)ze^>5Su*l(Q-Y22wgo_CKi79%6ZA88vxK6%{j6~oR=_Z%AGe?0y-_d zP2hT$kSncEkL`AVvQvnGo2Q%5+ky9k*vFmM6_|rz{vSgS8E>={pd6P1>Zm*%K@_kW zggVFR_RNWw&21L)DM@mVE?Zu}AZt{Xiue{JZi?oxN9hm*~N-ghC=7Hst4gBT#wPEwowt-fdwH0+9{ zPOD&P{dxQ5OS=hN7|aT%#A&bCU{9anw()1)mcg6^IfToTg(TmF08CqLxpI=JisI15 zXB>WZgCRD_NK>5{YjY0hO_&vQ3i>oVQY-D`Tc4g%n-7(_*j{axPxt_F0cAs|1>9~B zr`FuN2!+}l>(<~*qSm;MwW1fm@3hsW5G4a!jpQTL;wgjj+?-2bz-0$q(nPkGGs?D@ zDP^oZAlND{&+gu?;g_payrn3eHCv_b9$5)14mQB>bg9igs(!C_8-r2Dgt~F)<3oFPrn*A{^8OSNWhhH3? z%(T^!Ygc|#5&rJU&z9K=WW#YC>b#G@bCLfo@Z-9|oWH-F5cIJRIO7l~yCXjWeztfMC*D3*i$K>~DZ?nP;hb{l|D?AVE)*n09LH6o=@Dw} zO4YI%vB`{2;_CSP|LAc@l$Ghk%e1t{iy3?P%^*dLj9SK}-mv(JwgJyruCc3 z>z2-CUx{HRH0eros(H1t42NHExE^=XA0fM-Ah0x-Yki0B=@o@;y5IEL{&lSk(s9If zZ+;^pyZIRk)cC#h={l)J)9{)yV<7d)*h&+V@l_#SVb;fqM`nyf4IfCvE`ha z8%P41qSNNzYv&G^i15q=(}>KZ!_P9kDDvU_0}@udfjg+b`}!vnn(AOp_7of zUhZ3p(HnQ?qV6=V=t^u{4Uz%@UXgf*c0{L}>4b4DU9CMvsN;%2W9QJYRjV%T^WeTQ zhyhHGwqC6SC-jH3B`N_>m0NZeY-0+q%LTmO0q{MeZ9gM%IiVb4$&!6V7rb`1P+x$u z&cQJ}aU`NBZAG_D=*}aVQaH%$+1JImuo1YAKX{^KMxW*qO zly9=UjEIDdRvF?=&(eUkGIC~nw&cz6lVk9Ii04qfnXSk_np}AA9Y_T$;Zd9HmHzU5 z8fwwXIlAu##Y^PtM{i#)pz|b zaaqr*0nrX8@@o9zg}xX+U7jI2#rp5hjk2i|{aEDE@$)}h>l@vpNo*2Cb=iEHI)0WH zqmH9|08EL7FjwZt?m$n~q14d+@d;#^!&)d&Pe_X6F2cZV&nHiQgeB?F`6Yf;O?tSl zUbc^WBxPaxAAtgrk&})dp_~^J(9yLI^ZxE7zP8k40C3m=FP-W!5s@MP!%0Gd1u17i z++?YgA*F)NR}NPxP1cR#c_`zk8SV~7g+b`%t8YfdzM)R5GM9>wN!wth7u1(mqmOsq zjP(L$`rSi!2QRkQEgyXdN#9|iZtXk;83f7N)e#!J_T_e8r)rs@hTX__6gC8cw^?k` zJF++rwrJlA7G@!Cs2`Aeoan1 z>H2P<0yMj5rVSb!lBv0e2e6dI&rN5A8Z!ZG1%T`jRg9b8yd-P84tX)UxE~c(+ut_M z)W($JBE0G_E4D}4zZ4G|(TPW1u^XOOfm(Zh^>u*>RK;JbOM(RPyc6=+wMkx>aV#m2 z-~hkHx|{tnb-~6KY8ndU`CIrx&f>*1bx^(lwxsVq3Iye{(Rf%j=f5eCqFHS?>B8k<%K%QXN)H#Z{{;$+~0(vl+nz{JfO9cjOZw zGVwkkXS1_D^uF@*+)UrIuATLTlGK-aRJd8|c<%O%)a@H49k2R2v)6+aN)<8-W;rx^ zh3M7X0r!WmN(<$Gt7pYUooC&T{05gDW!r%{-j&w!uRPD1n8FqN7OCaW%fm*yf1NwN z<6k_`G$Dq;7Z@_cJZHMvTEW<8qBx)bPVLU$m7%c5>-MVKcR&Mu%-K7Z4tM zr~9}Uhl=NfahaJhVSYb5(7(i5wH^wJy>SuT!H+oeAflE8-=#ue%Wn@KptjBqH5{5% z$MF$8PwtXIjWG_y<%R=}={A(8dNMACIOJ?o>5#X-dMuw-h#scO#@rW2bMAilZUHTE zc83S*vEgM`_H4gHp}uq9!}azWK2)UDjI_h9Rgy}^e$oPd?!%+XgN1Ft+#y*NcD2>D z=@mlx=%77t%^I8J)acPUpPt%#-d$+-cu{b2?OOiu_360jcZz8j9_x49Z(?Fc}LyY9O+q0m+wbb#VY8eo3^}^-})O~Y^tW95hPBpIH2sowG zB~Lk5C`S)>j%Q@Hdgr2ca>}j#8^2#&bRb>{F3&YT8S4L8*wj_ z7`UW>K2D#owhTO19hglt^yy#NjoH7)r@q*H(`BQ(V=SyhA+tP29pV}9^Qm?my7*!% zpGON>M`L(1jw`aJB0D)ti1yZ_kZq%WvguX5!T{9emA!T#i-u8B&Wm z{?;X5?slK4iN)M|f4#|NdqelVeF&Xni}$@}K{mRhKXC^hKQZJDKD1Fvxt7<&)Q`mS zT(1dLTlAF*Cof8?cd@tS@ z4q3U3z9*9uqFl$(rHi}FA5LcQko~sq{C1hXwC62@FjfF3KMI3OBK+mS=)_2(9vPam z5Gu8(@((yCLx0~dqB$;ZPnW!{j!OmeDX2r%)y{NQzde^IfNx`8vCW-7k+L)6^~JnX z?T6d&=k-|91K4vg-b+7pqEJyi!AVM7$Lhgu>nT~W5Kk}i`RbU>neSIpcV^an0{8Cm z*{rLfodwYjo7lX<(D-mwy*uVOX_b~tj3IPbm}X)GnV7Q_jZlq zbKH~-cl}^Qpwy0WnH3Iqq~uZnN?>6PdOpl!VqvK;A%So#9p4Z3t8`oMbUC$q`Kj1% z7nf6Ht0rNf=H9am#g_NRKPD{rkP`jlrHI1{>E|7Czd7h8p`6A%dG8n0AC1QDuR>fb zL2mKEM6!Bqpk97q50`DHDU0cHMq*Y+sK;cKk(t{SzzWNsE~x|-+@bcK5rM|Jq^@yXF2wuYc}SVN775pvN;PiBLi zrhtv)LHm7YWdhTkH8i@$jx`j%*U2YmyA^RSy7_j!9V^6?6V z!9qW1BP0q$e-pFxE>gmKvY|dnuqEKfccG~U*Cf**_63E=gcx~CD&uxyj0bc}0UNfT z6nU}#QVD#aX)(Yp%B{)h1^PpPXS_U6MDEQ+Y#C_V%l9qn#vkwrXbr9>E4=SvQz`vL zZ0pOZi29iC+XhG*3u<6CPB)Vw8N!tua!@>98IbnQqJld$3-NpJ#%%ElPPor}IC|^e zKwpCsxFbds_tf4oY&Pss_zyJvn(UYO?tlVtT_%W}bN91=@rUXo{1_+sfo$@Bq)v8Rp*g&=|FHuVSA4$^3#HW`2bFenVcObVTXH zX@j1;va87Dv(I%6_=vIx7sK-awJEw>tZ*PLFj+FZ`}R=Un^Q;oK>+8Sn(>=pj^FdK zKIXW?Sv`dyt6^#ftn6`sd+={mTSu4^e_hZr zCs$PVQH2UHsZFu-ra#2Snj1E^)oS-Sma`lRd#(^h4jM&0MF~fz#b0XOkeAr3TqaN`k)HB$<9~UFJMogo4 zc?Ay=BP4|DO$a`kZ(&Qll1Dld#!nflVemVHJiIpQJqlRqbWm=aFRx`g=KasW#pZ9` ztyxF4W-m5!E@E{a!SZ@!;*ImhTT)KhdiGXqRQk3zd#&n^qo`r7X&`e+=(iT-;4js# zU5{!k9il*pjY{S5O6~AvZ_wX;$9Nyd0aW&Fo4_frbN)s@L=zX}=h8L!Wa4%&gFIM$ zzoZL+{eRWi6rjZwsQC)U=&Ul7#0dxy3GLp_l`?FS$1n=oBJdk9JcuEIe%9!$inZCaoN3hef%8R55QBT=nC#$@`&WGEGKb zcAzhOBm`IZ`VY-3dc(S2;2KRKW%0Cg6lIW)E*j?6gJ}@Q!@2~rKA0`lT3${G%C^C0^9lMR8I8S zz_IwlM^#Vn43LkGKRDC>`R_+kdQe#U)i=t8atY%P<_@#H;#D{1Lq3tr!$!eQtb~Wu zC-Pwj2_Z)8$A%z}9JYjzub?~wLb(}b$i_>Q9ifx2RcCY?-5N9D_cSwA>kx&7rN*ye-sz89o@Z@n4W zW1PbDfSyY3JTx^MQ2TCzcr%o7)=VQx!xS-;27#-KAe5H1dh#~s$7OH*)Lm#qp(Nyw#ZZii%}k6J`lp&ZVKtC) zw=M1HtZr*wP@Rvir@_Zl?HF?YQBrwoS107a@~?n)kIRw*c*Jsh0%oz@Y|BpcL#BmH zoLkZJ*2aJ z&vljO=(RL^-qRUJ(kd0R_t7)um08b!U{WkQ zo`OlSB3-vFPvb>AO9j~WFABmidCT`f#e(L6V2Nx)LLsme8${dw?f&eX%IR(Hv*43tT6gO_t=TbpB+BA&Ld(gkJcs%#s?=^9@4nAMP|^ zOkZZIenDM57CdRH{>`@t`ef2hs#-c`L#=JJ()>s0qd%565J5cX$lT%gEu%8=Y|{@m z>O7iCtv@U@$_IT-PmO_G1PySe;z-k4y~ zmYBo+oIQt6Rx(LD@W4IyE%w92mdqHR0j81aN+L{43J8 z@0M0p*WoC{D6B+#fP2U7xXs2$p_TAl9{7!feXVOGkN8T-sl`B&nh&}7 zgT?r81*qb>V3~EAg7sq1I6hj0rC(u{R%Sh{JibWpllu_ zq@K_X)m0$=!?M)i@Tfy_-|P1Wh*K>m?S(kr;k$BsJI_=Si%%r^DoawSX+rI%IL~sm z4i>D5;CdI?3H6c`Z0V9I9=^pXkqe=Z=#6`2Mc2Uvk?%GL$3vDqgMs{^V!7k zkt=OD4c)OTwh#bLc?52<>>%Di%DA%=XD0X$IGS&Go39^o$#!&3odT(-XY&?V?uwj0 zamUBBVGCUd=p}34c|*oUpnn1UPsk=UV`IhCeDijcS5Vcnz}b;0>yJ9W1MwqIs%71s z^zTcS`apHKOl$E65xxSbx6=M#Fppu2~8|vnnX?avLr!&0E3_qRwN1Iu29s=a}-O)Tjp0U04 z$s1LI{z|C)i18p`o|p`zUG$uJ~TEboDU1*PsF|8nO@_mjrACV_qK3utnof` zD6iW0IY^w(z|3|} z!*tBU&B%MZ*duQ?Jd|iWe7hbepK7u}(Mol-P+9Sj-cf zR?6J^Zs3CTn*$}iRhqR6%DYuxGrmiRAvI1ReFv=(1r*2UBp4>V(@GVbuyUTb!V+fD zuX65|-#*sP?nBQ;3T$6SSv(Xf)45PGs-bk}ixwRNDx`doEXZmI5D;H9lQ4uW-c z0%q8T=;KV`esehPOR4Ou!2GG2D{OLqtO{yoml#~mzna?}>XLsCnX*K#Xi7L1uP8^m zyTP}Ez5wVo5YPOF?=sq?zejp%v_hc@56E6C^8o>dxN%6j=`#M;m4LlM@BzKxCXR8v zdR1XL*5y}aUw}36;YQy6?SWF|p%3i0o8g&(nhE=(NwY7q0^_WltFvj<{ZYmI#eZ=oi?s}H=rSWSOh7Hi69O7tg) z-flP5Wpg179@<;GJ9=_MdZwKm@3H!*N^GV5crrb!M)7i*ILK#Yp%v8&i^0J{Qv0mc zR+kYY>Ke0L9pDL9eYpuao4_Ma!0lXtaER59QXGLyQ}r@lo=zs}J$zrqlp+k`x^GNqU1+zhB>?JRzY-o~U7UR7}70mav+K$SHml6CDN5*|x ztN|{mRV+1@6|<)c#%oVENA6#y58%YMS1$!AV-=p~w2pcN`~3}BpIK79E_7C2UlE<~ z1Dbwsz{&8b)o(?m⩔n{55lW#Sr^FQsFx~)CWJy5KCl}tK@0ht@YV&{CnbHIPmH* zAUGk{2&VttE@V)d0&r*_r!LB+f|AGqu-mm!1wmm`i7oD}7A0b}Q4VRD_QBFoXg#?~ z2ls`;;h--Y)cfu^u8})Iu+yL!1-oJ^SNCH*1GWCZJ!neiRftFDfRcy7o)3*4Y{f=2 z;URu-GAH~D5T_mz=9EO_YQ`hl6_=+s`I-G_Oh4L@836^EGqN<~?~Pr?NLMHSOV;Mo zn)(FSah~o!K4LCsZu=aBLKO*z;Ku`n8o zpPOJ88{$# z*f4!~7VC0U0h>xJk4OH%j}R0Wi_|Z~E+5!D;+R_8aD3fR3NoHS9wIh&XS!ba^!U%c zw>H5#3sz{Y(Bn{*uMmmEzorP?+cxgqXt~HxLlbq{BmYj+OgK3`Iiv)t8^2cE)&ZA0kdH11(|Hs&NP7n_ z%slNiVNyF7;dUK9mQdbun>Fm!M))T8bVimuSnVzz8WSV>TL6p7zc|7{_KU!s;zcY8 z_wStqEP}F7i8Q8cq!Y9CUVCNo3j5>GMY*?%{r%I1gnNSM(+xhYU)T#ueBCotYsRJ9 zs`JApgznj>turNpqL9KYEVEm=xXR56cnu#7%!f#((JvsI&(0xJZ%5|fkaXuTgG#4-rW?SHY5K>m8q7!$<|`!A=`%hY zsVCF`ba{+q`U73=92_zYchsQAna3k>85C@n-cI2Gw##TI6tC{_T_i$<8D1t5Va22= zSc2%xcs%popL!X~L;7Fnv5|hLaT_6*ZZC1z@_L6Rc1#J;Kkn})#iS7KxIFrdO=7l` z3W@0$^pwvT!;W8ehd3{<5zQ{(FA4q(&pzT1U2^X6!aD3Z9OzLIdxzNF!*T1VIQ#{U zT;n@f8H4p76iX2tNRzo9aIhCv;q_p&mzo{(cR92IWm$f9KNUYYy*o4bt|)_C6T<+i z);&jAUs~ryRwol1iqAfiSt1FJZG^t8_^)jlesX*_!5z3l$UytSF1T*AbnIQ&9C4Er z`qJkCv^Ie|1rGN-+b?xt>vFQ%zZ;dF$mxtf0Z$y5|DIvGwb8vRm77{yb zd9@Rs_NR`FefEiIq)To2y^!j1=39#MMjvXMtnLUqYroZLKj5P(a2y? zI>hEJ6DljNDJgCoaUd2V34!mm4kv&9OUdshot+*vZYX@RZv2@(cZ=6@_-KuEDJ3E6 z8m2gfFfBr_6FZ8?P8Hir#BtDbx=AXZ3Du%lQ%sa(j|2IiEsvxTlUPlEUV_6uZ-n7G z@5|==wqn@A_@A&P^wb~UJIo$@x@Zz+~D~`rP5+CSF6@> z<6UIYFQN25s7$(1=oABU_oQtO9U&bXZbDCF*sgj_<$is_&`xeBE zpq#y4te5R^((uL-^tFm#LcCAp4q8w}GAkdj*gp{hQ*JOu$o1*O`_OCxsYEu&So?UE z^N{Jmk-Q|iK5O|YB0~H4H0Pm1O=X0yc1y|Tw%_xMMUxR~o{J_&dldKkp*pD8CA)Y2 zi@C1;NA^2v(n~bTbZTJ;O^PVaF!|-U5N<@}-1q_h3pYkiOjh($AyDFU z2I^47z&T>KQ~-*^O6>Oa)ptC3%i(`%*yO z<4S*z5O(W}F zvCrFtaUR#*Jc_nM{@l0}1p9Y@L|A9k?ydzL&kQ7^)~9_1m>$I9zx0}12lVc*pyP>w z(y7pAYA6q)+~4LOxyCiSAA*kk>c<1oOmJ_+)S z5z3(^oxSb4WWN*C3{1o<1Gw z6hv7r^#!`Ua@+D+(Rg4zBV3#R@$oF*gZQu7?0XlqpT7{Q`Ed7X(bx0VkK(P-rHL=^ zy;mIi9x#wiGl~DsLYd79k09nS)rklXCv7RKzKP`B!e-%49&ptU+5F!Hr=-=DKI6Rk zrEH6Mv))|r>*RC1>8RT9HPWUeCEMh^M-@94Udqw^RnSiO_`{prt?tJ$+7bZ8Xy5ku z&4^~gdlHNhd5Nies?Hnn_A4m#!jJjytep-bAGPftifbP^CJ}PZWus(09s7Esac>t3|}!mNh!tbhhs}tJ{U={H5GC zZ$DQd3Jr}jZ}eQAirPs$5yx&(2Uh|AHg<6gtTzv3YjP1t`tA{Gs1o1PY+T#3leL#styLGg9+X*8+$cwWov&edz z{D%9Lwj5Q?dJB?W9|RJ|ufg5I@d00pejZ8dj|ZQ&IUJO-ztO}}>xWQ>mFG$i8HrOL zr@yCsj_+=l{gfe*S;-pWvs-A7JNS2MihSWDhujfYAKtj;%OlZ}pufLYABX%CjPZ&V z9uU4R3F*1NDX}>z)>sUBHdmFI*sCR;d`;?7FUqqs#_9X&zMPxCN4+5Q*52D)rBcL8 za2r(%n@M`xx@ERbZN;Q`tRg|O@|P#tH-`qdcr!n=Q()+f$F=bvbwBHkzHCbGIUD`w zWU_GD{TbQn^mhpw%Aa&xHeYy4zORg2in2|XN!BStvXt!EW=cvJON3;ZkYt@?3o$MB zY?GasGM2G7W-w+u|D*T&`(K`G<}7ob=UzVd=f0o&T#oJ7v7_w3PVjTb4lnTUJotUx z&ClP%=bjW)`z-YAIVruaON*Pj{Zh;za8nGE(&nJWj$Wa zXB`wrPXFByP+(i#k+9SW#Ecx1ygQ{}ux7qYk|WNct;06cp(dtfLubdnyshB`v;EPp zo33qP2L-Zk1-43BH#iL7R z>N)wN9o_k@zG`O%d%6}pHQGpy?9#bCW!L$v&X2=fDR&I~+TRM_?`dC%ZSM`S_*c{7 z&lV$jh%T!_*;CeUVz2t53MW)$q2keapH9J>b*ro2VHXyslZU?l{gI@J{Y8^3 zW0Nd|X;!aE)^pjOIoTc&*`9RS9$VR-Vc8xn*`8Y2o?zLYO<4=222EiR$r;aDLH8*^ z_fA3gJi)obgSF2%=ot0S{z1J}cH)D$N{3dG6tw58Y>$O(Pmi{H@>0rpLj%b*>#AC& zp{7NmU}0EHermK>A?1N|6)7M*IU($Rd%fd`*KqKLV zyq!=V+_HY<`tIs!lyzuT_`b(M>xH+d{D_*x=Q@Jxci1U|R=%2hjGA2^e8FLOa8-2_ zG^(1#`#|d=r&hk9c%l0eXa4V!e@EKiUo}W5gO}dBW!+p%O9tHnj>X5O|66gw9$fMD z_PyNy-E*i7ak$V5+c^q5_0&3~Os&nsWAa?aLvL|+Cp8_aH6N@M9KNv2Dq6-K7|o2n za^#65S#%a?9dMj`g%b+Tsn}_U!U-kCgM*SZ2x>enY}($+*S;E-0V)@%LU~c`nB_K+ z^cYk=x|Pq;scss)Tqe+nqfo!sc`LdlRG!VyXuR&jcYC5PZ7wS9ggg^%{|H?ZIn*uc zLaO(T3Y=4ASIW+1;`e%D?P^5*p)Ns??3BHcav1+-*(q&MEFZI5i?G`1^#K!^~ zHrwomMJRi+bWPM+`P7tNjJaj?ouyDqlNN(1QA~#FZ%CU*k+4@-#*ssmsAr<`5AMJs z)E2)&Emm>T*C>0iX{uZ3tec<{dO#=OgiaG3`9K@FfG3y@``qPicZsL?lCF&((q{g& z1c3$ygJ@fK@8#*fA2n8{@j`mxRj%C4QrlSefxli5h!>hdXZ01{{_fH7#~gIHWt?OA z3HME$bd&GC7ce)6QvyC+Zzk%TqrE}@=%@s8FqVtBR?3}h5X3>>d?if0 zngkPkcUfSERYteMh6`KyR5UD}J^lTnEV{iH`N5#Dc4jKy6GSYi=Rx^)y}9SRd-6b8 z`AFw1sH&7Go|*;qWTo(ZoKWkN5D4gVz4I3T*6{d28;`nVy&i1HE#QB*?XW#%b*A>M ze9LMHbYtz?S?>Y0go|nG`}O>&!IV9&pv6^wRf~UUGP~XxFOlt+r4n*S4GfMfl@5qs zpISnHaz@N{lz>hHU6AYaR2(++<48qd`1nPl*xvwwTKIgL(G$w_-L>Xs=j4mgOz_G% zC??-k(khzdVfq8Mb8Bs%>*Yb%&XAjrao(bBql$i!Dk%!%{Pv*z`PyI>rdg(=HjXxd z8}n8X1$zWkyPF!kqVJVAeD!ynmi5>Ul3$6wk#NxbdeVhw&N{Ttr1%=*4Hn(9dRZ=6 zNqnt*cJ5gZD~sEF#Y668{X)d0Z=3QnDAy6kpE#k=xzyy|ZDNpTg6{PCx(^SA{{^q( z!+cE$Sv2TaPfApcn8lqUU&AaA82%DB6hZVFHsFLn+ujdobe63e*pABojjdh#9YKIS zd%7Lt+hgsCtSe;?$Yyz-e}nNa1poa1-`AlOuyQJHalVG<|5~vR^;BGMY32Fj>xAU> zd$Nn$p4mohyYt1vpzpvaC!G_xsI*|PcIxS0XWvN&l|LSTb7EV99?9;&Z{gu!C~pJ9 zKw#4zym$fRb+4%5ZP%+wrv3*7Q2oz#WlbW zEUfr;ibqR3$Wf*orx_jk=QAc{E!yifK9xzKv!v8N44kDz1&PX6fqoZ8Y5r<=|4X4D zi)In@w%KocEG$+>;(WjI55aPmy~4_lNK*D>te)Ulg60DWlu6GY=9g{(ar0L*7(FaY z4V=$4vKO9O3evci#ru0;h^0TRS&Z|I1X*e5T{8H&eL&bw5aF8Je*7GAOcfdG4h*7N z`Dh_}B}$zTFd$~##6N%@a_!kryOjkJmG?G*hq{k#69DFi^nOuLlEP1BfBIk-X6M0B zi|i6|ma77@gm5cg**OdF`h=QZ;GATEfrbV30?3u5L-w)%0d1RV*SDkde*^xnLe?Yb zUwu8FQ(hcFf=u4ZXHWT?V{t;DCcnDI`hp@rQU4B6`7TX4N~qmRg6$f}g-L}4eoRC60sOC@mWT-__U75`G zwXmzLpfZO+Lh=Ot^A7Z`lz(CRe70o^sO0TrD-ge-eXuW*l&E(WD}T+nZOLPYhCsys zx86?o&Sa1hKtaj^BR2Md7^2mkip%bnu{xKaZZ{p}FD0ig)t~mpSNsF4{{?7%5XfKV zO4Kju#>xIefx))?-5#4gw@%|T$V{Wxy%Wy6RRem<5F}aq(D==^?p6w|ds_gISoUCX z{VLy5qKDJ|CZzw;l2Fp}E7M;LzoaL0l~z8G*ZUr~^65W_c--sxWIOBg4H4Gtw{!Eh z;Fq1hZ%JPT-54hZW;*d<)EcPfq98$-HSjkX_=4!jAlIQrti|NP7(G=CrnKephteQ$ zwnG;j$ItwR$p89pD9`$WNR8~$^Glh6S)JSVCiMWt?BzWFSMX8O(8VtxzPH6rQ}hs( zzXOi46^ElBtNw8x75rbQ`#FC<1tRtT$YJcn{ps@mLrG7pEEpR9jjSdezDvGpyPQ7z zXW?L6ldP8e9OWWQN7wavQEomg;e34mE83ua1A5X|1|2-9tCA6_nR0dt6tr|TOb1-M z-Frkz8uX5u`}vXN^|=$UzeQZ*1*5R%b7KFUlwB~gS3Q6K4Om75dOpTgIeY)QvR&Z4 z*eB}IIPm%Twgo#5sr?A8dnCP$y#`(K`je_90}pxWvQyrQ7DgxOS2iYKv7AELp6aIk zyL;RZsTDVs%cy^l@sGGVOHb}PB%7gXqNbJR+N9j^(g-~2mx^qLkBM3> zctfS*=k?^Rav6HWZsQ2jLiJbX!u2UL$0;+rDKncXj?D+R;Kxs#YYGn=*8Kbp8h=M( zW#ILE@ye26i?{o;=1lAtU%F@pw7P9?=GgU$6!1hNnkilA1R} zdfN9{1jD>E@4xY~Ro<206yq!IHt}pz4ur*9AM7B?0(u(;TKzJ3|!|GC0{F+qB-uIUQw#|L_9g1TQou0D!cB#nKgNYN!!ES;til`?z$#HxcW%lax>GIa%`&X< z_sH`y3gaQ#xF$hbV7XoM{u1sJt7XD2&~&4t@$uJ*xFD|>e}@?Vuo!>i7_@swwo1!f zPjc5c*`7W@cf}&BT^41{b_LBD70q@P%@yvZyVgu(Gj{eoxJxND0>(_#zNf;zr^A$9 zz__MaS52~fO|o)idz>b}^~EZH;g{~(qvE@A)^|n0cLh99@?BB&l{n)oq3pXa9VU

0T+s$r#tzfH1;!alYO_(SX zgVEFPdlSW7&#G?u+r`j9!L4Kb(J_^GI#_|SqG5SbOm&~DV1ephF4(rBApmPMQL{}e z9mk!l3Qez?J?rb;Em^fxZ}ZC$+{Ki{Nuf9E_9c{Q?P^PK+%?A%{7{B}j4Ko0)pq^x zqsq`Cr^K`*Nl<1bUvEGn39sCthn&)Lni?sV`Zdy|cHg@f0t*U13KL09x{DHZ^=<)A z6AbPj-|5a*<2J&oENiYgF>dd@SYfwS5^<)lUdh-nVW1)X?S0+;$V-&AIBMJ>O!2T! zYdG$(1d^cTc^=~sR^PhXO%CFfI!kVNHBN?wC#arg5F%b_oFEdlN}Y+n(?cWBY4T7- z=X@hdtqnVHABQ5jxSpeY3LU_$_{kH%5H_$!zIRv8<8`TcNTb$o@^L@VcnH_{v;kTd+ZMzwoI5vF zy}Gy_VrSJxT{}5QGq1)b_Aaj1ilY6`@mvBPI}2wU5B5qgtgBPBOx4gQ*(b%~RKOvcLQ@rin11ArlyNU>3mNaCe8LfLT#X!Rt&l&;{ z)%Ke|#DmJ&j$ySaSd~^lsuhcyq{}A){ZEG%iGBNpegOa7KIH3^$|I)p5=pv(OmqWK zC?keZ1@Hi5FlxUkO-4~G}o)rgcefI##8eSZ*zD#0bgmGJ+ zw>2L~S*(@r02N9tf{IA7+h0IAHc`8Y$l4)5=k*aEcslb{)TER1}Bl! z2khpqzK1JppaXtJ@rI^*i>RxQF*xV6c09e0yob9;0_C}1(Vsj<^f|!mxVf|+18SoH z6E31{X|SW6U}v=xDJc+Pf)Z1Mp>?Z4g7f#7z`ah8Y+)^38FJsY&7ZcQ@OmBK?b@8h zRMT|uI!h=^E|H`mM8oFL`ZKk|A?$SUF~ufy!%F%nL`vB0Yp_vp2XRBTm^O^T1Vr3w z?eov_dInnNXGvrHqK}addYia?L8N+TIAN& z-kQDhZz0^=dbX!}AJ6!ubQ+rXjD!$cOew6;*KYem{^gDv)3?m7wjTDs=YFvK8!VnQ zD9FaEc%TGW)cs>e^XFtYu={0Lwd%xpxNcBMr$dV0L@Zq?Uz;eHKNn%0jY|K}6#mNp zT-+m}f*3B@E@mV9OMO-GCnF-bpK^5{l81&my8vo0X^p4-ryqCWp*p^Xz&!?zYSiJXtmIU~E9J><5Q#nd5 z-&iF~4^NDsPO_ma3zu-o?oXAXTOk@Dg85{*S$xF=k#LUS{0yr*g~CZ>{6TESE?WRO z=0Gd;UJQ+}Xz!kUG3=Z`^Bw)aQ*AOAvj)-U32(f;>q59oK4@!+=yh)`lhoZHj%_9$d1;f66@{& znN@J3{Xhq|bduC2qRo03k47p49}-HZYx>;DtlBwk{N@aF_%f&G_8R z^WVc>cE$m}aR7w-qP}=Tzk?OwGv$AR76XEjrqB0Bdu0Eo?vN~qQ+_8bu)drqsLkB5 z_z*Io;frzeT%-GjJMw3NT-N!-|5+GveqpO@V5=?FV{=FAoE@%r`v!4fYnc}FU936y z-cmD2{>&nJ%3M;g64r@8?hRo;8daWS+44O=#8ZFMBs?M+4`qd)hSy9tMM^L?ck^1P zU-*FfdhEi&I{EqZoIn+E|8gQ2&5Hy$b#v2$qRgUvwGMNYsH8n)7J`PEWXpim62gx4Ev0?+& zR7of4RWMh)EyoYj2*p{Z?BLyi_#gE4Wb!47MT_yktH%b5QD-y%tR5QDqT~X)ENJLu zL)2U<)*U03xTRub-K&aQ-tyQzR;|H)_r0A0(U+@ea^TaS_*QXXOEP~>j^%T2i5SDJ z`y4|e3vH}(7Tc(sm*7Sj$CbXHV)WLCqYh6q)rTCo+sL*dT2MrJ84xedW6X(V6i(n(raiVkW`}@KZsw! zEd2{OUjkwKF^1bez^py)&yiIlu3TJ+>tx7j*5VTl)<<9k`ZwT1+sItUl8e(Xn_ zRJ8kTUIK|Lw3tYy;z#_oH$GV`uzpNUx3n>Q5G*Yt9}^q_0r^}pm#`e-I*1JP^^B<3 z#c;cLVW&69P*!g<7wgoGA7FXF*!W4N)C(FUdac3HH&x zg83!!Gh1*Cz}teOb&KPK$Z4ZS#?bJdWD_t8wy?JD`gtFoeFVa*7_e$n-QAHm5`hka zk9NlJ!cw+;#a!+y(7kc7wliej2tg4p2+-RJPVEFpqXrZcKpy^4^&7gjofbd9Ylg8! zoQB7~&{s70Vc%Gioe-N~I!aWH??QuUZ_0)lWS(fO9>E^YlXMBVg8cK&bR9)*<`tH( zqiwT}uax%)9MO)}&TiQxnTM}EM+exi(gRI})E17YzUY*!X-5tXrM{TqX-L1*dRm>%d zE-$un;IWtl5?zR{+Ha~KMLijy9m9*ks{$E~+qB0KOVk zT+V+w5LlaH^j?|$<6$S+LVX^y72@41umBLx0Z!3)Xy~0h;JPtj=2Eqv7DpNtV%ZFD z&X5(Ru^{!q4D??0*gX`-#%xI&X_YSM4L(P$CSR<4j)IOQ{~@Z*?Y%c8ntezJ{VQe2w24TUZeqPQ?(l4%sw4uiop8p=f)VC2Lqh^`3M%3{t|B? z1uyA*6hq%{EW=2&pe8Q!u6FzAi>9kX4d6>r*t&s&Yuu{PB z8@=RXjeHq+%q>hTsaJ3@A07r|-*H~ss#xwI2STK4)iK+QBvwS1t|ux6p^7Gait80Q zHUQx=F}rhXGh(E7yQBtLHH@f+32tqp#~^U!{KVojO#;a%r73sZy_X~KfWQdbnal;N zwrGdnz|$%~ZcH>kU4FK*i{Wt_R@)z3&So|JORWq;UItD;<2X-fLixS2YhfB3gE+|H9r8x2=1V9o z_et+IBi*)5=$O)P;tFx(sF;WvvE@u|84hK;=~KiRkESOVf?3X|htNz#3DMmZi5M9S z(#>lO3!!c4(qb&buo3Q%dhBhv)?d+JvTKy~4Idw5z8x|qlYk0}pRO}1F~~L*V62lA z&RYyiO-c*63{3h>+8sPB4X=@dpOvxXC57)nUXSz;Lw?Rel@u6SoC5vYsQ7^L&O~ z#P(R=5~$7P6%F-GX4$BQr$H?_->c>{HW5oik+>5X zOs#D0aXFe_#B7qZR{WFJ%KN$m$D;w!{6yIeym1AJ8LPp2@e?JPpDDK?uwQl~aaGNL z{TRN71G#JZ2|wpO`CVfNw-Vf)X$cKutykTB_6G0#gIb7ke)$b>FEO1goF%FC0xFcAq{sky2Jqjz5 zB4Yd@MK5+y8d~o;#iV=824+k1YI~T1BfX6RwSoHu@E%HZb4R?JVU^gns}ET3|%SdG{6B95PT;c z67h1~9eH(TID(JO;^rS-Ye)slc~K#H|6yd0lnMNVl+f}|Bv z^0`e40}K-Y-Y8|Rs+m`y)u#0=V>+|~6GvKv1r;q!71#mXN4$^JHfm7}C9|M|{A9EZ z-iFaLDlAj8n2;0~VSH`-%{-c~p3;CkQhO^{Km3z0SR|f>Vi*m_L_T21&)361!gPZN zVmx0JeVNgk3X(Yjt(Th1DgM*8!B%HrGMt`~Lb|M>W2zOH{)oF3i$8)I?E{g^nP;Dq z6O+jPNs>M(l3?5l^G0&W)@`V}V1~THHJ)bTx_Y53*VDY^X5cg<_+Pk@s#!Bpfb|Um zZxjW~_Pd6F`kI{PinrcjGbRCr(%&Z*{a7e}SY{)U6YjzdX0%;|8wFxM72`}Bg5{%o ziDYKk6q69C##@A11?EHCZxf z*RB`V?iJ1#lk33-th25y@S?q9uuFAjVb1>jm%@+B8`uisRlpLx{N=O66*Bw4R>(;h zl!ZJ^Y&d}*;CYCG`{erqf37+f;z;z4vf^Xn&CHBA=BKOR4rRHSL)*b>d=JgiizR)D z;msRyG{3bDHftGa>)X!*BMGaydwigNm*%!y0xFAtS#6%l96I;P9UU+l#XDOC4|4AB z2_ExF3EtO#k{e%v-MxW+VG967G^a?;pze_bX)KyCb%{5H;p&fRDJ{p52DlFOK4?wv zPo}(9YTqRuZ*)`j&1|&%v);Ms)$`LnIE&;PWWCFd>xVgn8*MFA!I&-oGjoKJ$;7

J5t|#@Q!GNK&ip62QLB5GkdCSGGo-*}&c z6q9yyXn$KiVN<4+D#phhU>~h1a6-E2uGQ-LHz!s}gSF2OgcDwJ*UIs#Rg*E1zXixp zZRIauKaOC411lvtXwy38WaIA528%ljJbts`Xc|Fl0}WPj5A-;;lD*~<%~Xk)MR%u0 zgDqF&pQ6PJj6E1)KmX=(~cNevz6vZ|Ktfr`10Q=^WZZ3KP?5VLR6VS+E||6{N40j7&VzbiM>{ zJ`)W#*3t_w7dY6RS&$iqtJ~IbU=AI@R$Ls1WNSe&wHL8ccYmgBv{8FN12YlJD8gF+ zFN00{oCs-zk^uGBT8DXwtpFrKhlEuCs)16)BDU7XO>WQ`dVfV1;=hnJfWN8-jOO4j z#IT#h==bQ;MEP8-T&@5^7YZGF+(hsMgs7@dcodLeU@;s=oUg`V?eq0Q^_Z`~n|igvVV(D?QfLmCocUO& zO9P%W+o&@!TvOY`UaMiro;+sKab%sEZ3m@74N@Q|YPUUn~b)c^U-kKLr#s$)l@YU6~N9 zz%8ulP_6Czrg)Ny;Np1YvvG-LrWv?t5iy@8O!kIJvE*NaxlVq~ONY@a-AV*Yuz;VJ z0CMw3@;{P3HaW&rTyu>gaqc2f*TD0nL_W9pMNwuXcep@nf+1|Q5U~0OYXx?(h6O2<0}K(@@W#)~SQ3UWVg{8B9r&tuG#??; zx=HJbtM$XxNwnoc?GeocfyJ-=5LB3YlS{xRmQG6@0~){a=FDcP`p>L!3)%5Vg>pK^ zHjlU$+==e3vo0ViQz(|+%DXLaJh0TgorenhL6i(OwB|NwY)Ce@_2jH#rEUSI7`C5* zFHFgNAz8+{^QV?RY`u`qMHirLem-t)}-ySIikKhx8!@*~2psB2mpq{-$^fSVe2U z=)?zYG&I~#rhHPE3J93unAy_d)w~9Uqj(#(flD~f7{j#yOP9T9ZDKLYD6oiDp-DmS z=Met^(2QaDC}6``mFLkZfD&k+i*2AdG_C?=6V|%<1-A;B(1$h=-UzHGScIY6)tB+@ z#$#|RnGm9U#XWA4#+X?t*@Y3)%vwD+6rY|)Jj8{TR(IKax**bo7Un`~N29B$!V@ivPCz}=f6 zV9w~xO$?S!L^G12fH}cUv^G!s1qBar(#?hM#q>Vv8qe+v6Qq%aiR%YH<_IN{p2yIJ z(`lO;TkySLuLC99O8&Q%97IJ$f@viMf|aI)IWc^|+#fB^LB(>1PcJ>raf1ux_akK` z16BPncT^Id2TI<>m1m3)tCMOq){ll9$wz=46IBM@@U}0wE6+ab%i-DQMX1Ek3DGi) z^s57flVs@WT=F2-$CFFnMG2FlggLZc#Yv<<@T`c<6j3r8+Jp|EL^W+3(0{)5QRo$m z`zqoOTcEY=BQ6nQ9-rupTYZ`Ho0|h6&9f8%L`-GwKD6t;WoCO=ic2!x+GZdJ7% zkB02lNfgi5mG2=ha{Isz=x!FY-qIx;(Z$3y+V)P?YQdmQg_p2F8y9pCC-T`qbmHm& zJ7n@EC;L0IAERj0d&?VXesm&c98u~ccU!!b`Z$J3?)k{xuX*?urR>mV$K~fuFl%+dmf9Hh1GaVwb-@2xc8Hu& zsy}jBa-3$`NYn`A1$wh<)#>aLj8)L!xWlGhy-w?@>zt7XjMYr0mI(N84%QD?xy*6d zZs`|Hstyh^3wjd6jedH*+Wmm&4DKvOfMAfUS*6)r!=;bk5{#WKrpe>e#z;;&qRI!! zS~Xbn5}rDiZ!ABgHPPpAge#(xYc0<~=mXjIoP+K0VF$DY==zu3+pPqo9jGxf>Xmnp>SimJ9wwbkHn$R%h5&?a-ei`?6 zMHME(Mca`jJ9VUufE*ZBU6Q+bf|i*c)J$!OS)VU&CMs>tp}JU}+8pd2Fn|XKSpNWF zM<|jvP~aOf1+j*?CHuQSdk(~o7&CGJeqD@^cN)lBMe_yO@gS>ij-Yx?rB-2X9k`u3={58N9 zKp>jo)mWV!bkqml%h+{eTzPVP;sBFJG{EqdxYo98_!L#*YF&yD;1^h@Bq)e8zYR%Ez!^MK!qAJUz}SPlgg^-x>_QlXxjvKgJtizBD)r9F5uNPU=I_aYL#|!lv0~ek7>b!Wp7Y2azxW9ndV7k~QdmknJ|j+1&oYiDUA_ad=l)yRyNp$^ zstH8crD7I19t&GFc7L!cO9DSarvF(^e+FD@ZxzBF&j?*vRwi=%}ibvO{`&cbR~LH3W^0rH*E_heeyT$Pc~mj$VmZTEeUZq zVaupjTdUC73dl*VqvZ9E7@8zOOh*fg4%V-ED_$i#QK<5jAHyoI_doL{j`Sr$OdWSa6W5B>3v- zSUz2z6?~4S{T~n}gxJIE_TCFr!V?TGC%wJbqYwX(!%GE2jmgI*$};f#8x%1DKX_pG z?FN{zB>31iw!9{aQOx?6m{QfA&%7LvJ^O`ti@}$J4S`(@bd^sYU`)7AK^Jn4cfF@q;(&>|&by@@3^oKhvayE_4!RV@Of zU-#|TbYG>h`w#ZcRy2`aHjHemZUtP{(=d3$g16}9?V_WWqbbExR<{V9>G?|M+KS#) z`oFCBXfux-E$RG%Rt&HBa)LgC>Q0wdTq||BY++NK+nASF?DuCRw!$ja6!}ufrn%CS zgKViUPE06;V3&mhky}=7gkniXgP>wXc+rVfcRaz_UOfL?}^E9(v zf4qUtZmFL@US{VOlS?*?Yl33d?;=-t`CrqCwm4$G(wObw)8ZQ2_|1GJPHAz;2lqwp zjV|6GuVjoUi6lKO)}w#Ze5HyEMBW8#BZ?fyZMw>kzD1s4gFGs#r8L%buqnS2L9X8W zWAZvPnF&dk%?i1?<5;nV>7Dx~>*sohgYRk}{nBIzT`!BTS<2Qv40i7D9mZZOc=~j2 z?m!Q4T`(Ki_ZxM1SD~M0Te8y$b>+CqJko7xbIz-%^JC}l!F)(kd%g?vc??`lIeBl+ z&~PhJ$ck?3W~~>SxuT(+=+Ebw)M7sWP9;vH_aDtOSMIBOs$S?gCX*@La*bbbY|kmK zRVH6iaFyGgNZY6~tDVDXfvHt@8$L0;QWi9TBoRS89(wyqi!~=!PPY6?-LSZioP{?7mK`|loYbwA2j;HLJ^}T z_jY6cgF<5=YY_@95D^S4Bh=qon1e#A(dp(MiW2&AZ);XQ6dg93e>m!LQF`KEZ;K7{ z2JZziGjs4!O>U+5>kL&WZ zZB5#~!ld86em7EnyWX&iZr1==ojd7c8*E@!IzTKL_J zUBjDe`}nR3H_(6QMee;({_bLIb{=fp3N8KOtn!(e>u+9vS1`m6T9*v;LY%H$%Nr9` z=>9GzJ8tG-4$(Tk_S54J%EYm^d%Qr>QnSF|%!GusmFk9DiZI{i?6-!!Up`e1TwC;8 zgejPRC*In-msaH##_$@sybxDc!T+lE_zAN@ciHi-@yn&%|k<_Seg55iH%#6m;<6ANQ!ji+M1K6{c{_kz!%_R59KC`li|G{dI@yZMBUO;r8z zmwSUXtWs9U6SzbnRGhUMrrwj)co;qEe?YRYFZW}9?Ioo@p6_Cn-J3Rlr!QVZKHYm*ZvafpY&aI+kWDeqq zg~YMli|bC975*l(ks9pI4#OAA=**N0scq7walE((va~%iuiS`bR}My`@2U@??5l=k0rO$yudllIw>fqkF2A4e26LkT}-X4iRIg^G9AC&yF}?_}e>+?UjAa$I83;FQW&Vi}rOlq&N0X6~rO1%<4=K1a-) z3eJhhNcVMxS9tC0d6E>|U-k6sZ1~Yv)ycLu2jEe@H@^s%^oBPbzXkQyt-dKylPM=$ z?6PO}Lg?nM(?%bxVk58hDoDLbHT%P_*oCkxlPR4E54?Q48mo0-vg6eO?R=T1_Du$v z?~Z@FefxUVJq4zRlpVVMlv`x&vG8eh_{edw$fLH$Ubzqt(CT)*vTL_5mCun;hNm}Y zWFBami9dQe*x+`g-ve3RJaUlK*R>*6v4`R7bN#sA`IcUe!@*qn15K7QCYD!X*a2o& zV!W=jPoo{e&-0L;s*kPdykY1Gxyw%(OG>pT98XH}FQ8H!|7nyEDqgcR zSv~&3PjV*i@G4TdeXRD~t#aXKd0BT0k3~hjRyojOXY&pcxue=GwP73NR-Lil{&Baj z=cWF;B~EH0#Cfr_;q+ABCPlp%#IfBBS8BF1($h2Gb8F;ec0+^eLWZsrn~ z-XTjroRaAD5iarWbBysJnN+)&9L&5+x|5=D>sa>ry_dt}U-w2;c%2e%?$xm}={#k9 zrp5waIg#}9j*)8pt? z-0b+yTwyW#je|(T%Qo4bXEgH8REhD+i$uN8N>vtLK*vo;?mb0u$WrmKUkR zJ+^+=O3~Hc!58uK*LcrOv2aGxm5Lu{Nl};LzK2rWZ_6k@phqtWe7D-NES)YerCV1=S97U=6-}ex2yko4rXOuBnLA;Z<~Wz zp4ZC3EY1h#U{*a!c3mVr!gn_3cwQ}X>GcPun}#q9hA0BR&d^5SHyL{oCpPA1r|LCM^y}&kUNuVP<(yqDvM?;F3aPIuj0+nZ@2}He zo}b^HbN1J~PLA{JFHfydRzsmy2keFgI_MQ@%PM=G;38 zG#1Z|uO7-;T=dys2&3S+h4%SH)a01hAag|#m{ot^>C^)!i^}GR!OT_3u(4ixbcoK+ z{-ZeypHe!FbINDu<#U2I0}dgE*8<)YqC#1zg%SErc|Mkm3>vMTCx3SZ9Bse#F=QL;5|EIhbEt_y;;& z{Q~+YmuEf{)IE3=ub`51I2h$6t;cQe_YLQkI%D;Kh;W2EjdPF3)^;WgVu>x-;jh*+ zn#P{BVWH85kM$b+`(r*~RO#dNhA@l(LY@|2g^;HQ_#q1a1ehR(*B@v-_~fAncmmuL z2*Nr;2N4!hx5(YJ<7_H%H%s)g`{}A{WYrBDFWuCwhzJxy%G9drTM)$NMtK+)mok&hxN1*Gxee>cYv!KIeI|&+I`w!$EM0hD@1D(J5 zm;2bpHiW$Dbhazdea|cCM?O6`^<|cqG5q1d+~==;0v4RNo$>n{bQIn)Jv!e0NQrNg zYb44IzdFgjs@cGM($yB8gJW-*Yv($JAgzsYtqN|u|OD)gdFS>$04 zwM=I@Wh-C%ElgV{3e#b2O5=n2mn=+yL%pMe%T-fB!^Ed9eka%4D)Q0j)U^hE^KuQ{ zM%mE^P;K|%jD7gn6LOox6jk-vw~w7>o~~V{n7LiANes_2F*Pnet=XTPNzau_+V1K;U~)GpTzE!ot&Qd*Ykne&Y| z2K@kCN^r(cJ~|-c$jhlItDZmK(HRyM8 zboFUsNBHY#4Tczn_T$cG6!d-AFG!7Tn^$stx>19C{G*B8pC;y)UK4kY{IRbzHkM(F z?*Dw~^r%RRzpnwf){55CC?@f-D9yWwbPu#Fs z)Y~LYFRVN9Z}~+L;2&g$U+M3u@>fIYEF_bxjV4`%pbcdiT^8tv@}l|+1AC^4`}8`N zVEY~28<&PqB*<067E*`4Q}Xz@q6cV>72EOZr5A+oVS7B-m1e?Cee1K)O+(|dbj zI#sh5tG#Yr{?ttxHs`NDd=s;5|5Xf0JQ0!YK9QxuoGIP+>E394Pfukhfo&#}Ka1K5 zpHr+e=c}omw-8t_4=4H9YJ8qXjg6n}G8kU0zqgsaSBFq5aC>;>QBG3r3Co7oqhnt} z?7bBUa_f=b1p_8NOf`k-IV*+>9vlgrcpr2WAMKY+F8TM<&m_JRLk+r8VV95a`(;%K zU-xzTBujpLCv04EbTjSMxf8wS`xQnT_1N}=lDkUBN|@npyosr=aqbEZpCze_0bH*G z4^~P#>H^uRe`?)Q;@5M74k`Nhl;t-WL{!MGfAi?N-cDtW-R`@sr?2~9FUNVhf%BvL z3;6F=-R0lL`4c87!W^}$DPA2pmp}32(EJhMk7H&Ye3EyB{aFspxun^1SIpV#sr+c* zTD>?-zGwt&+BZWkLe_!HnMh^$qg(bwKlbs%azUj(dvu zR-we?@eN^b&$%N)9e2VqS(XBsiiHD+v|z4!6JKrZL|VksyTwq7XX^&z5vQR#-x-xM za`zBU)5ZTs)3?Vn{r&M*NJ2hc5EH>unzm2#U9$z^Vv+l(kGw@R*KDk0HU#4yYy<}Qi3Ztla(#@Nhk`@Q@Ae!u^A z_I~U<&N;8wInT>^pEJMS$(;Sh9U~i6pxg&A_DAj$72;*u{^IL?ZQ7zj~*PzwDXstl`LC9UF5Zhx~;q#cv>~mj1*ZkshjNHt5xo-npc|Zuv2;0&(h0G)v$$vi@U}g`~p*HzsANC zJ52vlpSlMo;Uc&YS^$+bw}=DQd;Q*o%|QS1)Ukv*^3uj!X`lxS?L6C4cq50}mXCQNM~{Pfc@*JwosD48Q+GBi~x{ zeN1CF-DtG?k>U;WH@;D31*n@Vbw9B+oVK1TIjX)Uq1Ube6pYjvGS2tvaUw^BsS5mI zpe6Bv6rrF7?)k`-0sv^}WWx?-o+O$!AE82F@OMX_9Lse<4B0Sjo|~yVGP-sMk+6T2 z_Hf1YI2#~7fVAI6(z<2wmM03fR^duU57UB~Z${+-s9K|Qc1s}ikJ)P(hDrzhC~dgx zsY1WfK9J$-+t>@2OX+?O=NrTplN0WP8r-QblIF1}7fph@;vdV`I#Sl1DtI0ICHN}; zf)v0Mb4tTq5Im0)GJcR?W->BChdg3z7I={4m0dRmE*pqx8kpsTCR$psK*WS*!342h zJWqx24|yJ25}K7#t@S+P5R1??R(Wks{x$h|&Omr>iLqqRw@Uk0U1Srp&BrmGSY^4i zgce2MA{fNIH*0P|D}3n}N{JhhS0B1?X8#y# z-zce9ACdd)O3dC%t(6YHwvf8tI77e*?DK&0MY30JsV>pqEuYCWk{U~av4!_t4}dhy+Yjf%c0@|W#`)6fH^P-56R>z2?pd$e<| z=lZqyltk^#=nVZ^a);Sn?Na>GzPt2@M+hFL81$skr&Eoidp7g!XRM`*WV(VuPVsE! zgr?@1j+*Vja9z=IJ=>_k=lYlCOUJOjv+tP#7$nF))A*Rl65;qGc30|245H(Lx`qa3 z-!+&HwETklYnW#Aeu#=}U>$a|l+aI?Fu32yeQBU1jM{9{#5@UXGOKT<2@elEvO|p2 zEu9o1QA{zW%qks>tOKKO?w+lPk%L6v+@Pv;c%j8-rwfKFV#1co+28hU`2n%1ghaU{ zfABxhF`aMX^WV0QQz~_Trpy~&tqkT2aJELZCdqi6|JI)U$f9S^_!NGb?{VYC|KdVZ z^+QGA#UM{PNdq~lf={V0wEXU?#PzRo0G3QeyHrW^o`tinK{I`6Y2Q00PeCVgU^1`UpJ^4 zYoCiTy?U+B8U8zo_}+fa()M^xXt>~=J(g4V2k6IZ%6#RNTkYfh$z!iiqX3U zBX1Z$t}zh8kR4$MBoX1Qmr2wtitliJJs5m)?P(TP7i#WeZ5$t7n0YzJ;uGtf#S=*Q z1Ul4VA!@%(-!!q>pI9Lq!RkSodpEiVJb^ESE7jk*%>3YTr$5HRWihnZmAIi(u>Hq) z!DF2~b_#9;EOsVHC4pPku%9yRKZhib&SVvsH&NTe_ za*b+_cHh4)4dsmf(1s~}w$an+8`icquUqy0B|=&VR7R~` z?kp95M6HqIY}lQ^xU5#Gpxa9W3Fk4_^ydc4ANtM}R2JmgNBH|bDXC8mFZ60&u_#iH zWvBJ2nIwGnx~D5;I-X?V0%eCoMWJh!%n#<8?9@8h>)U^wdhFJGoS&Sg`DsKuxg^Jx z_1Ix`r@p;eJRY;AxAPeH=baSqz{M}63PL57l>c-gCU4wxoP4ZlzbjLbC25j9j-^)_ z2=nPO)Kkf4a z(72N;-s{#8JwvS0U#{zGEtaptcgNn+~G`hF#~l_yAlwfo4_i}CBA6!(=yM_5eR(Xnu z<+5{B-h6iHO`2axk8nn3&EG{4XpUFay3xn_WGc(&&B%V4`p7QM6wk*2+<_4fmbnpO zxVGd0a77*44$Vl7A%+vby6#UcX}%;qhf&o5pED4tO|$D2Kr#mxOIraEq#NknKnlG9 zH2z!VnOBL<#1OqOzer(-aG1W^l+Tiehy`=fe7~`C@gTJ5%$GE9j%cujIN#gsi;uPA zF=c$ii+DGFr3aCucZqc~Ra+(`(`jgSd?Us!QuFdP%)XE;6$)DPL1gGcO-X*)H2?&&i8&&nRq;Anr7Z<`Km^0(UxV|bjw21gt zfw1qt(p#*q3+lZaTg46aGlz!sRsYTOochyu$_wOJKD+&c{7rw;qf6U3cS?EcZtR2K z|GJpWgympE-F~56LeGpeqzA%ap-ioz-Z*90vrdfi*Ssx-o|zM{8|m>p$V`LwPx~;R zL!=ifpn!59m_#qNvSNf80pd9j_97aW*DGAxxpdU4(9xPH46Y^ z=cu(2+QAyvTolr#uzyK$4P&lq*Ut!2x<`M7TP?V>o;6iUAAIEvn=sH9SZ%kyD7S$rh!WP^aA7Fsl3U>Q6CjbU`q0&iFsX!X6dKVfH11$P>!=-t=exNK+tv zUPwW-meW+fQ$t#a{>v(rD*HR?iaBd>R(@c44OjNdoK~%hDIH{WMg3zMwEA~>)nWrt zx`z51Y&Rzj@p$HoRg^nYo|xZwClWjLe2ZLHU#|??_;jzsr8A|(ca)JkVZwvE(u}p; z*!R9Gu3a4JH38_}%KeTu%Yq4$I%gk>;eH$@X5+jo`?eQtT;0tc6PLbxHr%;isDjGU z29)e?n>5`T|BdK%ZC zC+Oh(O~a~h_!9u=8i)U$CnQufMLpPG$9{7g1S|6QN@WrcxcK<#d7LcGlt6MUEyENx zD6f8a(A}dw9wVIy+de%m2h~UJ!ON_NZl?wNI6F#%mub%Xe{kg=1b=|b!LV#rRnqVv zx9k*d<>>IBESUcQgh?5WI#O2irbZUvusuL0r~NwG@;N@fn3R&o`akW+cddHsZ67HT z%1ZKDg2=CfG^CC&!#}{6l9xAX%BE`S%DLw6Z4=8=Mn3=Dm*B-tJe`kvq!8>bOg}RE zm3z^pz=rdgw{h)M-R%v@C#5Sw*_lAld6keOzqYCO2V}V-208dSqU*mk+25&Vm9=BT ze>)K4b&#Z$V|)tbfn=_UB+nqFU}6e5Ca;;frpBv zhD+2%$F4rd$O$`}#A5e{apQiRgod7|II`Zq2j$Wlr4?p-8>^zFdMWeO}oUP7#jmpA-aU!G(Tn9+gZ$O z;*Lg3@dH!h5g?%vuQ#_%z0YZ8jp+e>pI=7~`6h1Fig30)I?ycx8?W~_*l_SyRl{&` z7qp?N$_3leMzNtFex49XCy7w_roYzj#~xDcCRQ#iUt4jG__WsAWxj6_O}B= z_>lOXacvO03u4chFSbFb=XHH>S^$58_Qe&z-+?tR|Dy=Jg)O$ql6wA@?o|Do#V9)> z=lZvU_nNDr1~8;wTaBR4oXFj4p6CN8kPTpTU!5bW&L-Q(Wli{3>UqSs+{SleG(C=K z0^|Ut(M!Aq;Tb{w?V4$RbdLyy5f1W7a1?v09#~;&dC1C^UtT>Itb1wXGKJpVG`cXP zS~N!?_smPnd>cjtr@9@3dDZ2$zixj!d=E(%7%R2x{9?5!085W6k64!s$XAIlnF*)r zQkFMe%2l5gxSyd>JYP@Jt|>~0(su;t(7nyrfx>j(wXgbNsxd@ynPfIHu zf>}UFzY9nnzg{e=-DM%L_DXd7VoL!3>i^6z@O$U0MxRngTN4blb< z($b0bEfCSC4RkA(O$%mB)f5+>jggl8e z&31ApIpSFt`wpkg-sNn8UlA3b@DH!IYMibw5cyGNS`p+LHtUHw_tU3cP$9{UO0Kjmc7Z+{$o%!MOgT zDr^lq682Y0#DZ;mr0cI?(Fk;Ah8z3a_h~f1(){7Wyy5bX;F!Nspjx+}eSIqge&hZm(jq{jZzJ(&9pE_Y+RWiuwkgIg{@J|JtD3#SkKtv4=k_DWv&TkfQ56*n-QY9 z>3)k-xZRiA&VLw*d(8ervBYdme z;6_XDZAC9DrEcmQ8oc9m6Z3XBe|}E9ZMGNXVc$tzXxZZeGVU;aL+r3qo6o0`P3pU2 z6@yph$7YR|XpEw(Q9=viF8mUp>` zf>FGz!xK$4$*ueBPfzege6aLC7Y->kVO%2~Rt3g=6T_$vP`~6$4gL5hvfwG+{F?Bf zvmW1aJ4WX|0!xXLY4jrVp&rYXRvs-(w-~)385UI%c{DfbC`52>Dlq!s?o-@y%m65H zNOKDMSFqc*+-I})#Ru$rhQm|UCaz2!4nD#Bea|usUEf2zOi!LXb8=){+<9cCj3kEI zwyROfMZT%Q9jpU}cxj>-ifr`kdehpu_~4EdiW5 zk@6}$zP!nlexrSTX`^)Eja?K9z3`)|^8&Cbvt0bVsOk5$zb1QzKKokpOGPvI4?z>|V&bnbCxZJCq$A@TN2uFsges*++}+V{Czr2~?_a*Ot$w=;iO_-iDj#yV(cn<#!4ma~dL5!~T6T zTxBlXFnmqZ!4NJCHv}l{2y14^>+PI^j}s~s7DQFJ}#!yMnPdNOH0{t-47O<@GeaBuxIymg7|VJ|!O`9fluJpSRF~>?pIi*Pk5J!baxxZstnP8+z>nUAhd-H-mc~HNpI6Mu;BSWgq~iUu9F8kPa{7M4J)Fc&sZVz_4i(1NgIJu z?Z&*a-w&-O2aRh>NU@(5&q2q{G6C{8BNn45-+pFG_W_+HYhf}Y-#0Wt#^0&1Gwy!69#DIrN37ydjVEJ3XmQ%DGiY0D& zX)ZHG^Ri{PKXy4>h9<2e)sFf2`_|}~lWDE-;D$R=G{dhMbZQ`&$cs#Qgo=k2RfHk@ z$F^q>`@=fz)Qql;8Djl{)JH}uTl%8kne5H3I1%P%?cw@cZ54V^3gKJn1&xBz6n zqhmC9AyxTJ&B<8R3|jOH&c3u3*r~dp=QEkOb{hcN}*3rK|LtpC%GS0^ubk0lZ(R-DbGEhGRQh*3K|qTYb!G% z(%yXeELaC|uj&$C)gweIapowB>#QuNv=%-6zis%TD^)IO0{o%Tv@VWuC%1So5HyCZpc{) z6Ujk%;JKe*0MXS)G|^9^@L3qpp$L0n$ae_gX}AJK{$|*>5xVhp7OE~K@^Y|3#n{_C z>cjm4jWcDI#2%;>T9+&KFi(0E{mA+0ejUS1O+r}IL|U=dX*c`8huO7|AGl|kU^O@P zjj(rlz7or$2;-mH+I?nBz$yNU`UXQOcBy$r%vG!uyje&dCYn%e(*ZIIwm`36o0q6c zt`j6$jIP@6IE5=FT&-h05KCoCHKi@LH2AA8{Q0NysEien(jKBWo_A49YUlU+EkmI| zqn(t)W+ul>UfSNAh;o4ipxsx42|G)>!aL8lqdOwpUhF|lhhib6+qG#Mzuwp|hPr>p zf_b1O+`vpWIQ1&SGXbj?RvL+4nd1iile3{y6FW&0B+R{F8AEqqZwD7&T_$8f_2g{8 z{RcW~HWC~&7aD}HHd6*)#rig&^=R&e_iAU^VP&d8RuyBnF->E;N9;e8K7*bJ=>Qgt zEZZO9XU4VxgEvJ~zLt;7?c(|ko;fr(P$KE0oE97|s7?-?A2VbpWv;xemQ>k!`#FwEdJ_r&0@jX;!S2l`zw?S=AY)N;Z6Jg2X^12$Biz#4-C}|%yd-;@;c3T}YQHy!L6u5SuYbY-2JN+-4k>&+zs zhtd;EI!7Pzqo3~1S&KPyOl>XNc-aPAPF;&BIn|OTh)^Q5+c17=+ zU5DN;P91_MjMT?RR0TYR*m4uj{Da#{J(HSZuH`yHW#l)1YHSbbFC!%NRp(trukt;l zOh$@`ZBUbDl-&i~Hc8=u(GjQYRTcb7pWl%=hw{h=P{Afo*ilzaxis`@ja%5tL|-j^ zOFOkfqMAH+LC27d(4K1wA+ar*{LTPm3w&|+%h7ZZ{L=oq7jND!A5pYLBzHo)(OhT! z4u|X=$cZ3@G__QzdUAWniwXm=z;R;>P_{>=g7&_2X`I@ zK9i15!Ydtq`;N*xDkfY4BWeL+N+jqqgsu^lKZHdQDj!lg*4srL?tCihOVfQv} z%8%SLKF9)^G zIte<>4sTEcJg%$;AsWcS#)DC*G?xp4EIQ%R{56lC_l8B=bFYAN2b_%*$=tgPTl#X| zDZuv}*G%fZs6*2)xi(`FhX2}8`PVZB!@jqO{qAwawEIX?T8HJx-T33UqgoNgWi!IY z9ey)}x7&YAG=f87Lu>4MNjuBfiuegJ(tRL37emREC1Lu_R8_o-XJo~5O0O4WYBd(@ zav?tfU~~wuq$9z6tyKMgjE-oACc7N-ggJT8T|!^d{FamyluF1)+=6-SMiP9uVNt8* zw#v66lf{Nuzt%QJ8TCST9+K$5(gkCNb-G4Ivmd>$k8{{~ZC&96+7K;QZ&g8x#}@i@ z_5{JcT_)~3@_{en0ky7qXv4D!_DTI-KTCyLkEH*0p<(ZCDQhCn))p54#A4n{y8Pw+bAs>29}jb@Mwg$wA0>K!UA?1R|arjKN{< z0PuQmd%HM3Z>;>`Em2Y?a?jUEEU?Naq!w#i8`o&(n===Z{J`)_I86fxVD$mUvTPdg zj@aGXzT?HmiXRnG6bJ8*gaB{%%#MejF*)d`i;Ss3bf6P6F-wGP zy~||j5mV98N*|*Aww?YwFJ_fSL;b#MA}gmft;1fwI9%D%`^|~?+$-n~Q9JH6a#7?d zEr8cw{96h1p*kEe%pMHHoLZiUnlPVqNq0x)g8Dx24Uo0g^~)Li>r$hlpP=x&N4kce zx$JL3?nf^D+p`3x9_ad}95$+Y)Dhu)x_b|5Uh!CYRy!tdq)NB7E_woTnd59RPvp2t zo&%bW$Ug{+m)?LN*wvo#JW=f{C26(0U5>j+uAD|aEQr8|Ie&=ef zm$+)f2<^Vmz4ZH4^~>*o{?pvx`e4^F;aND zZ^qcUPD5i8_sHF0Uu$GXOoB&Zu<}|FZTGnbYFm;H>=D3Byzhpj;@89LGJnEED@Q?dGovIVL2%$SP2 z(`3QJiy7uuzy67&oBvn1eCP9Z`aPrTX(=HO-k+#`%ao^Oyz3eL_v1Nx?izL(QblqgTXpJu{gnLrQqocaMcB3Ud=gZBpI0 zFCwO|ty)z!V2y)&rP`!2Eb_lDdtOhKFh^I_7TQ7r$tlhwhQE=j%pKK(}|f<~Ngt z;!Li{qARkfS6YowiqGpScDqL6oTC@EI+#Da2?IT`dVy=XkALJv>XDYLH=q}rRh823 zIy-)_#oq(t-8j~bIi~Z0u5nC1m%Rbg)`iEZ{N)|P(0J0>P- zvcFClUp6`RUkc@Aeu#A|z2NMo4tUs#aU*lSWTZaUmhz>qQPp|X@ZpHd*6UC&9ntuA zAw8cizVP7JGJTUr9`=)`Owi^^eUQdex3HA(^o=~nb?BksP-tVQoH3!XU^R{WcynL6 zwE@~)b!3p~JJC!W{GEHU8GW>JV81#%sugb7+~6s_zD+W1!5)oRX+Z?zp{hdj8Hp=;G2q{nqHfKUD_TQ%9{>S z9T8?n|2 zpyFXPrbZ|tBSzDPB4U%M&EDPA-4ax&iF}VY6VHvOo}S+ubtt*iPDKu~#%9Yj5E{G1 z$;@-W8hEHXUdD6;E?kECk%q2pa>|+lNshzMW!kAYFU<@=rl=5q$-b6zK6g}{hX{s( zp48s9W!L$HD?Drn@A&YccND>1e`&8|-G_qupzn(TnTN(UbVF5o6Pu)qyLJ_iKt~Zf zR^VWmTr_d-zjieEFm}l5f3ni6u;{)

K$d>{p2<4|A|EatXjp<+{$50c_>E8k#G9uHDH1Oy51x$36 z8v~EU@LdlaoQ&jvyGQrPk7|CV4!PPbko@h<(4>@4Bwzf4v%?|P)Yr7FoXA@cvv^IL zXo*};VjfpLXY&4@-1uPL8xr-u{E8+}l8otua)0E}nLm)SJ$-|&8C{G;RF}dzr2)uw z;#XJyPN4XP#ax|CLu+$*X%b{~z(nubarmD~Lp-Ddcz8>{OBl#r7Ov27a|>BZ2$Ac; z{jaQ*aYTB)X~%$2K1+MCR)6?V4oC2s=2Wk6vwj4@YIzR1`$K{0dJB>UjN+J3@yOiK zm(D%V&wLB-1KSTz1jwQbhC_9UZ?}|2!o;Ijx)~j_86=TL5`~#%i@(U{V9KA)= zS$R|ao5n*YqeR26RnM+)vqMZ2efM^-qs9I0A@zTeG_PP00~~gV zt8Qq)V#K)?tWve#LqzvRQb>t&H!vx|#=!-KWAu5$or^}DKItxlqR6*Ki8b|A?&d&a zddwqF+d;6UO7@UQJ4jW&iB06oK-)tO6WeljMr*gW;ccl08BFQPwmpxN+d|Y4A%}zO z#JS<8XGoUAZQHJ9q7?^^fZd|)M@7v%#m0`JO9M|oCdB2*f>F;Yx34C+glElH`w-S%YQlsIX z$7bmL0b<-|nxF&&*bBcTOhFd?F8g@HOqbsA6nYh-|9<0w=`XtmM4?aYkp{Gz*+JO- zu;;fU3SRW}D1D65vLW{|yp1orOLIIB)zkt)U5|ZzJyr__RfLtRBAdsMC*AESkDBKP zF5*%naPt(94DQpP?c$qj!Jw_wS6Z+aWAU3}syx+!=mo5$C$Z9u+nc>kKbnmBOtYo` ze!|V10_|*IJFeFtw(mn8pT+oWABjSnXwGrU^59uh1FL@TDR!W6vF@-lN;3gQ4c^B0 zZ!P8+xxSy_J(ge5pY&Ju+I9ZVpbYDH0v84(O^QWS6Ao2uwtQtDg>|^0>ndnSyX~gt zZl1r%@MF`{oLZ$3>6pCTy?*6^D`y)NjxFzQP|v-YD>xX|6@e5nyVlIE(jQDvBCk1E z;+&I*sLlM~+aYhji84ST-M+*6`Fa*sEv+N^Z9t)%El67%d!o zRE;z-roJIwFdO)j!fX7J%HIPnj86Q}Gv$PX(jNAG!m)ac6<$rC-9T+E0kdAD)aFBi zC{Tcd(^d{LrayELF-5&Zj8qb_X@TnYnv*Nfsos$)|46N;du)0HKhB^{QR67rHci_Z zC8qpFtzD*z4thV&P&5yHZkU$yF8y9E4*#>^wb^-h2`8b^b1&i?{H$O<{NVkC0nV%q z3G5s#D7~EDtD2fX$1xVRBBv$D_gq6rXl@og9EH_}m)fD3ESU#4o#$V1`t9Kub4sx+ z_zjw)ww0OJ=(H=dP5RfG^j79t6#pGWJ%Cz%Zl;plMc3Eb(nz4XWgyQW4=qb^{FW|* z3Qcv|zAJXUb|ndO6A%2-tQflomkZW3Kr^dCQtmVm{Hhy@NL_#UT>T@K7>{+oi#06r z$U_x&gB4AX0pq^*xktk%+OcGfWu?N;v=sZ0!R6zZvO~O$Kf?uue^r&%>qxri{#2eG zmezu=J(Buf`u#f5d8abwrfE;%*BT1O?F=-V5%Tyt+kx49K+H&fqD<>HNOoklVh zQt*Ad$Kt7H8%9ce`ps_XnGBn(hR*Lbb#Cdl9WiTE6a!9g!E56=;sCCQ!Ye#Cdq0ub zG4zG&nJa@5>n%Nf5hvleaA(nHnrWQX8-cb#L7VSCQ!g@ttA?S(N43#1#dy!a^R496+KowiE^d5UP9VbS|Mu)fwkO1xSz2=}NQY=FgDR%ub;hMb`K0s!HKi2#I0=!fnEASkWzVU^H?_#36tEv+cCnW9GTYQ7u% z4#LSg+joI0a|%0Ulo$?~OW1nm*yuYGu26*?TZAIc#VQR+<>oNd!M+qy{a`gb7a?oV zgXW^g#3qN>kC*x|QUGT;+i#5#Y*ibQzm+3FCIgRf@3a>GL_H0}c_7vgllk}SzlmL|U50xd>)nVB znhEMFVCLx#dmck$4AqWJ!I+d+Mh!0eeUTIBX(U^II>%xFWiM1PLv@g8P`X&;lV~!D z+nY2>d@R!-x|$x{qdb+SQHg3T+G&c_O?jq%Q>bv)DaNZyec8TmfD351SbwKyif7tInyN-$%x&yPvB;y{$WzwIIq_^-}|(O*ftQ-J8zB?(gn! z-EcmyHu2M3iLUm+)}7_fDW`HGVZ&|9FrE1qSKV3pcd9OA<*$V@CCFZ`uo(I|*`69# zmQb)~Cn2okfve4~((tIy)XA8)4v zE5LV4LMy#)$EEz~6DsC()$Gv2ygKjxqX!~XJhg><%9foud{@uZ{7OwW5c(RDPFv|r zlQ%)&q_RZ4sAa5#)pjWro!E{oIs|II9N-q`--J>V+!It#D$ z#cGUCKJCny$nqJ+%G)WVl7=%^{@TcoaxwB-8B-Ir0OKxFbBu{>gNZhLNgoz(d!yE~ zFdAgsQjC}}*nFObE`|$=HncRNtn>7}lNQ6y|EYW#EAtL`bN(Y!Wx{Nw~gis$XWj-ZBsKr(rrNK1!$&rkG)6XTy5&1;F{xZs0$*Dx%Js7#7$P?hST~Hy}bqDxAMNuPs)8ZCJaoo+mti z^nCGsMhX4i=+2}R{js|Yo`~txXG;PS(6B{uU1%)ux#gQST(fcG4JA!OL8_JZj?+)f z-Q6Ja(DN5~@z3f<;GEghI^j$dJA4Q$t)S!(xDq~xt9Mp2Qwxw)Vm|wg{-Cx37H99x z>H%W2g{0r!{WJXZW3QNOE!UsvQ$}*KSMD4fJY)$Ssuk4jdev1n`w^%muunc|+oXwZk^gMuJiwHq1EWqxhhCitcWsk6dgg+Y z*Q)x1+j)}nUalJAK#iQaZ3R&ckbhY^!}+c+WxnBerHyH}GX;YRP7KV9r%4bhc9O`& zQGx;C3K-xU@aL0+&H24ng5V2TDKT$FT52c<=P*l9=kxl)$bFRUApTR7L@9CZV1wFg zS~V=rDp>p1;@3uRfJAtmxCaSzPzRJ#>BokPHw?Vun9RMV+PHYi!@^kG_tVYz56(;ln`>yKe){odMu5`|$Wa=PTMG;yvcD-x=qmib0crkN-ThKizh%G*U64D` zJMH-Pb>b*ji!pxK_ogs?FL*uhDZ}1VZZDUiMvSxA#9CVAPxwDVgRHVa#f$+Kb>GCb` zX#ic#q{nIu@9j>h`7-`)F_^z3KX`MU-QYSFU@Y}aYRx|IZiHlab5j+NH-aK7K3^`G z9g}VRzr0Bd|8f_wHOw2g)rUh2D49&Qa&?)Dm+hwFxvJn`dJ?7b)ulzvX9LSDU9UDV zVw?OmL7$R=B=KIiJ2(q7YMCq_29SPO1stD|p4ER!+KQ|-)r zcje~)LX*GKF^G{jaRm36=O6*zrEQToQI0VCY@;Zu4Q*@(pzPfITRW$}DU@B>fm-P4 zrT#%WDBvLo0U9yOjrLd69Vm(jODeSTB(|;`Qt}#X89&!2TsD*~A5^xJekYsHU zumr)__C1w@SN^>j+Q)Q?x22a*Ic9|qjr$~IOzVr$6v6+)Lzb>C_-YtmlVNc874@mr zjr*f0aQ8x*RI|7IZSUJl?m3MXfsHf-YQH#ZNNMR9+JSihfg6f$kKC1MNF%?f-q-r&ZkZmVYv zckEvSWT<3St=h#rKfNKpznF7(pYR86L`GZR0`hQ_XjAAxI6VR-r47GpS3x{zJsIBC z#qgF#OP75p)WAOi?g#gYvi(pdJyD2+93uRjyGA^Uvf)(Yo2KQ{LIUB9ObEiPB;XUM%RAozI7Ygb7 zj?+}vG3&#(IkmWTKHv-?K%o_TwQ85+Q@52tK!00%TUNeUh1ZN0Shnf^=QYQ|{&Z9- zUb4TFlx#s8I#ogKv|-nL9pn7o1pZNW=BR}(3vA3n_e48r>==gtV=Z zWq#R8zBkXJhFO~MMH~caP@O{l9PaJO|Nenq`s>3wuhIJ-ckM}UGo^3tawP#4*^F`Q zvB_%{i7-t$sb|>$0jVkr+h}2{WW8vr%b2orygvbJR&{2JNQfMfMyZbXv3&&3Fn>=a z-6^5pO6p97aCmnL?Y!2#%N`gcJD%Yk>b7ixbrk<_FABPo}-%ly6A*G+#Wj@Wfp9aZJ6vfL8OZ6;nl$z{|uL$Bgjw-YRkv>`^SzSSwUwRfSYoaK83G#@mPCh;DeR{3cr4Hx!0s6+4BFM{YJaTO0_@`cfVVnr-UXTyK5GP2fbCWrDAKrG*3dlf= zUlw3@JeaWfq4TeF?uznIV8G4o06mFsc*O*zS`2z-a(cK{5;X^S+`$ofO8PNS#HxQN zP)}Ew+5H%wvyaWaM;ae)1)f86OI8{5Z4Ao^c`Xvqo2y4*P!!eo>aVv-p>f%wrh_d# zuJ(KNUeIYUpc-OaWkj_e0CI=xl+>Da-F;t^)pqy(Nxib_uJ_$YV83M;jSwn#xwfUmWNKB&3u0(9%@rb4a=yu3^42pl3>Np8#{j zz|w4mkSf#kRNl(l@w~kVQ1^?~nTN|jSNT%kNsDvAr#!Q z68yfS&3os}^0a5cC0y;{?n8_cMU7n*|A@Q|hB>qM`+X@dRk#^Yl@D6X9;=u9N99?? z`po?$_XFQ$&Fn{absGX@ckky7FPxL}yV_)S7JM__XBJ}hTVDNZ0<*Eq%FLyRMy;Xu?S~c^)Yc0`SXFi<8bLap0!mS4BC}kdYmnVT15RTL4Ncr{LyAegUgaz z%ZY5IsGi%530qW~p{29pk?H2Jqj2q(;y@ddj3=_7q4-BM0Fw3AoDEnofFK=2gaAj8E<`#=AataO7zhDE zP!W)>AXNw|y*KHSC@oS$4?Pqy^bkTwLI`|0&-Y&M|M%a{zH&|W%AT1ubKkRO4hp~! zzp8qg$JBC#ug)BT?V!YIjLzz=w~ZugUIx!Y(16ryzUp(;@!K>ljeyywmxqg8e`){2 zLNC>{Eknk4F%LFTuUWn@QM`of@{J2}g0o+iYB=yIzSUvU_D*3Du!U3gEOvs2|L&1; zi3SU{xD?|_`^S%?8rTYTo>uOZ{6*L`%nKC8zI0>DL*BGWsmirIc(w#t0p*3F%rj=I zeB}Ye$m7~2jSKdpLC!T&GWZkOW{39NM*|?umqP5S!lsn`a`41#;%-Z50rAGk)DJJp z(&06~FZ0&3I~;i~`QOd+1oO?5hr^>C!dqNc%zHiCwA)-QRMU6_spVZat7)hLc$!IC z-gpNNmy<{%pqt8%(`!Tl644nnQvqcCEOPF`(~Jw0-& zlIT;Ih5gK^OyII_ppA^!3dt3ENiixhj_FJG7s0&Cel#M%$^T5f^(9fVvXkYMMXd6! z%N%3*8VdDC{3E-MA%6U6Q&e)u`H{v;a8DR#xc%>~Yp6P(=`%_7w=)Lo3~j@A=lDSw z=U}nxQyxz4kd=ga-XB{W2k-;&>9)74@M{@HTAIo)zf)Z;V3W=)=%<)a5PYV58yiJ1 zProEhW6iKh39KBfdvFOHVF3@t={1{YOn!U}_H+$hE)4b?#~R}%SgA?I45u0d3c`y1OEY^j6VXS13I(VZY zH3L4q{6bC;mROx%dkbV_VF8WAEaWWNzYjO?+YjtZKOd$YksM!kOLo7|-N%;l>V#&JyOj zV6;*`;@?&g_WwaH+XD?~=8bO%rv=u&VX5sM(XJMDA-7fvnjy?7^zi~wjx!F^#_s;keUHp$GsY6S}TvCc)T$y|9_BWhL&`|{+F-MWcft2 zjU89uKS{Cj#EIk?y#KQcIBvms61X=4@FIKu^)_&Jh&|6mEZrRcgKYbMmVs(!_7nPz?ekR#P`g*vl}&kx%OFlFs5bYL7>JM(5UTYe8$D2e z;RdqU^-g1!+<)Lf?LEawjcd!PiDSbImuTXdJ_={+D97#*=YWa;>he-pAK4H$>Eu|o zIHuuYG)j?Fu&~-)&%Lu$;M4NTEQy7~&WTOdzc3mN@~I_mT0Aly=*my~#6aIpPg7LclbJ04w>Y`c0WO#8@HM-Pat@0f7W}lHml<|=#3j;Y6S(|Wbz#HL;e-^P=jsK2Y z&VHRgn5|_6aH%I7!TNu%wA=lTGzYUD!sXwbwyRp5tfVT+eeU$Ci?x#WTtKLW%i7-j z$q9SoWz5|hx@=9Hfm-2rUa)YB=95bf^$+YK7HefWaMQyYk{eayS{gr-cu7Wi@u~6n zNvFziL|^RJT6SuPb7y-7T}-1U{nSbSmU=``^309gsqG6anL>xBeiJ{Uw$9$?Wq~32 zUkHGYjw9?cPXlF@JlOJ%azO{XRQ#g$fB$e?@*f;LvCf>2l}~R$Rrf{SZrE;{wn|)7 zW!u_@2D~KA3RN_;1+!Kr*fy0!I`wPFMe)(2!+5cWhl|%DE-OZsX1T=^h<;4|0{n_%){_VNS z%-i9~=(t4VYubFStEJmMvk@J|Qw3_E+snlVUt6F2Z-0~&5zo!&|DWllsdbx@Q-0Y@ zThe)C;RCJ)sF~M=ia);3bYK9%R}HQ{S5nA}%#!X57FsPL1(9Bb-0y6<1e)Z$=&;W| z=0>xA1w_dhWCbREKHZ+V)OK2{A@V$np4wh=RnC)<19%!H1*MMOb*zypS%M8+l?z|# z<5to7OGD?!byk&&_$&MTQ{Jdpg3{s8E8t4z@+VG_!F!4pYUT3pG01YkvPfK-_- zNr!Atr*sa{PpbMM;YFK77Lgx7fw5wTsWhVq!W*J04RQb944pJqITE-x1tm7@UI<~) zkGfyhxp$ty@_wyp2)7matHPj>!BrgiYcCA)MpJi%IPoh2DCMQigJUBca(6IwX{_yG zQ)iQq`{>;>D1BvkDD@dT?f6kvpr0t+p{KCAMG77aW1+wnvv$Aa0~`_|i(|~DTc1w$ zO@6Q`9VSVDsd$M88HF)Qhfqus3PQTp`sWg$QP&P_{J!BYxbd41ev$d6+o?;m1>r-^ ze5Zn@LdLa9wO~{&*Hm2sf#XauDWKxtPaSn7oPTT03$jqOk<3$n2M)GPq51Xz+I_o~ z7xNx!T+78Ukp!#&%4hNH%+W_Je4uRh5kq_rOtf?vZmu2fvvHoxGatj19civG{Y1O{aWwJYzPrVR zqqngT2n$ftTNjX7GIDroQFqXB6k5UhB)%T%{|XrGifA{;tHFyS`#twd(MsVRUXICv zN{6P&`?8nx$e~9nolTrVMMOP?rZ2=p4t(M6G&6jCLoaWO#kVcWD~>Rk_KQ+Mh0RGl zD^B}z^P^X=(z1tYz^|1FXQ5-y(BF%6MYlgPm$+#|MGlobF!Q$DM9IZXR;Ae{?i}(e zVW?>?Wa_HZd1Q4)^TZ`V!1-76v**X9AeYWD+(DsJmQ+6-KBzL_dFm~1Q28N`eA zfp2ZvtY(V_)W5n50+aPWt_xcmV|E!838=8)gAat+zmN{}cHL-y=(`>=obV%`P(Ji) zSCbtd!$Pd~;S<&ZsH{as5{qvbln;3tO|+wF?ZQV3>EeUMbq+1mo*pX8@8~=QwXXGs zsCJO;)*A)FxnGR{`149q!qvs6dAwx1^u&>D~r*4H3wWAK-=0v*Z1*qVnTx zlk5kawe5dzI+$11L!v{W8Br`;(ol);D3I;bNdGVT=a^P5MTU{7usGQ z_-Vmva%WeZeL4eb4LFW8gfd=}Y8sZBZT~rUES%K;12#4D0wiOf3qt;kSD_-#2XWj%~s&ooEJoU$p&-xhQpj|W$6>!Au?L6)mLZZnAhuL z2)0r{ze3W;oSji^W#;*~r=-%jFZ7AmBJIi> z@i4c|+>7@9dX4)c*?+p9dv5B_$(#7C+sRLx=MGt!6;G;K76YFYpSXRRO5bo{$GQd` zhO4>S2RM|Newb}EjVT+nnUJ~~r`AuM_Msi~pf(-8KvBR;#CyF|J8#-C2kN9fnpltM zgy+>p6Hk5whig+s@$m^6I_ZuRT37>Zq=5eY!I3NHG+4z@`xzeZOZdbB}B9Tcxl?peVX8{cu-S z3YgsQ#%8aM$Ym!4$!z;pc0nVjN9$|1eT8FkeY~fh2K{Z%b2rJOxBG=hp0*wrq|b>^ zmQd;WE8ewPeb$n$Yequq4y7LkttO9j=J3AQ07)h;;koU7-iQuNMtvms4(^aKYtB_- zYV!S4qxBDK!9MkaPK{NRoLY~%>yX3$o=snL$XKZnY2aJguNpbsItVFh+@H(ot~oyx zuhh6d0NTPG`uS>FIvZnd)i>P8$u5_i%x4;7zD-R=v+g@M8$-@zSK2KCv|Trsy3$c$ z+O{0v>Y4^8H=mbkl*djRIGxx^lbNZ*l8(uTA1Xw-cvKJiIs-lQ=#Y4rj^b< zv#O0nRnEqEnuuBFBqvK6a|x=VX}Bz$cg{!9exfGm zTw_w1vrn>WBedFiliyd^v2)Tfa{B95#?&(YGXwWwO1&bwGJIxgv-eqzlXrR}?_ zFiCa!+I(&2w?C-3&t|moaOy&`wd(u1m&_`c80Q6D#D$DK+dL^GX_)L4O?a@Epbz~#K<2q;y{!IE&-)1l^n&K!It3t(C}sTS zvjq-hjz>V|pL%+we;+2)WsrxDffveZRSJH_%v|5krX`ms0r?VS(5`k$%Qax^?^IJja8}LUf(p#;I2|bS*OhDiY)dM#()M&B* z_XKe~JxMpZw61Vl|GdR&1|=(wJbHH-eg}&7&2cY3f7L5)C7)@jdaNSXR49$5jKK>o9G{r@ zt$r|s15ME;=@?dv+> zv)>#vaw7Q`z+%ytPLg|`;Kls-bOs|{=p^!2?XteF%@VZN^?q!p5#!D(PLUVMkT1Q` z85FxDaMz`I^~8yT-FWrBw(}(dxiz_6!FZ+z@wf9nD03&I0LKOu{p(qwY+5g}Di)!K zNjZ>}t_zcEl^8wB?kH~D!61I>2smIrhR0N2RMB$W(rub-PB~9MfttolqZ$SrT;#>)46&Ykba$gy-4O zER(kcv6;L8-|H}yb(;M~=(`H@{sDUopUcFr~cJ5_0hTvPw%3+3bAH6U1p^>>%@y)qY`5=uhr# zEh~Oizy5rN*tV~U`C0DSvbZJt4<6aN0a&F<`Rs?!1g#K;{u+a|NNMCqwrPEmW-Ub>gnxM`fKt7FJk^_{(`JlYkHQD&YpIIJHbocli{f= z7*Di`(=l!(zPF-%Jsb_*eA&2_UDAxRb~YwbY;!iJ%)?iGWw$B6CJlKNzl+yd6{93# zAP>`3qWfX?M_%7g7caCMu0SAeKAwLOHs)3032(1w7qc~qc35a?-^9Dnu0pv6K@syj z>t1}G>!sXz^U_Ck4C3aB!48#U-uLHMt7v2aLb z^It+h5MPljWge;g`s?D~z&Nw48KikG(?#51(#3G|)&lTu#6E9UYe{_`f={?eKNOPf zCHDpFaCxMoI24))+n0qpo8yyXVbISY>|7Cmp$m|V)ONTO5}>ZOTskTPU$T+MKHjr$ zX1U-A&M{w+?fVw?d5;>)HjL-klABWFZ>rpk_Pg7uEY)ww|M)KP%5}DWmGB7-ud0iS=#xIQs;1G4yoY3JG{kxNH2UPS`edWo;QIxq0x0Lsb+;fd} zzMl6(2OXfY+U5Z}6D?|Np~V(qfvo+djMnSON6Ad+KzDsKU`g1u(^nOW%~23NppXtJ z=Sd{NbiXh(>7B0$7xrpJF`}EnxHQ#E>SBaBh|u3}#D=`L*#9K;r_+EupaLQlWUoMC zJ-r^^w_gIi+1}hL_ET)%5zt*{S>55+#H{0SdDT1ckron_E*mD5tSMSZwi3h`gly4o zlLkOPT}fB45G|w>@EsSR?$cPk3VqK61JXEG2xxjlnNW>hNO~caJfLQ83T#uugO+R- zm-N#_;(_{H__0D}0ctZ#ZsNnfivFIg1M3`F7bUF?qj(Qg3U0l2`{<1^HV{2zG@xVc zYIUu1ZF*N~ZQKG`E+*2gXB@{KWMr2{><_iy3-7;<+C1*oy$c7U6Ny%#*5uG}B<`OJ z9fhQj8AjCsoVV7j!W`cqZ_9CSk$PP~WR~x?H|Ve81)aws9-jwblZ2Q*RX_@0h4PNz zvL86+Uj#_j&n!D^RqU|r_AE63@=E0B9PBwr|I0G$59>2G8GEpCE4k>Is$F5E_?6t1 z*AlyPN%;FBtGs}t@Yq$mbW5(QLR{`+dtn|d`)bnT_ZmrYS*BW)DGdK*Z^d5{=@D+c zYnyIKUbyn7iB{$BSQNUCN}{8WCrLvlY{}x!o|n6H6_B9d(|v z82rL~f)bgz_G^o{gy7CpZ`^PyJ1QGfeQ!1!OwrC=beE^hyObPbX8fKKeXseN^qtG5 zYOhX9cYHVbm%`!F2bp;`-lnn{k^{}&o{?4ed&iC6YAA` zbV{(cD|4=PkD7G=@%r6%NWL2&^XF!HZGKZB9{UEZRL_Nczgv;J6kCn%f`mj%qK?fI z8VVFG0eYS%tV5-JI@b0gJ~18Eq%H#4ivJ;a-%MEZdsu(mi+~)f;%sfbgKV*o2+kh| zGKvy5#gd(Ahwc!a2Uewa?os}lbJgX;WLCA7^0x|m9pH12F>b}f7_T4Y@d~`hT>X_U z9X@-#(R7ejRckkG9vZ#Mo~{<%hp|+1T+7{Wu^r{V7Rn}JSe?!@CQ7n&{?@)uFH_tw z9-I_BLga{3avkJoTKCa}UYZE8--_{hZ*cNGZK9tVbd$%XEaY^3cgT3dKE9(Mi1eP$ zmW*=9U740$zMtAC#*|7$C(`tpM-2GV=V+iZa$f%pLhbryg1w~n zYvj2*eC^qko@Sc>3yEuHM?4ryNQFM5i{>O9ayzvW%4kyvZE6=zezC0(wBaN5&K&(& z`v6Hv7ns&8~XG6}>ap&1(5mwz#2pbz*FHy6#<~Tm1QJZcZGgUJAyPCUEcKR%L)f2XGBA(p+dD`VQFxF1 zSG7$x+JIv0z}>ar+CZ8&Yv9J}ky3tBFo{bzjri8E*Mhmzca z?U>!SOc!DtirRX?qR=zydiKh3OPoFdIa&(&RJQ59GSV_ADya^VE1BT$c#r&R{+e<4W<;VUg^3K=_+XpF#=9>`lML}2ag2G&FhtWP88S^n|5!l+b zum+YwTU}J<25@=6ko=d?>Y=cRw-?j>Yh@EJ&j4};KfwEQQwQj$yEEsS*E+KrNjL+b zRd}gZrRioDz26BH+xVM^;}w0}&_JWXJwcB# zH!Du+ptt9@H!N49v(95E8kBJI1u`s(=&6SSTK%l|>LPoyVW+PoZ#*!*?pgQd&zBC% zhD0^3AYUueGy8amF!D>sGr*%2KCj#!#5&F1bIUjzmDF0Yr#T6Vda(Syi(X!1HKD49 zOWz3O5xi_&yyZCPu7uh75(g9(nfL&=I4s*VR-Ku?KCgxw0ayk-#Y|@We8y4j0N?1U z;rvtn4w6O$7gsKZ`BP|+=I6_R2Zo0z%e+|GfHGERP$K@3JuN>>Nt=H_zAq3o`uzu3 zI(pZ#K{Rd-F=FpfEUtG~T_vcD@pVRWOg(MLMVl!x=|#j%S;wZ%V7p|zI99YCZ0i%j z%!moZuAQI0@rKPa?T-z9`Qb05(xjd zKLWvyY8`->%u7l*&V!_4nwyVO`bWtFhi!fAbmR&2?3)PtvELf{H_^#YClo?r z2OPc6Ri_$ljJGwPE0<5hg_y$BwEmJd^tLf-l^9Oxv0IHc6C6|G*9$T$Mnzv_39kL4 z?w6nBk$CoZ)dL=`cW;SKQM0)8j1syy`Qw zG;{mV(W}=Xuc)yr&6_jRk(v~L_?Cy8AubDW92-TR<txYL{CrR1Vn`|y>#slfRCRXH6_XtHZ&)X+-IU^(wje`IFdI4Zo>*( z17UA9KP0iH>nfmfo7W7f5AM{XF}TI)!gJW#yD+FvC*-QoQ*-ok%g3O^uj1V$BC^q~ zj$_0|Vsew<@yQgkND`b|F%A!WCQ}Md)>j;- zFxzu(6lczl*$5Ga2Nkofy3*)%hS%}q%4o-CA8>=3@dA1?3Inj#L z#ZS*AGr@QFPkEK!?~#d;mGy!LYCcDJeFquGjljP~m#T9{6MscksylWymMLh*`L5s3 zpR3mk$YsSmp`WaPvkGIQ2V|Mn%}Hfmp)pU` z@_`sqrzFP`ID-r;o#NsCbLUmQw`c;Mt;$<40Ntt%V(lH(`Y0Px7c=?~7MHR&0AwY; zRYzL4B3o|O6|Th2Jn!Si*ztG!C?xbuTNjE6aOC?e>3a!DNmRvu8CM1iY6gEH1PA7n zB3phn@p~i1Wu+vNfD@!!JtdCc3IH?2fpj=7G%hxThcH*G)H85ek8oKkIq6F974Bmd zkIl{;ig;=I~=TarDqE_57WQ96{ z&HgwE|8=6-sdyjcvm#`r`B^I%PKXNaN_x$M9GeNud05;*xiwml6?+J@HJbrjMj8 z1V(h6WYCYA9wspYTCfosz32`CI`ubroqUq^8@x)!o9tB|(23&oRN4_W72ZZ#SnaQb z*`XJ*egju==-l7(+c-&6R!ce@#$+#pv?-eP;DmZT@5DLf47dC9Db~Y zBt%~XwQWfPoWNLeF;vj<C_776fE`^S`4xi1;UhV7wb{NWX(% z+BkyELGb*4L(ryuU=tYFbTW}p7wvGRsZk9MS$*ziA{OywGfTo2rawn(YvN5pos&rrSHje-QyTudb#Cqto;Y=VBKSk zAqq9YQ;c%clp7-+7aUdaEaI>xdsxFsA`rIoc=GabDRVbM9ylp)JUKQ2l!jfVw*OYs z^r?HU7OwHtG+Sc&xY4aGH}yDQn9$AcRP+`M!D77H-bRcTz%d1Ljm+S$V|&}guZHxT z-a}AtE2>+`_Rv|E9*@Y62!SJ ziLnX~yJ1R}*bJ~cV!ZB3ZuYIgkBQF)SxnA7j24!Z9W=34=*LMr#C^@}u*r zMkBNT7~`L^mjORsGCU4Oe@2qLpEtd{T5XjYH0BqfZO}(FoV;hWpS-^+C`s?hIL^H@ z(*9s@d*=YEN}<(TEW0tkyS2}6gd-!!*`emB)f6>5dOj~**zkC-x7AO(HA3D{wOPe3 zPc=5e9PqpRQpAHW?#a0wefAwp?;&5%v!iT)@;?++*E%g|hq6Z?x;Yg)m#z&QMG#CT z3C5G#THod+8yP65C~l{JCfvHs-<$ftpM1Kt^Q3_h0zDbp!A&#ow~2aE@mq*b^V;A|F^7aWsB*fmn5c5Pt*EIYTvxJ=O@MpLv zDANTe3w3->fIyEu2tLqbcY-|>;ZCrCBHS?Sn@yD`4ae9+jZI7inig^D)Txsb*y)cS zO$>O=crevq))bQTaLoqKgPS~-tn&|>6KarLf!r)cPK*5Cd`-WS3*H;eUV9M#S@+8R zQpbhZt;lOv*)H<(Jcxg0)(>syP1GBfcp5kq0vSxc9r^;F+d>Ts(x&mzGqxw5=VHzso(~I4`P`gS8I$&9Fqr4@$8QY^ z7Na);kb;4?r9R#XS(BFx5!MWcIX%4N46Qj8jZMpiRPyo=DbEhS>nYUcnq{CFy4@HCHDgTp8WKVe$5Kj>^!l>n~?=o9#chB-Vns>s$<$?qJ>tCE~6koPk8;&m}d7a)!9z zv-b31nT4lNF7G8k_@0r5!UJ+v`W-Wd}_@fx>{#8u+_9S3Ix8r75rKLAejMEHuZ{Z&yortn5AM%m1stW3PXs zv|hn%zm>nfSp~#}`up$){gajcJrU=lFW>>{@C%@H(B7{f>ni(LQ~s>bE$4%^f!F>D zS7L~{jTuG_vG4U$491_grT4K#|3003EA8%_!q~qLdPH-K@)e;u*u1<);3BP2Nt5?Nj*6jW8Ui{+=CnMbGUkFzvcJRoI2B8aVwa>j zz0%C>Pjeb)LWaeEs=Sl=m9x@_4RC$oLxAj@smjt45rqQKRpKrN`}YVNeE&1G{|`T@ B?1TUS diff --git a/CPLD/MAXII/db/RAM2GS.tmw_info b/CPLD/MAXII/db/RAM2GS.tmw_info index 610074f..1935e4e 100644 --- a/CPLD/MAXII/db/RAM2GS.tmw_info +++ b/CPLD/MAXII/db/RAM2GS.tmw_info @@ -1,6 +1,6 @@ -start_full_compilation:s:00:00:15 -start_analysis_synthesis:s:00:00:09-start_full_compilation +start_full_compilation:s:00:00:18 +start_analysis_synthesis:s:00:00:11-start_full_compilation start_analysis_elaboration:s-start_full_compilation start_fitter:s:00:00:03-start_full_compilation start_assembler:s:00:00:01-start_full_compilation -start_timing_analyzer:s:00:00:02-start_full_compilation +start_timing_analyzer:s:00:00:03-start_full_compilation diff --git a/CPLD/MAXII/db/RAM2GS.vpr.ammdb b/CPLD/MAXII/db/RAM2GS.vpr.ammdb index 64c0be88829514b29d1828cb250fbf4ce5e0f9ce..04358f8b663476372e7d9c8070c271a0ac7b2962 100644 GIT binary patch delta 522 zcmV+l0`>j;1cwEXPk%uI00000002z@0000000000004&q00000004Layj49*13?g- z#Gry7_ydF}mi`05Mk^JuP(iKk4_I0Y_I6rYSZNU(74!$#*=uJZ*x8y7Fn8|0-J5|2 z$0h^}+;WpQZ)V=?>|R4e&fPQV9+3%=`W)VBSlv=#&jUZGm4EnG;eR)IX&(ab6(SA1 z-2xxicuo8}=GKsJ1$KL2|4+pGEy{eUdNag#OVrZ?zgwB_zx}UKPcg8bl-^V5_5BU~ zKO3@N`{38LUoG(aTHmPnGveEPTp_-j!ni-2kM%Nt1ALpWVR4>M-j7tg40_xW>re4r zXX7vIkLrv2#eaJG(6^`OtqZ%Q=S}%B{|lmbbiP-qzbSkg{9O0@2Yi<$#?NB)rOkSm zf%~e$`F;c6;xYdfxF-ewci5Y%7iRIGdbz%)r;6Pt+K(8IZN5KP-z?%;y=@d=`ez9L z2EL>9P65BKtoI0b_58EG4&`Tj5%KK2bHCZ&`W5Dn=YKK9U!Z)}-w==aHV*+`2jA|K zOVW1?-1@KaK5D3k=YjKazgSq_21=X{p`OD zf9vlf{Ovwx`~$en&l33deNEx2FYZq&Ukc}ZYp7>S^^ zu5Z}i<019hLVR8HY9oFi_5C&efc!c?E6A_&!~I+SjPpFQ{_M~B8MpWzsUNRwe8T=P z{{a1N%K3VN_kUGd?B^2jG1(v1gY9R;_hjBVeiC>p`^){Ye*%BM#Ph`ZEdbA}2&^bES7w+$o<}RbMfp_Uz{l}A4*_3B zyxu2g)ZadE)nEAI{;>a^;eSs22jtIsSo2}&DfPzt*V1EH{1E+Y$h_s>bm!VKZ)?C+ n-*@0TAJ+HY^0)ANuG0001Zob8=kZ`(!?h2Q%t2)#GrNa77}0@#+*x=q?tLYo3XVaO4a z3b81cqT2>2`rAuNj;+`#56_6*^~L37&#T`1Jix8R*^}gnJn_Ag zo5j`K3nSn2lm1}PANZ1Z({H>Niz4;T=8K~IZ133@o_F*i{djS`T4crgVx1OV@$tGG zO`g6>^E7*#_Pk#gXa!KUiJbvutuYJ?d}% zx&3D#Y*9?o+5978bO?-2R(~A734dWsj)2LZzn{b}&%Rf#Lf2rNoPDceeo@25enzzm|TJeNIJ*#AR8!$bR-hai?i|Bca%jWoYUpJ z)XrHxTc?y=q@3f)^w-6^g0hLkb1KuD%jKdd(m7=pX{ekhZp|Db4V5nae*Ko4sv%O* zCfkU(fg&B1i$_iwL@LV4QJ$`@rs)-B7D;Jy?IZ5mk(jEDjoZ(MFnfD_#<>&8h}|fM zNJG^{a|`DX$!C)oadR_50xB~EZj_@&=^N+F5mHaJRdRwHA^nUe)Ry#jovjz4R#~m0Z2oenJ1?S$*7un?%+2@aUm2mDjvj~%?A3(sv-xMc?9qnR1KBeDg&gTjZJQi50He; z*@Qc6AR^%+G;FjHkwqgUq-vPl4jdvOJ!eyo5J{*E&a-TGc|{2`gfco|cefC!h|N7h zco-V14lZGnU5K1^Tu+#A^@IpXNU6NF0^Vpz_uhM90Sk%-D+a*Icd z)U(;zIA_L4K<8{48#gIva~7La639U|P!L`0iyNJU$Yu}{(3mgu#qcP^HgA#!1v&b( zn!sI%?OG+UtbO_|)gDNYdNxZt=Sn1>Y7yqn0!gC{i1TElN&;EXPvAMIoE}b+NrQS^ z?Xte}-whD3dF}I;ZhxT2XW4oS66`<%fAthr-mUUwI)7~D@#Vo2nFbCJztMI`_Bnkd zX9XZ2K2$W12#DVxsU#cGev?g+btsax0u&G*30r{*;4UxA3iyo}33iS!B|*{xkdjc~ z0+5qX@B)yN;DG^1N+^i|h)dAO0Hh`OhP*&tg5DbivJ!OvTp%q$H_!$05_D265SUQ5 z&;?=>iXOT^azfce7syZ0U37r}g{F-z5Ta1@(FJo9WN${WJ zfe;1TOczK{(7OoaC-`={K!ifkPZtPK&<%Bg{Dh*TE|8$$Tj~NKiVC{>yL^vCEpg?8 z>{JUxDHOeWfh>ixSuYT&&~)nsLKTX3y+Evj@7D_iE69euK&pc7*b4+I*p|IOnu71y z3#2KOO?!b%MOCojmEQ!dAOjS$6+8_fkgw3;00JQk1rH#QuuuR20wD{U2oT6v@JN6_ zz(Tl^Bo9TD7*LqQ479}FA%oS=pM2b)vaUvate71 zZ8u*ac)_;w1tJ%8KVKkn!Pmzjb3u3Xr3rGOXz2?iF8H3lK&_|F=%o?AcwK#AbE{K7=uR$1mYMxLm-gG;2{EmJcfoM5C~;#Z(ii5 z3ZfZ2pCFLUK!Cy?lSosWdsflq??o2w=!99tIc&LwJ&?lZAG`bU57&DisqpFj+fNj; zBJ~#8JpJ=&&)<1-^Y#Pi^EzMs`LXw`9P9OtmHsC)cfZ`<{`CHl>>?NRSavd2)nJbL z`<(S(dvMex4-Q@QVAvrC`iIS?r4W=3dGNm1;*bZWOCI!H6!D--9+bnD6iYD}b;*Mx z2R#^cDF#O_#$bHdw72WQ`%;EO9y~AuYk4moxah$y<%2^PV=y>u&@HcnPUeGM@}PfM zVqob|*QLEUaM6SJ{BH+5m>ihRY~H&ls^0jmo!=LEaWlK>dD+eK;_d2cT|Mse?%Q{M z+xd<1LpRH8XP|Qb^V|3CfBez+k9MxV&gPdjMjx3t>hD}v_0qmb*&8P)N^0lj)2t+Q ztJK3Oc`zX`HX4m!r|Ccr!K|lhixOr$HK>zwcRUr}-SJec9cGK3syl3S z$5Www^~G*@%6dp8j;vC){j_rzYNs7#UwOV8tNB2i$h4RtXwx~fp3(+>iy>8aw(O3h zM7!s-=c%D~q2vy1kB@8rdRY7XRQ2efw(pqs?}xO9#vXk6!ST%S;mrD8zH?7Wr*#t8 zUr}l+rrq&WVn6Rm+{k-EZRoe>DfLPE|F!qvqq+T^x3-ho9i7DXSCqI8x$2Im68lG~ z#EransGZREJT*|Sy=Kc8_SdOe0JuAzs_nxYiYYf%bajH(;yUFJ-DEwg55Mb{=OhGWXUrvgWs*V?qN#l6psm{Ny+i|TV@ol++=?RiRF9yi?XuwO|U zIg)wWZ=tD+?uON8|Abt7w@G(A71+fuV@iGUF}$7I_f+lex83nnY=4)E+&J{t-acw5@o^)jYHxBhq&_!dN?q@2&r|Ar z-ms_aX9H)n`9PS1$mDS9JTH zs(qelcRZyoqqXO$$c_4x{gCqAC?!PpnH2SHLxxKU_R}f#N@@7mXWdc08yUL&i1OVy z^r@eC)Sjo*Po6QHOxZ7Zs!!;K#bfVrHPuXub)IMpoJDyS#0quFJ_6hsl@sv85X)&L2Bl8sd z=f2u!ZFk30k^NGFxQ-C#dUP*X{UYYFz%rw(hw>a%~E3Ee2uG0001Zob8?6Zrer>h41?mgzg)0BvB**CxC4^t(&AtCA29J6owoz zsSt~DC^}7mqR(DZaxKMHdG?H0E*I!sqMy-ncF)f2%t!j@!*zZ!yY$lOB6-{yAHV2* zH+i&}USC{ZcD(YvPf50#FVn{zc_bb0(|JBlrn4`M(LOLbUjA|XX80RpasW*J`f?n-IQxz<8JLW+PmOX2 zOg^2w2`GahHYl7UBVh4lntpgAl*t%5@_3T<8H3^*SnN?2k#5$P&CQJ9pv)ri6!RmLL8P5@{Q8VCh?G<8 z=EvN2j+9d_A#T2VNIIkO>GNllMJSw;V{!}XA?X~`gEUYM=}07;=Vzm{A1RASIH!wQ zp`EjAx=JX!NIA#j$?x-bIb{=x=Ts&)my3CxCo{?}(oiu^+@9G-8Y*1+a`l#*syMkK%-&v~aqdJiVgqF# zX{fwtZsY7D`K%KoZf*uhKt+bYjdEa=zH!bRAoY}?k`v?r>1Q+^ou`yVBk7PAj-*rU zZ{y@69W@39(TH~d($IS5$!S6|DrcTM_zjSZPEW?v*%V2rP&zll00tm3SOg~e;Z|mV z^i!9rqs#|401Vc2?a*QgNU$a(jG$By`Ru z+(QEq3AaLrMuCVd8X+N-!{j3H5DDoin|ch9go?#^mQF9PC}9qvj853-Hbg37bB`fB z42@I=m!U}%BBveK6UJOUAw&{VY)B!}P_dL$9u1L@itsU_3>wIY+v7u+&#|@k5J~9O z_vbw-u!m++8q^bnOBNzn&Mt=;b2gEPieYk_M}*X~ z4sDz>BP5`6HjRx;3fi1SlS%?PNCO4Y#lFbsBt$lYkbp*fp)Z0*A+~uF8x-W|(`pQ( z5ZkqiVOjh18Py($k$To!JLgIypK=rC&H}Mf0OCApR7oHU`Y}8Q71P5>GB&8kRc`A$ z|6KzC>({=1>GlWmY?`h%Ai)kK@MlM1<=rw{B(sNR9$p?ik!j!n@r}YE+2{0;oE3n8 z_)yV2A|Sp&Qb{(VeUqTbIuyxT0SbtZgsngYaF>^51$-k$f}JBwNszPvq$Cu$0OTYT zya41RcwhjM5=vqK;u1760BH%nAuo`Zpkt#zR)X%I3#29J2D(6Af=;Rh0u#y>xST%ee(;AsGXe1#4N5C~Z)cmRQfg#ri=2wBiXfI!BAM*;)_778Xn zAYs8n0RjmNnhFqzSg5c7ftUrI??A{x*~J%#TJUXrfv|-}_mH(HZyn>eQ^;FryZHja z3$~pv5V@fH`2vv(zCI3_3%a8(Cdh@Nr7w`U;CuQ4p$kP*Um$nEcl8C57mBvNK=^|0 z>k9-g)>II$d`Mp?fB}K{1x*YH#4l)MKuqWhk{J-lUud8Kfdqzv8W0F!D6j#690tt| z2;?wSm0bv8(ByzX4r9YX@*0IO29FR3#4&h=Kp>65Lj(eO3=Ksf5X#uxyvR=#L^F6k zK_Huf0EIm!k*3!7tfI@`i!9vH3Dc5u*l-JbAce0#cK72SuJ=At;h*zw_q$?R&`Ub+-KcrSqg1>-CP6?muSke!08(>HQ}$Uu-B%2P+aujwrtS? z4{i%x4ta2J*r01x@nO?xNyTxCJb2)iV#$MTN~pIyo^qrJ zx5$D1VY#Ut2S#lYad=o%v*W=7_wJeliHmDc+H@=y7t_J8O>6MLQl+JIuuX-9*u{i8 zIxGXL*MpIZ$;_ZlDn2kbwX_emDUu9b%x3y+T7w5xIV@!}Epp)Sz`DZvy^FjgC2#F~ znrHdV^s3{fH;aq6%d1s+!FS)jbKA~u6d$@-q&owZ?ay!C+y3~2?;q`4f0fN|D~vuc zan#+puJWaQ3uW&zL5rkvUhX7TwX@!zl6w;Z*M`vucJ6{BhtRC2;;QA_jHlu%Ik(7D z_SaNYRn%{fr+QT_r-sz$#+s_6Jeu*;P`j)fR$tZOu^CSds#2x)c*?q?VmJI0Rvo6B zSy7eko%VQ2JD>GA%6B91Rra}?@l@sEvOS*aYgc}aDS|djY1UJf3|T{g&y6(|*+0re zZls-&^&COe(YN7!%6^j2*G^W$p0aAA6{)F-a`JQcW+PgTMS4ee8Il<^|_o>GV3 zhSg_3M-SY{Jp1-N)pKJ_MfP{}N<5`Ko(k;e=z$wKy1Lp}pQi?n#6J7`ROm)NrS6K< z=P7Z-Q})k&mAG7sJY|1J7dILXL;F>iz>O2KdP3{-RIJ{oD4^#d&hxJ@&)3w=1CVDQ z#`EtG&wXR}zI+egX*fsJy1qu8II{QJ_f+LQel7Bp{pzH+vG+#y;hoAGrrP5vb%>}j zO1ZI54eV#r12@)GhqMkn$hr%ZofRG=Ik1-c-r5m)KC0v_}FKEO{t$_`_NPOU%CB@zU7zl Oi`nIqZ~g{qoFT9|^CIo$5I2)z#T_H663~P{ zQYfr$XKG`6CJ7(XdaN+g##3WY$3=2N4P(o@7wQ2fMOwL&0o4|7uVWhtN9=ooW%o(@ zbnof!-1~MVTu*26sUKp&?%jL-{O&pDo^$SfyPF@}m`EfRBo^EE2k`!v`ySoBci*1I z&AZ!oZo_-W_T7)}+_`Oc<2SeM-qYT(tMSg}Rd=ph)A*=EjG<)_8yW-ffNdJ=VS#vWZV5J_E@6Ha~FJ7uI~^&NXZ9U7Pr1;?p2)*|oQQ z?{~L7mRJZG{<}4C%kS9_{PrfBWQa&7(-VC}qO{+Tp^=H<2_kc_Z$yJ<`2h)|AQ#%X zs?ovs@xpu$k&g%zaPG1Jf;%EZ?2;_)7yc~Xnu>UY3 z^y4$)DGhCyjPr~2m@hE$K0#kef08`#)4-E4A9DHr6X0*5Kw;a;z)^YVc(RHwsSkXQ z*iK100A0bZYFuSij_sXPh^y$w0-Okjto`wLZb!Kky#)O9Bcqh$eYSj&v}1uHX#!Q& zqcMHJj#6W-iw;K_`n=~&QpXFJ_u}R76^ENL6u^lxB01mN#hgkKP{Xm zwe)6wmiI?TLqiwCw(;RhKM2~kZ)nP#?&--jHNDsS)(UH%);a&s-|X@)=T@EBl*``T z`}}Kz`N6?&A3JhH+I0}mz9^IkS39B=`K+5D9A1^a98)#Ar^t7(1ONa~PZ64u$ zBJfF&#s+jkAM#3i)AZ*7^k=^HVM# zm9+y1j1M`=;Kd{A5h|#6;`$#@!;~B=n=Z@`I6mi%2q+bXB40Sca#`r<3@IKl54mMX z&{01D^yNM@L(t>;K(!m8F=sy4p8&2PJyvx1V3?5ChaZf`^FX8iSdQ^ch<#)-nk@Y1 z5&tyWgp*gmFF?-qA67R?VqfF1I6x)Aj96cVTA%0`$U>(V>2GoJBoH?ahRB-M z=oJ{y5B}ClS{Owo@8o!3)=BIm;@1U#%C8Na0H4=|zTmODI`>9FSGN8ThYw6Za%W&< zUZ+7vpB^NWk@33ph%gHMNlyf2eJLzBzhS+_Wt?qhRFrgKH0W#<=Ta1g=Cy0rTe@Am z3Uq^vEarzV&b0dGKO^J|b#Do@KG~pL9{ll7$^mukOZqbZ0pbGKa*(DA=Vz?nnJ+J! z$=-YWmu@?Fdhw#~*Zo^+diUOPs?=pI(|+a;^UHNBZ;7KelQ*VO08Mz4hJ0 zyO!6zSwG$Jw`UXQr|J)_%o^~uxc}6}?Op%jc|Sbd+5WljWs08Xf42Buefrj59Q>3S z-*n>d+O7@{J$9ijmu4mIC%G;AA1!+1iuhq1B=|RRoETzejq!-ASjG@>nuK1 zIt*nZ?nfq!2=NmL9c_g!^D~2DhQ|`U9efcZcGj4((0cfF%SXIkG^9W;QQq>=Y@wh- z>G-mOG19ib0w4KfvWlohIDF20#?RozhY0@TJdrldnO@6t#qvR*O;9v4imW{N8OpkK zAo+;kx=c+1hx4O?y0CbRlVW|XppSE344SGwug83kNBHgLu(K6;2pZZB>|xV<%F8lY z!$QUv@w)61>Vk~w9N_)|x&V$Iw)tamq0cy3yevM)L2;F0jyE1-Imd@fh>OgF&U#kP zj=<;on=nD9z~yT7G|OqDsFM`yqkna3Ek~_B?n_oqgU9mVyD_3T|Eu_6I(rP~C?aaM zDoO>sZ!9mWdNK#Etv%6^YCi>E&N{g;nFDwVCz3IJ=+|khm#NhB12d+St-re`f((_u z>FSRtk97)Wgie$z99}A)*?%L|> z^c}zT?6SZ5dj0g1?PrfHpQ=wh9{W8sd}uq)zo8%a<%#YtTYp{OJJ<4RPph-vzxvD- z-ygo(cBak$li$DXxo4l+*mU>3$C{2?{oX(l+n=%f!tvyN56!UW#rSYAK>i392iyO; zP=7elgg6oE^$2i^1GW6d*@w6VR-VTL$S>&f9Px8FS)!~xKe;bTY6&7_ z^t^ni%E30oll5C;Jgc9v{DAjg8OizY_6Ks7&vxgrTq&Xq0w3WXH922D73*v2LO*8w z59vdA18Bg5x;<}WT!&u@NtM;8xqu#KUNl%=&F2ee$BwQG8W+E4Jk&_E`RnsMXX^^| z0bbwfU#?g=p+kk|i;fuQ1N1{N!1?t`)jxp2EnENHz|uwDNupREeHQzQjnxA8(PP|~ z$m-u#)knPhD+_--V5* zMizZ%#n86tnHh6@=~Qa_HLLH%@o7mgzb?W2rN^hiUtsOmG&FP;^>^k>Z_v4S{b294 zUN5)qz`yjShpqh{`TjG%_Wi=u`_GJzXM2X9f3_!gyy@G=UhSESA94ow3EUeI#|dM) zQH#UKd48`fi7JL2AlN$tK@rAy}X{g5Q&Y@c-U#@<&w$aM>Q zUPzPw2z~IJ{3MU_^qC$Bw|;m4_wq15z!@6%6M;uw)E@Y_p`~$CV0ne-OHOwHNsAln z<6ad>(N_vXJkM79Q3d$Y;wyRb74Cy+oDZR}_8`Bk{W{}OcQA;^B90}}86j3@fnT!s z-q-ojU-Eh_L{XkPC`FUhkNMGx@p<1eL8Qu5X-y5{{18D!5BB%>fxktX?IvmaBl%Gl zZJ=eq%t-c+PJr*?tnxEsI9Iu^S^trU-8-5VEI6BJc)7RdpX~kfU;lpU&&I#nJ-*+cpz8GJ(`Q(uV|EGVB|Hr2CurTMkx4-MzK+RpxBxc4xg|4zR3 zUp?u!f4uHjUQe&&{GFlk_Yb{0boGPxZ@KWb-0R1CkN&(l*K_#4N;T|heHomsM&yy>;b)_EDG7tK^{$=G+7ueS^#NWmR_2LKDS<{jWd5!!2ZVg@tDG1yld$v{}-S0qeOd7`jT{J(ACdY z(3n1lBXJ*Gg1LIw<~MkDo%p`>K#9c9BO2tJF4N=lkMtykjC048-}&oJiREv8Ch>Uf z{Hp*Qe47UgzrW;j_(B)=$A7Tv#^)cN=d0@^qkJN91AMDrvn%GWolcHjbo|&qcmCn~ zmyx!%4Nbl8c|AXB`h&^dx1Nsq@%fiqb;0+ugTv1~+njG|`qmG7Za)98j`2!lNJYy#^)olTU!08s109+r0eE>Bj0+?W>Ls z2ltg^&7P0I=legp7W{PbqOCkKOM3e_*Q>(w1?cISmg4^vhmXQfrfa_s%sT#W>Q~i| ukH?$i`~MY>+Y`5Zs`iUeBmW}w@!xN61gI4)Il@?--`eFcmD@-glbs; literal 7861 zcmeHMe{59Ol^%zLBnse-wrJC|ELNmVRaFTNZbB(Clie(lx(x}Nl%TdUqojB&HVN(m zW`fN4lCFx`0BkXz4shN46 zd2{dC@7(*wnAL8j{;PbH4BVxaZt^$KLYT!?9SbC3dssod;9jZ zZP~r^xo&*#?b-d*bI*0}ZhNwO_nw`-yV~wsfA4+wwzvI7-_B=uv^}t{y{&!ynzd`! ztZlz-PjGW<)GZOW%Jt4wtwlq_V$PFk1dJailwc)_U_#K zZ1;}XP0-=5+hfb_G(YgSx7j64L@GWsb%ID#_G{8qm>QoV(g#iy6l6y3lh7{o?A%>g z2d0EI%=bV~tGRIK;RaEf;&aTTzCK4)BNvuOKq(BULTdsY_*(VO*>#e3ltdoLl)e-g zyD1VDPj(&<4G`nO6Zn)?VT8xr*ajgoX@z8OEYVxx@ttgb* z4MrSdUPmeEFP4)k8vb4@C(4nIB7k2Mdd6R)&;B|_ zub*733&@d7VtwX=oI*`*m=1}GnDBbhu19j=X__V_DB-ypaX=plU_HjCg8+Do(?6dx z_=P#ID_QpF;yD%i;H?tU3Y-YlWHWzZy-M;N=RIG?zG4JET;Q)sR}*+S{z4eZC8?~% zAE{SJ`;=1C%72oG2!AJd#VQ86KA7AN-qihDd@>TK*;vk29hg zltbq$!RlSRv@mj4+7bA&z`pwO*-pPe#bZreP-VTM;h%d;)1_nOvkm`@iul*`mz(y} zpTDv2>fe2PSKpHPPaS%()`~2VZf(DcI$e_o-id$gG4Gq-=(+#j>YsV_myiqOp|$;= zfj9ZdJ#e>M>iOfZ-`~=prGGRZH@vMKmobhYWu!LTy}H!XKim0Jau?)lI%XjcP5;I( zkH3?eDvdwB92}hJNWGC69E2wv&)CeXPba-@@6oqLKge%zUOAU7W;-4l z&SrfRUv?6G4Lz5F2jCmBiO%pf{7+lFd&ShdLVuaM3{j5(IoNM24}}hT$?+XJGal8K zKSC;(HFDIQYt8`>YE(M=t=*6uS)n}qyGWC$N+0Wiz#M(U{hPpC8aa=!6R3QZwmT;O z{Te#pG5mJKd1U6jSii*Ul?Azv{uMN%iiGYs3;D<*9LFm#$GL;kAb;K$z^N+P{RdAZ zhu;F{o8&p{hOX@wmV+PhRh944Mg|-I1vxp+B+D~3q^-d?kMqoU8V*ezu-weaR|%r+ zGMb|o)i~z?n*csfaJK)>LB z6c4E`>%XESR7E`FeUsof`;Wt;LAfE$JM`-mKS}jeWu)X!#FfRr6Xu+!W{Rfn#NSNl z*26D$;tywe_IBc_21#`|lh2m*v~^y(hrmb^1>p^U_=1 zUisjUZ(I62~Co8TK*j6Q6DOjS7D#;L-xz{BYUVM_@9~tnxHwE@_63# zFTo*ER!O4#KE@FZJj0{CpLmXV;5{xG9Ab(b+j;d)IM)YTS|Bp95U)QT_no zd?~Jr?Y+D%NoP@q*b~O{LBHUq!O=*SYOoT@BIIlzn*xGMVHKPX4g`&+HR}eCxjAWkX$qekhxGoG;gxvv*>MMoVU$?N$ z)Hg(0Fn>TN$oDVy;~8*Pg*meQH(YsRnf}@+sltJqb<2t+=`eP1^oI7?~Anj1aI{k2Oh)KwMr*=2Z1*!Q*JLw!S_M-0EoZ)8W7QzW&kQI`Sw$@G_31V-Vl zXnDYoT2Tsq4&nX;u(@tVS5px<)EE2%&ix;9a-r`dFN%tY$02b&9;cnAUX9MWR0JMz zUoQt=9&5wQ&-nly+xbnssmJ+uZQg9YOnsbQ#8VA~t0Zueq?P3fRiYV{u#g;rHtd zZI#JBCrBfQ`|SQ0c~^ut??%6OMCr+I=j}dUXFs!>{JfN1xyg9NbFbNdh2w+mO)LL$ zD!Jpp^6o3o#||avZPbg25Bp`#r<-DLPA|Q&vAlb}*0MA~vt}K#;V3_wl5e`n9p_hd zHzxn#Wl8+LH28Gn-&^8Mci_Nz;3s2C4;y(D-`Ln_PqO4Z^2tK7C%z~1AICEjdph37 z`!n+2^NwEeyv@lKZ$0{GzGM8K{`IxuhU^n~e;T~uhySpLdqoITkAr^W_h&PI0{g{s z9s@qVHTDU|Y*UW<=c@I{Lv@u^Z;Ib%c=wpPZpH~-Y4JGs@EI9=1`j!}n>n7R(Ye2v zA9d%N`@oI#^njEL_XBvY^`G{kx!{-KM>oL_oTsQbT?9WLFOfY=joqkT5tVE3c_|Rl zVjjM?c+Q_cB}VWqlH2oy@0paZkW27~D)A%xK56=cGyWY{@keyXC*cqCMD~$8yAHeL z+I2aj**{ZX7}LNT-i8{`XZZZMGI9p%$RFQd8gW4$VlK0qa{8i_baD~Ci}6a*W~?Cy zyie`tzpW(jd4v7HzLH2G(`*OFSkZp|Bbus8++IWy{ROE=X_qb7`wQ##eoW&B_=3EI zI(sSDf7Z;QPZfSop+99&^{4hzO;oEiuNsF~ANQkhy+oRJo@RYHJw8uY^`NGV3|)S| zfj^25?+usZjc2v^e(<*s89$L9@r(Rd6{Ro#?AXR!p}pnugIo1YvDsTs>^%8h;4374 zp}Q2V|Lle18#^z=?s@*tA%A^-<*%MH@6R9B#aJn!_qW{ffq4A!`3^N-tLbdZ?8m=% z%gG|}h}Xz(y83~5@^QW73-cepqxxEGc5rsJzGCEKn@3a0(wE=M`1?{KHTYp>@ZZ<{ z%day|#{8b5M&1WU|7&LEr!U{K>8`szkN*2yNAcA0FMfLPHS#|6@)y`bGJ`_ z#_(DkQpfdg?;VWzJ&ob9abUf$c%COoYVLl6xBUnG0PhT79D{SoDWjh8v&}E`DUjM# zk)N1L8~-bH+BeSo!Chydd zJUh4QhReWl{O^s8PT~G@`14=9!~Fhb-JbVzr{0a^6S)6ocD?lCEl2LY>)i2i{{73T z^)a18%!vJzeD)@Tk z`uYzTrzDBB;S|RKtx)Fz7bE+}{^N7h0Px`@%(-6r@lLesRv+gxp&kW0NZ_})CcZh= zDZuAr>(AoR=7HB2>KOHVJ%6Nc@X$AX+T?AH*LbeC|NE~aPyeT%h(G?j__-eW7Hs-2 zlGQ?kR8NWf-7Uk}It|r2=YCfn{x|;k(8Avb8dm=M#?{QzN8|PR{r}3x9kFFMF8oEP ejsGI_(bpet1}K3eyw6TjZy9cR@dDMl_*?Ot>fhB@)sLMT?)Eplexd|j`A+0_ zMK#npQvaL6d3Pz&^7U_uC8bNr?5aO!UTxt8#Z=w%or>gbb+Kf zLkdG8kYxyjDL@%rpqexW6ClI2ah1ihx2(CMzY1Em|lTsR-XWAI_92AWPiH_8G1 zug9RzFfmh{4T~dL?SQU%4|U|de4ryh4ln`+ggH2XEP$Q{1qdj}Ai)I*BtxKBBG{p@ zKr#dJEWtsU2GnB=WG6Dz{s#h(#~9;*1eo;~#DxaVzRik^5sZ@!*jeRWfI{^^tPGK6 HK;r`d(|btk diff --git a/CPLD/MAXV/db/RAM2GS.(0).cnf.cdb b/CPLD/MAXV/db/RAM2GS.(0).cnf.cdb index aca770c8ce957a72db58b88934f86e7656180a16..44fdc4e098e89bfffae00dac42c3ef2776f17a76 100644 GIT binary patch literal 20872 zcmaHSWl&r}(=LQy!2$#gut^9I+}(mC5Hz^EyE`nw-CcsaOK@3Sf-LTCi`(MtvN!Mh zeYfiVx^=3$r@CgQ=S-c`)7?+cJ0v8eYAob`6bZ@Z-^2BftD8BySUK2#VCQFHXJPvw z?q+3c`hka+?E@P>D+dQF2OB&82NjtQa#pTpAHJDdx&G@rPxtD-Q)npumm&3|{15*R z4OjY~wkPsw7hbf1hWjROGNo7HN~HX-OeJxZKwT+^UE`P*bFmq=ItA`gih99b!hoJE z4pFS+c_br_2YqBHW;&{-s=QhdvSzX+YLIkzCXQyBou#UDNU|k{#?O@C^5sza^x*GS zs`7Ke_WPRdmO7{RE*F9qIUbw2n-^VVGN11~&^n>n~3%Kh7d;#zFx3)e;S3~H{ zi#`kM7JF;GWT~mBRNmZ&ly`{diYUM0zE``2I75EsP0R%59XU^d6d zZNE-HJ;@u}FE_pBz4|M6x7AxAiSbhCEYvwm0tP!YYm$|Ar9IhN>8rNvczthg0zSq~ z6Qgmm)};;mY?(58;$>T--t|PN8{%b~|7-kEH{?}d545;>mjpt$XL_@N(n9och8I)^ z+^SiaN+Yy`@=T-~`;}--`M!kgU+F6pfkAcI(z*Ud{0Sn6KIcz<|HP6{Ff0^m;m|h2Uso!P2P`QjFLAMj{{FW4Q%u~oRQX&59w8c+d@~O2de}Q~=zN%S0gJ%b8d*i) zbAA8lGnS&m{&mP#uNH4Tqm#tn&X94S#;ZAbj^Z(7RBIVrerwZYo!R`7@u~OR#UUGI z^mI4h8@Qrs$jf8=KN;J0qa-4p*IFaAj|fM*U8_2qCU^S9u8 zhGEs?X;TXWD?e_zEY<{ZL30EKPtFGEQ#MteW3Q=_-hT?F20@+&*IxU+X^e zKyHbmHEE9G;vQXc5P;KzxijyMUcdN4t5I26|%vEGRqx*F5uWFc0;9Ok3I z1F5JN5UAPa>^*Woad>V{N$k|jsUdP7+GxJ;;(JSWR>)TMa1WBZHa3c=Sa%m5uek@8 z6GL?3>}s>^;g-RsT?uo-y(RUOh+#^uv*Wcqi&t4os8~hU?=M{Z;ZmwZK5-$Ou*V6o zJ@Bgjj9H09JZ+@m8Jdu~UL2?7A=sCL-N>e!sa>BzSlv<4Z@!JIu;n4R!X*6L$>wQY zy|UZceS7^!T}^si{d>h9FyFYRMac6+o$NR>tQN|-bg{nmbd{^b%(;|Gm$P!+lnNu7 z0_q~{+@hT&sB8ZAXwu2&iW1diywrKiJbjd~w>N)FcN0W@P9{W>6y;r70@R-8e5l=w zqbu!czf{K?2wHp#Boq?j;C7SNsZ#6By8V!DgButg&dI)0I!JXk6yq*6%m`(Fg#-S? z#!-u|zGrNtjzeV#ZqIuz5LuNze0h5IwLT!Z`UIk2wf?M{A{0+OfiZ@%JjU_Pp+oxl zD+`CJVLJHmQzNS8q=Rm!4<}Ino~2`t&_Wl;zfpc)sbxiLNys^i*A|^_QI(FryS2um z=ec3t25iIKz{6)98j~;<)EFVdi;hN3A2d8|6E>X2Q!+mFQnV#zd@T}5b1$LG|0(*h z4n*2w@VJFF=^t0NX*pz~efBG3V(04a7u-m;CQ2Y4UFAF>>^u~E33TPWLh#{uZL zx5C>KI6Z=_opvaflb9D*$etH(9G;OnJ%U@U3 zJKJt`cXP7YJnj!%AK2W$16%me*BZ=r_dM*gUB)uL+%ZlTWV3_f)9%V9%St+n@ECx4 z0rt8Yl9C}y!ujp7cns`|G?@;OcyzcC<^y9^-{GIAfMIcJwf$i=fYz}5tnD>xHb9Ur z=RQA4)+{i#OU3f0KK9;9cQN|~xg`{&F)+iuy3UJT3N=pc$A2L&^tTs`q zyzGavi693`{JufoGhaj|sYu#KN2Z?x(&;uLd<^Zb68pmm3m-j0ThzI(nAtt`o1#kY zH`TVs-?_SEO%QP%#Wj4+f_qL&`NyJHky~ti%R*kQ)NX1LP*ty7xO!z7srxIg0+#8w z=Qq|VfKg-3Lf78!ppepbag|zWpr*k7)2dlEc)Ml)5MA^bQjX%&_EEr)AIrkr3JOkLsi!*Vj8X01Vm-Lu}_>99HVQ7ZSa)NI*+$+endhgDuf@zUpe zLiYV+;mZb!rJ=AFTKy^&9ep3KlbM=94G$6%S4ULlny@`mP;{@Gc$9nLlP7zQh5Q#? z8=WQJhk#3@uf9Nm8%1~>ZkB58n4A|})34b!wi2zB@*UOF`qMhEd)HHO&!?M@hv0UG zYa{;WZezjm4@2>(F#uX|ei?Z(49TA`yap1_K#DVEZv>Q+RJMt=^$kF0>#5ujNE=Fg z2mfUBjQ3v1u8bI3ZA6euqp-I9o7#b~XDEvv#D;(>ihMj^yELO%&abU4dDAg^P{^gY z1Y!{I-wDi4HeK2<|3e>);LXwY+#9VHrQ5i9iDw|tA(QfUOb$-{(CF5Ik?!w>2^E?YiP=L*>-fy+ch7*8XQ^?ERyPo|E54(J*uNVuWH(CsZ9&z@B&E1k zP*6AKvYO-Tjo>v%((|-lE1kU{`6T%D5Buo9pDG#8LpDP6StbJMI;Uu@-GA|&;+7|miD?Uc zy1hmUxnMPVT*K0s&&?X}zbkTKEvg-Q-FJ79{ke%pYKbaUV=_8jO|NRkqfltS+yH5q zNYe-rgK`QMFJ@Ib_t;a0)^Z)XD*0u{__*w!(f-CrVRpfaXtn8@E0`j>h5Ug-Xhod% z?UWAFXgsMDbQ$)Wi|Nd--zu=4`PkKCly)71`!W0dD~|X7uIBs+A#^?-W1k2`H2-SL z)-?}i8xX;m^|L-UP{|@#RIp2>Df^o8HTa`AIg6c4Xa|t2wQ;NmKEvS%&_+8?OAF{Q zB5TD9@&WlKqZ7Qai|!+v=4QQ$=u+3Dy7zNI)GXcvh|TxuF&P*NSGKkmFp2RY)#?A!roYvBzG$@g?Kj)knlf8HH;ag=!v+g;v1T2nPm1))T#AP6vALiysso%#n*D=TS$MJ zdh-_*6)dIIc_Y~(XKlnS^0e3z*-;`#qdhD}w;kp|hasAKvAb)tP4c1`@im*sO3*|8 z=7+MpR`1i6&3EoyYK>O=r0(qM9Mu{?`9lfcclyAi7IF6F=vfRk&tl zH?OgL3iAxs2Q!gPGz^Ez5_ogQNW)B~M=WOfowk(^*?Y}hP0@chBuKH`5v!SPy-!0|}#lrI_zW~Nq%Z9JB_!O<0`RfF`q~WvLq!#LqrG)vj zwCn3&d&!TtYA-Ct6H^`eQRG~!CV}}@v)=bq+-QxNMGBGRFBn~Yq6n*)Z&&~%G+ifY zYC6`Bhaua&Ig-1eQLV`nB7G_Km*cJ@n-7kOSugkYRSJHJoFRlKPO-&+98K4rGcg6+ z&3?+OknGgMG#0+Ze!w;hCF?s{t|CuC!W}@g`*Q5TY^^@pszpdsY)y#2f_O_BG$tox zZVVBASrxU^&f0aZx(@2wYn0ZjHt-YZ8X^W9v=YeyeW?>~?(W?IIZ0 ziHLI|EhL#m+R|CkG|U8aF!&B&LI6%Y-<_wf{8bT9aloVTS8M*_OwQ|E;n_@QW-@_p zdHLWd<9g3Jv2rI*r?~2~1s;)`LCTEV9@! zi+uLfgn>tHQLL!;aSfaAly{mtZDXT94jyUZ#REp#2>Ps zb@h8qnCb9eSF#S-P{i#A7<`xV*2$w2zRj)9Yl-{;Uz%Y=Ke%T;BhDQcJAN##vf*zx z!t1KG^@pNa(m=SBk3Y8wze7;sTn^5WCw`SlYpMdRDP9kHGBXOz_t_H`j4mpOK7Z3OChqVtoyJ-Y#jGf={ zYD}3Na)U0%TlAM*zEM(+3=*YD7(b19RLQNK5%yv41{<=(?6^ zT0`BMTlud5I47#-RjgejzX{=1_Mu6(Zp$ah-BEz0_DAv;U)pRBC@MZQP$=+}YM(B; zy2N}XON48lr@3yMj&*C~F2gHCREeYV-Y$0fX<<%?w_5zr6G_fa`(}N8Mk~5p z^u!J-|vd? zT1pzFCfLr{GjCS@M3b2-dv{5Pobi&rq-w~V5lvN^WnIN-Tv8zWJDGL*l?whYPWq1! zey?a@G)}ZN=X`CVAA)6u%GYGe^)4`FN*7sy`B~R>C4}R_hG^L&u7+c4vzg&%dP~#- zh9=-poB61sdS%P+lD_uj+DN;JWzeWCC9FZ+1|v~d$;^HFuCa4w+5=Utk!aG2X@_u7`49}}#r1S$01Ow)g5-|Rz&Ki6NnvAQGnbsBT^m!8HK zEV3dbx#pl|I-W=R<1ze9K=@nzhJSn*r64Q;-c9ckl;+qLDgqi zyAN58EC|s@7efc*AT2T$#31;?_yS$Cz15Xf!?2eT%NK6lc+LfZT;pNu9~&~v?-RV0 zPt5xhafj%s67u+2;8-Zr4q;iO)3Ff;WWBsrM;&GCY2q9|?hHo0hg_NG?f|s~%TU|7rR`YRO2NxYA zB#^5|6(g$8AcKVml{X=#iug*%wX&0hfi5&hdA_;Ndh2GRXFujBA-OUJ{Bco>V%y(4 zNrMV+f{2{E>DTxiwkd9RS4Xa9=aT)WS-AY{qj{pJ^F6dvs{h4FnNi1uxyMi+7K`9w zuXmwoh^<6lRrfHox_r+Bf_D{Wq!VlAf>;HIIjhkc&ug_f8?^P2JT`Z^Em|xVdn9Lp z!d^0&GXoc$57UTP*vtLeO6v2ryVh!_o-?HoQ;SycZCtT|PcDus6F+Em-n`S2eR8b4 zTu=-Yfve|4Y0}~);<^uJ=`>#&z-!3?z%~H2>-zkR)lNXb4pfN$(LMMvEeIm8h6%~@ z5N8n(lsdtKnoN#`*oWP&RLlnU5Qu3kL(-=3%`}BzIUqh?ZSMs9MgE?63-JF;lqLTMe1D^W=5m_ zLlGuxBwWvM_vNe&OrI=4u`rlW&u~WAZQRL^(*vH4lyir5%z7WX!z~D@4WG}e1rh7u z;#>DMmm5KNC!eT)E9(-&O9$cP^K&+*LF!87t>xz{4u0Nfc1K3Yy03y%#F>AhP?J5Y zCNLJ_qTapO;?pPP+W(*szAluO1=(Tu;%c&$JpNHVT_5MSXQ#Ijs(u>X6S>Fx(ecff3=e3sAHMbbS-j+7tMvF%Qu=EWA zU+*86I(LMh-#m1ZJ&7gNlD~xl5i^~5ZEcUxi7%25{&bU~lV{ay5l_{+zTX+~gK{gJ z?R}IN@8SBp&~U|Ptq(<64|t3Z(fFc}MZSx)!gJ+)G8xe)=;dbn2Z>~;!cDIK0$gG4 zU&>QAcOgvUcjY~A&5kD22Q%r7oseVo$jv{z)z36KcVT+AUC z(^I|koeAY_%(|Mj!rsBMsV@i`mU6S6xg>4;JFRRGh)&&Q=0aU_lXq2y*`L=0!Ox76-TCc~l~+O(3aw^CoKM4| zz-n>_xsPUZu#TF50f_5}o|UV$mkckj|Hjc3|1|56a;}9YGj1)K>hoSL*ZRg)#CHwu zdj*AqTqgEWR{B0a-C=j!XQoMnT%>NGZYtQ=UwAZk8q4n*GT?8H{OQhplvT<6^G1&S6-FdaMWZz#uIyk&c-|1$@>ZB6l-pa&-5Xl@K8hgET5Oe77bOx-C)< zmcO=e>CVaCRJfKE(V#t%SlQP!AN6t0lD{7N4BBJA>T*Z;t+jECvU>5bj}>FcRAY=m zql_GN%OPnnZbXgCbOfT{)&=0U%yggIax3!)9+pgF`j3*d;Tr$2`ydXy3x>k%2l#tl zSO?m#D-jOED?v?I(NCrx&*l(|EH9oBkYIFfhmLCTrFJs`_quO{P{Fbn8g9Il z3uOsVof*%ZvycOZdv{sWZA$x|EY~ecdvhE_O`9RfC8CZ3Z5=QlH>v{JS+=^2V zU^$uJjT=pIr~5B5T;RumZKR^tq=+rHyoDN@rpI?fJhV0#DfVn5N&3h3i#Bg;A~6G^ z4l7qzEWLd`L7)xn&L0$E^$k-PJc8$YH$YwhJ3)I6ZSGaV)tK9`6q*l9)Ja9$)W=k6 z0Z+jG<9^~pK1%cGnfQ2r+|ItF2h6ZxuiI>cT)*J$ZuAQS(-8Nav3+LTbvm1l)S5LA zIqikCqi4tW1IZN&c45ZY(OCwR(q9 zPgUMSM5?n)5PnE?Mx(hb16{Xu?}xv<>He+M)Ou%w#MX#)Db4wWmku6nJJ$~jC)s~} z5x&Q@zG^DAz@oJSlWkx_VXDb`$T$}vul<4RT8adAyKHZ{LSda;tpMJrI8&zQ5)

  • ?x%bNTH0B#4hoBil{__5fiBnDv&=albuhh34$tE{uR^KTLJDmH`0#Af);B z!=PyR*I(XhufFa{s72yqiW+u$!M*bl-oeQTVF3=F(H?M-o!8mE#fGNuSqcieJBW!x zlwQG~AUQmF9R7Vr1)hu*Px?U#PS-q%mE{?d%iTasEJ{k61SNkT38zp-+!240%a%4hdC{xAAWngy zJH`Cvj~UnxcdpqoU3pi)Bz(QMkc;)?D;wk+fN{XK$a5qiMEl17JCk!7oAK|8;SzaF zv0lYCk+(fXPU+h0%y*S0wbDKWC4(fdb+orUA^_=66(5O*o!%jd!geYyA@47lX(zE3 z20-Bvk0Fo4sgj=%$ObCN9G%0Z5t0(NChi8&*zjSstdZnITdy6P#64E-hd?5VA3_3l zZ-(MPY%Hb%%ah1;eWrDemY;qVdUQQSbpVfU!@dO+K#Si?+YkO>%kpM807sI7sKpQq)_LmucNHb*lLEfx@*P}BHw@B@J7^Co>e?)R|}K9&HrvZ9+J zk?pBrfou6ICbM$UT>kzqT>JxjWty%*S1hq_*=X9Uth~%+QM%cAeSIFwFn>;-r&|<> zn>lCV(xTxO%a`alqD!ke?eMlG(_!BLfCG`WsF#4^jpcK0=R9X4*+7cNqWz3 zd>;$bKam<+8GSrOY*6>5;O#mf5=Y4*hN&j$wqN$Mf_n@xvaUiwU~GScgd%+tZ?ogS=9^WK5iUqc>4 zEV^(1=Ag)+fjGau7XA1lhSD7&nuG6O9UyK$aA14qreOZ^mz361kQ4chCeJg3`BXlF z7T}3OVc9E%Du?q@z%Z!$Gds^;X(u;4{@OnYU6D5ukaTpxUB^4UdU`R4P(T6N!$ZUc z2tfpaAni!1_wsMD!S8xlvc}%735R0ndX%PP?9Kf}J32#BKx7WIrbh%&@~}z@0)4PQ zzRfZg|K;#3=U;y=F@22{13Cu;?He+VdpIj(*t@^6Y-Vnz$4!0L-&{ zzBpe9qax)h%7^f-1rvJ$)QDFY#%=M@EIc^}I%T3kMeNL`U79^`)UUIY0O8tW)=3R7 z_TmbzZL{Ktl3pSCFQ=||<8J_8`elF1oTmT5&`LHzDl&qpA5D~J#Z`ynnu4lXgn6nzYUSAmoceRbKN3B~brPr&%6 zJJsjczOy%FyYIWJL-czrn}YYqC2y^XS5~0XLVwOO%gjvDvAK6 z@Ur8$XyZ6*4OmLU{wdUCp<|1B%+CF4cGQ27Jj)8Pc#(CFz$EIZYbo@P3lv?U8DcrV z64?9K6Tr_8=0T;_PaX`BK!QNSh3se+9eyK)Md`LGJ3o0I&9K%uDRG>7$$1?)=bKPj zlw#4;yRks5ZT<^$Jj$suHQUy!&g_R)hL)6ttXw|?b{y4GtvM!O7q(8T$Bz7kqp ztPdTkl*q@YI`2K3`+{YWRlio*kBNL3W)K@T*VAqZ{M5P$y6l-UTb2u}WBm)j%}^KR z+Wx(ZpC&c$&o#hGFx;mph~9U=KVdRB#lr8h9yB3olMHmQicW(n`EBSN-kZ}xstiYD z#8Pz?Y`Y}jfY+US=%!+*4A-8(8#{&tc36UF&+e5k%de8^!I2#oziYg$pwPToPXM}|9%Mfp8bzh8vyK9r<*06{R6hZ^mEL~7NoNHR+e0=W5N z2gPdzCtPYVz^HCzAs1Dv56Bd@$BSW{fy)$8LX|w6*|bY;S3&yGzKg9J9p&TIK6P4!i?wM6Dk+6?2Eluvw9PM zCBhDY4O7BHer~ZbGkD(rBEKc><&_hNOTgp$r8A`*h}Op&?%dfy#I_W|p9&GHSx%q0 zzO@XEYG;sd@5j?jVj99rzh`b+c|acX^C1dk7&)>F)$2h)+wdl@S!#kb&Oi&EuBzad zZF+(%IqY>lnbVIEp$SVLcpOMR7pxpzb1wGWT55YQ6~v4rhO^-XKqSej!k<#axnpn# zO+TtS;+USg4$V2Wq#Jo5mhN%=(YaGfm>11wf4KIi;|#(IzoS zK`)sMYt>vo5*Y()-5T%ei#2e^FLZXiK*oJ!319K`cp?W;y+{?9Rf*mG7jv@G>90)s zP1_3MPTmybMv?z)eDghpN$C4BZ7}cgaELs1SIytt+3>ZBoWSw`nPkUY1`*DYVTZEV z2D;~Ok(j-aa8gCe?DxITKRrGhYIf}gtT>mac< z%Q;U(!%o7y`MdKr(+sij=*OL$(=nV9(hvh3jLFQ8QH5S+ z3c1U_c$XV%{%+d84WV(H%hW8om>^$C_GGqO*832gKLtnvD8hbHB_M^{56<<(+Tlks zpLw0tgw>3bpkwVEV7aI5oBTC3Fpxt^Pf~rmt^kZdvM!R6?_M?RU;C|_L!itr`geb~ zoJLjDu5t%A|CW*DZmkl}um4R8-!@M2SucksiZ}LoWZ!^G%8d<1!owJHELA zNrMmDH5|GDV zw_yY6Nr%IHbK{LgZXTrOHvgoPIjEz^h!q&IKa+_$Wv9^6O}_ie8bAYe(zSg4((gfU z8BWOls~)f;9w)jMaJ9VZMB!PCL7*Zkz_uf5=*}7|f6#I=7kWW^x@;TN z??8ZPw!c4amZ_p*;MK(yB8ue@U;MxNf8}_ZDH0L1k94}fV*OjqSVvP|a>z&p^5<4& zLG*8or)5noE%Fu_o~|HfDmOzXKOt1r$*T394S;45RG~`h+t{{sHi(nW6_;N%1g6rg z@7qkip|Om1+3vl@s6fZq(`OmgT3}6Zhx(0@Q^((Rz08~!>v6y3c~KC`dZnHaNaTAJ zv*?eBG}v4rMi9$qyv3zaby(O*iKn7+x$M6sn3KIM{+4gB&)&VfHHFBB z06UmFg|Doygxy-JqE72~<@UZz6s3J(OI--YRoUC!^qUv;XB@p?Yi7hy_2a8;H*`{2c*Kw`kjos7hGAvzmb!PJ1r%m9#1zTC!(#2-Ch1d zS;0S%54~gRBOd&>)I3bqNYL%8Q{jnvq$$u^%f0(v)$U)}fiK-7E~#CGXzgvn%&EWj z+gHyLgBK~F_^gpJxPURK5wVF!FQ0$-Ak*-w`jn5<-RKpIfR0qBIlsw*v~y$;qcjy3 z^J@FluEUSsW0oIN#L)NDHdAlbv&=^A7K(j7sKfq6^b}}dJ99XNi_pSnMm?UfeVAjO zLyMJt=ET!w$4vev`9zqBvT><(O|HK!SIe0Dwo}@6HJT9O_Lqn=?(JqYzu2(uq>rf$ z3gpR-AkP}im-x_Gl4sf9p}GqCEY!c94(;HWy0FnxI5$ze?iig#2cLrivQ}pgoyKoh zw|?<&C|H1f4Gawmy=1Qs9#?8F_~q^IT|NQ;2Mv5m)&dWFV^`|+GkrdF+P)+O@e8EkGzAxF zsdUd1w^}7E-xFw&t^Q|(+hBN{g*{FcV8UN6B(|1|Z~bC9uDu@^G=tq~3FcD+%vnTP zEs!AQD{~hhb?(RMmpA`1LkDTVg=5lvIS7A`0!C;~4@~3)=+_KIzw7+> z84CVka!_UU1cF{q%zmpu0iQVPb#~%Dnxw40`FAAS;Y_Fub|Yo-gRGXx_%*SFM0W@A zTm9dm!!=dtmsT#Qy?ikN$XRN)>;T&mkwd4LDJV4_sp8g4Cki5gI$!Fl!4LtV!8&21 ziA%%d2E?-y^9^_g&|ZlLe4mU{WK%2Qc__`gSrW=GV@4#uf<3VZN@F6IT zCe7u&G(#J%^GVFTYQQ(Gd;(b#`AfMLKEP0af6hZZ>6l&Ud79+X;ZvKjyI9E@%K(Nu zdzROFLL|`ibuH#Xu!Zw?A2kz9En*^B+R}S`-}u4H%!e41-M@4*+D7CbMcv{I<>n;@ z*BYYy4Swc!xo?Xq`FJhr`>W}`K`S7t?a#VRc!gcjk3rj_5!GM=j*ny-2do3rut-|05rb~v1RyTM6HfqXZI0Cj|x#t{NfbytGTx569c z+sDc5*XA1^h;MZRd`gb+dunAJ zCwTT^j)Ez{OJ9O@P5Z5r31H9s;)&dVLa-NLrDH3>iqhE0rfV2vyOJdJcJrY08< zVrcwI_b<`HH!)E&Sg^{5Fx+AQ2NnxgS?(B!SRhuC9^wCS&sV?yy+Kfa6FQ}M7PY%h zc&+DWqI0Ehri}85+2*YPVDliHGJl)kFrf*XC0f5`?)%dXZY(X8pJ80ar91_m|%;W+3IX zhB&cfF=N8;YY5JaBI~S>Oop6g$`BN@Z z>qxrS4sqA=>xUS2+MeO%*JZ+7KbD@YF3Us|D~$~+(nd`?X5%*Q+=hYWtMv%!?_-oU zXpMjVlw4->H(x){*zB>)$M>iU=MCxOK%9vK6uU~SUmlrajY-tczu8oIf2{^6KRu-MAV-I7j(U8NbE6~f#PHTQr4;{5XyVeO`a#;`LTY= zQ=q0MRiVRMsu)n77PDD7zg+N!7);6{Vo1L8YXrOL>MNG z-9koKPks7xMm?RPVTtF9J9Wm=Gm*rC8jNkg`*6I)Pi;~A4m^P#`I`5=6ZhD#k-U`b zgRX*zi7D#RQNmk5azhE(53HOY*9_6}KqBIZA|-L$kQ~c2b4_w0ojcJOg=zt8veOmk z;F9SdB5{i}77D$)%yLQ0G;eq`^ngKv5Mo?$oo86@Gh z5JbD6ylL@q7ERB-O8*o<3_;$a(R3}_Mv5wqwCEyS8ekdt+7S5d`+qigT*um&Bnmxt zl)T*R^50GpE`!)_+%l!dXDI1?NB8YZ!;% z=ce$iarP+U|7Y}oIXfCHyOB$P$^T*~3)OFI^egQ{fiGG_lf%U0p9Kz1mT1wTi-Am9qj|c>tLelC=VJ z?6d84P#PYF<<7JD|I7{ zsOOgZH{i`E$uKQFpREl`7OX|u71;3N8|)GzhEelB_C%yl`8r9!f%8`2$Su@UUPK(B znF&V%CIJq?@L#9qV2V)S-rF1zuo0~Zp}eo@B?W8P{?p)QV7%%XSbO>@T7xDx&@j zKyxPhO-ue@4~20$Fm>Pk4M6EIAWlJawK~&%{i07$CN`+wZe`?1>C3k@ zPON~*)<|2e3!=xk;_wC;ugIY^8>PI;%<`4kF9@Z2NqpjW$#@1^lvip)h=|^r58x{6 zBq0b5FWk;qQ}lYASjqM}*&sj5uk|^K_7R*Bl#XQ*eR^n8Ht9IvarYAYhx~RTRjEFq zq^d}bjIR4w>;=@`YFU`*A3!SF;X(L3wbQHHnB6kxZdp0U%)QgGasFfTE7GD|r8Nyx z8n=n*u>E561JCj%(vGJslI0mf35X4vCLN! z_APTb*U~M%kIxb87|y+~3rL5tjfU-mY!A&xVC%)?Tn4Cu_V0JMn*SQs5m)2aiA}y{Y+bm~fXCpX_02y8$&nNwsJtX-16|24ohH0Rk=Ftzxh_0&7i#{% zI$1~xU78)Xr^+3u_7aY>8RO}3`H!=^>!leBG1H3BQJuI-Jm^THSrK^3R~(1jnN}Lp z952douFyR8I`XlASqg7;v^uz;&_@AC+R43r0$|#YhH7x^@!KZP7{7Nc_cQnzE;j0? zeUe}&4;>rZvysu&sYq+tU~v^?Yz6OkM!n6{Eh*SbOU_|k_(kfVmPriic?ms)tolt- zXEjf{zq$$PgQhtZpvHenE#X{)qgKTXIYg9hyVw>O@ef+IM)AE5nYoFi*NyQkjdaLP zFDs+kY`+=VMxXmvRh}F4QJfQIL(roie2)4>p)N#N85kuf0nzPK_`Ivw^8Ly-8#C!b z;+%OSDDnd8H{#Y@_3bFhz}J2PlKan!fI&W=$C||NeHG$lISo)YQgH_W#j>feJ6tE6 z4X*HIMTzJ1i)*6Y%RVaUvh-TrDz|){&I~MJZ+!Y`lhIkUT zBL>>I*Aio5FW1iTyiDki+sGpa9I{1$A4k^88%=T@HF!4lKCfS=jZUP zP58hBYt=obeRU#X%RVb()jO(c%t=Q-Axdz8Cg59P&Ssv?e(=L3!8jxJ!?I`WK-F+W zoxKQQ_SM+|i@emVf?lH2aJ{GI)$BzOR+eVb3SOra>%1S4SLl5=vC!juh+T#6V`fdW zrSYq!)p#sbWj=>{j=3d03v#7hy@*#S>%FaISWr>Kp2qCPR`l!Jqd&5SKXawaX30;K zI$r0jHr?1U3x#y52&MI-ov(nbgegwicY?294}|t5@s2vL#}iy+&m~ZEy@b8RZabEX z@L*|oGUqy{W_B)g7YQ)DitD1pJq-#H5j2bM<_jCFV#ReoiE30@ed*>~dYS_1lhRPM z+r{8CAEh;iUGjsithLlb@i?DPu%N6$s-a8-1!@NNSOTNXtCmxiZ(Mfo_Q_k~yvmDZ z)(~+EXCgQhW)i!1j=y#GuR;ZQ`{f9is|dKG8hETQjEb2$_};4bFZ=Uot1CFSj5CuO zEmh5m+Q0t!wk+m1kmgUHy_kw^hrT=ZQ0u|KwyKP3z5P4Y)qmIdi1bOCW(~=ZYMJNX zISBa)z|}t&TBvT~CqW+bK7A@shD35H`rp}%;4SB^iHg`F>-dWQx@?TJJ<9)*|5}kS zcS#s67VVB3J_^Qwj39~3qBzEWQFvbZ6*KzC-ly3AH_*R?NY(G*SN}l~D{ejXJREf) zHDq~Z{Fe>GEe6Lp>+KLzsfk|*)gTwlFfco4T3A?u9NPn}T9}&2=%?>*% znjCmnXo#=tAy4L(ozz_CJn21-^|zAbvf*#5>;zSW1GF5c6g&HAk58j6O727*$HQR8 zrO-(eds;;XelYSyjB@>7$qbYGx>k5)@N8?PVO7c&Y!mQ%LI&p~>0!fI{afO0gr#-U zcJgWHL}`d0Xrx%Wc5fBLsr}=XTO*dEVD3O!a+!{vgl}1~ztO#iO?`Wvc9Jac_3tBV zN%6)9DgSUapvtFr*v?0-2xLHIZyJk%oktO7U`&EFaG|)K|IFv3nxbtRG?;l+Dl{ zWC0wSCM>%!8Fg#J=NdLc#fU^+S&F`bcF@C!9Y|`Wyxn%wz!@w0wstawtI(C*fjZu~ zKW>1eW_Hp1bMuFpCRi-83H=sSk54~9aoS6#uv%tOy@eOh$|y?RRDEDV3+Gc}|KIxK z57MRn7@b7}d~Z^mbQR0Se`6_wsnBhqHkzsiKFdJP@rO_6>zSO3Q4_lF1$-eS2LO|b z5bWKXA^)kIXN-@n#Z+mcTrS`9VO$8v-h1~JMG)!8+(N41Q$&chsA z9(+~vnzcx9u{u1ubYFf_Pzt)PyV}(!q%S~dwPMWN5_9Hk*-|yMl~E|Dd}voW^fYGt zgz7k;3&O@smGt|OTtfPm&b2*k+I`Dqc}}_(j+rC z{s+%I4!^L{4ZfQ$y}s9rg@{`DL$K^Ny?N01Z6RKE=xzfj=EjWo8JtC*m~5FQuPu}K6YbjRa7$TNQ$oNbVBI*v z!q56teQY`{zq@RtwQ8_0!Gb8Zbsu8=>?R7A|B;|1KRZ9*FA+|Z(@+*aGeH3)3k1@# z0`^w_+IeMMjrYxvk^cR@#LHoni}x?vD3pg&Ks;Wojs5++g%winJ=*1V^@N|94rk8- z0Jo0&vAxz7f_}No`3s!K&)Xx4j{Xn^jp=q56#50uNA}$y@A)?h090zK#mNEmKyHvk zR{zaFQCa)XWIs5jwXy>4eEpox$$vE}@ToegB=k`3Cm!&4tUhmHC!96*@fVB%INSB- zr2s4nOjZ)h=wT-itetuEuqG;fKSxsk5;|Ppg~%TOku6(|vYWxSnxw&QK0mu(wmw9q zevjD{hP-!B{^aXqbjd&VcA zjKD#*aqgr?TJb-&=DevdpCuvm#bMufSk8p=xVD~kaWIapvD=3|=K?sVbi<{uKIeMc z4L;nq=)(t`6V3+usL~xf!~xet`tTtR`sx$rX-__j5`A?~oOK${UVcGGv~Rmt1k4Ki z(d~|pOgM6$P77zPY9G6CE&8kw_zinCTh||!8r}GR>W%Vojamp*+g|A%J7zw?r5uc}$1?TIOm%9l)uR>O&~$jy)QZLUc7 z#lZ%1B73qR)OIV_^9j+Qjva*!#=*I$v3!|zTR~`?T>|&o{LItGkx_>YxKi53Zs@}| zVcrY$+oP@!JsiL}qRJH&I-+N~{qwQL@-=vqZJapZOlw-zaNuR!V!QqyTa&f=;GER> zzBtTQ&Z6xWC-|deyIZpP7;U?s8b}rY7Ij>$+D9K^pszmozb_6MuJ76Ky94+jm$#cf zs&vQOW%222x9y_Zm(SywPlIS9WV;__L7@Ey zzT-KLi)utUVv#+nh8r2x*)i4%I72MILwLp~((2{|4|W%QaFC7K7l(7nzBoq);CwV- z1|A&1r>_mL^+!GVkWu}vL?2bUeTrPi2l%w_`6v1uRqgB3w|mNl4cN`}<^21F z@BkZ}>ZuQ_e&5v>n;&W9vob&52 zmaRY1*cr!1A4l36J5l@SvsU9hAAMBm_Rm$x()a9+Jk^&E^Q|w=CgE&Q?PG&ul8yN3 zg`@F(ai)dS*FV^;Z{A^Uvj+9i=aTqXg@pJ{>Vv~t>TLj*QGAdM+ja5T2pLzH|CdzRV-nw3>}c`aw7r^#Tc_pQ z?hZdN^?&NKUlrkZNcivvAGs;>p4b7_V*m18tbbjT zEzU^|R(Vtv+kG!r>}GM#c_D@Sj^StSM*O1Gw=^z)1^yxRVPlI)qiu7NuT1K@*Wuk= znv%OUwCVNpOX~M7HP<8BOZ#416e=a&{|wFWj~TgE)wo+kw4nc04UHR#fF0UL3v?rq zD?qSSe+up2YtVtqEIEZ1A%3kv2+k5f&q4mG-UkJt}09Ms4>y1j&8a`}j2fyL6r&-4AJj`_TNOMUB9 z!OJHW(!_Z9N)ZownS$aW6(Xu>v8&5(6rLPIekKAXm;Z07FP9(g6Z0 z^DkzYcNMcIROx*)z*7!NbKEkF&n^sRj_g$>PeFmr9mRN5DbNeXE*rAy0kw{)vVk~U z9BF@+RcwP)i`H26fLfoZewI??yu?08RsW^HgT;fTRVz-*YGf-Oq0MQ17N1St+r^4J z;8TyqlTzdJibK5AY{l#9{w#dh#>1ql$KvAx)vfrcdbyNsrv`0wZ&ts_TaCqA*NWux z_-j#d14Ul{Sd$q&V!_6-kYUNHsU+Aob*@POwG!-#{NeF_-Cuxgrz?P;{e`Eu zf*G~2ed}zv)vj<=b|!v}3Jr6AWRf-EA?FpXHK`;RgSonW67vT)0VA;129k_!FI z@4)=8$w6V7&Z0c$VwX9Vs8ng56HT)VsQy>)n13GTfA#LP@H|a>=@B$Gsd|`~Wo-uY zG%sc}JziHVVKdLq|3c&$!R*)h__dp46Ja zCN_&PFo_A93mlU*Qp@0}C$%Q9?+u&9t!N*=`!$@k_;Iao+jQg$X#Ursf!X>_+uM`R zRO9RSQgJNfWAVSR%Xrp(Zl|+%%K3rIwP}u#W?XNZX(rv%UczWbJin(CNfndWw<5&% zq)`*t#4a%gCNW`ifn%~pY8gEBq}BvBv003PNle&W;FzqDS`i-4-{Ts`d8)0&K)Zgc zJzsAPZ#rAwp?R=aYk4J-zh1z4Yj}P6y)6V@Z$a_vJN)*F-!btz7~n}`_-)hq_x9{Ie*^DY5=R_ps*WcCC-`(`5bYZ|mH$@$)2hznATj<{$TSHY%tt zOy-zPjLG^}T7pK(IH_d=Qcr44V9{o2j+sT`5leNgV-YVr*Q2$MXEUb5<2T8|_lpAa z_9LAio=Dx1y#DhX!5PhGex`;JpWZ1x#ID+Zt{2;NW`O!ALAr4`f8}LxCIU1@;jpd; zJea6N4&8j9i%!Nz%^IKALLdFH{ICvlvca^Dmj#2yjM^XQ$Y-8681;-UQf=pJN^+@muhhMD!x4Tq0F&X$5~Zm zzak0XMjuwvA?UVfjezxuWWsiB)dts}Kg9ZI_0lU#3V3DAPaY_=zs2j@99FXWjsf%CYoN#D?7iEby^w1<-YDKvJ z{It3v=K%YL{zK~DDyn@Z8mN4x{+Q~o8J-;#2B8QRsc?kSWqq9#8c>Lp-F# z^#iRlr`0~F$$eTmW53#0)jq8DPL12I_BDNF=mQyyzBPg$S06tt|Ge7#bOSu0d7q9S z>ewa&{O?sgtTAUrk8wQ9My{C=y|u;hjOedZ`-1ieXLMX6{h|GdBKDN}7KC_BeQ)dd zrjEQ+@R(#nhkHfmapCOI*hRJ95#Bu-!*jX|s#jEL^Ga>_Vt?eiqzcU?eSTNL+!am7PD1+qJKW;wv7M^rsed~rk-KYXGiw4nc+KQJC!&LYt|}(%`yhX6rHQ0eL}RJmm)zIje+i3(VS6b{7Kmd*hRH9{01+!J)y>B)kVcL z^yx^Z9=ye}7R_*_@zh)(7=+KkWjf!1-&_a{y^p_BME_q8rE6s&mR?r*(W>eaMO~r*vGS0ox_xqCUT= zSf3W1W9q+4pO2~XQexsCz0b-SrvyK&e(VK|7`v=Cv9eKZ;`6%tk>R}B3#v1!#NSo* zVTO1P+spDxK8SlrORlo5#s`g32k&!Xbpq^BnRlTJC zcXb4Q<RaklSBT%WMf<39nW0agk#UczR1dL^%|BMXri#3mRgo83=(buo zRQ&S)0{{U3|9AmxQcY_UQ53yxQrnt-H)^P&U1-&!C4yoXp*kOLk|~p!^vy&X3Qj53 zv=oA(EeaNNBZ{D1x^e9X-Ke-Kb)((6@((Dqpc@yus<`R7!=%%Z1TJTC?|J9mci+iS z6HOD*@tKi@CSWt758>u*-xi)_x}Gm=Pga_#4e6I}Zsq_1Ed|u_itni7Lh}+diT}fA z*FLihN1E%p>brTx6Mn%IQjuDrlB7E*{8Of11LzBw-7%u==%R&IkbA7W8@~i(vCbIB zboS9@WLB-Udr#Ymo*{bT3{S{nE6pLfzOucw35_j8oa8X6jgla3yvRKO1ie~-6K^~u8XPYo%>@??r$p|mk|F*z7g`hk&(Q^I0AM|K3= zmd3KnBOx!o7tJZEoxAP$F?cWhR*{w`O7`RBewaOdgCC7o7T0Cs9^Jm+ z-rv%9e>Wc1bZ{l3pGG0{{_w9=K+wIu#a(0hs^W??&0(~qqni(T!n&KI4TYXQKv|Rr z*8g0s%MIaU!n4rJ)(S%R+4;FW=itiWGv~6|v4b?4Ao|{sJK2gOJoEoY*})Bu9ty0+ z9r?!t@`e^`x8|$0*=vLIwc8oWa3*o#2q0h_14d);?i9~dE#XU%$B06@I{*Lx|NkC7 BAWZ-O literal 20609 zcmYg%1yCG8*DVs*_c_siaA?Yn|$Ts zX8p>_%fimi!p_RZ`&C8ytE`2S=~oF83#X6RW*V6PTtZR)KLu1T?0?Juv3`pG_1&OP z+A+$KG=TfAay4gtatDmV(@Z5gck~`se-qH%;FrIfhKvS95)kp@rKM~zet~pF5 zzj2`bCdaiX4p%pqVUWq!wH3$J$XEIOmr3pKzj8&0gQ7IiY}UvsHSY5Cz^bWsT$NT_ z{qt-3wNU4E+y3kIbNhL_LGKHC`g7)e`t^&?gyV$A+Wmcpun-&DW&DWGuSYZQz}g>V zd1ONDY?q;LUKU1LMKgzeAdS5TmXOr2FfxW{AIU+y&dZahEu1O`OW3!#7NO3^+eeL# zt0V-G_6-OVmiH^gCP;_sMFS7%yM7;z%yuIpZ*Ar=3aGHoeUVvVyCEpJ-sQp9;RktojS11@Vmuos2JQo{`JY^(JU-6b z4Qu_dhX@yf0Ab&Aix+55g=35jk5nHheXDojS5yS^+V@;b(0YyOwLG8vWP8Ld<&8Bo zS}^mZ?85bOM?G#+EY^kHVE&+^wi&z~64$2X?{BPs{uFYjfng^R+6qT=>lWAgP!9U1 z&UhD)mjpw8L$5k!;Z6#MXni=bxRdqbsQUy$eJ1CbC=vcHU{i^UoAJuB1{o?4fLsYaI{1MZJMglnAI?eN8V{Tr*;@(oJ;8Rbq==DVax zE$xjXtT{4WZ$*x?4F@*BB2VHFJ52thgA~BZ{mteuM@GpIRgpbFO@$FN zo5Vrm3n);WB=|n=#(N-4^at{NbvMg`Y^XUdyy=GvG$5-Lg~dEKRhOTLZZM%_%|t`r z!khCa5eud`hlwi&SL!(WSW`I>7yRO?4_eKlS8m|AgUh{M?&3*XuQ}JVO?+@%IW5gd z11R~S5bZZ0APk^Z9%2Ju zBlxfaw(_|kC9a95Nr&MkvIDSstt!I4BAKmyx!*WoUp@Il%hm&AH22Ixj|b5PpV8^s zygFGATT+$``pX;hzC|&ZkL*^9jyD0y@V>`R+j{rC-U^p8u6uClY=W)5Ev`$!XXY0z z8!c}CRzWdMC(K?qEeO@8kF3bO^RsbL=|!tjzn#9EZF~Y^}4-W=pLZ++0jK_)o4F$PO{Sz;_f zzxT&<-@+=w&9Af&Pq$Ipmd%c(9@Ur3Ta^X&MqmX!!$XRhLiIBz*_4C{3gFR~2-n74 zl0_MVj!rUWhPsfGGR0xF!km1Gp{5lrr(H7+#omEfxj<>vVpAtFWeg?96{gV z&g1uOFbC<3wN%<6BPm&AgRo7gvl9H4LAc;7xht_$otm+Nze;T!h%Ti5^_M334<2hL zP3V+rl0(DJqcMyLserxFHbso|7@05n6^P@Kn-vje&UWWW7?c||8(hx5u)#0c8wDO+ zx>zd>q;`04jqM04aNVZ|(5`xRwSpTHNH$3uS3$T!!K)f2f)mAjN;Qw_UqP!=pzm8o zM67!(&Mt#wRkoy*xfl{Ym(|YlPF4CEO$`2rux4-5iJ6bi8a$cgO(4&`t4H_i1}8yk zZ|j%GM_Y|Q2*S2Twzi$CHm^M^2fGnwC8{;Bf%8IXbd2LBrH8P1Ov@TU-+ofUprNsAKL1 zTqr_9$H&+Q{All%XjQ?EAHJ`ahlH;c+4ad+>8R+f_n1}S84S(##uvJ3xTQXP2Wr4o zi@v@jcVu0En}bEs6XtR)9iJJ6*q8#xjmNfcj0qoF?5*1BqO{k8L9*eHx--9|r)mOY zb>cMIT{@y!M`?o8wWK{b`3%!aE2rSf&HK7%t{YQU_hlD|2oKkQcBTlk7@%D zXq>~8rjNRcJ5Q#mzp)-zAMP+*e}j>}1%?3@0Bl#HF(;-WnREL!kZa`zB@F`gA!08R zU%V~%427Fr$CR5ez_I6j_z_+&Ezn(u7s7c{wO#JV!XytGT8jezI1e@;SnfSJgBV<= zU<`0-F5@%I5UuKC$;ZcNF64pvIiG%XOdZ1Q1YB~5>m9ir%Gjc6*e+M=k?OUxCKcLi zllm-Vw5fDo$?(0|K)SfGTQN7jxabicEKub z)zie;4eG0qFv$^ZM;UAqlWTr~fa5Q~%wCa^-JUMp#l%52MIfbGAhf7;xDWIlYK&j59{l|K3(kN;FRg4))VTetA}jy4cx{Nc z@A8{1=RYpR>qklMFfbPF(d2|*Bks6l8o4n4wG3F8cI)$n1FI!Frr}j(EB}YcW zjHA&Bh)!W>rS9vYZgKeIms3{qojie*lHg1W$fOTtpD^al#WuY}6#4Oz#Lz$QEG)$( z(7R6Uh%l0}#G)cnjZTuM9{fn&XHTw5ZC4X#o>$Yk+{YDUZdFieAIM|28Fw1|8^-AQ z+O@AZkyq?4^t+GxEvd7f4P~M?WQR?`lL$ZQYtS3_-K21Qq&HOa#$^6hC1l7+%^7qT zi=?s0E|?bEI;|5R0#O4UkAfhF%oC|-FeI4U&HNehf17p>{t^f`%~*x3r8BG?I?wH& z1#Ste-Ffbs#w@KM3ElSo1NQqUMDc(!hFJnrR~>d#55vHig!Xk2mT7k zmmM*HFu}OIQW$`AKAmXbK|j|^blGTrI#KDWh>LQN>Jj==xZ-!xHt{#vy7#;L0Y8ez z`Yck$$l>di#l`0BRfJV|PH@YarWE>@#~}7-?W?!|?M zLG<&=nr7}ajJn@>P@~>*i+H~d8aB31B@Mp2ksj1JZvWizJCoikuGDft1fXa3^6XgP zm$obR`&Qzw=Nh6fRxGlr7-%uAlElAM>^Zs+eT^^byqa!GTD5ZBXId;WiQ=eoNj02+ z&7tsgUYGKRkIY|)F8#UZ-Emhw$#R@{{mG7oTxcN9}jPFs895<*F1fUQjXM% zHB?rt@1qNs+qWBoc<#|@76qTm!wGvdy1irxFU{(~b)yk**pqq998NnTfU2wmOiew$CA!O+O8N~a31OKioY6RbT zXgur4@!$wfN%lN7n|Yt@HXtFR1R)(UoMIm2Jw1LBZcUuJ|8>ccPnnIe#&b69E-x%x zxlJpVtPe0dAPW^C#aet=;tH&ywKO&|cwuc!IAOHL##TVKB|W#r@xP0>Ct3=621$I! ziza!*NN{9&x>nyL^tbb*P5q2FEby$0bYQ=~OY>XD>icTeAG1N)+zNWP#FmWpCVbCIiz-PL`#;lsmUOM@c$t zK-2`9>uPm0X)mXnRxb>9DkJ9iMM*ZIOO&51+Me~cwMnnK(A1Vwrm?0tz~)6?Bv%6G zGCsrzEs!j`k$A>kS;eo2ez?C$+eS0=@pFjQpRaQ-+FEl)CgNnk{ROdC2g`4R-*t}OY-<_bj*m9t z!{%sxQDx^@-yO_`X>;r8?tj|G-xSd%vwQX%S>VPnBbx3Zl<>x>F$Mo?9%#q7m)$x{ ziTvF?Up!yfkH}>gZO5ipBlop?FGfK|taOv&O1ZaeaS+qqvOk)Dgq>RvP3*x$>=x-} zMI*NT<>f?aklkiG9`l59vCGIXB-^rDXN5X@uBzpg?BAr(5GP0Lb!#P=n|8hq@~i3` zgW_)gP|%utc(%~AOi|qLR>dVnI|~Nl7dv%~WSqI(-hPSCBS~DFHQa*ARAOql4xGEZ z2Nas}7`VY^V1XXd$8#3LbZ+Ch!8KZTHn{>J4pU4ITyD2e*&dT7wRmB2vz@2Nogy)h zuqP2$iEInG^$;w*rkT#Pb?oO1>Ko+QZ1aT^e3|AiB%iI6v(xQ{UotN0+&^cwy%{}X zBu#GTHWOyWZ85N-mU)+z*M-d56mWXY0&_N zsp=tT6P_);3d^vl!cq%;-JDTIoXhHLc{cNM4M;xQueYz+oh0JF@63*$Ho^S#d$V8J z{Tmg^Stgu)w$0MFGmdraeCb={vst>)gWu@sQrC2z@)J67G?jdnIHTNFpO`PX7D!V^2PD_C&GL>u6oPOhPfUE zGI!1nB&LdIKxfLtv%(n@q1f08RySm2Vm|s5!JQN-&n?}IA=zCgv%rYYAOUJQs6L~Y z$t;4C%rLkl!V6Q!lNNA=&C_B8=8)Q2f5(@;D@Lh@Z>}3Cia%yyG72O}(qk4gyhaOt zUqi{i!Wx>Z8f)qZgdi?NWL)kgp6L(u41a*E@6Uy#N_$v}mQ4?ArD8#KpArba5IS_n zSusQB2Rk#fpGx4L+#*hG`??TR*{Z0k;5Ry|BPu4Id==*Qko2_|zjHLN~rB_1r`jzTJma}6Whdk zg*bRBC$gg+7OLAMaPk1M)N#S+s$LT=FM(bWek^EtzQkMxjP$nE<(X zA!a0p5dTYR0^_LKZ$QRElXBG>(qfv#GiU9%Zn}O-;@RhXpG1bMQwLbeBeqR}(!0}C z7T`A$ain#i~f1F@ilET*@b9t$k%s~So?W&!kvkr ztb~1Oa@F2IZ|ow$TgkhkJ-Ti^X* z=}mG0(+;K>NtK)qJPi^ly~Di3ofI2*EAf`2n;Xz8I%z+6GU(=JA^<~DH2-{S#T1+y z0~JDk1BYBRPBD6)t_|1sFF`!RUKE`j8A)<6Lk$lGZ4*kO-$Jf035DqkRpX`SDd+O0 z+ignqv7M(-+St_>8p9w_m}V78I-st_4mk~;8o!RREHdQPG1{UcY?Cg9OcbLB;YW!>#%?%1@c zV%ccbZh5cchBZ>SlEc$gj%~2t9X%|!!lIU!8KSy&SEawc2Z0s{H#jtG@;-Ms^^o%i zK`K9ACS7vs-S`X0d;UG!9lXpOsCxuVxHAXDU}H#Y-#5Ei?1%IpmU%~e;O}`?CY^DO z2+j%cxSP`VH-s2wPVA>^jnB|L_OkBpTs@jmk-|S-H625g?`_%>21b4bH3&Y*V^*$T zx9u2HH!uN+#{jM67rOJP7y$ss$2I_&9X8zvVfWp~1L4AH4_@du9jy|z$ustc}8RZ|TKD=(~&l!`TK!}-5=xaQ7p%>7=Mp?dEQ^X?LEU%i|)a* zcg5jly8kZkiZ2p=X`eJVUheAkfibA(>UN$f#?Fbzt}gfQ69%_qE_XB%E(JhjM&++^ zxtxif%k3mS7|(Ch?V&7xGTdapJld> zT|JaUZT`At4l3N~Ux0_JxRWGx=_Q)*!^qk$%~l5glMe(=4=&9Y_S=T?*(C}<1ywgNQM2co) z$JL@Dt&2L<5GEbCwA#l+`fdEMtTWd){aXK$5os7{4Mbos2iS~cRAl0 z)$9P05ieKjvD^v?-+_TX1Yn=-2W8>tqt|=@y15lmOefGQdhp|+jv54&%ka_w^5W_X zQ&qzO+Yju~EX@i#_qO8^TWq)TAE}dT7fKotj=~zu2YL?+*RrOAJs3dyro}tgd_<$% z4f?N5QF?KWf+yDjK4uTt%FySMlo`|9kQa|J^X*r&x=SL)_YTe_AtfTP`jvI84+0IK z4I(J$I=mlllr!GhDmdt_bs9X=DfpRZEd$&-x?TGdi67u85_w-Sduenoh(OWtEC)xu zaqU6LwcZ zylM)Qw1baL53KDE_Y6IwS1@E?Vu=y^HUyyv!UKtPd$g#JH3Klca0%YZqw5T;t9)D8 zRN;|o*@c6W^M*J#Ci7Nb%tLt+I`$=k@sU}<@d`q>6(EzeZNrT36aVhb7}$4w^Ma#S>k@Bj z`yO^^{}mfpm?+o52U2wG(k`^hO?qBrKIB>WvT=bHp@?X_D>xX@*t!^+xlvw=c}vC8 zI*V)leWPCjb*Ht=+K$E4I7rjLPD!ZhxV?2`O_G-d@+rjgpxj@@S&{{Ua?UMxGqO#> zuc=+YOKQZc=SECBUN7qq z5J+-Imxh|T#ysb-NZC%uFXVSZBVM7v(z@>$zai^_E5mjyLhdoE!P!8n=5xL3S@t#H za?3PpZFJ)_TD5T+D#(<;!p3+tl=a1BsQuk@$3%S-09F5R1-?BUI#Zk)vouX-coTMsGl`T>)o4K?{(NI{N8d-2 zf8uvAV!e+Y_DRZ}4U;%19kKJzjxK-~gaG-rL z^zCt9I`w-yc$*7#jfA5ys00gj20Ntevo^qyicPa0l$t+Ml~RQWhbRexIit5_o8qP} zIo;1dSkHR$E^m+Zhwe3fkK=EKUoXjz43&3!>-q-J$cIfY=vfVBjEpu@{NaEY#+$uj ziYioV#FBH_=Zq(&27n?Z>QHix!jZmUi>+Kj-3FwsHm&bHRh#BWDs_HI35X%@4GtNE zRr}PYPh$-6vSaf`DqMhlIfBp*g2luiY8WifF=tcdJ zni1oaeDN|Y!p@RUk|Z%+%K2F{=YWyVWtN;0m5ZIYk0p|(V>U>({EI^2wfIZ58$Fs|I8I<7%Cl#vbYZLtyBr@PQtld#=PICbfq=!Vl+h*5&D0# zY2za?G?YEK+;5u!gs9C}M+mo#OBcMuox{Cb+!!{@xS`kPkNM3ZNx;G+F z)+$3^)-S5)A%37@YBJdCjxSe#{1ziUhXdc0P+)_7Gd{NvydRiecLgFy8u;PMv7;us zzX?a426XCS3=GUgqK#EGCugnU`ynmR5MXyLbEd3k_2gCrWnO~Kn8>KSsbu}8V3VmT zd4(LBP<)PbsoVUhKZ|snN@O+XI`k2wuH@oh3Jkih!l+6$r7^W7XHxXDg8+bdI2OEo zSQgl;Y$Eny+dQSgz}`^Z(i85Z(Yg^JbfJPXPx-2CAdKs>T-Kvhp$*@&JHduy;tqeI zi`#A5%0@cZrmB400NiwmBHsJXjgCtHnGpw%I!XKX(?MMK9uEMfP;lfknu?JUKq)vB zo?r~UYnRIEA4UCT8Nx(^$9eiz3s`JWE=1? zy0)g?xnJ>=Mz$U$?;ST7lzZ0IB%_HZ zw#PO-m+hJkux;qN{)n=cguJhay}) zcD**xR6}`Dpogbd4TId;34tZQnIu%7CE!nYh$=3xcc(~(cMWJkK?-zgU}}C z>yGCV&xn1+u-%?#DtO=S!lF%tBZNo-vT?({5CyzCfdOI zxS&Zk5P0wp+2VQR*Vdn3-cVU$~J@jcJ!PU=GS*xuh5+y=zMh!Ym$$`BI90sgfdSsrt%!BcD?7!QI z7-C#3=8ShSJU4XGl3t}bsK6MZ26Qz{pxl>sc6;z)e*#_Wq`$_Si-^OxD);=I;|teN z!<)z0Pe}O#Mz)G4?8tLb+3qCFng;O+E>LG5LUSHv;xppFnn39zc(;C@>UcMjHECkwQ+Y4EiNE^G2cxFvN-M#gw=Qizvs?=nY>w04dwI-Acm+4}L{Wn_?#pxWnDrf7H4YdL8bB|zRt@eiSYS!Je^&FN z&l#XiG)HdT7s9`}3eVW&%Tt+gC5ZP(8Rkqs)(o{qs=<1jLlM`lP%_4JFcmyq%A_ok zjgI2YDojM`MbIC0=PN$^(&ZPkC25cH&CfXdd*l-F@Podx6n*@;aUXlIz6F~|JjWJ1 zS9P?JUsMX5zzZGC*M_(;|1~rzHsA7HRMJc{W8x!@O82YxB1x}>45gnH*Xz3_kX>JG z>l|~CHDi5{BUmC0a~$P(phi%dCmgd9H!c+`7jru|v{dk#-s5dNtRP$n{ycb-oO2fs zz2HY>S5K5BGqE0?76v9gVLda@ zWxdpBpYAPnrdB4MVk=oQKChP=_Tb~M#``U_UMvwoFBibz&_E{uk4G4ID zS(C<&ek;8^ifgFNM4A8Oc;0qv^*t8;U!?2_|BZZX7|jbUYxjsuUJ+$V98Fg3#a$)P zX&~o!77ljes57W%;x&_upO*t574m^2-4XQ5J164B}aSZ7ZmWEkKlQq_nFfYY+U&EvWM5q6adY4Lo8uJvs z@LF^2xoFP8xc;(p^yjB6T0u{X`-!UukIu5N;yPuKP<|u>Dq_mNa(hs0OHVqwEL>@@ zN=I$>^v2BSy)0v3h$)3_|FPVYtANS8cqh(D(TlsAo_SxJzD6?zZ3FSmluyWlz~k}Z z`Zxfs!k=9GffZJET!%nq0sJ-Mvnr#|Sz@>G!Dn1fd>v-8H&ej3r-AA5S;ZDSKOzFq zgORxqq#RYnFGWN!9^=RG~~duL&4+cIjTE8+2FYAyauSCQMh;jp0&1dDB&WWCew` zOP@e497-jEIm25qWz<+8x{P2`Zv5zGAz@tk0Y?a%u5M>!0f%si>m`SRhj@D_U$(7g?&SV%1oq^pM-BW?>q=lIC!yBQfT!dUjZKzGwyu)7?f;qA=4UD zjKpqn0(2;|V3*n|4m%q{%M)H}CAt7fXujFw%P|ddJ-@Uk_5pMHOm^(vug;Wqb!jJh zkjW}Jjg7WdKYr~#hov^XuKnA%nO3#F7Bx0{LD@p4GMw5HY<|z1MqEt5o*N zlNs`ee27Y#`#J)a0;mce!zrJcxqjzTNe|pUkeTdF`Z@J(5i#sJ?AbH;*=|$DV(j~K zTnzR?=rP(g(mBgUpMDm)-g!WmZrn+M%KHh9vySbNVq`uQtQ!vpL0iRcL-*hpSiD`^ zg8kDF)MaFXtV2@~2-#0~CtsVk3cqK~F$&t@XHL6=_)jdng7eAFe8#BR99GvrQNp9v zhVD^X`9fZnWomvQ*{d2#jmh&1VGP}DbXq_Pxx`kq4}g4EJr=|%I=d%7ZbcP=_D-Y(<6 zdY$7A_RC$xTw(K9Je`6!|En0Z6Ws>*`TL>q%9_U7HU<*k5iAo#{Q)bKaJ)4jQyccN z25JM3Ka3rZ!2ooB0{d{2$dA_iQaGO=IG4_@ZaOHT&HzOc5zmc79!k%O)g?wOMsv`4=Cg^=P;T}&)H~VrgkOqG zQsPDg$0L(v!e#EVB0f5mKrwiNwo8NGhLFSKDmK3?y;}Vssg1%3Lupcs5>+ zM`%L!xAc-A;T6B!D=pA^W5y?$hwD=Pw_058fyyGy9&8R#MN&2a+4zxPru2{#X2>GU z|0MC@2<4oDtt~IAe92%sKO`>$Fx5qFd>g{qYt$GMrpU2@-&^o`%xA92&x___4l7G> zf5Jx{ZhDhweSRX?^`06(bjwxCu=HwG5u_w{C^SMc{2}1L@oP`jhqHEAA4eMYT_9#} zb^tbF4`WBbO?4er-aiaI09q%o-+8uw_RAL}spg(2@v7X5n8#cb?R7l^l%=<3jV+Z^e{Ye_24a-Q zgzmTL;x9e}*Cg6jQXA6rhAuhvJ`^{vcS;>w-777a!d1=`WPyE&B!Fd!K60!Cv z0&-)3Lb72UJ5pAr?)6cb8GiS(eIRF(bWY{`A|524^`}|{H!iOQ`BL2C*EX^x4nm4Y z5sSZ2>f9#sUqh9(dd=^eYJPdBEf-nA+3f2^2MazDEr6{itzB_i3~s#8JlsRYHg&3{ zv$$0()>jP8!MOYpdkM6_k};HpeCv^)C3@v0EN7D)6qVQf9kgumCm#fFXFzno(~j%p z)IA!W%ksv{s@Nac);QQkpHc}#detxAIvE;tf_a%dW=_uepfu#euK&ya4FK4PB?KdH zjo!N0EGQGp1V1RE55aHk0jx2os``JifVnUG)jUz^`?()Pl2V6fG=DC2!l}RmExPaW zD(m|6z$@mArjSARv8ge(01?`}!jq%}cn+ViAJyPb9 zjt-{5v(2OBE6%^7b~jev9qEu_{ zK3cooP(6`@5$V-40Q3K0n|!69h~>RmPr+_Sm0eEFbna_jP9e_ZgH`6c-E~~g4i|o| zEkZ{9R+1^g$meiPp^DX`{R>ZBgG{WL;Q-}fU|y;!VI$gotxm0RMwBzxU6?&;HP8Ph ztldV&F;OX8il+#q5j?6G!vV5AEx^0Edi-alnPz)^?D|w#hc86=@44`ptv!Fv`KtD| zU+}9Z&Aq}I%U{wRE^V{<;R^}N+V(bv-{*TStU}vt?l9DM^HrFDkIDD`-A|5FfJdTz zyLKlt*d1C!+EhY$P`_%_jx29~8>NAM_`j zoS6xyCdD zkBWeM>!|k^8L?X8UT5Z(>(rY4(FlGSlW}lsze!j?9IN=l>1`}o^;^ay|EnLUe#F_+ zlg>4(BMQ%v*&{LUvKNdDD|`&He7BH)lQw{I{Nn)M#;t*b{+O}5o}k+6jnem>TnN5% z(m}Y%r4u$+>fvw1CBk|pHxXr%#Gzc#p7n#a#l{^R#T4kyvK@>X30=X))Ru2Y(C}0j}T~v zE%m^8{ZM24P`l%}T+ABdR>_W~v&DDO2+6PTS12ixIk`cNjPJOd`^d$Pn9Btv^gD+x zZ&!`kxt|~W5q~O0f9r`pMP8hgj}2|n;QjfAxPu;n3U4#w1aCQk41J`M!6~X}n}N{z zl11^kojORpc|qN-%P1e6Wm1i~g7bz86<%%EUsG$(f2xIiCZHRvwTTJb73QcLpid02 zq*vLrF3B>oL*g$FUj1FF>7Fe?z@Sr35_ZpjWU*w?I74{L_z~j8aNdY=!uM%`{v2ZI zuFrvW?uv3U;KPx43-`Kncws}x-o(owAXaKIKwsKMR=&Ghmg1OLWt`#J_FaXyfTmNz zPEXa9?h914(ynKO?^6OI@uyIHF|q6i>_&_&>yWlG7$g=dpJEJnkOQy*n5XcDi0oDw zAtk1UF7=)uxqt2dFLxsVlCA)jk;f2<)SIAfsA(XcIO2Vy6Qqw{7L48~LOb~_{~@<1 zlPdX@53Viu7Fa=f+-i!dNId4a=QwX|_Nn<)g|nH1+ANgRBkU-YtEZgKDzt@(e&lX3 zW2WDZ+Ud-l4$e=k%*>2|@V2j-6BPF{UIf;?R{sufp5n?>kbQ%P}d&b2+B(V`C)n1;k zBMO`I^8%vJ(H+ytZi0*bWBk!!qrbP*ckJLN6xFl<)R5)AMY@HTSJkSRV#9OUOVxnt z@Po@&RRuDw@~ghSb+mBA{)`6F%HGHDcMQ!NA6Azy9(+PG4?kq+8VRh$P`bK znjE(k+~xi2XOe&N5|$AGY~GUpviFUxgx*le20dSx@K|2*LK{3~W5PLNc4?^tqVBo$ zu9o>9IPKzDr%Ld;)=K5Nqk!gB>aCy*#TwoG>_ZGGRuMXE#IWr9lLg$wH4NTj-}q zSdzJlZfx$(nhAuaZ_RJNgQ)ac81Kzd?ck4J58KBgoau?@9^mz43ME273wmT?;3vmE zGeKEvt3r-o0$p1hE4~t!t&#-(@PXb)kdQ8mx#wT&_1I|jk|p|S)OBVi^P2Y|Zzkb` zf|B7he5gCZW0-``Lezxtgr%iFD9zg#lzeOW@Nu17_WtKbtKUTF*ttUK4Q!|fjz~_* zUV`RCy)z#BorPhA8{7g(y)b8cokpC*r8P!@-TeX1L3{4i;~nJRD&|VNsyW}_Fh9BC zCSFcR@Q)=ttxZvJTkA0?2E$tMqb|U~yWjmx(lu27SyaJ#9-*$0+$-p&Jrgi-OX^g0 zsKv~NP`p}j0Br1N6qH4XJh2_0XuF#88--a6S%TIz;TY`t%5$``Qsh-G25?`Yr<;H8 zHBCGJx~zh0Sr}|S{U8gwScgT8vNb0?G!P;-?|+;1ej`k5gTU<2C<_Kw)%(}W!Q+>x z(Cyr?En_un5g3N(p`iU}ODC+5N0q0Mz$|5*T)HbSr~aK(H@%>S-~MeD=e*jB%_|az zUS$M@q9y~InjCXbt;Ftni#t&b6lV`%)0CM3-s_4=Eb@srk=5?-rdzT@!wxCd0nl8abW-s=hVw~Lf@KIXbe&0F3{1Dmm@70xD}lW8(#7S@S3hS%4IWB{eLS|4=t(U10sMiU0kamIoSv{%!z z35c#~+pSb@27J19Cg@S!VnQ(c^Pgz!Ib}8ZO8nabW}PDLTrP zLm$?aUi;bciEgCsHKnw4G$Knv(CYhZcxA=!?8G$GY|>37NWl=HXCjM5;HTa)tnu?L zZkZBwzbX$bJ{T#f1*X06BDskGrGSzVHm`VfW69>>5RuoF`IK`0NSc3r^oV6{HH^VCK_kgvm-J|!Pgo1PLe8ZQd6Q;!g7Ew{4dZq-u^new7kD* z^u0m$r!qX%+9tq$a371OqEuHg2Xl{ow^F$HKJ``zbe4O(|3M*1bX=V1UA4nYf0);3 z!N|3U%I*|Uk?a$PeHto1lvhh$F=vit7Crr(O2%N-FoVHE+1|vU(<}5OD^sFm7ZNn# zI1Omy_pje2leB8u3=!|S;IbAmgp7t2z?_yB0ZiF~p7Pymp| z9uJy!W2Zj$W4m@JN56UZL?~dX3O0qx;gVz+Y~YM>p`}Ax51b-+AN}cf=kr77Maii3YPa~qS6>Cm^9hHK(XyeD!DnOtWFa_C0Br&3W!V~H zWt-uzg%J~k74=g^U$tC1R49GQwb+s zY;B9Sdgkl8ifijLeV63VU}<-!wK;R{)G3sb4qsJ&*;2kfzN|?OjT-=k7F2-*x-S`z zNCrKpvTW`W6yOekGHt{eB?rYFngb2*KaMklc#N1lFkIw&W8AKdBzB!Dpb&1V2|!7X zt3A1l86F429dqmd`$F@LzuO+#hQ5bXsj;7G_pgHz<|tH%dBe$3k`z=V;`TbN)5GLx z7?*o`NXqmu+%CRadH#|0e2;xd&Ae=eK}YtR4uexRENTIM?}`V>N^Gsu+%0&NYdJBd zW=M_VWIiffQTL^}cpDS$eR!+QVtmW+!s=!AMWnaG<;ESK^q04SyBflpZ*wt&PwtFU ze$vcgN#m-ZQxrNn9g*$dkURTu0gzK*bKaZou3zI}v=h2Cf+LAxQ*<&UZ@_gGjxVm0 zt-RU+$NXpRf>XZRF+E1dyc%gic7>DBf#`nVfh@9()}-&N#XSkLQ|m}C7^-z7K*4qQ z)Ep!ELm0z96)^EE=;T$8fNESmnaV55NvD$+EowfAn92Z#~q@Rc)G*z@Y2Z`DidQ3JmC7p~cN0YM7 zv~(Ouha9IcvmW?b1%KGbWT=)N;*WYgG2GR?f4QR9bJ93a>ZXxna0|%~Li71U5ebT%A;jTSELR z$d1{(Th7qL_RA`cA2UM2`v)9b1-f)xwpF(xsW9GLiWji`|0zZ69<8$uI@f(`%fNj0 z;2Uw&ag2TJrn}nUCv&|~GPrX}KuE5zM@UwYC(WR*Uv`og{F1=^Nt;VJ28Kqa?C+P^ z^&6Tv@mGU1gMx(r6UGlY@C_#7YUho;&IJJ=6a7co>*ShglVHvk@k5P3E{c`&L5|V%c1$qDL*s1}KY-3I(OIJ-X%rtN zzP<10j4}sfo31)IFFIXe7<2DQ9%H$P4cb9tHYPQe7NS zF|TO%pxf%tE{9o3#D{w7$33*iDC(-cN%7WoL|p7a2fn<eU!eE=O zFjsrf;nj4jw1P1|Km)^cz$%Fyzb-J?lNHPb9$gxws;;>sKKIUBgU5D&^GWR1`YDfq z9D3_D>sn^bYOCa!2o~P{RZnqLP(#WQPdeuw|Br;KT(BTI&MS zXJi0#r6X^^?CQbW$sRECJ$Sp*5e8jz&#H^A8BIi$E--!U18=P7y3(2JsDnPd0dt}U zo#Q>^;hMUO4zLfp>jHy3%$8kYHuj)%vil!O<30rVuXNM_V`rOk7rn85Uau9#2L%x0$)pO!nm=3*_B zX18(|-nOfM*Lc8n2lwr`o`n7=_Tid{d&>s^P?C9-B4jAm{JAYU+uX`b=B-^VdC>Jb znNsee1Ci)_&3auhk9VYVOE6u>Hnzb}yU0V#>B<{^fbP1`Iod-9r$qxl?Luc#{TVY| zVDO!;x<=1k<28POjpmd|ql|@l!JJS>lSijR{Tdovaga%)j2~bw=@NwT8i2x|_A!T^ zk15y~Z=>wv0RvwrC=60NohkL>4I4%$g0;gS&jzQrQDDGN?Ce5^zU+NhayUgprQI~*uzvCV-W8qWz4mu}Au7>l5AAArXM*BTAbbx`! zl-lWRQ^&5pgO1sg>ta*pEPhA?J<=#+jdMl@xSZb&%V%mSMbmW9v(>XM_=)tgx)1Kcw=R*E#R@8(FYGHgCD%LvJM`Y zA@GA&q4tCyybrYw{+iQY1OJ1UqSnEW!2jUQsCDoghRZ*AJ!&01F&?|o*1-SZ9jV+q zieplpmA!utLcxZ&W}mMZLhK7=a2dwb$F+i zh9oA-UklGRzz53Sq-J{1m0t`0s(Pw&kQkI06ej90Alz$*-kcM0Qv9t=D26|PPbueP z(iq6Ve_dVUQY1i!@`(c6P~~gc*;F8S@W5~ znmYn@buAL3B&W{gNy@4JE!E}J%^IUoXPEkgH)7MZI(nT_CRO?WW%d4|c%#?b=UGNu z<}np-9N{Z{LDj{duj}}E%JM~^jXtjz`l#r0$Ole;7~sr*fU+_CpY(SN@I4P|$aepc$=eX|2x$*m;Xz_jNsp^ZT zCC2CFhghjzi+5FhKWx}U!?>#YB4fX*#ZOgxOwt_wocK0=%f9%<)gm)O{EAa>fN)vH zSDcU|CUhLr1|5j(DWx{-H{y;4eTn^fuHysl1Va``JhJOtC$+p|i6INTt-qc(_xvtq;|y4V!buEm*qz@T0`lMb(*4(B{AL2Fw~Ni}bSd z-AUCYEM=S*gwyl_XpYmj*-MJ(H?8b(dU}j=-{!w!m&Y6rHW!rYq^f403>*a%Y74qjo zY=wGEl(5m`+6cH6<{WCG!=_%buh-eQ&2+*sX(N@aJ=LVrxNWSn=mTS)u(7}~X(N@a zJ=LVrxa~(nXK|U@#qNF%XD;5R`E8qye0|V=wVAom=J&V;NK`l{Ta8~!#lC7RlK=88 z{h9Y~DYI7d^b9B0W0WJ#IN#ReOtPo7gyD>EeoYtIF^&`Ov7W!h}^BL+>Z@%knAjj4fTN|8c49q=NP(NVEN=gm+@eN120VOlzv)bV4rfn!Q#o=dr| zyi4U_Px->t_Tu+il_zl5w?AM1*92?QQG$15z;57;x=KCJN%^-ce@(spGv@k@Z;9ho zItL2O9LXf(l>VFd?c?E|B2n`THJN4+8|aR6#C~6Yu$Sq{^KDcHKL`41yFTD@uW}In z5#E1wQ|iDX>y^1HfmNWkMww@XbqKP}Ss_5(5l`r@DO>02^M`03sa|`1o=zbl5j>E} z9CEf%&o}^Ot%(y_uLzmxobv%ikOpi>1w49x?a(`K(*Os91XxlEXZ+&MT!ZsD`cim= zKO2>O>wu){qh?WH1PFydK9=k+cw$PWYR%HN1&stTj8fttdRIvxrBZD7=P#-%QVwn3)?ZNlg(BNI-azJ@%#)7)slnM%ZV-~%A{LHdx}jGw zfORSJl*2de%5^ln!jXdK1?&1*9r4b}_u>dYb$C@B(kA`omFDcfPHH}AiW}4)QD(fh z^`V`JbwioG;vJe?Zwv1kmEYCiKBJg{POhtbMCCp7Q~8eG6Z)YPMjg-J9}quRb=UIh6mg?Tqk=Ol9Nsd$E4PI9Xew$$C)c2su=LC0K=R8k`%pWULUM5 QubZg4ZX) z^{&oODYG`<`7-1}XFRJ1pXT|$tF{#ath3*PRCiV~VTY!y=AfgW({ZKrds}_cAM35_ z%9oUnDD&K1-ga5__!gh?S|(fYd??cZx;&=rX<~!J%IN=&j^JV@y`W4#+M&zmU$E09 z^}8uwxT!L2^oJ+o>#EA9d7_@T;Il$VPR z{)25V>IjeE`dCMN6`8gvfseR(MddR(!t+V}A&#Ppt14ql z+IK6nCNqBOj@uOb>gtEpVFUV*R*1$$ox_XeH7ZXlZoRMLZuL2>yrA+a9f?f~;t~FM z^#jkWo>Vodc2~Hz87@7s^ePaqbkoTqoZk^ zUstv8&!qB3Wo!Tr@LyCusQkX_;RV|woArVBbIP;I z=T#3M_$0o2SLMq(KCX;CKh+U<=ukc)eXO8P$BmpTOY}iT-?x?F8Gg~jq%t&D2nU(5 z#1Xsv{{R30|NnRaY*I^W6HydCZBpBsz8f`E(JriyQYC_77oj?jJIR#EOgb}>hJv>g zYg!6H(G~>@x)DW%F5S5HK{qO{O5JETF8u>OK{qb!s^Z4)43kbr5;%O5bH4kXbI<)U z)I`%nba>|ELKCnV(TDKzwzP$BnVv6&?Yos`YD4o<6KuZC&ydoWSTxfoRCh>px z#M*n7;Yf2`SEZL%d?5>_a1~c8RFZT9g}=)5a{zq+vpYt#9bL503Uc?ASL5e^EY=z0 zn9eRbkIbsIcI#m~(IZ4poZ$<%*h+IquCHu;{{oG#h&ah%QX3^f+IW(?0|-!-LL_PXdE(u=2;tvanYMpMg%A>3{%Agf$> z`SCKaiWrEocraOV+|U3$^b_T&KU*p8%MpKI%vW-3c%_$)q4;L@_!WLMURhk1i92-r zf_r~T-~8QpSku9kjD8x0(ChubRslix`WCm1B~`@}X_~`mO9#K*`1M-FzYuDzhwb@I9^R??4%5Wxe<^UjI90EpT@a`1fR4pN0k;jO__5J|>0RR8$ C?LUM7 diff --git a/CPLD/MAXV/db/RAM2GS.(0).cnf.hdb b/CPLD/MAXV/db/RAM2GS.(0).cnf.hdb index 72b603efecbb58900bdc626be95440479a0cb2a3..4e17e94270981c4e801cc56f2bb481b617190bbb 100644 GIT binary patch delta 3160 zcmZXSXE+;-`o?3%h$4zmQ8Ywr)~t#VRAba=tLTf`qxK#_tVWfJ&_)C`Qi7sp^|iOw zsL>il5o)!F*;4C&-t)iCmvf%$_uTjIdOknJPzp57ly?*a`1gFF|Ns6cDR^fS3?r&U zDxtB2(Gl5$m9;nLGQ;lW24Wsnj>4d>hA-i2XP<^OR%g+}8ov=zoCL$7(9uznkUv9;3yMmC9^2DJA$N;>5l>qgR|1^UCXpjf#38TX zE~mm+BZg<|9aJkRO#lz)DBM@&5_A~vS4+>owb%2UKw&dAs<^*5B^mZrrz?;V$!A~m zkUc&O8$O{lTBUY4L^8^(=TzJ*5C6*Oq_j7v|H1f`@rrRT^(K$%taKE{^(g(6Yuirp&gO?(D}&M0DjRomHv-Kx3&i`?_z#O~S`Q z)p?hNH$Y9t!k48YPyK>kXCXYN^5rF+5GuctjM0cGXlkC8YuxYJeY^C<4z7pfw)xhm z8BuDlC~whA<@MSb3g8M?wlUlw9GUZl8E687xA^eR;f&H?&)dOZ53KyF|J+hepcuCe|!hqR($+wd$ zYRuSRb{C()mk@{{2qeyo1vBU-#TJA)SB9 z@w{~-^DjiIAq>vhPXbJb;Jxa?26f^kDCXgNr`ze!*~h{g!GF+$aHb+8%GH#OLm7=# zKw~lg+W%$wj)CiLk+y9-i8)Yk@Uhu=ej&0DSsq(sEFPI8Lnb)AyJTHf14aE857 zk-){+@~in`Ph@(`@tx_5B`62r@vU4Gn*jsA(62Upa0pPfccEy36*Z%FS(+PDm_OFe z*RQEU*e2Z%7Pp3a8>!%(V}IQ2b^YlXW0j(0WXaj54TIaBe9 zUq?m6Cb82wS!n*sG9eeVx0mcTMqSu zyHtM&w3>V5>$gBqLK;3pg7$Z174eHTGz>s?cRu8{ICtoW4?^`WA2KJ{6Th|8Agc{| zbJg_QC;6o;tDuqaju&NZ+oqH0=5NKC_22dpmea19cm=t3bab~2sVu4;QuP$eNyy;% z6>cUVU#3iojPZ-5?waq+#bRF87VK^^Iki=;4&OS%>+SxyXWdu6mh}8uwYj(o={2VC zUE**e)a{C)m)^&mN5bWe&*e&+Ryk`ap9wmO!A9J+Kj$U@3VzmdWqc> z1#5t9vlu>mO6afOBH3i`3r%Wg#UdCY*x?!W>Xp4>$FI{aKXDZX?@a!YtO|c+3)W3r zSA5I*#-^LE9@=O+`(Am$$8OD1&u`kRHK7jylk7rPn|Xt+4wCP0rKx@6EWfoB4D2xDQ15;%qPTaR=@-n z-G&~s>zvu)Bff2Fc=~Z8m>Kx|68$(kxEA2jmL( zhi$&`4+!-v+-tzDktBD_^eN$&PM{h2fWe@NT-sKa89GvxXyB3c)2O&m{3P&+*cY4n zHIBagK^r5RK))w!#V(adA6%XGc?g~0s}jpmu}R^r8;z%fv=meB3QJzAPE|>!vCm8o z-jsi}vKT6k`r06Q=yMmfS?c7IWRc-f}bveeod9BLe z3$mZDhLJ%C~37W3Zx>+)?RO8FuN0 z3cAgD75jF4R4EVxyoO@0RVdWS{%c`m?ouk~@k8d$E&@UId8%she=_N=8(On5%8!_VtK2v86cNvGV?l7~1J^Fp4MeMoW#tSa-azmJx zE%+~Dx1&JxViGq_K^CzoOJpM`5yub#$drFrSFX=atj9Qq2{|!JbVM0E$Hj}TMg~m4 z-)LIzeC&oh=?1W?&@zJa>Kv+SIN}X1F=wcNB)lQU)AB^=1P9y=K|N`v35ah9IIb8* zf5!ko0-uw>ze!*k3A}0*)-3AjyVhNyYBq66@+ZfO1Lpn+2A7uDU5yXMcsML$+%loO zMGVG;xrGvXmL`8u)Lc?0&McujpM@349a2&1xg78`7=M|gn$L`!DdPE@<=@P|lxNN= zvun}rWNcfGjWKRH^|)(S;-;an^YQ%;qpSqmdl(1HmH-{z83VW`Q*XleNk&{*e5X7? z+*oj&ByCxwWj6AhkOL3Dru5Wz!$ywWk4wh5E zq9sSQM@m&71X)29W5l1>EcW$CJWrg;%a^%R<6Zoi3WN-sbc#)xYtbRg#FWLX(HCa* z`m;SH=iLYK*$ZGr?j&Z}K~zZGoA_wyIFX{^)wLxJEBqt#?8H76zn#b_GqxBEYu_Bh zO5SWiTHH|s*S+5ZW{W@)?wVI?`+jFE|do*SEy|q9?>NwY`60`u4{oE?O??X;gB;1FR+FyfcW-r5UPD2`*;OT zHwz@a(qQS(yZv2*NBLY}_X5V7mUZJ~Xk^-`^IN*N{QM6)@}5pGjNnm%|Vz!=gj%(jjN9Q7BqMffjjKo>4N9)92z@ zI*E|fZM7EC@((ljuOT;-Bo%j|xxli~w7<{&Bd9T9ZrFrJ8qL7Z2)5<1NrbZ4R%cv_ zPO2tfK+vE7WcAq-*1`Ib?M$822fC#B^M*ivB%MlfG;#fm*iXn8Pz*SWIkHG}Wm)0W z9gL9tl$W67i(NeV*Z=KNL|5JvP~Rph9bg;;1mgZVEB?-vm4HeVFk^Qu}B%`J@takFp)ZuK08vC;b+m?a*0GO_HY`O z*v9$fe#%qM&d}skVNo={Y@~#zG~6`wm5q;0i(g=#6~^*USI1n3Nzhf>XN}}hd-yzU zb!qKED3NnFw?sX4mfN&D@Z(^QI|4D-(6m2)ZFFdB&OxhD8A8)1ckur)7f3;jkN$~>UmJ$C)pLdo6P68pGe70dsY8=(1q8uI zM*AHqrqqKveCY2~{dn{J(vrXzxX|MSN?P=z7vtoik)a@ySsuBF%`eHqleG0RE&W=u z1@32$%iAG6*y5_*KqZiR+&!=b(!5m(-y6TDD2G0HRoU2oP}a8gRBO!0?-Adr!>(P4 lnrUfFv58by)-hjHa~W_&x4-6yes2JKcR>qKI|!Pl`#%p}?CJmj delta 3159 zcmZXT`8(7L!^Z8AL3YA4vKHAI%g`{^Aw)=)6S6goLY$2Ci&+@NFd}3hOGq@yzD1!i zGDzfDhLfeT@1=UqdEe{(<$dn!b1(nET_sZ~L$VVc1+n~(CfNSB|INxw-)n#q>5K}l zt(rsSBF;>_K{=VN?|7uOTm2&{i~n-PJF+yevQiYb(YaC-UG(Ubb;Vfw>MrZbt`HMB zVt5C=rSm>Pz#%51XwlU4YLa8cn9pA90n8msmDZyrP^B?<{_YugT8Z2%-5G(?si`#a zHLCU?(q}8wGv>P*uyFTuF2D!?jgO8^V4;|G2ZN_$x?nR;wy;*s!LN{2&0+snZA?u@ zPX+6ny@ilE(R1;{q*bzR!Nc?w%NExJ-oxWX3z{Ros=~P@GDxH&sFVpygzz>vJi{Ab z>AQl?M@>ne_|q$BpOW$(Om+k%YUW4!%lRtWDTXO7g%lE{?&TN))EKKDJ1y1&Ewjvn zxv+d%Xs>(3l+@qg!`We(^*M>?AmzhE#VBLp&fBAAz?95Byl*Q)*ubdIW@u3$5J_Z(?rAtE5_8&@8jETmL#-HhjJz#~? zlF*Qm*RH&^V$P~Is%e)*+IeSBV1Bu!Q+~=1BvWn?ymNv7+Y`g+*M7=>WPe2OO0)O1 z;k9&^uYy1dTm&I@Q({7Ce~*2KrfRUa`*qt!ugKWe{ctqr)|Wu8MBTzb@WCm+`ljY@>$8ne;{(IU22l_wZ%jCo{h&)vZPsoRx=D|&Y zfBawc?NrKNK6WUnH@3yX`24l21ML|Q24oW9S}D}kpL3n2IrC8U#x%eD|3ih70w=+? z5tAbJnXhvet1WL^g*s6hus_SL$>S*ry!=1r2ld;rQa)P_TO5@2CjvR#z;*ioFJ8ZM zQq~yTnzpRE^j8W6mM;*0%J@+CwGpZo`4zQEK9DT3THF`eiIG!Fdyq{Pt*_lZV1&-n z2y_2uFXpe!gdhsRZ2#`Yp8kUV3AYI74mD?=8d&+)E6`Lk1>CM??{ro4?Q zwGW!FhT4~VfaKG`&Hj8E7jp9~L#HDE7V+cY_VTP`17F|tPg;Llf5rE0cubeLRHTeZ z%Po9OeWWtQ-$nMi`oZ(43O!VPQ_!RQqYv%=poo%=K6Sxsan4_ATlyrVOwyTN(q_Dy zoXDO^6MHMB_%77j^*+0!;H$bCJXLJO)rHz{kJ;g-9{bX!=Io<>i$_z{LQ=L4!1bBF zmA-VUpn^`B?})m9^X%K)iP_zx>G1&T(!92c!Up2CjBukA{qk&($!a2{a+s5l~eOE-@3*s9BGlK z8n5P&IcOV{{w$hAXXqr&N&}8(02`u)d0%#Bq+?^y`iuR6NqP6Gf5-4-OMVURK#e*$ z7k+R+)h+8(Sf^i@9QE}so@lyP5;kpcDSli+Z#{9`Oz#NBjfcwA6Fwrm`(53jIMLHBDONa9mf*jOa~ia_@Wg`DAOUKho-hy!=PV;n5#zRr1g zfI4#>lbX8q>)ctu(C5h2B;GJ6`)-T=gjCwM&Jngp0{D98S6_gScjgM!NMBCh6o$rF ziie-X&6bKTRE%;a1C)iK(hqnw2j2OqaMi4Fsd&nptaeYeSkz+wR0_$A{bcjwny-> z_peu|hqah+j%$5{G$Y+gfOZx>yNOyMHHv)+w&!kz8vB4@vfszayWZ82+19=c6%ty$MIb7bJd&NX)!J2 zwe#}%7lY5H*io#BpaXj`+1{8nd-fWBtB7o`NWNnKh2)rksrd`oQm(aEr&T`)hvag6 z3dX(U>U1Ba*&7_9w5_^R6S$gX4nA|#dF!$l%`@W5^W`=I5q+fb5me4@4rk8HWc-1f zl*Ynf*8~<|7p34`C9jv#FNL5s`H)=Nm)M!Nt!V`oNUAr@fw_%li;;Ue^CS?Fns&5> zsOIN|C<)2vJiJbb&(se`Rj0DQDa3WW2+YD^u$)BbXI-N+8p$7xA8w~`gRna_yr)f% z47peZg`dO`Y7lR?85R7)1FZ0PYl%y4&gGoLZh+R6bWJw$LKo%jKrboG5cZXPqqy$K zcahvE+savRIMinMNyBBD&`aUNT1jz{bwG-DC2YiAHz1|?&gZb9({v4Zj2(p%D&<*h ziGVFJ+Ljn$OAJ%JGDm9C4PTs;QvS-9z0dV7irud>;l&){80=d)D4aF)Ma5*&Lr^Fg zI4{p{ZYhyMQWlXlRyOgr$Kgu2+b1WQ?!)@q1~UjQywwbAQj-PlU*2wBmB8BEc-0E{8u)S??-JtqYdY=zR3&t==nX?DL`E0W8W^CA7 z_MW&WKmFmSyv?X~o|_IA<2xcuhv$<_ zE?V@TVz!$jGf17h;)Z1H9CDR`otkdFM@y?+9iZ})>9y&l)RwJgKFdHgkT(x^L&Iwg zhEE+|n~*_nBr7ep`YwAz!QkbYTi=5pt@=l7Hk_M1n-)>dSm6Cxon^L!MRgm@Ij3Qz z7%c{Wt!0!eW#!Ep+{bij!SjCwUmF=1?_3*-8&Q%kI#xa|LuD;(Bjqo2ZeyN8P3NU< z0x#awx?2xTK60L%3%qrU=2mzu`cFSJJo-V!v`cV>``23c)b)Mc-!D(T>rOZS?)!E{ebMrJJ~w{jW~;}(xXd;(if4mXW@IzRtG#kT ibaW!XPcLk~EaT>WPmHDOKbMG`wm*Gxib@B4V*L*i5c-P% diff --git a/CPLD/MAXV/db/RAM2GS.(2).cnf.cdb b/CPLD/MAXV/db/RAM2GS.(2).cnf.cdb index 2d7dfff33d5563f60fb7454c446b39c57b75caa3..47b390b0b78676e24540a6e45bcc53246103e4a3 100644 GIT binary patch delta 1041 zcmV+s1n&F04807HPk&GZ00000001Ea0000000000002D(00000004La>{v^0(?Ag3 zqz~Hieu)Ywssvm(Ae9ReaB&(FD%vKp(~4fO(k3mTO;b6ofD`>s+~J0}z=5BD_ywF0 zR5kOhJ?pjW#7-m*h)y-x^?1K|?PI(h7DAjNK23aS049i{?|(TVa`ZPQ@=`{RVv-o` zbtw^IC}>Bit+{Jko53@1QA$VGo7)P~5Bdb5YHsJn`fdVzSju`CAE6Ped!09GU}*cm zgRmDEao1Jc7#^a5&4NyjJKb@_U3cs4JwI+34vnX~?!#5u2JyY&);bLYDJe9b?mI@b zJ8KFTVzW6;*nh@$O~U(ie2h>#ZhOP6wIm)tq4{*zfp>RzB1;B3CW!aeTaMZW9ud|D z_RIrf5DeXZh+jyH1!uu{=9HJJm8GgvDO**ix>7N*ap_fDOj3iE8@`6o$MG;2W{wIl?B*7M0@+1+rn1YqQWmH3Vxb$>Sm4-hMRfR+uhtedx-pL*n2 ziRUNH-N^QcgMAl{#>%dt?blsxR|M!R*+sp;Vu)>uzvXc4KFY}z;$ZpDEk;)VMgL7q zNKg0hwL?%gL8uJgp?!<^iBMWbpsbBkT9%XxwYE_~3sAR`et zfCjMcAAdUks?OycPRet{DuagQ%+5dUl0f80+#=Se$@5TrgX7Mh22#>{CZI0*$bRy;+RGiR9bL#r1}D^##*H L#~%Q*N(Au%6rcTC delta 1039 zcmV+q1n~R447&`FPk&AX00000001Ea00000000000027%00000004La>{v@r6Hyet zEf0D4eng^TNYsrB6Wo}nn<*VD5opsXL{^hR3sxv4Ekxb%Q~VF^b!Xh@!k^$zaAlM* ze&3zBcOFbT(&jx+9ByVlxw&*n?|)P!ykE!1fZA=hHrv&v#Pi2DpX@sE?Y-U5lA(?X@cu^A*4w}VaeZ*l zJO-0!=-w0lQku`%i^fa4xLheMSL{-;T(K*wC6gN$ucBf|1LT_vtRH2LtxtY*N;Zj( z>#LrBNYbK}7PetaLNMrHKGj&|uD@A4F!P|4xQ-ro*MA2O5UcWltumx!-Mm%#sfCV} zXnvC14Q-Do*mdD}tjaaE{kj|26&^ZGc3CgD7$TeEUpZWT#By>S>@ENKrO@iX;=YMU zAq0JwtIO@Xziw$_KbkDzm94mUAHJ|~T>`_0dAafOM#Zq_m%3P&^F#}(@KNicj70DN z8o;`H=zsjLI#)70DKCO`292^kxA3A%0+}Om7aW`>$6@jHjyrc6prrRqP>)m+RFsvH z(0oFX_54|-mpfcLK`n<4Yhoy-d}2&g!t+Uy(AT64C!WF%<`dVg}{@Sq84DV2+bvay(?B{)atp<`T( zh+p1GKnp+7KLSVlH}LK^ngWwt){xxOam2fHE`9%7}}!+WfxuCJy_?ifwf&#dU2OZWu7G?-n_c4 zVnCejto&}^zg@I#)W}peZ+Er1w&`CpyMNdnmDTEU&~C0!vQy_9Kuy71Qiy2;fC!K>j(g~^>xzahXTd6#TC!L`Daiq%w_|gd+kWTOl5Av^L2kduA z8rMdzS1r?>_kWB7dUt!QRU312tQ{&X!pQq9O;T)7tEJq+T9`?STWlOZZFe(CsVsz6 zgD)7Vvi@DecJ#p7g1FJTKy+43?=9~R`&-*4^N|ZlAAdcnu9z>qBnMU({IqBqRjjfU zxXf;iG zvJvSNsvGfKac+u2m?`4ZX&dPx+vJivl__HIec;Zil^KglXisDWMXBj%ZNqie3tS#! zo@0Js;9E}z!^ksh0RQZg z4T>5k^>PW|^Xyi)gz;30i4^KKq@yC(QI`E}qI3OM45iattICCYWNvtp=8YNaL?G24)|$`j?) z6yxicZ46H}sS0H~WRD?P*A>z=WxhPn*IJDN{4ziH5q z4CwUb0!D!GIhGFvc4hz!GCxDdmjuxd-avFOaaapeS{XAK=*Y|5eSaKZy?)*FZ>}0#s={zF6M{2}zI`_#$Qet^pVAF!PJRIjPq0dNPEa@wH zze7qa&&gb~K&s19G*^V(DlM5~BEjmvIPJNX|0l_HE_(zn<$r=o<<(4X6Z$nu6C1H& zr~qQyq6aJ~y{?EY^)-Pv^dqdW5em;>m=`Dw$DaoB&_hWV|SexqTg+S7#Del za5PtWUCBPgefOxsxXBmj#&xDktdw*|x%!|_-Qy?;MyWga@+o$c=-b4twVG>nGBpX> z)$Y8WZpziH{eNXs)@!f@tac$mDa8yoci|~faq?Xu%kD)fXJ+hJ)+S5|r6sStC6nqb zqa&uefPEUj3L-rNzXx8}4-Wdh5CSjg@%ATVj_E!nT_t=LB{IBY;P&R&e@SLs&-V*l zU;&4f%GK3|?IwIE%Dk{sZ@w_Pe3&qsS>`6P|Cr3XBwq-I36Zt=;hUrW_8#6V%{5XzApd~h0eTKk+56O6! gp69>!{ey_H2V@54nEjBt1cuB{%5Dn}Ba=}A*G~V z3Lv)Y^newm*EMmaz98_DevB11nZ`316(uUm@ux#vJRi~?32Xf9xLqff=yzKn#$}Ns z94&NFS853H&_Aj$Zi*%Pah)59l~(?^&~MGDe;g*gaq34O-^YFuexCZZ(F>zbW;Q{$ z+FjJMZMoXDzkh7XW(}@@^)4i+R5r)$U3f@ToP0M^vin`Cxg9%}jS174Hi}nXkx5OS z(-AXM!XAxZ1)-Tk&<7C=12G&NLQnMiy!`>0W4cd8R|y|7i45;rxV<^{pOKl=i@g$; zSi+l17y5k5b{js*WKp`Qw_g}tK1`X-E^`yvzfWe6^k4SHmq{Q*w12sad(8eVGAYk5 z12*>E-c7B&tJq#uxMH-nwc9{V$wLXI4YO;)mGxv zMXl?J!~D==UNfx#GRF=MH2g{N_=e<97rBYJq~U~rIc$|6?IaSqZdS39HF(v#qWE~( zm2P_z5!TTVo~0ldN{ur)Bx9nrP=eb7G&bt?2Sd?2WPc=xCoJ}E6|t|$6L>2$36SVR zm@TB+sdZiZrC5mklX7(*H|NS+I5r%{muD=#?tA(o_dM8?S3_PezFFbAD*08+T`SLP1M(1r4rE5{jbr1K9fW!56lVZW z1W57~9DfB2fG;6Wgn46zaS+ps#+HD1Vflm`3voFl(G&H6vGs1)t_%E;TRmICTJFs7 zx1uS1GU@0BwF)@Dm12wKWQCq=YIE+W*f@?u=*Oj51>xGx-u| zV+$v``M@v5LgcTsO&B2lWfr0Vla!|GM}JV42DK$J^>WyrmzG{E=O!=v>z-MY7_J1d z*FHB;yxp{=(v6S@+nY>WZ{QT~=CB1gd|eDDAMI^IKLj> zj3cbj$Al1DaIb_0@2|A11AH2#@K>`C`L%-B7+pe}$JyWs9Mbqx9hLZPy#}0)1%ECW zERV&e5r@wYR&X^C|oZqx4?kdMszO2dnd41ldJoW z%Y{NUDHgC;4H-s;p+%O>PN<@?66eTdc@B#a?3f~bjI7Wj_d?`zgQ_r?GvX$UBd?E4 zx88GbGtyt~IJ?$rO4%u1o^C{@C4XYxN#)8_pAoK=<*8Y=#o}*Snr+i}ldt&K&7OV4BEN5f)D#9eoXjum1iWwZY%GAgc{Ix6i`G0+pd#Wt) zTnYo?S<6B*1t%PT-~^*ZWhx|80sDc+9) zI6#mT&p8Jl6Icn9IxAxyl59v^%h%Cd=Xc5Y&rp(|J~Lm7#bDhDjlE0-&E>f(c{$WT z@Il$3`IPLGV*=Tm^4&L|=ju7_P$~-di)y;&ZD8gZtgdLR`funSynhlxl}!B6o2T6} z`56aBAM+#SZ8-a^#)?*kaBD0~Vjek#(K5$yrbZV0(SP=C$e7EyDYSkTA^DBQ(GrxH zKnvodqH)bhA+xdh%&3Y)94x~mQ zA$-+Ds}evDN8W}}K&NqT+KdXuc_k3~GH@kp;#sc8Aw9Bv#eYK1JFhFryL0ugSe+9{ zUIZA@2=K!hF2Xn;fsgaeUYrx7Q%ZaYmvDunL_46|SI0TmDY)b{$U88rSs}iFv4Ow= ziB3Ox5U9d-PU1TSEe^s}CUIrR=p%;-p%3zzLAdJ`tQACTxsSX;Gl$MU zP(Q;L*E3R%NPoEToOQsbm+IpACD$&G+eLxDzjesnqQgL?SC=e4Sk?)@5l5z4H;v(<)tKt-b}r{#M7gT#S5}$wY)Yd)|T&>Wuex}I>zMKAAgFBB!`tpp^rKw2c`TjP8bfU z5go6h)NH5G;szkzafXiv*~sBqQDf&gV_D+dQjXDr`4Su}W^m2i@@p5mDUDW$&SVQm zO7s*$_aOI0o?d))5364_vP|$Pau}-A(tQLie6IB;WufmFtUW6$4H4g(Qn=G4l*qlv zZXxF#f`4odsm)@hHYZ{BJK3BTS0k)}&*d(!9DI@=s?L6avr7^4=O+IvT+fDPUex(* zIm}(9(jR$hvIM8nO?Z`>$GS>J)DYkQtUMr(%2?L4`MC173;brubV54fLF9%bdFtJq z#3N~~QtQTJ)U$Df7sEmu@ht)FYEe|1HPg=2@qaNb9(CV*&VGtz>9YKQmfdxeR{K6{x+(-f$Iy|82bVN1mE2f4k%uwtAY&$~LLgT%Nqr z$A$a0UJO_mKhIC-u8~%3avzLfkDO+oAk00DPtskDEuRDo3r7;4Z?R;kJt+Sx^6uQa sW!>GC_jg}2_ch-sS$Y+lL~f|vN6^!Hc?-9vb=~$K$=Rv=2a^~IY^NXj;s5{u delta 2535 zcmVydh3ryGynT% z{{Q>``RAWm1z-P0Rx8%VE7JS`DV0c-O} zjkK?-lAB2Ej6=Fl;Dsi1MTN_C+^b!{k6|uRg}2aU#|RnVxItQzKV1sKasWiYo$orl zI3-+p9$%f3(SP{aWQ(@Pl}t=IF39*9S$?;u!)+8c8zSm-gMLlmuA)+skNS}EanG~V z>{TP5MS&%kcrLe@)HFI(kIeB~o1Q8<9LcyPxz6B~Fk9ZFki==e9j6-D#Ouz$T8s($*$dO^6Rr0k z+~isOML`s_yMaPdlkztTtu++|L^lnwXijdHaK7`X?cIbUvW!L{-z4%*S#C8T+gI$$ zB=e!FK7TlR2Aw9O5}t~nlWWR$M8OpaNJtalR~r^YQuKV$>0HJxY5$De%i zNv56uaxZ#t*P!mJiS&lVGR?d?k4sXdHG`MeHGg?0>oSTJo)w52Ei9fyIwtU<@ax24 z;L@Kg;Nv{mf{6^WtY#({^IHPpjzPbI)}qFrYERi6jdZHpT7)#x#X(c7m1X@+RqmhO zf3_pFo?ZzlyK~LpzNHpRl*B+96>}$0*w3`CA~8DNv0IqCAdaG+=t!LkyckjS1UM3E~n!T7irox0-k>@$)Etu!{ zqCD^4RI(%S?jJFEQ6N2!5>r}H=K_te(i}jfr={7=^Hrt9dvGZ&FwZfj@P8cI3g8yl{uhrpUWDq6d7sT&^soa(~@T(Ov^?-;;(YGutKjm^8+L< zfVdbqejSZGE*joM`gTLsJAZJSlc8N)Pzg_HXC3QB!Jye_Jd79Z;H==yBBnakTp_Sg z6mAE~QoDyd=yK#fu1j5Cuf%28{uFohHS~(;E)reMVo6`;M9Ve*Jja<+Sg!^8ThiRC zK`!}=Iw!$yWS(bBMMN>Dmf^2pznzYO@ou=mF;hZx7*aSAXkaC&-XDDK%>7 z0Av19MW5xXp?6NW7g&WP@QQ)^8^ku8WkqNQSgUY@bz-@cS(H<09%0B+1~`5o6p}|j zqdI6S)&$?R_GxDs_;$=Y{3`yP*lUX4EB4AyyAt zvM{d#zt5r><#-AdS$}O}maBvt!I;0lF1yn_^>u@X&+|bu6Yc;B-&l(%^#gN$$J*qy z#6>FfGzz(^%;0{g?llnn;0oNYwOx`XJ?ijaRk()6gRqb`1Rh&4Ojce_h?036m4YGh ziJ20}PM9Gd%dmRz!+IW|)b2_j&4_=2#g-E)2qj+>=^n`6&VPfEug-Q||MZM}N#dOb z@8$I?egDFnDD{2)?8a301;|euxm;aM3l{$f#p^|%_3D>3Jvz@R_)ISU8|Ph)*i`o2@_)pZbEkGW z|8cOfzGEYD%Msn6WycY@fm39LGLBlG<32C*Tt*)Kkn(YF$VPB{R}Cjb{7iIlo|6fa zhC3j01OwS%Ve)N8Qe;4y`6o{EQ$IzXd-++cex7B^H-G29abEr@u-$Qw>k}Wwd{c0&|=6JjkHX?t&VeQh_`QFJk zs$Y}X3i;4s)i9H3BW6`Zw+4$a?sLkk2FX5oTd#!nSCGsrYg&j5wULG)-5v8L;*YbX zu1rq5a(`!5@Yfak{E6capM{&^ZR2Fh)5xo$kQKJMHXUUgUm@~s;2tag3oeK_zsV&$ zaYXHj$kPppH!>!xEs60_PKt;ajm@gkK{YH*O0=5zYfMf$N2?>>&bU)yU5A&oF|q&{TPsC|K+%B!KiE*Xo}bqD@{6% z$R*^m-*3{tIvHgzHVbu=o>WY5xw-&zKQw94@Bx{*+T=nKRSb~-;qa__+nT|<u{%j4<~_bx|f$PJ7PWW?uoWgKPnF94H93Tzc{{~POvy8M4x z1poTK_@kv)uV42$bnc!Baj~=QDA?XezQGn# z4cB2}J1NMw;VP2syZ@K_A(zq&EIRt3P|2?; z9cy3Vb6R^gd!C8k=-zZ>DdrT;IrIQlw(nOt6GoqKoEH z;W>ZtV4J%bMVq09*a4U|BJRU+wQD@LFArF0=pTPKbs;Q5;{1tBDllT>cgxC`iD_n0 z^)INpp(9UIA|%$e6NjF$=LYm_oIeqcKsMTec#s77){dsB`Gkw=L`af*YOKX!F6w6z zfSEf3O(>37_y1zcFh3KeQfBL zSAqxA+7DYyyboe-g>r6*kwS&g^CS(s^$ga~Iwsyg?Z=UO5*BSj`wu*Zk>Z4I-FM%LQ8nT| z&WBn40j^)7z4>YY1WOh5VcT~G{Db)_MAVzi(6%+<283R^LT$5Nkx5}cN`Z~wt7CHF z+yH>z9ZiWx%-7d6dKj3ubQd9D*O=4=#1P~lV4=`Jc5R!LDe&+*WJO_j7idH9D*>ir zj+8&-8pHxNMiLA(-dL-ihyrp@Wv@>KQ!~gkp(MXaLL}@#Yc& z)%9spn23j%aJ}{p7=%m=Cu#l#+Dnrw1KH4jX!&=`#g6gB?IY#v3WF7EtU02R#75{n zBa$xb6E=<(jsavmDIhhzaQGyb1C9Q1v~I>Tk%RP0qW%}KN=u6cpd}RcI9n&>UO_uX z_QO@ztz%bz81M*;bjLy_5K5J=+<) zY(mu0-<@uY9qs7^4)Wcy#bHA4k^4`oM#iZ>SP{S&xMk25X}vc0lBFY_N}Amrxy?vkoD6}An{0X z*Dq1OLO-Xa;O%_N@s|%Tp+D3U0Z;OE0JNTkOD`w*3VK4JhB(O-`Rf3P!W)_fh!E#R z%|v6Uty4G_sHz$pQxJxl58&3+$i~-z)@Lk~?Sgwp2n)hI3TP!DV8|mp9VdmNiT~+3 z2<%YYyavw%;DaFKzP-D%5gRf%de{BagK=RDlCVh(iXZX!k5KE-E_Rq&6!-h#W)yoxlJgp4=g=vQhjTf!@<@rO>T} zGYs*Pn+yVn7bHdS7Jwk_v$o86h3qxqsw)u{kFP>Cfya3NAL8I1sPQ#s*ZbR+&Xw3-T7Q@W zCzBMfAOh6xAs|oz>H|JcQniF3gby;1Pxo49r2{BI64Mx%HBKjI(vGK^Ha4%9rL zrAL7IOhzL|2u%7i7B=mEC5`IGr@}?i15;B`1rm%-l9oBmQ>Ra+<^6A32hSvudZ`@e z+8L0R35odjuKSh|>Q9j`duR5p3Vem9lEjEX=|NHTO7vX_ky=2=IhpZZ0f?uEOpV-J0{$tsoj zVr3T`I!7*JkPT*DTs7+@_0=?E82Mndc2T&%2hz&2b1bu1gjYh9l;9ts0*F_$V6meC zyH~RizLCS)g?Lna1wN$OhnjIS%cy180FZ}Y{%01=Y+#_?SuIxQscOD0BmkP?>hZ}~ zp7@BFIOZYQuK%++-&gV!)u`VN3DXykt?C2DRrO*2QCU6O9iRTFR3wO?O3G+zOUvxS=e9`*vpA*1>$j365o73O2*Be770Sf8uxBpudOFBaBkc@;*yj%It#1GceVH#mo>F1+b5?*kf8 z-Z6MWwjtoCmSRDz(du*B_^iR(tb z;=4g<4`9C@zafw-w0*sNnFscdE;2_vcN+5&8sy{EsAqn0>ZajuOV=|9>=j&h<(?1o z@d^mQ!5GYAx;2W0y6e%tF`>lbsF(;X?CToBPu3p^8`zUZ{|PpHZ@`J1^R>BWA68hb zuH7!L8}3{3-)p@#YRw1w5gYVKa=PdLue49ZnvRr&|z zF>~&u5NePrIo*pBHwbwLKkGMh8BmCX8NS?Bc|_09q=o})yDWOo@1*s;fPXSul~T$0 zrZ3z5G-ssNnJ=`P$K+n1*Fzlm5NGExu~|KJO>DE_k+R2=Pkj%W=CSd^BL;sD#p1-2 zd`$v9^>hT}`F1@#KAgMOQG&nUK56J4lKSH~+JCMD2*G&5L_Ve1EAa$Uzh4*gNVI*Xg<%M_dU8lKBmwQ{&ozb5yJnkTc@YBEGKeV+x_ z+3#KoWZnlGa1o!OQUfTt4`849L(;BMrwf02I(F*tMLK9^7dPgmC%YDFZ;b>1j~i@Q zt~2!j%l=-MVr(0|vjxuh2E~sRAsfiC-FH z=pjW~-8CD~(#^ed#B6}N=>-g%I>-R&aWJoHM)N#SaQIUY9k0R1dtc|{UFsqBU`z7h z%QGLQou(T~E_ZX>#0^cZ6bSsctY?uQZQ^}7!aT=PSrgPCer9lkYJuy`?S9&xVO`Df zz;jYNU8{NDh~(0MD$O86EiLj1U;?Dw~bIHhN3R#R}8h=6`9-F^$@qC@RM3AhC zSk{$%>G=}(QuAkA+4M6W>i`Rw-g4X0L>R1Rj9pjAkR7qvj`=``d3!v9Vjxx@4AkAY zG=1!)N&Xr0s=`^~dD52cx?%;myKm0Ho{O3!ZqiLJX`bKy;5Q3s-~4WvZ`+4Ksmut8 z1aOG_>Ak?0CFD?T=)AIL)R}?+laj>1BPcde_E)&@r7rDyH!*o(5w&I4Ze@43BKoDT zBStOS8E<;h2AKoZKh<5zbnrOa27EQ&|4cnMPqXXNY*(u+pk<0`qRx&5uD6Wwr(1DX zP0k)oS}#e{7(RR1>=s@iwmNrl zsk>`z_)njdX!Vzya-uwSAz<&W+ao@tP4H#cCXOdrO*mfikJm51dXou+F)l@`5o9?Lh? z?0PizV3}2huIc;Xk214o5@)kjZev6VonxR;a>CW(cvW!~qgWGxe7}^R z!BMHhFZvS>H)s0SHX~}c+ScCi^Qvw>k2VT|vbEh0zt!Wm$XDAK2=mIjZT|hv%$J^& zM$!)>x*bcIiKDF|NE_?ZZR~~*|=MV?=)2XB9K!7``YFkWr;>D6;-ZY^x>J>3~O#r*^3r=#13BkUNim3wm5t$ z6r(_J%5~LOdH8Ty+Dd;w z8S0mRUepDfa$nkUp3^Fcd8FxF=~#VRn)21GXrK5)a0hBqUlix%%71-c?AsvTnKQsk zY#=?QSS_dx)hvhSYBBy~$k;_*+f!c>L^3^0ecpdUG1fjP`ab0E&gcBx$#8M5a>h?O@Z26Z6*DbQzmkX`J!Q z_Gt*9Z%t@qIH@$}82Vq=BsF-00Ub4CvV`b8PeM*1G{LfV*P0LdRkJ4$xaYgedm;&& z{lS&y-2~`~`Om;jbSO0<3ySIwPCaw>-z8KTc#$unumG?s?vKn|Ae;^Kv*fC=S%q<> zLjeA6-5TSYR#(sQzfRprw}&TqYE49pr&ds)5htzrSiJa|Qf*L%K26mO({Qq!XzRB0 z4-h=%J$Sq2o|?s<-6u6je31%|1Ik0b;Dx8t%VOwjwzbdSKfOvR50?&5c5@!#ahg5V zTsx^0WWcn(sDdsCoSjr^$#@zCu4e;Snu27sF@~|d5aGerx)UH!xz2SL8b7ccyO&E7 z8Ac2-&fxlHx!`eBK){adwigX{B;iFG8dTay!bB4QJjmcM(G?HV-gUOm~V z-CGO8-$Ah}o3+2{^>eiJH0xSgq_SJroR+ez%@uodZ67R~(j&4FTi=%os6{V(!BZlV${SO3<~_g(Er*@pF0k|l zW&Ka-pzsWU8)_!6v8ir>@mU#=VLN+x_E$nxhl$qs<|!YKC++&eJl1FJwKy%{PdZ78 z3LxO7r)kny=N%4pixqSRMRiukNOcM|IN^UAuaRABA$5ZOu%B@pN~;on*n~b#kh0pb zutrXPvxzHi)K8aUt35Rc(DT_KW_(>&3IxA5o@51(^kW64}(`X%L5?~9EqL{3=F$9PW4(a=~rTWdz7KxS&D?A z>+<$gepxyNq#!#bceX$W%cQNFK3(=>DfF8aupfwYI;I0m)|CcSnsKHp(IU&C>4&G>WFe8NT&HfTcJygs^2_}RBlux*lH zX3}1WQH+98Vf}k1UqbA8xwD}K<2O^IOe7YNu09J_Z7=sgVC8j3UN?)5w&XJZ1fTS1jy>v(evU}`$Z&4 zmJtj#wVsuDlWrw6`h}Rf$&aa*!5xGV|NU*;ECTR4gKs@u9^9<2zCqf@+Nx)Hntut3 zIQ~KlRS6F40h3l(0_5d7i(!DZiI_+123&uOX)1lZ0fx}duL0ufbG);93!6TVhYxeI zdmt6_k@nn;*6gnBBXaJXM|XM{q)JVV;vuV%R3uY1N^o{}Kzk;pX?^6|O?QPS-eOYI zbe6?4A-BNss#Tn}aQN_^Tw+}I?&%z`^8-||lMkVo51qjp5{Ejhk@cu+sqNA5s<$pO zC(3@>v%5OHr|J8rB+CX=ME8BTaP@Q}=A|{*uK>W=L?s1S@1B1USU+M>-Zay`+ss*r zCEoCg>cSybvOX+lrz=LtaM^lHlm??taLyk12v9K1i{qh>J#D$C4Yof`8X;l z8_t_QqP&eS{nx32ig>P=QgI3=Jwp2%($AGHxd*Uo6jjg=jY323U%eZWsF$81?V+6< zafdALS=;MxT|X#i_SD}bG#$7T0|tmUb(sAo%My-{1V{zhbyKvB@pb#Q4>w!-Ez=jG z-Z)K>J{SD57;2tUy^<2R(rRy5F>D)t@p<7P8E#(t90*5&;6w_W#eY`Q+JzAff|e)Kiw8HT+9b9hj!0$J~Av;YF77|<#a3fO?Z|tIcoJp@22vm z6F(jG=&BgiIfDIdWjDw_?$Cc*_FJe&s;sxC{Mcv}4H5gfx(CSb}T$AH9E%y{# zl1`C0C}a2anG3E~p0LVrJ_@buj^H*e|MUrk=;F!ilKKNsFv93 zmGV}+&xhT`+chK#eXuhIi zK(6WrmQ;>XpYO7VnLoWOYD2W$pnB zp|Jhs$1BP6-^8UdI%x^olkY;QF0d^i-e=^#)syBXfxvTbrjND56|@exHqw7-;>mmo z^D$x&Qx6&^B&kEHS2Tf?+Sc1&_2>%gjW3YU{Bo$H_$?NDmj=BY87_k>?aM?jK5jo~ zC_5U2!9@iB8#aL*?miD3b&mmQ>!Gt~IZ9vxh#0we>9N)@XCCc+`;J?-cJY77Ojr*p zdtq#2(`!;$U$HOWz$_T9ASlwpN;1f{-P7`$`jM(D@$roNo`kW z`l`YNDo^^ZdZwjn@k;Vz8n$%y`m6)%^`jYu-b1Y0Lx}k73l2z<*df7Or&@FUmmKO2 z%luS;5T3xV#bcr;kdbiOmxe_5Uj#>}SsiGxxtGbROJCt>{(uG#MQUn`(&pOPI#q@$ zSgXj9p*_<)bFF-g|1~ z>bjzqqlO6;Nxlr(lRZ}RnL<)YW@+}E#gk{ts#cuPE_@25YOPrDRjtzXxaRU7qsr~g z760LTKN$4(B>Js7S9bi75k(!J&~H+w@83{0oHCXjZJ^juBm*T6Q9Oa<6y<2sk#SL{ww<&r;qY< zWGX#e3{>m*7(m^v`7Fzc7lL4z%GPBRb^A+*X*K=xxn{XZ$>Cl0l{=QgM6i8kLO1r9#;vEYBI z{TfDfvw$c#vY0nM>LPiUwaVrFaaL8%*%^yEN&9jn^t;6PT`6Edwcnp)T-VkAU-q~B z(@j346~oHwvNpQY0`7S9KQDNHds0~C{+d&T?4jf?9G;D;R@dwg{f0xHzuC-w)~U#+ zJsudpDNyxe$z(0}kv8vWpI~Ec-1LwZqwY3*<1KEy!t^Yrb&FC9By$QS#z5p{9T zHv;({A5DE`lA#zlpCupJxKOwl&gZ8xg)l|d2CEv+>2p=wei{An4^@rViKRhgs^K{@ zv(IWD<-d@Pf|O@Qx;sd1y1uTj%R#!9Z{=WAGdy@HNAp>BG+(O^vS=RIR0n$>I}2yo z0{p=Eh=G!I>$USZNRYIJ_rM~l8DSBw9mp%*yl3xaNe$a)a=ia6=el_6FYfsTNcoyZ zgTOb-7C4U)sXzPV~0vf2L{6ec_ zM#prq2ZQH3J_^7XVp$iBF{}5uQC7*%i-mQ&__t2;ZH)odUU?C}NHa23e>Rxcqc8b} zlXyMtA#XhT$DwF^8j<86Ed@ zGkQmRq6$g)_|rUFC#vYM+3UHFR>$JK61j?7EO=1Op859dHR_9zrCv9Fx44`6qQUP+AL;kQ1N zYf}G4rUfcJEe*Hd>)opYr35F-lv|03u3xh57d4By3#Sn&Bb*&E!we}PU&coJ5mHWfzSzk1%&v;d+ z|G7BN`zffl=f}IdFP-+X=8DtW>)n_0{BG{fxua5-g;m9wOja@(D(2Ru2Rqr%hjuPr z%5W7}`n)%p6!(C~YyTSkg#0!aYSJyd^6+=*iN9|f@Ao^#8AP`d-M$8wDmNVM= zi*1+C3EMW4El<;(sSv^cKG4g!U{bVRfCp>ujeHIODR4;tM#ZQg-^Xqi;3?ebHw{|^ zNT^qEh*yKStNGpJfgCJD_e#Rqd-L?fr5-`R?D`L{D9VhX`RDaSH%Qdo%xq9dpi4`W zaaLsz(A%;0#VeQj>8AC&zzlw3-p$e=+NOt;S@+;uk3OX^x5#f;%y`YR1zv1-7vku7 z_n8uA(p74Le}~7K-J!eMcwPrQ?8q*to=@l4;P-|tIxV$+?|zZRF$|z4-%ec=D;!ci z-Gyx8%GB95Ntkn==92<9ahQH&3iDKd=Q9*a9RAYd^o{V~?0guuR*7if8@Elo83Zd8 zDRa4Ly~vQ-2627#F@DJ`Unh&oA@!%s!#BWGmLfU!aZnqqO0M{df=5A8 zr3^9BR3>rndH?3@Uvk&1(+xkXQ;tMZ>}c%wM``2Fz4zTwJHYkSi*KQ-oHSQuxBd~r zkM`xc{AKeuA|e0)?+|Ln@n!hTAp=vgROyh*$oF#i&_G&a<2ZjuA=bW+gfz$bN1i%ow&-~1$n zi0Y&C3+4mMeMP*#oN{*GlZ(FsbB%89&u&c1X0 zK;<@78|*MitMC6b3~!VbF?d(x?kU&uXx_T5Mh*kxO;6?|ap{Ipl{{|?O@yHX?;HVR z#XFYf@1xcS7VcWO4-&)JeQ#`kJAS*w{IX2&@=th4pEzn=!h*H3zl*^8NQbfQ8E_UJ zoqG~k^4kLZgmFe-;q6eFdXhS|z^`-hptJn8_;01J&$zJJPVqV8{*`31J;KRG#&KQK zB~SLHGvc&ckJK+q9^*?}*o{tGtFe1aOCJlJT`V&B?%P%BO`i!i?*hV)2it*h``JDXWe>#!(aw{++d`Gf;H4ZUFMNNLVY zZGBT=)bSG|{ZQ7dl8`)bN{ifn5jFD;WaawX7%Z&zF5SD7h{= zvKQ0g+`GRdG>D7BD>)q?%x^{1_m8WPq;zpd3=j^VOy^lfADU% z$Doo~S6zHu-VC#Ptn_3^VQdUlcl>~PQf8evnqmE?71zqA>iqKjq=+_Hv(Gyca)SPB z=f$35+;Yk`bQB$ZpN!)oN)QQlJ#Jn^sQkbT?{M(bFI=4GhoXkv>a%>`X1LUrm#l!( z3zM?V=_aDDoVu<$Pb3ddbM-G$In|}i?o#On-Mqvy1U5q~6@F&fOr0Kj11DS30_*X8&Mn7n1mRpDTDc`a~Yp z`7<)RJNlO7zomX-97WT`guv0fS=<}QheWO@Tx`CR`(d<37war-2n>FGZWAS6SKAlB zH)bJ{MoZ6%t0&@uRNK>?o2{)NdX6V7SWG4)gKltEkaGqK`;-u6e2;Nf`*gqm*g5(y za8NZJ2K*I$eH&o?nahiCySUDrSzbVd!h94xTK=E;b{@YwE;^vG&{1t%$zeIO^qJdC_TP zWBReMkVy;@9L8S#q5St_(&*)3^zQ>>TGo1i80MSnSja4EdJx-)>UmetS5StdhbhGa zq!L_Rs}WOxqi;H7Iis)k>uIjf=HeOEd zRwmq_Se)s}7(Jzmy+q7HyHI5wh9P8a-m@xIokgM4?9}dNW5M$$L4}xpM%C#=Y@~O* z`3)*ib$y#@1`Lh}uFj^iwXk9^-*0As9>C@>z(x^})c+Aw9Y z0`eUWrKzyIQ{1Qs-~sQOZ`FCn2mSZBK4`Lr>#Dw})u>MdYGEr%OhCKN?IBg&k+{@e z*~C{3Fl)Slo*U|ZRL1U(Q*74MywV-aeXeBmajdz?*kGaU0<=Q(#k$^B?cU3z_8Nyu z-93dSd05_y-IO)0652ZuDmTIFs_|1^+|n7gCrQS&zNxFE&yYqungO>yM zQ!6}*ZrWu3Q_8|8*C5GSa2w*?1*?Ir;2d=8Ki39v&jt4Szc3!9x=M9^T|wNY6Dm9l1RJgwZl=|7IDi);jI`OR26L#bKPb@CNP z%`9gFtpF2z^4%p&`#}qszm~|f^#aWQ;kSyRGtld!AkN2;T)QC6v)5bsqa%VgV0{Mf zdu;m2p4<6gQfjTt;`$ZGYY#=*mJ9E$(-nJ|DR8LaN`!>^1RA1ax?TRIk#l)0UOeDX zdnWFi={$JUNNU&=~zXO$=@f46>X_^bJqVNfWZN z74%6FY8ueFZ7+Ar$}RXUSN}b{Oqe#Y>DC#$YS{Jxt!N7o^AMSNhQXNDcHNq$4cH37 zE9+ZP>lGTfM^Q^2aObiCT8lUkV;Z5xFJ8K1f%6*Uc*vQ^Y?}1#B*dtzidNf7_u(Bh zgVH{+tst^;1%nvXOm;FrSGleN8vtXZL(3MuyJ|lFGsE1kpy)1P`YafIRP+ru1 zJrOwuv{2G<6`sTcUt(mA+HlN~?t9JZzBBuyj9ma3`iIC02wGrAdSBfCc~_dM#)lve zJ7ri=evxt!ugDVDF%J)bpN!7cDadebJ$0dsFLOJjGuyY?;vfQ+^VVDEo-_4SY`3_K z;_d_IF3AxefeDeVR~m=`K5ib+Z4e2xLSmsgU+_1(XPLNKEO_VMnlSvl_d3blr)xwh z)jf0<$w|-@0+1f~zIe2MbFeCpM&FRrJGFL}iyRrTp~4#eYPh#&Z-!6{RLF=CQ~s&CYP^8Ly}DyiOB0vxpjEHz!Gr~>)x?;@6vIio4oYxcGH zwI9yDv9#%5CUYYjj5Nq7x_I~c0Ib;{{Rq*E2QJmcfGcf?P_4SVd`IH%_a@j87>mu4 zufYRZ)B&}2|F_(J@XjkN2*lQ@dp`%M8_S-4j8UP$PYM1JhK;E*L~HVy2(zH6Qwz97 zt2t}3=E6C(V*U(MH)63kv~y{{@eIi(y2F9JQ6To(Ept?jy$9aEllru>!5F;K0UBst zQaJyCpF#)GNtlc*#SR10M9y20Of#!wMOp0k=Vxg@C@n;j= zr0>eyWP=#mixVxfz+Y<_s_V&yf-(U~LjT4KLyTM(DRQ`}SdobqZx8A~ode#FzeHx1JIDk!1x%@VfO4*C^awlf&(K?XD3T z?ns}sN@MUlu2okN;e#d|*$>r@!f(Brmt0J7p$_8DehdK4-tZuLR^dL-F)!J7*bXDgi)$30NDsGu>CW|fG_dFZ^ELZWV!#?UqcZT7L&h4Jo#fk*8 zjBM;%004q|zI(FtOMnYiz4=q^e65x11=cgDLG5)Ely4JN-CL{(po_l}ySzcXBl+bG z+OO&uA$I%KIk$Iw_x;ABrEmW3*H6ChUOgU5NIo)C1vKyUA)3iUR5?0H>imMHzvs~3 z%dgNTu>;YrPIG?#>a~0-rCe=Gw)gT0az_9S&5CG+K)08z9$ABL4pqLvUEasPJNkWf z`tj%Gl%_h)UecxU5GwSp0H0_r^ro~^*I(7C1&3W#zINy5s<#$l$NrBGB7=k35C`$J z$6tUW#0i^?OT}7c1O3*OrNTTV<+`;5^2Up)!P!;6D9&bi|vF~6mYL^ zrZfSu>|$K_{r(#Y!`dLx!Yy~hPJisMz%xyC>mlJkoVpTM_QVvyAHxcn>y7CcD-P4i zJ9?x7+y~=8*zjQLH3>V2=#YvTr>=EO*vRqVg|9xd<69BaoHr+{+BJ13$BQB)n;B+8 zzd=h|*>Da;-)(zqxa*xeThdDUwS`+H&&;LJV`)n!U6u>sju|ouTSh9Pa)|CX95T8` z)1WQHqZpkfc{+VAGj&}VU9G`?#XOk17p+Cbmb&kts=m0gQX+3u{_)WN6oI!NZrmYR zj}bq(qFsdsIWy19Uju%>EN%bH=XC{h$WW8q$=-Al8{49!5&;srYwZ~GlLO7%a!K3? z!Fg>9fVKPh(rtpKoe6mht%N?M>1tT+ON=&4+)RCrm>5^v1B$-cla3Tuf3V$GA~@^P zIV=8+TH^fl=WiX-py(S6WGeM-{jy-o15b_*eFvPAS~;WT~6cAaxc6X zE7@mg1q31Y2GayZ6!uQ!(%Sw4Is&kGF5(Vb+JJq4-6&#O2A`Z(bk3C)RfO11n~iNP zgPg3J`}+1n&#vp<7eN10P+iLbst-VAH2+O(J=K`^<7g|b(Tqf_1Qpq>@uW;Y8IVX6 zVi}r$>NvCtBzc%IX_ndBr^qjxbcrVl+U_k0OQ$1ixV+7?yf&f$UZla8jK!VLFI8x% z17MyUlrZWa$k+4UB{0P6sWCJ>BbzHib&Ox3y<^u9yx8(o3F0M<8SavlE%SQv`+S)G ziY{yFp?sj1zT(9bHq>N;fAUU^t@(t@9PYP$H_-o`^}rN_5=F}$g5O?gns)6|r!6Wk zZSl5~kY}ReK;G@l_3!%=iD|%FV22?{S5l30IDN%^O)Af;600-#mQ7`=Zw;>xglD@lg`f) zA~k>z+A#DF8W^mVF?u5K&%!uWgHwW4kE>CDFF&u)?52vH!}0D(%v{VzknER3_TdTG z`KFRUlV}-!42m@mdpSF&n*Lsx8@!@WvSrumH;C1F1oyi=9Io^Z6b(;uM%~L3d?{ zDNVuflk|XUDL!s#>h{5?IB^Z}M3(68MMd0Xkt1M-2%r;k42^EK8%WKtR{;k5 zKC?6l8F+xN_<+N#9oU|!ED4Z(~N`BNbKs%~zDxxtf(jeNrZi=abJ^^K1wk+XLq(InVD6D6hrpwVh3Znf zIPaGsJh+QfkD^~6FJ-=;Jbwcw-ySQd?q7+E@ckLRc^jGse-12<5AF^cLs56Z>~`jr zf@3kQ@FI=4_ZJd1R0M|xVd+@AfH38^StME$ZCisXm5MGzah&?eDsKikVZ~|Vd@J5MhTzM?@I%qfZ zxb6i91>sBLe_sF~r%vQeuOmJfeh{NS7$!t;bbxkAu09f=JEEz;EgC-3v?KFH#<(y5 z2s&Ks4{wAH;n|!mXaS{{BG}Ys&SH{3$0C6u4|dH@Jb2D%)Z+69XNl^RJm7i^&6~lr za``(d9gFX4*G25}lEl1;xH`g1WH{~FA}|b73>I73K>Ucwpr%P!a?*$gfEt@|*MO(; zCZh9Wv=A3DT~%Jcpm6FDjj@@4aqe3HN>Sk-^QX(})>q}b56jGp9_eyEmdN>+zn1do zMj*Q5y2?NAnEd4<<-LL>wr85iNzX1Nt_9 zCCVQ3tkQLNbMo4U6pD0>K}|SNl|IMtRA58zumdN07L6VwjA^e z(khzn@^Ys;JN2)mm71LfTL*V_zK;AQXMLZPvs*p7m*Yva4+4HL-#tr~f6lOT;irOq zwVrye3ONL_g%Sjzu>97+Dd1S}m^P-t+zjiikbKKu*%Te9>Pf~RCC}y^5 zTp5-xE&{+Fdd9*y;m$r?Nz8dRe1%f#rT(@2;wS%+-ok7A9pfhE3Z7f?C{x;kO3Lz) zP>}Xld8&l>YWnM%UgAh!zW)odpa1tBI1H4A;%NHJSN5T{IVZ2``U$Lf@vs=Wcf;kN`SMWnrO*o^6byrm_@Kv*cok>4f zd@d@M%IxzlFOG|u;^(BRd#nX3rc;U+R+g|m=9tLy)l__x(1$oG?+W-cPv*eZyZ4MJ zV*oTQKB`eSEf)_Ho~DVT5u+o=iK|sL97Mnt$%iPs1Rgsc5mfqd)C4_La($}$7YJQ^ zZEM&J#VdpZ67<;{TZ05Sj`0eDncil9(N%|Av|9{L`ZVn65qyY@xGC7W|6WvvI+6ug zX}ZvQq^TT1nl-=)i^zxjA$V_#k(ypSEl{`cHuG$Kd?ioA6<{C$A}6PR^nM#Jhjb@rcy%&9<+x5X&&Ku1|D~Dpi}cg=$9Q) z3URS>K^+yQ3nkm%?HP>W)M8AFgq?W*Qq!&%U4^51+4 zhd2P;U|0QnaCgKgP^}vx{(=i(+}WW_Ef%1{6kuPfOsb#KVxAFbM&^*7by7H$X7rep zexk7^bl%N*5pR$g6^i&nM9%l8pSJCwL1?8u0+Y!Fp3|eFm|}eAzq)4??Owpq@^@MY zez{a}{+GxpqxThKxy4cxU6RO(h|?!)--Qw4eq`>TAi6i(fj4a0`SX#+_LQ9X6(GMj z-suzCuc?Q3<{seSznf268c{y72V4Girs>xl7BE|SEK&PgcAs*}w;dJ?qRz{?7Km|w zzHWxbPlt_gwPolh)UY z$1-PAC5R)gcdLjGa^T_vbvNDJZUZ6i{RxC{`YrPJ6*5DrKPytX?I5~%wbZUT=exLw z=?an_{%KZ*)cgDKv1@#AlFh2R*Yn;sQ;KpNeCg+~q|M>3`NSai;mPeB;r`Q~$?QJn zWv~CFHaz$26qI$o&z9hmU!~>MAeWRZOslUWfEkYNk^7g_9KW_W(VYE^&fcF z-SW-|{&8cydoqc9(h|3PT0RukHBPG@4;e_VOn(L?nHJk5%4`QyoW^ZKKPYy=HOo%v z9sRg>{XwZ_%ZLYLlS{V^rz;(*>}d~~`#2(Rj(Ztclwn-tT&uO0udg0{FgW;)|9Yt^ z(bZS~O6{K9>zQ{+vo#-KHcQ(Wi5};MdzOXo`}o;Kgl(Akmp&fW^vLMGUGuM_E%B7G zX`ft*W)1O*OY}$tA-&Vk(KwG}B_mC}PS#G~Lh9u?a>&2S?-n{)4Kgj-IU|#;u+4^ViA!9j>Mvm{+$nTYte{*XM z2y(}I-`aM5J@`WR`bn_3j)rQdmSxhu^8E=gtV>-uKBL>i<151XDsm|Qtw$JLV%(Ba zIB))^pW$ey)f_e?FH`(Xmlj^%cE3O_{?)7P_I53v5hrd#eg*jT+H|Bo(zZ11U1i&v z!%)|pOc=V33#qi$*!adoQxrYik1f_p)3LJ7cas@7y8k^j`lZ(O>-^cW!0-88HuIv_ zO|M!YQa*~;%X?kMC?%JsJ!sLif9RDozVp%4mh-m3v{B>Ud&7;hUu+NmyQLJ=<)Oo6 z^{xDNxbD-k=`6eVkfguq0S13dMZSJ&eS=ms;ECcn9u|n8jc{T2XOgE)iqNJW=A!Wo z-D708aX4?M$J3i7-s_Gc{Fp|??C-Mzp1w|M^0t+``a!O&6ahDRKXYq^n?ytLfRrt++2x zTw1KSyL)k$;$@+@EG$r@_~PybiZ1T%?!~3J6^F(3<9WXykaOlra_3GanVD;ndztx6 zrOz9(NM6D#9!zP&d-HP|?lH_0j(za{is#yaj;Avh$N1oiJ!`U_TWzHC0B%FBbnC?C z6;LJI)5^eDiksX6!2x`2#jlGVWW#Iy)CtBe?vac{q|9O6ai;BhU-)I*_O=V32Kf6@ zrp4y$@-a`l)4hdPrN=S~*urTi&^katk_g8~o9pg-H#3Qkwlqhi_V|m?B#jJJ=6n&B zEqPq9`(Lqira;15NBkP|AFO97{4_|7Hwz*R!=(3o**0~Aw4I4)BPow2vw(Tp;M$=! zIMdTYq3q(v2f6w%`Qr3B@`*SQ&F@2ajU0?9_#|-c)XlY31BY&3wF&Ijl9NoVmVI8& zJmSWqz}q&lh;BtAl&oWTz%ZH-snSx2R$eb(L0~Q1qWU{IHgjslEE8FIGfhvXiF&q} z_|gdjFPR;|v02XfiCy$C?)7=q#?!)P#N`WhBK9m(#@JDCXDc$FK?ahTUVhuxA1+q= z7}Pq;0I;OGcNH?<2oR~0$%`JA$C9m&t=@XK#%k(lQM7J9hP7FF)Vs)mgoWB+EJwKe zmvlE=3peVLUK`<)i8g%{xzkp{06QpfUcXe%LA6cSl?K<3;cLKKAF_kBoP@ zn>O86!lTuw_RfiaR4P#<`;cg$D{n7vogv%Znwxzle22QOJBjJH_Ajx5av4#ZdOR#} z!7-5CR#B|XZddYF-u|Y!`sT$QwOeOk{of5&t?Jvl%(#nAj;W;UA_aJB(wg8n5}VzcR19=i9T+kfw}m zU!$p;)I2HM8o$+Veirq4yQTZLbUzb09@r-bK0i84a%jvs^JA(urnvxm@MP7Ue!+_% zj}Wo-Dw=Ppbvj#$wvM*=Nm`~Hl8tp`y7S+Ucf&8uTvY_>fjX(T^e-u4%Eo^Akx$pi z!IPhXP_5VfPdr4tdVZy*Gxoc+>XEM&ij%dzN#nE`h;qc)=}XDS4(FJGl?ih1DS(-P zrgUdh;hL+$<@3DPcEntR5^e z*J&)%&i5O}taSfSL`Zjaeo8wT<9e`nXj9rmx&PW?q@YudHodT0H@jYr4wm_ydK)SA z9IHd21gz1AW+&T+(!Ff@d8D$T1b60zIG%6!n6AUGi%Ac*uzCG5VGg<9C8^L7O0{O1 z`3d}P2;@v<6Qb`e1gu)_VNHh+@jC*xSha+wzmK0|V;fCEpS0f!7MU>>pm4@eg@WW> zw*Z6-W23dtxz=HPq(o1I_(=YwvG4ZwRCqk*D5Yjp|`Z8hRu&^A# zmN3vTosrcW3mq+E5!WEk{qGA+k#*ZlMTa>>z3z=E(+Z_3Mbh)p4LAB1hV1+L9W90x z#jK1m*T)rYu7vvt6IS2V5JAJBN_l6p_^Okd&L}R3-ttFd9r=`!z8SY>I#Y}L(lqf! z|FrOg3XY#yAkFz^KnL}OY_KNlxk5Md^6_7C;|9ePia%D4E%6pD_8RYJ&yq6OR+&w= zTlBJ5;HJHDW%k_TL8bJjUK9J)M?G_q-rRuDbUid~Q3i{YVbM0i;WcHu8+}?|Yyc?6 zL(>If?w%*Jjv;krtkc_gEcg-ZrR$z?x5HO{w4^Z3G6dUP0TLWz{q;~^GzwawX!$Ee zCxBltGk-e8N;-8AH0)}tr7-l)?#x<2k0-&YapKGi-)W8|*-OXX5c7L=orSn0v+tt0 zF6KJ-GUpG|-Ts2X(hFXQ>fD~RcWh?ug8OsYk@+9z6rGm@o@j>qkIvAQ`9-sp7WVhi z>=W|`B;X62;Ui{H#<>14_VQEudrc9ZYc++-rP72VAi2ur@2#eMWIlxkG`~Eg4x{s* zM8VdQIkaqt>G23)%_XpFc`x0%2$jIsHbvf?S;15vfH2o6U)s;;$46L}LWinTo~^{| z>LlI1uWlPkhKGJ#UW?21i`IV$v6*&x{8Ksts7U4M>}Va!Qo;3#{xku?1~jKonbpU;pG~w%qXN z;;k{1;CGek*@UhA5B0=I4i@~cNh0;apZ+-FK;U1KLQB>(Pw3BB<<~3;wH77t0?Z3LV2x%&LxWIKhHq5O~IcTniwEg|QK5&_zy z;rRkajwcVPoIEQ&of|i;4tce_l^z+wcV7xC`08kb9m{bY2DG#eZRtsu)R0pYE10*f&Pm5^x326I)0oWL)-DTiHEHK=;P5v zaZ~>cmBCK9&uznTEaNzV60l4gHFtzK#xCD|Y2nyM{(S8lMB7U9p(Rzzs&j>T{pa7Q#BRuK)PR zdtjhVGSruIDv#*&1$BQClm@J&;e?kD?|Jw}jexcBvQ_q7H^{Pn2f`kq&7~~^b99+F zZFwUm^qYj|k6E?5NL!(;G=m8aQ9U2Z&-V{f8C#FB!a*R2J)TX<4Y+KRi%%kLz|p-| zM^m0nrJ3>jJnvJz6kTxTrsRIu~MT=Q^ZI=E{aKowSHd`AswV_rXFKMQt_bdwh2d>pa$%x+Gv>PT!P5SPV=a4+o*Ox0{qaI&|8%P4E8L3Dy`^I3 ze~bCo^GNsviS`Yp2kpG0s`zs%qb71xQPE0k88KdO3HDv9zTMb zaju;uuxyG1%SHUPq+K%683pKQn_?FYg(1U6HbibFiI9m5N)+u07FFVYz zGXJn+hegmwGcYIVwz;9eQ!wHN40<&Zj9`x}liA|^N7cIh&0GCB>^uvCx_@8Sl;LQE z=?~e^EbSej43yIfAWp^4?6A6^m$@^L(21x~WueP}1Z+!g>Jn6-b3u3L{uI_UP87z= zL|uZ_^%7{k*~syT`4xp7#aoyvQzTt?zSU;QVC>s!i-d$lm3hdzO(<^k`gS&52X~sn zEasHUu3f&rl`00;7i@gnXb}1c1;1N=ouv^)fg0FH>`g1uHQ6Tc5AZ9UHpSgQ<1dY;!cKg10_H#oUs_tf0_7hv9{mz){)> zrXh#x1-Z{$)b`iuwkh}=5_2h<{L4#gik~SUG7spmXC!U)_=tdG*S1Me_qd_(OnoCm zILI}hPGA#A*vmJZg5jTYu7YQ~;R$m%uRYfM+T;h7?PjVZKw6W~;K>(OJ1+|)BWf3q z1}gm&$(kxF?JyYhc@Rt|W+(Rb!+3kt|;;@ddR@K zw!(9{8S#o#H*fyJ4elk(O1@pOj)I zkcXtYS}(^W=990i#(2_Gl9n!=fNO(9yp~{0^qm62wFd~0IA7F$SMyM7%H24>)u-C% zG4g2S%Q>R8O%aH=OQFCA8}S$>b(ZvfUsl7JHWjD-Zo+o!v8n14Oc`ypqUeAo$69S;3bW3!vTwhpCli% zvvFf~^FYX$h*m=1!MRRCFHac&Y}ZvPOQ{noBew}fW+R1gGjKIjj7AQaA?#+KC+}cy zyC~f5O)P(RR1#d_RQI}+ld92~1FvD2w}o}Pw}goI8y1%d5GVM_Mz6P2Or7rf&8AbR zmg#tkEb&*yU|2B2r4UZSj3x00C$!I!1U<;tlY-%@9j-B;DtIJHOMuWv9>a&0F7p^F zz3`&XybQuZy1UWm5~PLRCaX|4{qx}Y*HX(xc7n1$ZWSqfzrc!$OMpQw!J@^zW!1Sc zMOQk7H?J=J4ZSVB`TOlQ!o}4D^ZV63d({+Qxx{Yz%;yilopRHV{`D^MZ1j!v1T1`|e zb&3XjwxIe?XQWek5ydip;KgSZyrswEV+L#QXc`NE;Ackx1sxbgiitMFE}u3EKMU4LR>cq${<=nVni-& zOJ$9I8aS2I2=Z={<$q|6{yvKTH39Vd2h5H$W0xJfL|D(F-8K!(z?Fn4$k0pfeqs2c z-veb4P~xwB#IZ!li(LEm*P_gJyF*&zBIBWy^e+N}hIX&dX4O)$Tz{-8b(&K& zzb{9Gy@FkPtf&SoOjat(@KMP9xVuj_ery;6LujLO)&-VDEUl1C6L31p=%`(Fu7NDH zo&%Gpytb@^&&jqnNc(g6-4uVo|remuJB zX3q!11ZS&ViTo^i>r<5;z#$cGLK1An;C z!=ls2R_M8^Yw{%StC(CH%^`m>1-O{7M~u|hjPu7{H7$1%nGDOhfJV5xgf25*yUWwY zU?D?om9ijO&IRFXP&m#)SYV+8^AgrP6O{O;w{V8Ng@Is^DP`=}U!UHm-e_?WKu>0yCks5-i)$tn)f7`9r-Vq^x8nG~6nZIJbDIs1 zzZM;m={E06i$*t!9*w-QJc>>La4Dd&gJZn5!{uc4wVdpY&!l(jQz>AmL)13>KMwVv zj3hmt2R;{b$W>Hr;NgSB+)qqY+_$Pg>t1gK6VQ)Zs`1?oKh%;7Q3sQw1c zK)qEs8ivF4i)OY&2%#IFMubn$cDKKA4_W)`lzXs|B|^xL(!&1WFDZ*q^Q+NnAsgkH zm22`Y<+d4ty+l{jyFKNJ`9J(qGS{fU5oP`zE9-c+^*xqYr7Yh7%4ieh(%WpR(2hOZr233rKQ2>8B1lrS|L09I zaL0LL>nU&F#@H8Myl+LNhple*-c!}ui5EQ|K;5VUatcF#%e-{PUdpnfQ6>~+b+4b< zHvbUV+-UKZdCrd|(a90e>AE-l7E4*=%HivYYmPB>(&LIQ>$|Xpylp`D3C+1WTGxA7 zU^~JD88UNSrFoM1l%W3xW5d0*cm)hT(zpq2EIepE9zp`FSL&rI#<#3|Mv09e6SA8y zow|sAzkA|Jm5-eJVM?no5hy^k)lrNo^&iOeIhfA0XV}h;0S&P0C<7sN7>~W%EcKxu zwlAl&o|`8ea3-oeNJVYnJ;-GVLq8PeKNj5b8DL$Op=MWQ|5WbJSA6`lE zHEncjs1o)bUfVJ(!m`W=c40MVXInGV#+YPcu%C z9{tWafS*lOD+<1Bo#HzL_^)8vj_Nl3aqN$(6+~jhxNIF$6=af*1i7sErXD@VK24Fm zb;`w@P^wRr9T)B4Dd0U;TZAO0)!SHWG4ad@bP^I8(0PlpNvG~!?jD*;!PSgFg4jSk z$aTZ`n)R#kpP#LCbqNYAu)9+@X2=cy(T!pje!Rrbg9joISUt~?pdoS_ox<^CF#Fyr zX#=hNRr{NWd>Y}Qs`_HS!<|*~qOl8{s!cVw$cSEzUGnx~?deAtOLn^Hs1=OG(Lm26 z-y*x8fzaWt-F0+6Qk!%d1$h;=Ta@n}LH!7!;E%fq=^e3@Y=z5G_IUjgzs`j#NUfBH{q&NY^r-Nhnp@Gh>h)VH z97k6~@!e(uIR_7{WGW|nk{n&u?_6@VaZKx$#vH9xP$sxv<>?Rj2{f>Pb#{0ucBglj zwCE)XeJ{hO2x2G5Lg0yJo+a~p53s*@jVIr*fwQ5Lu?BxlHP?cl?|t4i5k#rXL)CB> z9lvHJ`KmB5x8UM|)noA8xF?c*CXy>s1y0d0C}9-E{k=$nTRT;LfdSoW?A*N5-t9OP z5%0I&x3tp?L>uH9+Kx#`H`0+#{O33I;GjyJ7dDFS$*aE}_&k`;Cyj7yzxDxVa6@F1ulb<4F}XoHHPf`& zZ}D75csX^n;mM%LBKm6aT_L8-DyfATbH_B!-(?ycui9!Si)M#t`ey~f9P~(1aujbJ zH$7K%!TG4PV7|Zmqss|_l}8Jd`StoXTV6$Wg|x1;@ml1QXz+uFS-QN|DNJ1l^6Ody z&dvNTm|)eMtKV>GnD}W&H+-bg;VXY$Y(3ul88{sqsUzbrG0Y?o&&AHTXq#Q+Smz<1 z5|CHal_2tsgd$d!Vzna|nVA0`0SqC@IYCJow9;Uu)_z}Q#FKT#&r1UC=nMW`zsSf{ z-jP4IX}J8|rnHRmZRm}Lbr@FXj5-V5PP4u$>n2yJ*cS=C=xm1?{i1!keBPj}>cYP3 z{8_I2$8k1NsF-@>5~_u27b=$D9$F;nG%1v*a&~7$p^0WvK8a9c=b93|{>tJbb$?V( z$$B`ghTPRSwE^EcmnvHn5O*+KQe=)PpvSkuYF3T`;~aAMS`P4U)yok39y#w_yL|Fz zc_P;_ay~}o7r3)_jjBO#v-Kacf9^qz5o!FwtDJqamj`ovWDRF9&#qKaRb$XKe&2%* z2{=kJLIR4le)bg^*h=MLsCVS@$a--dmWENzivIRFLHAZ;gQJS;6LW`s!N&fLosIHh zYm49|huH^S*(Nr?8deZYNsXb&aPtV4i`cJ28zd6Q16WZ@{_f`jF&l3=)?p2itFk_P zx66BHkkb4-vNXLb9FU(QqoD?1sysBh;TNm`_&FS>x+JH(hLxZwz&14Bx%Yowk)LHA&cC=; z?iO}+9_~baSf+Jj+@YO}@SnH)+<{BYP=an}9;_&^dizhZi$bAPLQZ)0m6_$h`w8ZZ zn@k>xPa_=wnQ@W^%IgG)mEHNoh>E+&;Ut59@Q{5r+*&FBcHT9-$sdrhR5#$oQZ2sW zs9+qFY@2)AgZCBbp%+iU-pmM@gqAJ_<5}TUoO?6i*VKDO<7BEQ7h!pviH*)v_Xmw$ zK;<2^ZwYC^E2bcIf33U-_V7PCrr_@ZJUGLUIPPZl`7lI^8GvHj+BW`KcWs1WX6{30 z`vT?MW>^K{xYRijf1pxzGc79Xdq6oe3)(O_HbB^!lZ7QHW@_~H;la)1AmtG2Voqx2Za+NSQ|o@naSv?u1UPWQZ# zeEer%5!K`s72)xL*jXfiH65!KF#_>Jy%>w<^Z3=Bz(Az5G-R7wtjePG!TH|3-L}{r z1k_tzbsq|3xQ?b18hwr=@yQeCJh0VN07RWeGaz{5V2&zMfm- zw7}n0(i^+;cM-?V6`counZN}3r+Cqs;l$tycBpt{IR)nH0Dv~T6&&!UylDV^sQ z0!Up#v*Yvs3qh@XsAG_We|^#w0*@E}@ICbW$R8~%hZf{3DxEZQ74)d&-SlZ1-!;XC z*{xg)C)J|4$+yJ@eXEd|!SVe`-y=D`{r21n$-H<$EZ#WmeSmTbPjL$|Sp0LRO>~vkJS!7U}=h#nFKA-#e7wy7z0HCl%7q1_rOpUQMLr7++ zzEL$^?#x|A#@u>@4E;7!o(J*`d%G+nhsaQN76=uxAyk66rUMnik)Tpx9>*3$9o_ZUf%Rn=3%B^yY>GQh9Pj^7 z&{wI4ppx(2kDM02y*uA@YeJUAxf>^`h45!6kq`aqDp~baG zQF+J8ITdblEz|iDPZkuVKrQIj)XxRQq3w!n?K5sA0m_E!$ z|8q(I&&$i6E^!P%zHhMiIGtih2U%G74CKe6p*GN?%~l2!f--)RP+FK=(J)qw2T3BI z*f79RK=Fsa(3W4I_7AW=bL_k0W5wY>LXx3v=gv^*8>3h0)uX&YKF2EvVkVlF^L7wO zuKl<}=I^WM*XX(dxl3h^ts4rCDP-WyPg5G9OOB2h`LF>L*51zQlNwnrACmPk0_X)R z@cMV*skJ@0V<74tBh1fUJcKB{hNAH+ggW`hSR&oCQj+G)v~Yz(@Y#Q^Ue{$oxmw(% z2Ol|o&}*=^D8iULVE5o0XGpak3jY4Pt&)^jkDeuAO?vsr`85k@5*EsUi(QR09~Hn$ zvTfmE+^U1JcA1X**+IfaaC?UAEPbJFKOxF^nZg=#P=;I@1GpN6{_rhO29rn%+U*@Q zSzaUCCvX1iKg{tr^U#bq((#&)jU-Y3SR;rZuNW4dM^f@*5L5dN4 zxUY64DXlQyhSD+&T}~|gQ<3mMuK-*!e$=>Q#>qmo1;#2o0!g78SjfP}j+Y+oUP91P zQX9`--Ak{4L;mVGJ-&&dfWMYNalE*x;E?3|83j0t48HTJOtlYWUm>Qi?!64W9rqbm z|D!4v5cu|o55_@H)PeXHYhFLkr;C(}uN&jCLGEzij_<_4g)uVtnJroo3Y;Q~+iL>?( zAXAtAFPo-h7U;F0#kA9!GBm?n4Awi&7g*{>;gAxdmvA!h?bS@UL# z#Y;TDRzCpyz>>%tj}?okKqQlK09gV&5t&#*)HD&<^nw4|xd` z^)!SssDwpMeb-9f@rp$qr66ZlS}UYxy!uz4ne=y~*_Kn#yj3>bdpokiGy(sPTB_>9 z?JQGNjw9KQA@-n?G)%>e4-j8Wj1j=GUNoG)^q8zoG2Koy3jI zd0BPoOHnGI>u8vTn@{J{Aajw60%aW*>rot4g|r-w??c|H^3jp<$^PE?iFY=Ga#b9` z;c1riW15oH>@Ek}>k6)sKB1hkiAFk~9Z13^>VTI1Yx(rq0?ljJ8Q12>HZ=KH{jlYF z*FiqghsUmM3G%%tA<<|GLDp{0D_It}vM`LdEBP$?5G`Gl5cLmVKPw@AfXV71DV?7q zU@Ap5wWtk&u?*oca*Z|QOnc2~1`#M%D{QI`Zi&*qD)77r25#bFRAZ*+H}K)$TcXh9 zSwS(S8+%;BTh{rkz?xNQ2+8k&>qak)mLa?{g5ibp_rDaQ%wCS$ySo} zrHe#n29=SH;$vSox=S&NF8e3NlEB%NWd1rDRuu&$GOg)U!MePU(N0PZtFa%i9+!N| zT^E*ak9{T|;j$WP3tVpn9--}i;Du$ei5MStCa+bXEkE8wsKB{srWHGF_0uk*v}BL_ z0YNL7n>7L$=7o2#mO`&#PBBOyfT-21+gXDWe5%OVD=F9!)vL86RIpAA1wu#0< z=s*-{*j*@q>-#qg%E@65^J7Cyh*uG@3F=y9Vf(7mT9%N)0o6Y``6}-5>cbpjZV$w^ zf(q?M9^n~hJkD9Tq5M-a-CkhiK;7rs1q*6%=C*D#!xCVp`;6Ix zKNUcNy;#f~E-2S~zY%^-1*d_tZxnixttq7OZRyVBk9TO}RmV{iM#-Ym2w_Tl*}VWM zteg{H>4GjJMNW;PP;e4I$6=HC$o33$@o-c(#=Yg+mNFN@?szSpe=TZqRVUpu=aH0u z*|RqIsGbHRL<-;Y8lEGi%{K+9>*Be4eYnruANtiMX9C_zc)+D`xn#Huk{z}gta@!|pbh^m z2H+lCX;NPZmEHYWzu$hEN-XOA03~gAO`3IHlT*E+KJ*bqfrGTg`p-}WpuE`yO+{0*SHRJN$j8tAx$JuW(%@01l*xa9oyX2j(=NcnZu>qnDS9c=h-h(y; z>9_4Ecn| z+j;vHo;!P^sauFdz$D*i1fQJm^FI#~KJlQaP%!zBT#(wCh*3uDVIB1p=IiuWhIa%e z`J1VNv);d!Yb8wIwJsOIUJ@JbF3I^2lkb2IwRratEN6fkJJWNGghI`UqM$#~xhai? zC44ppiDiwdHi88qy?20$7?pDA?8 zHCYX|?kbZ`O^qy{3~wL;B>4W06x)=czg^H>{I-s(izbBFt_%1Pds^!x-qs{dq4wiN zwv-f0@;Eq#`sKaI()QOm2&AF5@4~XPA6@}cwgxdWg30}Is)%La*A_(ysU)^Nc~ zy31~u~>O!ZOw=AQ4;n;f*=N1~Pjv=mBn06bAVK}u_ewfy5H zJBloVsCNB2$2?cKb1GVvGC2Q#`s`48q}bAx0>EsuFfvE&S1 zW5Z@-hnulmRZwTR9lp!G@9h4G}Ri3n&&_4tIs)O%K3yQ4=Y8F@f+ z1cT_(^#{W{h@WWj$Ok_bhaiG;H#G?d&-cXlGtJ^qo!M{>*D4P&ODe?hvQex^rTGN# zj}~t;NjP-QeY?UC@pj8G=hC8Qi zP3*@8A@-YM`)Xsc%aPL-w)W7G;(*yJCo<(!Ya6u>Wu=*$GP{cmCci{{$!-s3SV$p( zs1LEc!_;5Q0{~bVD5SaH!qe(vtQYaTrftM+K92m>i3ObP-D5LbVaNd9Zit3Ow+IqG zB^SW&_cakyO7m5c>O;vs5BF6ubReeAoL{@( z+ZI~o>ZTNt?Soej>WDYumJwB#f6UwPKxxJ+>LHUCskE-APgL%a74hpG`eyjL@(zjG zf6O>h-SA^kF1V8C!;%cMZCm*Zv$N;iPEcLWK42F2oHP93Vw#q{gGF~sDD6UYK=N{{ zI1LHUL#l|G{oPqn-z9@2d@n1jhKZ9y&tW*pTcLF(Gr@Q>+hKz(v5R60+Z#rU`WSb9 zY&sMa`UaQY13wr|x83O3*SirxeR5F}W`5#(ewF8S3pwetyRZL?cyQS#Ifa_faw^0d z7Kr~bgDTahJ;bHx6#Fj@vsY`I;0d2ACLhx9{2av4Pk2AB879!I<-JkJ9(m|82k*~6 zyg@e1J1Q0AkzuOp^})-;(rR0bI2OOJi5y8u#_K(N9CmhapZd+fUf*rmy`-Ne{&ci# z^Q1F`^N?0okG&KKtvd~1+>xL=RLq-@lNAdMLvzS1Gp_bwC%|YGqv3vO=B5ARSOaOo z?$}w+Onj(2y{a$rb;V2g3}MaNEdDZhP@E@GS{8k?L$Gh+Z%ipm+aYkjZRc7-36NUP zlzz~-!F$}>QR3VODuVj9(T-HS-wh_tCP^C~Q%_*8S9a= ze8u>%FwdyZQ6j8a8;YVvA>24te~U#5V#q72w+E(BmvugrxK(Z{DxFFMJC-o5vPW=<}uZ5G(h1Un6p9F2PlHbm^kSUY(Xy z3H7bD?-drlTJ%;hUQbKnJztQWqG1=M&T4%4H9dmyO}@R)HE3J(Kip0yiJ^h2Q?D5r zM4sJvqjCp~gD+kx3m=tu|Jigb0#PP!nT zvF^7uCA-czYdf5Awv`$3t0Q`;*TuB-!+Z>CG2&4?hzGmEK(l`0ni4@R!!Hq(fw}2y z@&NyJQC|`jCu$*Obq8#2BJSE`5EnY;F|JJQd2kuG?Jq87hswCu5ZfpA9^@_P&3?h7 zx4F*@wCSa0Y%`SiyvH?&q_(;vw}S5Q&GR$&|Cy@Ossp$#zrUk)2EDr+#j=RA9e5A$ zX=`_@-&VZLOBHHK*NdQ|d-{gk9;og{faiylLySQ>oL`uWf(Luf@~0DmH%V`(?uU=1 z`jY!2CEuk--AU9Qj^|N5r4HTHWD@Pv=GlFD%wk9R_~9MW&?uPup_cK#{69Q~kUVIp zIP(X}r8?o-l2*c*&uJ;`g?s(K`!X|?voO%cBRycZTA#~JZtzIz-uMu(UbP(-T**E_ zy$QbhoL%1a`a>FbT1p2j(i`{2OpDEb(}m`r2GE0NV{HlCj^ARjf6=>kDdd^u>2v;V zElf-=nw-X0$hp>i^Mj_Fw(!D}+}9d6wlll${i(zhW? zkA!^fz1zl8fv`9=6+Ar8)2bAo!Nc1Kh5z_5)~l%W z)u{B}x)2RI+t|@llg{1~nLx((6ysZHJ1uViT&&YoCh_e+c}JEm{jur7O$nlwKetiv zZFGnHRD~RNbMCv&QS<%m1hNmFm9AV&?N}f7VcxGG64~*_3+f1mdTcy<3{oCibfqXn z9dn}K&?q=jlPCZ)Iow^XQuDL_OIaxnT+gFe#*bp^xVg6^IGYL&$}Bv;6EaA7mRaoj zVZ^KbGCGBeSRU-)L5JsqzyB2Lginqu_CfdNfFdkRV44Rn*-qF~oH{%IrKnf=A^bsk ztU3i%c^;R6N|DgR%IjNHTP*GjDqCkkqq= zoW{ww0&Te?@8n*K>vc_{_CEKW|D^L4-_;!dA_dw_mYuv;jEktE7tj+1PbpvJyAD5y zM$ALW?M<_pchRT2Qay3o9_)w~dzVFbrjmp|-8=YG`eRCg*?^zNLlUtG`0L(pU6ZGw zK~KM{wDQT$%dL5vbqhulzkmHH?jbYmzEA!8O_Apq%geL$q*&JHjryOaf;IOlW%uN_zT`EnvgR}l4=`L+$U7D*DGK3|8XA??M_tjQ?cgFF{~^a8bd z@VbD=gr_}I|NDh196L;$8L_J^W{W3BPtbd|Yn*Dw1>SRi?|oOU)&^Cv*Hvc29AA&U z<}4x8G|*|NY>d?JDdC#Tr{#RP%9n46dz5xtWw#K(FnJtiXDLUd*!XwsGjSgSNj0Qj zrGMDPu|m|NYajlWW*3B`B6M%bB>4p>J%9eN)-7`X=Cud8kFMP zFBmX1v-z7Z(7coR_LSp6HuE<{`^}ZoqGM2DW0&gsP)lQ0 zvrrETw{i#sX7KJi?f8F(dffVMG%8hqX3Mkcb!MXzZ>tTAuHOodnL<+LOIwOySQ0$k3 zVD@^|KZt7lWOh3#<3V32eDdADTW-PB!hnmnA|pH7MtWgJdy{*;=fk8gUj`TY*kEUM z+%xZl&d~RO5<=HP{YxglX`!rf;^?3Lp@kWI)3n?rb-c^S zHLw!7WqLI0C$(%(fjZ9bkt+b^C`1mo6m_Iwsz zvf`Jp?@bS8C+3C=-@E4fX4GNZ+^{(dj8r@a#V>W#l-|E6Wi8wM-2cgP6-m&^&hnBT z>&O-KM`Fp1|H@ALH-}j&>_=dAO&Eh%A>Y`r)r0l6bZhDdUs)@kV>uyaR#_^hj8^-l zb)wtby-$xg3RnP@dqWzFz)L6S>r8c#!_@l-0az5seqfW?YfTZm}hguop$3xC$U%%u0`_R5! z+@by0W;N29Ub7pHodW*Q%KhfN?2r7dj(es|u_;Y*l(Bz%IlQ$yOdC&kJ^U)0Slh>t zj#qy}Gq@4{-mYufN7iHTr19-y*au04Y_T|^!;=q&4gr#*Ln%( zaMo>B?TN86k+E42b5{~Ap7UH;LDXf!qYYByS}EZ;ZDr=-6HcytZ-=;JBfmM{Mhea~ zio477el(?eWUDZOlPsGuJe`x4!!mCQd6(&S^0l;KnWuzM#h)YI6klXwoEwpXbd@3 zj12rkeA|Dtu3)UAiHNcN&uAO0#eE%KSt={Ok6vnPS97GD4HrVFaj+D_cffkv!T_NxWg1bh_v}GTPoygZ7QuCO8neo%~sAn;XvCs*RkqXlteh z&N+GG+K~x;23Ao%;uB7`lGBgj$pjZM@-r^8Ee~EecLz>dz_q3APTWKatbsq6SVLJ(e=NIm_iD_H7)V+@pWl=@HXhIXL``TD3E| zl!;Q$%i)P;Ms~%ilh(7^EV;D@;rpzXi<9Po>);>3d_OTZ=;Wh}qidIdB1Q+)JNDQh zh8#9jpl?8J4dY~;zf!S3AT)6MB64!J?Kd@Wve-LdiQ{tMY;(hTQZYJV)z0~4dFlIN5w(xnF_M5H z?mQ?u9%Ry*!~Vz*%e*R?XA7CgT@3OMgP+J^>&_)cunlAJr2UPMpWffs3n56Sjj;NP zYNmrsIQ~HO=49Y3mrR_3ix$SF0-0>LtO{!&IEQ7j=;`t(HEBMOajnCn_n}(UFec42 z>M+|aAhd+zw#p9e#ljK}{;UCN%S7CyRk=+5$iSw2I|J8MwRN8zd4A|$>!U(u*UZ(n z5wXbpe~)uU8Mh^j$so?-Z$}Q#v+v7=-I{#Z%v|q*U1Zh9xkVYW5x7Jiqr2K~W13>5 z!E&>ya*c2e%e<|y-4DG3*=>2$B$?uT*s%g^bHlkt`MD#k>yXf`om6!DyzJ&YBJIxM zWm_{^&`uURhv(<_x3F~1ePW;EKm;fBBjRk!Mb?I(om`#JwqI;*JmJIw)1GdtBWrhC zpmBw@%@=9$`x5pA0ixYN$s>InwmSESAKhz z`}1vq(uVdGS{&TYONk~we|<Kvt`(ryEgu_AMi zw&*)veM-&SC?PXGXm{T~)!X+U==6O~k=&P!YJ9)c={u`_Zq-2UJ3mO&7K=yM!khsiZ%WAf&3v~4huTiTS-wPw9Jtzc!g))Z%kr|lt`S2*X|33u z!=uTMVQ_vx$lR#m#yKg`$_=VE!KvmgPB?}bvv!?{GU4=N_A?=Khc-XscJf7hW3eLH z893d}ueaHB1m_v`b(iU7x1IY7-w{{g)S3I77DbuE{hfz6r?kF_oNjMwo<6XX>n)e% zCEwNr=Qigd<+pQq>EC8-bDq+0?HpcyWx!&4XlHW{FS~t@^HH61yL_HgkBiE9k=ow! z{b>3ES9GJ47q8yVj87A6RBI8 zyU|GVikwJ`b)lziZKV@Fv{`kBZE(14H*AT_EnuE~>j_F8;`9y^quO&j_{v20Kv!sr zIGgg6D(!h74c1gK9D7$odxx~HVY5RTl6pu(NhFZzkj8~V4{2_?ORPv^>DWVB*U;2q z8;iys(zy1CRI!cAnI6*oVW3N_NaMi-(wwal(_2~tI1A;sXtRfOHn-Y$s%q0Kf4C4R zCbL)bk$b9`?1Imb`g6?RQz->GzfbUz~a2hi0~ZtT&1=yAVpJA$^)K zKR2^=XJxD!U`NZ&C^P)E9M)In82@_NN6PHA)b`tVyusPB@0sUcc&3ttzogzC9!?RE zGuD}|nC;)Dx>kVGDzsuA^V}QSjF5L%DmXkN94Q$khUHE7au<2r$AbLo@O|1JmiJ6= zd8fnRf!z0Z%6qoAJY+=TN70IKJhyeGTby1381#-jTk_BZad+&k6ru|Pe@Y*=)CZMA zhRS)n*9^)e#~TBAu$6>ynu-&X70iA-8`vged_*S)d~^y*#g$t6V}3&6TI>{uu<>ki z!Y=nf*v!>lB7~#(p)P}b;Kx%r{iyKtNYNCfT*VijzN(fRr2V$_j;J))riLv7kd7!U zR}(iUzTF+HGdN}!x6 zZL?z)WXL8voZ&#!WN$v?lQW)zJ|g2nfp}!285CW}HBFYo4gYysUt_11H zoYD;cJ3qeTnU84BtM+OF66`b|scdMS_6SfTG>zFKm%*f?E?Qj#*i4wIKIGDE^XT57 zgmTXSZwicsQ5=HuMQso49$AFZ1_9EK#o-F|(_JPmc3Z1L+D6-V<(2fiA+|Y5bZJqk zsu5C{n&fuG&H=fmW`1Wjj$^4^mR2sRM*zaz+gqanxgnOd0%X!OQ~>eQV*t4#DJXUJ z#Q`!cNoH0mDuCP)Tf}XRn41*J?TG@_*k<4HSQh(Z$dD^y*;fF4@nOxv&MpL@`5jiYi>#%ub*fEUTu=oL7?=)0iPa z>27P{8G>zTfi(yrN@ul2X(;s@tHCzawrE6`iPDI%VdKqFI_(Em#?V_8Y>XjD^KU0d zKthJhise+1Av!EGWWPyvK(LK&UQGR`R25x7DaJq&O5um>J5>NVXic0gW{A}xjhOc6 zG9)>+`PZN$ZUK-}V!2cR($QrOn*sOD#!8ntF1DrXGKZy%F5@o53<=pwOy`0N(Ni-Q z-1eFaUc?Nsx~EhgQxo8ww7N={!Bc1qAmFxifE<@y0J3)U7(z^M6o7ah>J(;kc#xey z6+lSK9)O%ONn^Uqez7fGmpNnZxEC=)tPW3!Y3d7B7c(TV5iC5ls@8HYbea8P!ApO~ zD`rTLHf#I$Y&lmMRCkN*hNSs`PZSnDsGbkzqrU>k1F>;G>oPo|2uSEzbimEpu4b+l zAgZR8QE`W+S5`K3MCuwqILJg4PU`dtZAYxG0mP0~z;?+FPZ$c=MtvX-JX53vKOjkmx`&h9)FIM{C7FB2 zf>nv>8nxW5K&`RHc-+X*z6fb|)861C;%2q5c@&&+;ke-`UhYxE&S^tp483VU=_%Vk zXLqqGo_gqwJ9WSgPo3>N7KkdIdUt-P(HyqLd3s5nUR4xcLzJlE>FIp7`K);QKt6z- zcjrn>@0py{hFitctCGamGhFN9JO#H`CCcZAil=V61z}mmQ?i#N-)zQJJiTqFuY`eX zMCciEpv8I0fcikVVG~sGbSj_qFH6@v-7RUnlQkC=FVfRh%C`&h z^n!`QwvOwC7rrWKeyp;vA)V=%ox9l9iW&Q4VfxeuY}cig8_L|Ei_1MT@2wpMPk=Z@Q;k4$DPpHX$V4D|j>+Yv{Ppa1c9peyKILxjj@u*!h*psk=b ziDX%WPh!FC{y@0k3E(k#BC)t4ZL8(RLo;T*$_{w#Y*P&2Z6b^L2efgoc$+Z};B5tK z&QAMWQ-OE2*y1Zt^!-Lo7U8%tL~J*ym6^+9XCafnD1r z@rmNbqaW;BWv|y(aGSB@dZ28Ay@J~+&WXIgR&evvUM_BSVAUHlq{+8Ihvz_Cza`FL6w|%-yCk?!Bp8RMt!|&iHM$UPP>8cdad0qmlQc1L zpTNIOMD`X+v3g3l9havLa}$Mu4qBa*%+)U&YfUs{PHdUB2Yo~WpA*uk9 zPYyl_RavU?m%r(8tJ*_(C*ukUk^dlOz_wZ1>JdbZ`lq61=8yrIu z+FCD_4t^r ztH13x1S)`F2LSk3P)SzSIT&@=%1ZC`?g%SHCn{_MH}4t#P@!Yg>w-58gc()`l}!pb2dY5532ep8@snc4tT=?bJ^G`9nfv^a&fc8Z4vb|5;fb!+0$$Aq@U^{j)M2<8j{ zx{Tl7G!2|tscnzC^buq07~in^j?CXBwj0Itr`T3#;c%}uh#TZkhiy!? zX9Vjifp;g&&dq{e*TEtL95X4GO)1jSoHfW15oHG%3@D$Sjmc!NLXR z)J?`V!i5`Ru>g8tu2ejIN~hPzjY)&P;^|(o&8jb$u-f2oQj%86 z%Xs_au@4UPtd-ifn{k!F0o!(IyJ&29O6I&_$0~@zc{>zz$=gayHTtE8%_F|8F^`y% zmW*fK>nkyJ4;jFqN=)xd5;tVGWv<#SdqPhKbfpzfXH1%}dl#3z2c-0(Bu<-c6;F5A zX<%lz;wh&I|3+i4WN**QSb96SIUtMkl<;HUKC=xM$hSbSkY}W(4>=VV2-fkyRtXjt zcZaok=sR4%2J($rCPty-;tsp!w4E`VD^a+l(>%l2WYccN1(wGbwE0T4;({+d{b!gv zTmZL{;u^`$f!U<+Wl3<(>MHrhqW_L9qu?Cj>1mCW{sYw&PvbLpq*XliAqEy`ah~2$ z_DhR`bk4is@9yH(Ttx9x_B&JR&W37+b|QBwiHTc4O1RER3Qo_m9laG~P@ihF~+=;N%o@YvT#427!%#zE7H zr^O!$WBoqa#fW*`aI1Lwnoe&K+cjgW1Z$rp9#ODPjP6-S1TE_~A0#TlIwEPaVpEZ* z7OWx)6&L0dg$YT!7zT%qV9gZLmNr;x#z=+H}pbdyU$x*07T{~O!vb1Scv%om662jAk-A+g8B}rmIdkj$`l%meirV1BM zDv7SjTaF=uMe{ebjd@b8$vQQ&f>zAT2eic`r#=u$S82PcW*e=(k|7L%`%F5Vl_bBe zQ&ZZ283MNk*i@4|!j4;#wl`dO%JIf?op#&!F=>BJTd{3MxXp;|wb8Q-{q&HAO#e@= zift>U{EFCikG5^M*!D=NibMc{&4D;1sUuaC&dGW5ZHIx?^m-kK<;oQkwZ+)JL&qJj zMe=J4-Om;oCekaIdfUIaZ`QP*ecy{Laf}P_gA7TSvM)RX-T}L5^Az8AM%NwIc@tjbNMgd zSLPbnBauj88S2dHP!r$JXgIsro;GRW9J`EiktTGIpMW$cU@Hc`^ncq8Lv9ZX>9}9p znvidw8+L)U^gU&WN1>8$ce3DRlVMgZl)7yvSQr?4C0J*qbc;5Z+5}4?!w_*zQZ7lF z{|^#F#AEOE`((ok*>>ApsPy`2;1%0uW5cd62T}_lOh>G3_D~_Jz{@-XDCZMXbxL9^ z+pTS{u~pzD{II%GY?HZ7PU>(Tw$YtesJV(nm413d3OtG6tJp>_;-4S4hX+g=JR9k1 ziEX6i1+4^jaUiNFO~asU+iPqUrNDbg5?5JYX!0$|P9F$h(BeN^{kpO;IAHD}rRBEZ zLPuX<`taX*Lq)}fOEQ157@|9*At6%7T@k{5L)(UM$xOb5LnPoScglg-|7ogX8}Qzi zr_NS^m&;E6jcUvl^n}=6)6+l|i7HCh%C4(I!2g-BqLh4FCG6a`5lM~Wb!M2&-}ihY1slZ92?9Gn5y&wDKGMtBnmnNTePsF0RLEWd+h$0hPLcM={P5rEqpbIO`D~V0e@|hl@}P`$e3hQIi6Qc zQMz1`Sk-JXX#@))g;ElU>EoWUEl%lElEgh0Utd;~o-}1%#L+U`Z^VsAl@3TB@GtJc zPQLkqHe4{$`8e%_r@o-IQ{-DovCG)GF1GbLhvGn(zfY1kXo#M)dI0GN{Zl!-&3_a$ z%N3f94n5M~8sWlvo$j!Wr<8})Y)o2mCCHyTUa@d2E<+dwk7zq&9#=BN`+#3SEl%kM zDSTBMvkg>HdM=-BJ}YS{*jkBHNy{n8x!cI9rX@EK16Nx7Jl3>)O4^9&!w2na8Nc-x zx{Nqlwvj}h{C0}Ny9FD;vhZpz5eheIJF6+g@1MnKHz>uvIbpoVL`0=YRyN;fmrYiO zv?4OtM!p$GDq^sL3*`0-q?Bv@J1Zwh8UKT~tTgj1AlNAX0SF)^^c0vjvX5a^Yck|0>!R068SqSN zz>P$T$;23v9v@&tPBC!fZutY@c0a(I?$yAO?S<_%K14Ti(F(m3Q45C;&Bj%`5V&bg zc39crg6VTXsjN(G^Z`1d(>m=G%>m?urnT2hA*@8fZav2Pw42m4jw3M&!BbxFW{_}- zE?B;y)L$~>6*nkmNRYOj4k-H`X0C*ryAZOMUd4A-jH}jVxHe_DIcIq8O}z+Zv%iEye!-!$@nc+ET;P@l+XcGqbUWVDVKY zw-HbMf;}i*DW#jN8RAe{ftRo&1&S`@n$(JXLz+K~XjZC&*c8H3V_aDHN|k#KOh`T) zVjI$m-?!!43#Wpo#I(8aOlFjhr%G%qE4;x zaFxpp=)Hb%`F2%GFH2g?kPbk0tL~84&dNS$aY|>U^yYY_d!*=y*iI&ui&c77l7Q1^ z8}hBg(@m;lmRZX=(c(PaBnda9bXSsy2@h`iIQlW0Rj-mI48OsVk#I@lOT;{JAYH}|0Y_ojrH3u zxtm1lBid$l*ajeM{y(D8He-7pCn0rm)CXKCP8|#mYfvsX2cD5$-_REB(CVl~tQ-{LWP~lYX*H{=BT~G@n49Ti&=@^8 z%+r%r4Im(mQX@0R4^xR!und)5Z0mGB*EgITPHF=?{*M(2PisS3@x}0!oC`Fe{e^#} zj`tkyNc$06uj6UQ17#jVtkKx(dMlydfceg&vJ!e+cvVWb8EYlGHEs@lR#cr+1!yMo(Y z4TG@y_*TJfqv6Kt2EsOM?lC+2l7MC+6O<3^b6r#4m<${5zWO(J;q1T-Hg3Rh+G#>N z3!fM8aIaj8fOO0Yo6Qf8?GpjSV>)FXcK||AVDn`Zpi~lx`P4^o*eV^6l-wdWVSy@% z#8*zPXu-x%4OJ3p+Hk{82x*IWiCRkU$v#33p_HMfn8Z=ah~zP?^m@0+OK?M4AhSNy z9GdiCoW*qSb* zM&V)5LD?~-&EJd0Q&$>pH%*IWWnr6TDE$=$-im!EI$&}C4K`km<>|k(tonaC-#uQF$$^h}sihyu;u z&=zddQH$b5v1JIYXgwwS;_W7XP-X4G?VteRKGMwS+fC=?!g8@%%UVpCBqgl5r4!)| zN_X47|4Aga2DCYgZH&8XLmpQ0jWOg_XkN)T#*jE#R&3iVw#^c$B2mRQz_{{uXR7uE z|C3W^tk^aQZlRyzsj3h4Tjc=`L=||?$gbns zju=~18g2_S%fOapwZ~r5jR1ydf5J0HDOv1PQF`6(LEq`<&OvFEgv3frZwREAAr(Ma zx<`r8HnW%0Pf6N_FgSF?6gIXE){LSejb3%pT!O7b+W!Us0RR7Z0eqBONEA^R$B(zt zysp>HE^3yFt=5ZW>!$AI?CjCGtTVIf5-~)E2(jc1LWwfp45Fx~BIv>B0ucl~)Jq73 zLG%dc|IId$EK)`Y$@TVORd7GhNQ>i$qP$>x%E$n~v% zX7+zJP10gYSk5E)pmSo@?G|ivrt}t5O{%M2*$R3UCP%G7Mu)cH+33piS6iQ@!<)}; zC%KU4YWIU{;;buU-b`n)@O%_Ft{kk}S|C&5C{Tda-+wgjfy8J|A+JF4TAHtq`pq4r z1(a(;izj&k9u9sVob#HRVyV=WnPC=^7TA3ncs493VX;mHQ05c8LkB0%Qd6AiGSj+iDant>6D z#R6qm_2cQDp8~6bivdY?yMvle4bw9gn{q*PJFu{|vi2GAe6>LG!oowkWipvs1~pBl zN-!cpt(??zMf<~#@OmbvM%?bnsfn@JXa(Aq3KYYS>Dc7hXza{LJ*2E8=V9mG%DFhF zxP<6vAQ*;r7!ld5+N8p#2@Y42PPkaQarzT1c$nw6`^Z~RgN*>n?s9JzJ(X96q z@rwdTal*&UAfs|%Cqf*Eg9WKICLL|9C5Peu+`@RP({@W(0gP<~ynwsVipIaq9bKTq zrBX00;TK@L(TDlrU+;KUj#Wa~4~8@D*Hjh8b`QA=H!d%K?}vq~Lx>?sj4eFkUh)RE zJC-)C_rRK}g@|cHRCviPrqz*E01mxfd&wal)et;s8W>Rrm3q<(_iwMZAAMq@6{%=c z(kjB&u3ICc$54M6rUhSMnrl0cQ-{cXb@; zZp7A~`gnGu6Iy=^LW~gBjm_VWlj6GY(82refk literal 43708 zcmeFYhgVb26F2;|qM{(uL`qaZRGNr%NR%Q10%D;jw%DT2D^S)sJ44ue^lPk%hF6k#}A2GEYBN$~4VU;QWkMR1@R{{VGlXtGd&9 zY9W$UqW84H+x_;+Y9)i%f%P6Zr}Rex?BB??R>Ty%Mmj!g_Osnos*D@{iGDrR;cC_!ttBSTke96|&UL`vMw}T52wL`=Y zaWnMsv-}^A74hELp{O{x1%hqhc(xbACC}F8<+-RLWxY6j8c`I?z(qj@g$^!lB5ohJ z?Rf}cG$SqeR5-yv!{UOEId5dHsMuIzy)Q&%L8w3y8<#&cVbC1E{2vuK>W$;Cp{J8T z6V;%jOg!-gOXDNX;Dn3zZtIx!F==mD@F;RW3>;JmhEEQuidha98?>X)LN|Hgl8UHMJVBU z@s~oLfp?v>i|a>RXH){i<)ejuwxK#8#oCBrk1y?u7_8W}y}!U59OUsg4No`>)_Kgy;PO~KE9BXG#M)_;>Vmr?J^4LZe-9YHc%TK$f%Rc)fac?>X$<4N z(;DX`daF>~-%aL2)W2^>LD9C@DzqV%RRPnDk1&iNzc`$0r1id>&V4 zDi-B(CN!xM2bSCTg8S0PXuFbs2K=rIeVi6YbGx{LSB_2rAX!Q$_y&aZ8S`-pUv-}@ z1(y*D0_7P#SR)+#c;#+)v>&Vx4WGkZ$lM(`>~}6Jg8)AaTcDs4AK|oPUH`$rWelx@ z_jcVa#%G1yQ3Vh?^YCrxB7fa)sEknPhL=DAh?EOD6=Sz%HMpk0I4|{}z^@;~2(YDV z-=};|qsL!;2fD8{T!WDBDuz&NXa7m|JKjD4ZwCvY+m|(JjmT3(;{71^UU=71O$=h~ z;y(@OGx1Xd=e+WIEBejc#be%v?;d2Hdi@~uUxe(@?-f)Asi_V0adDw}8AIAXe8CGJ zab4vlQ^-a~Cm?|uK3rf%5W{`17^u0vTrq8^`oZnd`X6cglgQu;QWc*dcdhwAwKKgR z+i!4^DZzo3@R1@lDEDif8mts&x2Z_hV z8)`>=7;W@l1%oz@>G8~?hYL3Q8oQY7v2ul=_PS9F)@g`*jw-eAuvLL^h8c1nF^Wmn z8C}E#t9oz21!WNt^n(|;eY;9@H|aJY1I8Z11ziIHDi<0#xb`jq@g9s0g3$N&XNzEu zNv8l}k73q$XRgdgbqEw9zs}Om4*CJXhw~^Z$ik;6Z`OE^-r?@iKPwF1=)f>!UQnVy z!_ozh5`sC=vV~~!$eC+a_){!&n|;GIR>^Bewr^v#;Y%vl4u-rzj?OP7iFh(xrv+o;w_u02BWu@5oPtYR}yDH_N_-C^lB z)!X^JNi!ts49$h?k;)C;|J|{5gp%Pny2buI=sLWAzdbSYOXCEKR5F}e2 zBm%~(oV_d_fw6x;^w75O&>%JU4cs>f8$WS+L%Cy-;%(zyGn>SN%%-!sYM$Pv&#+Thh|H6^Ne-NXCd3wvlGB+ zqDM^lX2RxS`-leIS0L7dv&q6pOpH%ryyZI&v0 zOOX=zQGc~b-&(ozmiXi4-mI6Imy5*N+Nb?CHW2-nvn*5fg_xc%{Cu-R`{eknghAVr zu9Gom+>?m{Hp!C0s}T)UvQ!RaN#1!NPVWx|)u{h?Q4@?fqN#hd7s#&-2vL&VK9ld>uC?l=#_p`?9e6T%aa# zV5~z-&Y{Lf3zzmv>eGd9?00}wP;+5+(wF1I!VecD-)`*4Ek+TwMM4^GwB0(vtqZ$j zsP}vKwgtI+Zo)GB`atdHqre}ywC^OpkV5*72(BiF`W5}&0?GKC71 z(-RxS+@zq)EoP|Lfuh-YNrOK1Fdm(gWO|Adk`kS}%OUTk`$zRGa&o(jP{iz`DW{DW zR!NnPf@a;N5a~CUoW>e#v^51%+DD+GFuS7?y+G z7RxuIkCb==vst9PN-pa!M1?vG&Z_R5y!FgwzwiVDZQJ>WDLNXjVZU%Y8+7HxS=0Da zZey2YZ7NhM!Qz)>p=(c{w+`#DZarm@vIYWhiD=cAWJ z!xvoU6V=5J8};Y-+;dnnkAjbt^Bzh4<=4L2yguoG_zA7c@9%%nYIbj}DOY=l3V-Z+YdMF&7=eDP(eDq9=0Io#3 zSg|RW$eiu!i4~9|wfn|x9BtZK?%kf-;gC&nzQX4V^)NKHQ^#1|Js`Tw^Srv;Ux3(X zFPFGbIE(J_J=`m~3lh3W34f7BS8BE3#V4V+FPMEHXAjpJMSr{6UKQ|^XGk+wjBvNUT-_ib8S*VY_ zNPm+11`~0+eA^#fK&p4|c*k#{S+=nC~c^0w=Xm+ijEk0 zzE&~Vob0J3>tR=fdT4A_b0hP4-->r6##cn*ftUA}%}xP@$mvZ+X%lKcTE6C(b|ryQ z*+YOfq;S7z-nZ4ckBHrTeCxfH4e<~xp<^2vvBz!o zOg)MBj+&DDSWu#3+o8+>8!Fl(d&%5#`DKl8}sKz z)~(a%<*_yOisCkY2HTMfye>&$x5~msGGKH?s4^_K*sX4|>&n3%UqfBhp((9ZG%;%P zt$^ic$02hP?7TP>FP->SHu1lc+s{Y3lzgj9a?q#3mA&V{QbpWH1=VaSiR;lOYa!Lp zkY3gxN3Y6o35ZikRu1u8dhqZMS;x)#9>kM+vd6lGlSh(w22q3h1^lFGdLNZ}m?#OX zd4Ah&F8gd6y^mCKQZ&$bwq5$x-_mn+wQ=&X+da?>-}K3Zc(s47SeE3{P*GlcvGz?&!mz!+ zfR#EB3TrTh3~@tOGn>erP4m|!Q|^kGYzs8EpfeqYONQz1SMvt#Pl z%9d+}gOg}CJZ@>PPHRC(YrzK{r8>6Bf7!y#bQ$K~83?C3wpk~%RtN=oT)Pr9x%JCyrO2vtacW)0n2Db z$c8*#*FS5RI3B_F#CM&}5LB*uSugn#hix<`jn17SU z!0md>V+vUw`Sy$9j$oqO)T&y4Brq?zeqk)nxN0An^%}SdW2Y{M(UyxJlb17bd>en( z?LX=tl^PIvi8xHCx7vPx@NqxU3EUAsTHk00xA|bmbYlT_`;)Z~fa>E0ihW_|eW#1a zsh|Z#uvzx_=5Nm7Vh$(M+-JLx4X};S5MgrjrxG3^m8JV#!K?9U(0%Qr&~LPC)r@W0 zwaKg%@Ooy7L9=1|D9qc)Zf1|npKXIJuN`tKtV}nT>vSS~B)<(FRHY(yh{=_VAF9D@ zMeBYmqlJ%!>MGKb43!-#;u7kb)-5bgXVt~KoRj5|toMIFZwZ;4NjkeTpo+RR(CfNG zArAdaT#K&9r~zWas)z|Jr&TWCa;)k*dy|kKcF@sM0k~;QiVrgMwC%-fW(JZjZIyQK z9{#?fx!$G-V>cd2???2yQB9Im(}Ge|L!Q7xy&d-tGlr^~hftC=LDnY{nuc(j@(^hy zZ>rH>>(%M7bTn+wpEhNw4;+fwEueD>NT%-v&-8C zCE~O2HsM*G0Wl{BjE6tR_b@$&2l&a&9AD(ytz8|}ceIZ?$(0w#)f_>)6~cS3D>tzz zELHqTEKbYG82&CXqJb*h=br+aY)ynDe2|(#wG}&26CWMQTIl9#GJ5AwSbQU2@kcq= zQNK>uV>h!x{{LjkY=o((_AbKg^1k>6B%2bN=PKz*tOdtHvvOiq>WH6lvgWd#1c-7c z0j08Jxh1C&8vF#zn3D;0F*Mf7ou1gH4~pTmk8Os!oxw^yd?T!XexO?}HQ~A^fH!5T zLhZd(^R@FHOU!+Qpcm?sIfLaB3l{C10v3pnl7aN5w%5z+B0&ML#$<&Qjwmvd4O?8s zhLC*pZ8D`sJd%9;ZHq+aU{-9A1bc7SXuHQ4`R{fJ1{8_|22RYO?dmB8P0gLXbxfYv zdSs11cvt|U4C~_1u@yumVc(C3aY31ZY%r4Au^?%EKo&Ne+cg8$#OeJB7|)sejpDV$ zL5vHtq|fL7`ShgpB~oT7dkdp>B`bw%0D3vmbpdLnQowS3*3079d_RQqQ;HB|uzEaX z)m?c}M%%T{B&1F5&Y#OfKhntuzOzziH0(u4HPPuNa1jF!6H%L*`d8S3UdXKGrTw^_y4AbR$*v^-VkKo<%Y%oR{uW~|67*{o zbDX^#pGv~NYs4H@_DIJU_T0PFJG#UWl6m8$GvzaKYt=UaIo;Vo%T6IUE%9}_B9)8$5bEJ^sBKg5$|ALHR% zcSbl+-EnTxEk;4?3Yddp_UKs;h;)AHhg(1)`N%$|acAJJd|K}JNK8O&rS0EzsK(V> zCtwA>+%@)Io@p_17Bd_j{dv$sW~s{`e*f3}k~ntLw=Hg@XiZ4vZWwCH$G*VU_G4)K zBNJ%g-Q;%OJ7KG^<=!}}_e8GHV#|mxPAQ|c0nS>#b`P($XwOz$YcQV0I*7kNWf0>d z^!5@HM2?Mjc;}p)%d05yOGyy*+u>xp4ju1VKuwg)?fM3U9b>TIIu44^-v=ftPqW7B zDcs*1)em{R7H+=ptJekP5-f_gFD0B=R{2}|>pVnt_Dreco8bCB-FJCii50VYhI)3u zoDx5;>Dj}nc>5b4+@(?jf_HCBgUsieKC)9zuy6HKlZ+uPv&3d|+!}GGH7r4k!$P&* z__+WVY(`E?PzYA0J$R$PncB4~qYBp&is+<86vycWnR@Zd-=`kLxz9hJsmfo#*Dw8E z2~SEgd)FA%Xt7*|U(L)8Xe*zb8u*^y8@S|dPMgZCacPEswF@n$;6p*;9WS!RM>t{_ zQ5GeoLQUM>dX3k??d^QrGX47$j~c+2Z{*iF-VQ4r~!3(8r@i4GPH9?LaOO4w#%jUQD*rMkJn zxhm#M(<$16e9*Y-W;>&<&69CYiw7^RpP$%_zPh^?)igz#yMi*;+W8n#c+<9W?b=z? z7Nl@%O3d-a`yNKb#)YJ?Htt-=R>D~G{_3bRd2^nrIcRD2Zcr4BrvDMSNU?{Io+GdpG`L8Yhfa?tUu25(sp!)UN^ zs6NEl_gT!cP4x-)h31L_we#C$0!_acl&+j(Bb|5YYV_A$mcAw3c(>%N08Uv6Ih0 zlg(}nQRk@;E7qIt@5()ORNw52+z529qV4e0$Sr44B>xmR(5zi zISTp3fzqd^eT}{*d(wyF`QV}HYs4q;SZ-S0iuVNHOb~3wb_LOtsxMyULnV?-ZS>jM zw!;Fqj+%FS+T+84I};I%OH5#a(vSn(OJ?-6eX{pQoz&%su`;fanFwg$9)e$ykSoOs zCFuRVOI<^_x0b<-!$- z-*22FN0y&efK}Yb(QX`z+Gtk1J3T7!lWvg^Q7V<40v}~PQmkl^x{NHp73(7hI?Gaz zuXmTKU!6R8XWhiBL#tzbvdPpGEq8Wp=*6;jc8AjjDRbiNx4r_}WT9ft8Vc9+xd2^@ zdY1GwhI|9_*W<7;Oo8cWb&2?$19K$McH30e{px;#kb>3zr~wj_IsJ}%wf_Qgmy{yC zc3$sIK>6f0iBLrP8&fLOC2HP|>u;ZotpLV`DP|RBEJB{FxH9m*f6f%=W2gP^T&BeWU(QOsOgeps;6UKjzk}_YB-ybH1Mso?4XS%G`Z&Z3hORvVdbz@P3@M8_yB7EF_o@*^4HDww>y|BS?FOMTJ6RUPbb%Tj*mW8 z?Ty1v{aVNKal~N1w;Q}io0%o?DnMEjV;6FG73VT$u|!AB;8{%jAbQ?=A0EhqMO8-8 zurEGTOKf1EkBPt-GjaBqyR*5-uD4e?suEH1b;NOzi3tb832g^6nasxesVKWQ_n0A% zvokcArwx1mENx5*&n@a8(hk1{mU}XB%ha)m_Uu_1Awi{KY~(l>H63ABNTL~k{ZkiT zPX-#X2~t2?mxIgE3t_^5Hc7b2WxFpdCcA+Hb$RgfBICy(uH-#T3V0{A8k;RzDd1D|e}S(ovKvw9VqvHEvnH z$yuUtlsNt5;iRMSV%x_NAi?*$&6&!MKl10;1ylR4pcWcVs^#$s+kwd6Ck6FTIR_0+ zn)>;dE=2kzJv@1Y4Kc}ic$M5Rug`uhU>Eeg2Dg6nMrR zOB71D*?JXbQTL-uyZjSxNTr!XS7I)`;2(^LUb$5|-Cfy{@i^<%Zw0-R_xhg&!rz~& zlM;t|i7UubzrM7kqdFyAGGu*UGK$iiG~Mc{=nutWYjtrxCms&&JzG*(dvIHh(bW6* zAUtHmO;0uV%NsrW$dL7yWsY5Qsax6u$ESEK2BICU7WwenVqe4*2`U|D&Ng$GM#kkE zos!wR0z1-;ic>yU6`y+Zd#ziGopwU)ZQcB%t7%^^PsX_YYN?;Me2Pt<7MFT946*v+ z6R41eGH6aezNvgQ!>7^DN+Pg?T>D$v|1HkSQa$Ncg|Bn(-?1yYgN7+R|FIlSovo{M z>nZ$1dUgKUvEGZ`Ec|tWbPhowS%~3U(Z{;$Bi=-Ul^Lug}Q-|1^s{-cI9xJVcLO`=~#8q1&lvA8^tzDk- z?nBL}&I?p=D01kE)tg7Zqywfxw4^7at8aF;gs9}cRnD6gJ3xInZyD$6I-ohmK6dw_ zGKfUlP1wKh!sWys3xGmPMv+xdd#J0Zee(om{oaWc>oP;Mp zvz%Mh&kuVMwOJ#q_l82Z?TmVfUXruDV~6D&CO_aEXoZT}PHbsaA5Y0g?x-7(`}e*C zG&zsa9AVz#oF@)2Kf^ZLG)#w>&W8jK3&>_|qx`!xa`!C%fxBl*#Vykm=xfdY8OQ9- zcZI{gKy$f)DKAlN0rpvc9~t4665>@}6_4KJ+Ko%g0ug?;SzS{e_3lft6w@8ejhi(Oggw?S&q@iNT&Rc{lAiSV2j-1_ zhMi?J=_;hOd~rT5Y}K_|7+_ZD*-Qs@H`zN&ZyfrJHHc-S-c?*9JuZxvxA@7qF75Z< zAu5A%@b8S&siEJTDZ(28CJr>Es#uxg(|}xNN*-$9(oErb@+!0>S%N0=NKrdM9w!%6 zl)Uz5S?s5{j9ccgH`OW=Jiw|^gUE5+b0-o?pNv5A2i$r6v?3~bsjoPW6m$!_m;**H zZ`dN&`hUL?8{ltg_MS&Wn@t24DxKuu@6LT5gDk=(tS;tDf)|v$$Kczs8GkA-NP3EU z<;dlGnrG(8WWrFc)2;qI$M3;Q=J((zPhMp(se??$DDc*SjK|%Zhcy9ANb7^PABUsz z%rNZ}*6Hj?Gi*7$JypughpDHGp@y#uMTBWge1fM9n5&R7qxoimK0`PYi|I5 zN29hLakrNwY;_Z3KLOq)^Ty(>>1=h5#yQcZ1HZXU@KMs_e1d@08Q-G}?)a$f?N8j* zyJhc*ena0c#S}V@gTCF`z+aMR)KNc@tQ|7h`a2M;S+n}+&%XpimGze=-F4U5QgwCT zYT*Sr$V)WK`#1dqHb#Ap_nb8834sxBAJb0^eUC*-BS)?xo>%`6i;1|?0rbi)wjN=W zq(dXLu7Xf!N8Mc|KC}+S{_2cHq^Yz_qpXdsg}(u-d|emdO`Di<9_se!E%6lcLNKSAN5M zU3rdUW#8U7pOPa5+W&1H^x@d%!rW{n{4Jw*ruE8Xf@C_rcE{YItf^)v;y1SA1|WKT z+hE@Ehr8da6D{2}z^|aq>qnW}?W$EUx*k+h%#J5ObL$Fa>C0|csy$u8>NA>mM6~xR zK!M9YMOaACQ;t~-FX?Rm5b%3A&E>Ikve6K|ILh`N*$FnSa2L~)rT>0*i?<4|b8%eS9!pw^ z6$x`U{hKIUzS|masuv_KiJ0H#$s{b3e{`CgeZqOQXR^ta-`n=`dp2pl!XOTA%zUA; z2QFwIBH{uI8_4|0>#__3=i6H7jNW;luUjtS?U%b~1Q;^o8QW|3^r@Sz-UW7^yw+_uPq_?*06fYrL{ zYQPX`P=iH3)%6l@B3CMWE2`A5$~F3_+pFznjOU2!CZL?~q0%)_fqs&#A_DcH-y9vR z`kUirXyhkcQ05wF;>!;CN-^$ZoNcWzYezU0#O$LT&L06vgpD2D;XIwXAOZW?rV&?z z_>eP)Kzh2*g@5qeakoe+c0{x2v4LjGYTX$R2MCu{5IvU8m(7204_9sHr(*Yud(Ml!A)-7_mf|5+@`Y0 z?km!(3Y`F2uw&h%>262+>^PzaTUMP*@fIGVT3o%`sVvP}j@~=`V}pur9XRuZP|~a} z@JeJ2(&OM#NyrBjLZ92FT%p`Fc5Zu&ZBZG{k#e4X1Q=%VM}iNJa=Bi4gqL5F3z1t2 zRG}&OUn1a|MOr!O@6mt1c)q+aMj2?k+jY^|7Lf>N%QBW?!5t@Kf86baZ<}ClixDvNejc${%(-O{qDxC7mF*|S2ktZXBeFi+v1;F z=7X=Gi@A&vSu`&PGgWSc&Bx}B!(;}tsb+3hz@rdt8a39_fIP}qHAxex4#H2AsIxSxiS*JWv0I{aL{C} z9ft8cTjpoXg~uxle*TMkR=!uZFvWg=*g5IBkUb08DcbhQvH@Um%6(*dsV5j-H2^&P zP~wSo!qO@m5zCR^I7wb2u{Y-8I<;2CoCqs!%xT9v-h^nAc{)7QysF z-Hn4`?@q3k8ZIty^amIzV*F_Dvw*Z{N+^#11e&40u&uC)F6Ws^j`_V}do93keAdDzGK|kInZ{toDd*hKU)YZ^dE>e;i1^ z4~9ef$#O{%q>q+>JYx-zZ_pWQkI_Q9s;9w5&oFB4|5xj*og#h_X7w?2?l2D+$_R~V zOO=7Q3>-(tlf>1)@HsRd_d^norI7=Lc>=5qRHPUoFP#>Frd$M}4mm4LAM;a#P$7#X z=>Tjm(vxu$_<<25U{gioZ+Z!!7Pt4MO3aTc&U?c{QKZ$!j;#>xFw>L`V_zB~CC z*_tQEK=f`82T%RuCVBL+qx3zl_I`PJ!5RJgj`RSZ)Lwmq=LzJ>QK&A}Gyw*w%N zx}s2iRX}KcuLHta7hu(HvqorPhMzgAFmpBgM4secw|ICB&y0bR0Q>slcj`D>Lq{j8!h#Zm|^0=$dVC9T0mV z->lp)?_}ehW*@!pZ(LF)d#CzxXe#9{_t}^J^$#lj{(wv(!y+DUq3=G|pxW*F*1FBu zwDMLnC#jnOh}d40(6u)2i}JDcgPZHlImaW7*An$kN@auan~ywa_WE;M8lXf4wD5YF z^2Yk(9yZ26TW--|t?g}Fc?pt5d!%bG?M4npkY`(Z@5CTh74gtQZ2t2ZDr%LqkX>bX zR~~a={kV1EKD^Rp?pj>LS^8DfAR38D9y~r8h>aR0iI+m8uF&@Heb~WuW+WZI{aDN_H_=o>Qxpq z-ieTwFZ<7}nJ9N!pFH%Bxs^GBnRT1$^|;WlTIu~?%aNx{NHP&MQt+Bp!mMp`gvD247R#3Kv!llrX0a%9BIDnOBcDauaY0V-B_XWGdJ}~>z}&i*Cvi0xhh(})yx1bE$d|#KrB%<0!aVU~bSYN=k}4Is+&&{rb(HI z(;^;T@iJm6EW?Kcx2>^G#uIs`ZV&1WFJ1gsKo0EmfFQt}4BAC}shzl`Wy=pVx{k+=H~rRGLy5|sApR@laOHBgc(sbW=v8-7U)xX5?0)c* z!fTCpH4*}>8LLs*j3hR_Z6BTe=>XWRJE>Q6iM(n~{p;!aVVux6!Im>`WIxxKCNe`T zSBnabX+Z#Fz*qVEk6+J6#EP#1$e$YlR(qq`7B}?_ocUcDv9Vv>Qn~U(?o1HFz@ve( z;@L}0#QGp^*Y4J6QQIEY?58$#>juqVr*+*5U7Nx0AC3rD-f1LR9t+kWNrq_XVVN)-e?kA`#%7qhz?6z=S!PORMm!Tqs_iyr;kqaTuSX7n z28UNMijtmz`2X;zP8+NCXyEkNN?GIP&{K}~8R=#2cr!bIae{V^ACb(zO{JdNHgEVrJkBFiXtD$c*rz?{hyH+mu| zB!~H;7Swcs9=i|RN`rnq;F2Wi1ENIUtYvm;P<}S}n#thoqX-;!?+A6bc@c=cq0(_vWXgd){i&mg(=!yE684Oz3Zi#qtmaYfj zvze#jNVIIg=JR-z^v-onRwm|{tXvf@&qU$zE#!n~K*7JS0k;xjaSInA!3=1EwYz=@ zEno($3~2X3iZ$A!s*tX6&qVRZyTt~h&0EJCY=GdXVkB5?ANO|< z&SKTB@Q-Vvy^DCQiD=Dha7GnK;biYqCa0eZuZIj_tuTI+sH*P>N@;+BHtcm=r(emU z$2SgnW1|KqZXfUj<~WGkLF+f7*gx+NHG|<4lF}VRp)5*3Nj+*1zvD{hGnet$0p&ir zvhuj8!&4`-#xavBWLge8;Xr{LtzgVIGKIIBVz+4RU8$N3KFCdGxF@9Ko^4_(8Lv7% z8a+Jn3_|=-FG@`rG%gF(3N(A1*K9YSK-r)ZYmp{&jX$Z(M*m;Y-Zj0@aZ|^h7Yjge zLZ1R_y*guL(w%B}5Su{bFQ4j6(BtB4ndg-r|H2B!r@8*;)FS}$qRQkF*!Ku19&$AK z`-ihYKDdc4W5;B1nkm1ktpycv?^e@3uvn^pzhDge4B#t4v>Pb@5VWY+58|QdBVGWr zwusWAN^`yIdt$3(%s+zLI_QG99gLDBr=z8feMim%I|tD}XKZG^$>V&SQ;+vitx|VP z)wSPz#ks1QWZ?->lp8k?#R&vTr0WiADQx#&6-?9+IkPwgd;k$wv6~hS-h9AwLg9|& z8YFWb!OmD?2YPevc)rt8S>$Ha%tHthqdXvxC#B0#CYL5T5(_BCB9?gnEDDUp$x#D> zD%SH+93ua!)#54vY)eNTV&35|Sk5md54Vtr9FLNW{u|KDC^b)c+~Iw;f?+Uu;SiL!@z4l$iHlQNaTfMMf02t)%x#tvzQ3mn&qW+TZBG zthcBO-+r(K;C>uhGZ`6bg$dr~IZ0)tqY=TA&o$$9jdBb5dY?F!l0LD)`+XR}GGVJ>@du6AP>`_1XWLE7$ zdJ}svS7l- zELuRS$pM-8h3t|1wrtqk2{_$i4Rr3@(tvh6?zf+#pjhJ%T2q0MxWy+q6qUXo@8c)nm> z1w!r_xgPBw+_7TEl%BTB&*6bmgYNTQ74IE^CEK$B?T-x#fL3r5@E%~zMs$lb*1`+` zWcKY>7m!r}A%ln1-MV2UwfcINS3Pxx0b1@CJvN-l3TB?kV1N$zj-#$5 zo4%&&Jv7FnDhMRf*CN3U2JlRl7Is@@5esVj)&THzunU3KLW^qU>+z|ViW;EH5aW&LINB6krKbyl^H0{T&n|(RViBg zv`_vt;#KQkK(hft=XIo4sQ?JI_dv4pLCfMnP+7nFP|84=Lu~ND=NKtz5Y~*<(As1u zYMWcYXzLuyYU)Z~Wi`9{rd;Qmy0cGQOh-V>z@KY#{(axSP82xL88vGYWljgzw8JE}u7=YFB~UV1u7_OirRpKC zY8oaNjk6VLv(qPW8-!CSJd3#Gjn3Q?bpAH|PQq5L%VT7d?K>=NQHBJ>nJlRcPfEZ#DL-Zixb1EH|G1E%01(sxT{N8V`jT+enqA@2}3v_Je`1F5k<9 zsbYF6uFX@nHf6rk8YB}uLb%7OZ5yEV#%;@mj=@@XJ4!Q0wTrg%?S7)Hes294e3mv+ zWG>NN1FMz&Bz$O2OY+oaMY}CUEkEYlQ8``mLVo(IfT4&62P+9?88aU)35SZ)Jh=yM zhd1e`n~bQ_(@$*ozZrTKJm-B^(6uLOopHWIBeUtKNovw9>Fs4fc#Vl%xJRnKf9+yd zL#G3gbNy=nNc7x&%+~;`0J~F@%^$d-k4a&>8s3}L=X0DcC7gTR9NyA8o-}-#Ix+wzh z?$x?e|GskP!8hXc^zR2J4xl2_neJz+JwjG5lG#QTp1e{$B2FnE_W%kFoFdEk(XEjKMEG=q`U?!nFj;b4q%^Qx?;mSoU(F^$j`Zqi6Pu zv7F--4y84>`}`3O`JQwBA?&x}i-duey;8;Dw0JQ&sc(cz?<6Ua=?)SfS5b1Cqt$xNwZAtD0z>iZ)1 zN=?#8t3L5&zkl1Uf%;xIr-ld*hrVpk3WC$ z0^a6+YC?Zk08F4}V(~)vq)Dtr0c(v3&0i)bC~E+IILh`d{oV%pH13Y{y)g?};x5FF zpld(IKS!2?n8t9Pu`tR`29cyUKeV2J{!NS8ZV0~BuhS8rauehKAy@1)blc>pf)-_5 z(VBAb9GBipD!&(S-T`sV%1BX|Jbf*qFh6Wt{y;{*h4H%pJlZ{Id~NaUU6kgz>7!43 zGfa-%uFkm~5w^mKxM?7k86o6hk*6=Zed!ZaSQB3H^xxvjT&$tiJALVJx)Z5XYR+Az z{fe*D(PP@OB;=i^d7|=HFY_zUt0~*@b?jo%ts!UBNPojix)k&9{({goq?Jki%wMjz zcDru*)i3^w5q|SuhU>?85979tGBye2l;De>jwdmrJI2#Q-S_+sBH3b%Hlrublukc3 z`cdqqziQW+E%~4<=XLYodt{lBsmKMriKg1Boc>-xl7oEIUl;#h{I`Y1-Tyz9t}(o_ zrrFL+JaID7iEZ1q?PTIiY?~*>#7<6Z+qRudY}>ebzvuqzAA9fWuI^g3y1KeHY2P2g zyJV~8I$Pxm_yJh%%k#d-Ua}tf@Qt7LIvR1unTbR4q;l6LPtu~h^#W*ANKCMZa^%Jl zpA&grw2(y=iYdf8+>YozvvXU?`5?NV#tEo})iYo#yH*G>I`x8bn+WJq*TSrxm5IF9 zA0Z*Uhh^)R4>gU9Khpk5Kk2}YI*T*g=Y7nzqq*7(pFGodQ6pDB` z#2hqACE=uS8$cdMWBrK^HUvI2=@yF<&gd44x%G!PD8Ey>>yp&(eq@lnFHg3s1$X%? zqmE>?lcWl*;XGh!CcJ2;L1BsIkrxh*4LytH zl1brj4e5Vrc}&c@ry1qXt*-;LWGG7ubBRa6N;<5&s3M!|(kWF4cUv{)C9uq;gBfEsv#aT)g@R`%x|~ zTBJ3lq9_I5-QeswDH~`ZGPjC7Z0~J7uZp$U*A5nTiRy8GYgpwktrR-qCD34RQ^A&I zrLGF|EUUI4gK=@I#_&Lux-{?9#ERLqTY8GZsH51DM$vfMoBB#LQKZh&6hc@2$2}|0 zq7e2n$;^~<%8qp2F#+`kGt&qx=eouO0T^!XWMiYkb%{0ecwm_gS~ zF65BB)YU9~Ts_El{#uh*tT1~K*FSy6o&W;znKeHW$wyWCG449W3lB^PI{z?5;FP@Urk@r&xu zdSg8WScASU7PaUvqK3mys5>c<9PuaYH)p5tlFuPvZKH=IJ=HW(O)YLWboSm*Sc~$> zYH7fUonuN#rm1@h#>VYhcmJbeZ@}QVT7c7@N;iT*_vsRIfs^h{CK;mk&}wPsW*woq zvwncMC!d+)Q{7TKf{y#bk4|UzI9%y3W#`aPe*vt;K+k_yu+j?%iGvje(IA&D6y za`vLN%hq%Dmd)Cz>#5~KP5IaHM5}z5JV|Or>V9!K;80x0y;wbqoD@=J=7o2tOCH5; zszy3~fXr%?i#H-|Iy%Bf7t|%SJ5wBwq;j&rCE2WBQ!l(0Yu9!Ya2s5znR7Kb>v7Kd za2;tFoE=ZO0D5QTDx7&C1knDH+>rV`!O}_<1xcz;7xpM|LkqKTF@%eD0BO&YX$r?B zbEDjv=b&&U?1PT13M?+Rv;gEDx1{YsX5$e(Q=)R}%Ex^2w)+Y=wz}Wt2)* zxPrL!n>gcbWfk*M$tLp2IG5;-c3Z<)|7K7#gld!M(~ihIN;bH6uU{#1GJfD)zM2%={)#B0QVNOR_KTwoc=zgP z&0W_!?R~D%Dd}=0n_6&_ua;xEm#N{faF?+Lry8rNsa2f z1=zA4boLk<`Hrn>trsBLVtX1*eJh(udS3L8NBUIUCx6HL)Q#3@m|1%(td^YV2tR85 z^nN;*Y(DA`kFBmdHgY|8mB6Sjlc;XMxvCo-GP?0sefO0@iM=HE@@^yihs@S7IlAfz zkzEGOQl!mP1u(QeQkkV!X5C62I5qiMSuA40K@)vDZq>m&A~R^8o3*yhPOZxPX_Hj3 zLs?!XgXXhfsKF<+S^TwCfP~S;0&A0iw@NlXOFKpAnl_0hF-Eh%@t+zsKHzBoHWMhsO)$3dN@_j0Qpmbp z05IX!AmCC>5+16cib`tP^dCeeBj0c6@V55T?zh2lAh(p%cQDURj5e?y9QwyjvPnGR z4#i)_UGb(2ezVxz+&Azk8qwkqwnLaztDUrEnkgD!Yd6wF*8GQsmIG9#wmP!2P70vx(7`=?1h(J$O+r;xKyjA*<9?-ngp9P5xKM(tqxk5yPn)eOZDOas44FL z<;K_xw^f8|SomrF=x~K5GI}UO>7x3@5v6Hjj#H|M%aQlY?i2Cbj@q#ZLZ8-48A|Vs zU5_IBSX~-aEwa*c%cIKNHuXrYNUmaVkRNaDqe=G0*#KnXn5xMro3ZB)pWH&FUn5=n z@OjW=J$N_3)`2T?MZbj|Kz?fM+$$NsD+F(96CrGS-VdsW16qr}7KFQtU3FopkIE+M z`-w!R#^X!z_+8_Bl$vVYrZ<;0p6a@EUrHy1`zeaaeYlFMob^$DOaR(cYN$)kRv3w= zUc5XwDv~1?)w1PfF`MDD{-yBtXygkj$M5#+X^!crNj)sd z4v_{xL9D4g@E43%j5p{c)S$@E*+>LN^%9EqIzJ;#nYs))rS+k9}1qvFM3ssJCGl4Uckc`Avw zMVn=)msRA*zKiu@_$onW{z`MXD@L^k131Wu)h+YRHI4CJ+$Mli0+TG#*KTOX6s_-^vdFOWGQw$n_~s5o0T~(b;v;4u6Y(8NzBh~W=PGK!uDjVH;zgx6k3RZE2Wn3%rAcNc&hFjzIBon(x(ud8DjTr^NfTbv>_9s zwtmKBGO8r$`Eym;U(vu`^q$uXS^3`=aY7lC7xH4Y63h8+Ybv1MhqxJS3*9T44-NyI zmjcXO=!d7GW_tSkVp1&2#prVC>MptuTWKLaz`! zTOkmX3^5jM(r7M{LN|%&hr249$p;Wb)1p_h4IV26Ql3IP^wPZO%F6xVY9qgwPwO0m!#1fN0HfI=3QdC)D2QVgq1pSbLz3G2)HumKL<5wi)14-9N z;^x{Wlgp+k+U0d7!=x27k{M)eD(w}~n$iVUnV;${wo0|eu`7sCTsyX0NbWZ51=%b; zWnAj5n7i;3HxU=Jl7+SYMU=gZfl06;R>lrINXz6fBG$gNuI{)l$}L&g&1K%hctv4X ze4`+{ZuNl zszaImoymEO1Ht9;;4PQn%3;!GW8;vG?p4&+WU>@oDc$yuwJdEh9jaVwf#^tOaqCeK zCv|+em5v@j{e+Uhu4gUx!9aJYqKLR+Gv%{prdh3Y-O{&xgnqlq7R5`XOnZ_|nBMnv zKX(G?%q+u`YmW@@R@d5_egpiV=8xcJ(W3fUJ}SelL4z*x_qRvL$cB~4Qo@5Iq*|{k zv#!fe-_$LUNLJyrij)?Wy7uGVSEhr7qZB%I8zGF!@bsdqF}#U{bchnCl~YT?ETgZG zYHPVdy-wN4*FG)|?$+AvvL`==&_2;Y5cUn}QQU$H@Nq*p1A+S&%To8|lxm1{yCi`` z%pm<_10vauOVW^e%h}$E`E{@qs`vc(RBp-iSgvBdkjp4>dD!}w36I<^y9(h1WX}?8 zr;g2Pxj*t>bte?BV!O*)o};`S433i_{EK@)kj zX|iT%!6`U@?vEP61(jW(?14oxHKz-H{}$}8)WlzBs_{G&2~sGN6$$ajf3!>8BzjXP zb*k`S&RmZwsUFWcI?Glp7-2_}8W?dSrXc0&dHo#<&%7y~GY3lTm)Kx)c~A ztwy2F+HeV4lj#ywuu~1Pt^F#jL5GQeJkuBb(G^(N0N;A6&9i7{h6WR1a8b4ikyqV- z-jOxa8?$+LJ($+5&(~d(78W~=D)Qthx^3Z`$v`@+6BYG|VR`3wR8xSR9AxI2H=(w6 z&m){uf>}5oj$hi(+cW~NNC+e3;pBBHo%<{3M%Q6!DSlFh zFmH(}CV|RvUtTlkKYiwlC06Xo64gH-Pg&Km=0VrQ^jDJ+4c>nD@AeS5*s_(^(hm?j zrj0_S(!JZ2>4Mc1%ou39`8kX2oiK8|dtG=q;-a==5Qi9as?0tQdu9+5Q-&_1YHZ*o zjU#IB)AC^R6=Ie7nIGx}m(iu7HKN!Mp)l2 zZ9pUJ>N9}>sy6Aq>SI5KJz8xUL3Juu_oh5WR`MF>7`RYRgNwz3l$Rww16}R(FteG{ z_Xb}5?X?u}3_r*E?Jc7~$IXs@Mh$ven?-QBV0khHqWrZyYCldzIVWFH`mz)^c&qhF zDY9cp66MB7`#M*{Ed`0{4g7k|Ev>3X+L_yk?MR=yDQFVLj|>_9rd>V<;5$gNkydH9 zZ(sk6=&6XqR8g!;WC($hozm3g#pCJ=sd6ch`~_SvU5SskF~ur9s`Pzly5safObqc;auW`zr#uN&e)qtsakqw5TMQL|S^qg8K+P zcYy;2;)ICXk2WhHvla4Fzek|&+k@8WtKlCbp8OAJJcavtm0C9+XFd_IV~ENxBn`t2-4C!H!F~2 zl#d(#yP;YKW7;rzioxZjS1U@o5XkDaB=oeV!%&$Pye}VSE1KR>%68%Gx_9ZzLFiSP zf<@V>9(Hrh2X6C*Z@&{;msR$M){Li^p~$i}l}#UJwxKdc@?~lWe#wa9p4cInKHl&_?BhG|y8uD^keaV_MEM{O;|_ z?>G>uD&jEM)WV@{*!J}1oq8ka1N)c>8Y_r6c`a9md&bh1QY5L-#}h?v4BV|Hi41;e zGUAm@&l~C09pX4-fjS27&%=MoMami+0DMG-s9Bq(iQK$NQ&$VKy=H$3oH)$9G>L%UM=; zmj;S&IGB!p9SqiU9N_U2M_c~>XM2z=+n{|jI#Vnagx!$eu0z*uNYxU`=l1KYE8odsRC&D^zmIg6efyrCb zBo0I5hD>vOb(1WzL$Ab*%rg#)+W9tULDY3WF+ZNNPUGoXI;a6FV^eJ6o? z4hI(c3DywrSCo8|jNT5^eTiS9LTy|o>WAB@Z>OfYjz6;f3cjhk+boJxB5^9ZozU2G zoySlDR;VwU+O}4%TXVD;xvSKZ4C5PpR~K)Ca^uo@&KkW=%ttKacDtX;kb{i6K8F*s(f@9siSQfzw1aKHEzS-F#m?q}21G ztbAEcpt>HQcL>Hyr5YTl638(ZKsQ}vK?bv6Q{Y$hx|4E|Y>Zm0gPw7laz*cY!k)kT z#PsIf`;RDgCFrulNP>^FT```TC!TMD{V*d5zNy4EvAs@eEjE_CB>L36U`vC3i$_w= zH++NSkn*Jf1@o)6OgTZKiU8Dki`Mkd(3&rSMq9DOprbuA-=YH5@*Fp*Y$|)I9zSic z4Sg2!*yl8_V6}zuA70ZwlQ5kk-J?vuvl{wHocGS^X&T3YoXFf9lprU?P694D zDWfFlIvc?<{$YqChZGAmVdMlO`NXVUlq z*v3+*>eK@zHtv>N#Nc09w+!2jNp*46KMKUjt`Y8uW9j{s(WywNWQx(1i)vuflPf9(xHs|>Sg6`H__$)s|No*uq$pdYXcnpsv0Z>C z77$&nZmN;JKgge^oLqyw@X(a8Jf1#*>08-qJR6*gM}%J!f4`z-Y1T2mFPQoBo8%>9 zUb!X&r#$@}p?c9o0c)?ax^R7ibnzgjEw5o?-Mgv25p}FB?;cf|f`6}^9atgPXVcb$ zPuEH#8M1fZHjorS>`K&2NiD3Q%;$u9XH)G{sIdtX7^5h`2wd0>IdV z={l}{4ZOEZ>r2fi2-RdT7x6tq9i?_q^>~lJw$wC>A}=WOXlt4=?$L0b8WP-mfMXmn zXDd!pmzS{+^!1hxdw0c%MiQ&T&j(39INqPO($~pduO%H(TnnA}=6^n`w6+GDCrwP7n=A4$yVB5;-vdJDU9uW`9nZgUy&{$qTd91k`KnF9#Q15imZ9+2yX}7%NQ3AtH4Oc`xs{i4NSRdDtb<6pt0nNVz;>CsF$tgB zgnW~@kz|{?_%%^AM?{_~(HWP4fV#hQ6qFOWT(o0ETuk8ApQP~au z$!J6)$LmwsUnnKM5ze=A6OOlfOf(@;P z{?qXDT3%|Xu}?Hi8#hk8*vA|hNefPV6)Api?4HHC?#~hVI;v;x+CE*luhv{T#zsf% zn;Oy0ML8+|D|)H1%Fr?yZHQNtBI#zq_CzdPcwb@ZT76Oiqn7-GP|!zXO%ixC+F%3t zlab82K8^i_P~zK?;j1Hay@)wJI?#v(cVQ41@vIfLZ{Oo37(ptvbSC*_Wg62pnBbp- z^e56$TuxKccLjrt92?8~c!#HR*H3k3mELEEN&Y%GOpSKDz#k>?;4{J&D?WeIbG%^F`F>Db5zioC7dOJk`26`HgekF zL|>%g>O{qNI=2>9z0zdBO!eTJyL!m~!01*Fyn{wcH=FHC&^n+Wa*72B!#w<80y6Rg zhrVer6k!#*{QBvl?%E8-ve0aIA_Zt<#PQ}Cxh#-h$eM=^7ZJsQZMGH}rKxXgXb^R3 zJD02_te{~S_NI=ytCJ3Wp1ub8yx7NB?k~~8+Kh|~s67vlS~uLF*)*6CG{&4W2Iynj=Umy<@tu9=SR$)=nZh)JbSBPyRqq!^z;2Yc zigYUsS1P8?4Hy2D(7ed_U%)3qbP`U#o0} z=J{IRl1UQurgzRES@9r1iUJaYMURsRi*kTmTO>qh~d<#K|?b%0p^ zOiv(Wkw@immL}GZ*HkUyC9Vep%vYm z-a;=&HWY-Mz&P$3mzo#fvus-I2GI|VRoL&A!DY&{9OAwxl_4`oPrnDk@YPX;H%=fL z_x`Mr{jcv#q>^XC)A2Ge(r|TRHZWHXmN*k9gW(sg-%r~CGyeXgRd3U6R}{w4v?ea(2)1Guwc23qKaBvzgdHb!LGWeR z{lY9?pN(v}*?Iial?KP^Xc>fNh@DprvA8%`4N0`WNT6(<&}5K`vIyP24p@Q)uo@aY z4BZzD+FxDk`b3Vdj7_L04hNG8y&f=fxMTMLfWMSbu*=zXQbkIrm8*rKleKqmOi+nT zMt6gXA@k!XZPA7+{UY(P#oVS*zT-Cz0Ej2$`HC5)rsRR}MEJGlYbNk^4m?*q?-OV0 z2>Pq<)S;g_+eN79*R;rt-^$EoHEubszO0r$Rycdtf2P1WHo_VkkEGYZRGeR2lKk{L z%+KRwKKZ#BM-<~`);s18#J4_-({thQ{xHj1V65QlNd6)OceNa; za8&)E5gNAZ-B1R*42Osi3xID35chrC^5q5+4=a9GkhuI>*p|iW;P3N_7mC;X*adyr zYYU8HJ}X~_SvYdjCD5NuqmKR!WhE}=+WYH$J_GnxA?Du`kmaeok%*_Fg}${Ec-OBl^!O=l8 zp$+Xdo^mvrclToY`&ht;^&iiC^A6Pe-V@E;R0gScWV3pgX5c$%7(2O={lZrMtVTIs z3QCb*tX%MKYBvR!(Vk9?e~L7S(#s-k35m7hw(0H0Hids#K!Td-1vG&IK2Uq|Am0fW zw|KRm+Zx5z`CCAB)On?ZayC)GoAj_K%sN9+qRh^l71q?D*5A)7FMwM)BVWD}XmzZ( zzL0aoGAzFAb{Re%&^LcLEF}1ekcG%@;>J9mZ=OLIKP?8u?{dF@rtj(N4mxuN+%UhK z!=7mhX>AWstPiCh0{>SyAg50Y3=wwEjetbDGl^F_>QOHOSBv)gr~jvk5DsUV68*&y zfH-XC)H`=PmcOXyh4}!o(LLiSHlLC4@2-LD0m0Sh(cyi8m<~@2MCJcL{j-^`E4Fp8 z2@*VyNzCdSc2N{EhA#os;nZdS0`A*n(HNi~{6DhCzBQql_#fMW5`EF)Vw2_xLfmku zxqiki*X{i{VCyQ{2^~mVyloJvbj~iij2r!}=mGw|fM0BnRFKBZptC4jwF&b`koG@w6K+ zuG`PLCa(LV;1u7x_Hka+Q1-y$3%dpB1t(G{Yp`pu$~ z{>UywX@4JuB}sx+;~qyj(pnJnj%5%lW|TzSX8-pZf7>u@Yc8X}4;(~*K~z&mR2-YO zPu~)(;{RA|b_qQl!&E;22^zM)QQoOv&Uh4w1+T};Hi@zv*AOCjP&YMz)PYCC?{!4q zc#7uw>g-uAu+Wy~+AGdy3XT?emAwh;c=8|Pj$!nkVUN5pYAwmzMB^Ar3tD%e$QFKk zJ{apFf@M|?{ePG)IZZ!fmcbn|@pH8vd}!w8-EV+x$4~-7G#^$|Cze!Ev;tsfOS;ELYvG5hx~XAq4&hz5UAVmL zN2^uweTiRIx33}&XoBXXVTHN-aLLF75x0&>NqxntMsO0k5Lqp@^=0#}c2x-T@vM4T zku&JnaGD87{MHqMR(oj(V+lA_rcqIn;&p@RTopYaL*|M+B%8qyM(lT7XCr8`CaOs@ z=fGP@Ln4;*TCskSt)3q`iS6@1*e=R>bc`-iyeTPQHkQID$JFH;YF4;Je80s>OUfy#7W@JmB{s>%+{+ z(~En>iG*ME0L!b1M#W7;qLaA|$4^zdNYtv1t3z5(Cd_8O9pqwFE3Pq8?NgSFS71sQ zz-x6Lz@^+TyLMNrsmOTv@sBIaX7m`wALK0mZhjJxIDi4Ty`Kvk!7T6F`&a$RH8HnV zc!d<>7xy3HyD|n?r!rrRWbx%~YHRo(ADDij9xx(@(}G(}Mu4bEBotd7}fyI z&i%IX2_<5IZuef&a3pap=W{ zh)i`fffeyds3y^nFoK;tii|~n|LnurRz^bbSFXAZUa#Y9;*CNUt|IMBNSH?osR97U zF1Ls1+go77T|o%z;#+FxJlyOjq<1#opod$?-q$^Hpc|03+I|(-+$|{n>st$JAp|km z&YVfqaDKNij$IZ0*FtAJEf_)aw$zlb?XLI8ii-}_Cd!Sp=K~}etSwf9SL|v*=sKE& z1b?xpZMgA3ukvO;$eQmkgMzzT_{Y+;0|SoKmc~8bUjkoZg*#@Zl;$rWh0@Tqh8A=F zZ5nCpepb7FFlw0`dJpCQtM4acD^ZlfNy=>Q7ou#46H1H|?K`7;*qJzUalvpFKeiF2 zQjsV5AYg1XA23w0CXU}h7cCy7E%_sqCn;??e}*qIK$j>+sTe1UJ+G9`QQz}3+D=p_ zZf=-0`yIas7~ozw?lDit};OdlTa?T;v{%Ju=LtR930hylqR^aO@~=D$jxae^TqvL3k?B^&XOuO1t1TA25*5x5b$b)`n%P`JzEkr?>pM-cSPvbYL; zHs{!jk=Q85%O(W zT7*+b(yHb(j@qruGf+_R^i#;PX&mY8~C=kz>cf?@u z(#8pxM1>3lcf>rlj&G0MBl{ng^E$T{t8y?Q;2UR)4;O935-3*wdHD8dRQj=^!i*BO zaVjTXqWBBJP$%fbZTPkAa)1q4B4NG>0l8mx&nzL~<_zayb9RvYS)JBS%{iu{yf{Uj zmxeAfReq?ydAZzUkRMszOXRX#@+Kvf<;EU$l8wuVT)bbc8?v#p8#VEG-!@boj6x5zj7x+spY0 z+@@02)9*9Z@2#{km;f0WI3(zFUoBp_CEIXWm(y+OTeu&mFB}lJD}jU!yh>Dyai#tJ zS=-2WsRgVU$-tre1+gl?yA$x(a%mojJ9P~$&skKSyAA4lrr3VxL=YhfkpC0tAX^6o z)9CeQyXA*qH1yE_y4ynoEssgq500%O+-ubPoY8dXsaZVkM~?#|?q5q!ivsiLpt0B= zH5@9Lb5M+eDi_>RZ8g^*U-a@JAu{#8EUm7X<>?)xQ={tAF*H7e+8(145ti`=l>sz& z7_h2@iXxn<`$lD{hXbJKxt)Ez;lcjv2r;xjx>i+&lala?7DGe}4lf4##@mS)Do&c6 zXyX%QzwpC!{-^}sGi>ELjDTE&xD^pLu5f)X*MzQ>O;Qz1=XKq3AK@ zuDPW2OU@(5Uy@U&?$9WgGnAF3RV*AdL(HZisljJz{#!|;?@|;uK^!=kT)-7Nb=6)I zAn(X<+~_g9>({=Wnvm!M5C7zyDFqc+JjE~GOwqeQyqcpkcLqbt7nng0FHX!i5eDjw z=@4<_IpCQE#_f=KcR>s>Oo5i^_(Yy0>^G3z1IaY!?O5WQuRfVEdu9YhfSTr&TxE6XzK@wjOdOxY!=ut z1beFB0BL=66!Ix?AWJ-eBL20Ct5LU~=fJU|d~+RzE-o!2=%=pD^AF9&`co$!?c~wU zag$9Ot|uQ$qYLEDX~mLv0_!fn72pOs4d)?NeU^^fmwjK>CtuhGwM*_Xf9r}y5YAhK zxzuYo>+(OhEp#rCLl6GP^vEAK_F%0r@f36UPoz7r@7ON2o+hPjrk#< z{$R1I?O1V=9ZVD~u4|6)FsoWN?5`a84WtRfgV8A{%7$3uW@KT|C;(cx;(n zNg`oPBPJ|ea$qM`1NvtQv!R?HL@x_;mA3;KUi%j$di4uMLRBhnqJ~w$2fUmG39%4kVEFJVDc>HXEQ#mA zr(u+W$7qUDM+?&iNr+FolBDs9*vmxu>dsQd#^H^GpqX)_Vzt|V9M_V!7t5$y&;yq1eO%~%JjPdhoXMt z&KnLG84g3ccb$bZBJ&frOd|_rNe<(V48mg(etURb-Lc>@ounZ+QzxVAREk8}Ai05Y zU;fK+n0xnVDX2v#w+5EvKJrcaScncEA)}vyteeg&0cuSew4pWv} zr`-bpu=issCGL@To87Q;1PWQy=bw}xciF?UN#npk@F4^}EZVJ{Xa4}k{E?@yj-NiB84d1qIh-< zaU|jdR+eV;X8|a^DRSZq*^ROT-mW;0g;It#4;;77E5~_65T5;Y0vCB_1Kf|!4a{JX zJz_czsHjkvxu1Z`r+gP8Rq=p#%hC_qW2H~H@JOJLK8ZOLKv-?J`mIvuBkf~8+2E(DZrGGbjB*-+`zR1_kOHyIx-p>oz(7Kj z-Bk7d1A8fIdT@|;T`0~Dyxl1kG@2!Wi39^9CgCN<2p2XSN_q7#j#j*vJr%=?*h>3s+BgR?r*olG@{X)6^{!r(A=8{5w6h1(EzB`ZH4i&c;6pOL*{gR2gjy!|D z$S5I>W$h53_6yqjS|0qzY9<$t7|uuj^pmLd7kOFX7Y@hD*%@9olVF6ITfXX_xr*oV z9po*Keu%_;^4(XjX9nD0&1S2m5AD1DK4Z@J>iGCnD>mZEqWP*yXN&{Mh5StPF$Gs2 z$?+zx^qf5RJghhy4x82=haB?`aPCxuN(AK-)1|y`P_8i`k_=-^S7RQ9qpi**S@QZq zg^*d5y_ifLB+Hxs=fHg?;($vbxq{qr=^`yEEI2)@^w}iA?idSnzoL!^XIm%niCHYV zs2?zMw^Nx@`VG$V#lI3}7){=bd5d+UH{#rl3iyJjmLk^?vF4YsI#Hl<4&oRVTiu6rjdzn(9}mx+{pA-q zF;iRZ)*s6e)$x+z;wN~(;{*XPO5CS#%~ixgecouX9&2AN&yg_h8mg*i0$fQIq2%`* zvx>4t#hqT_*y~Xh!B)j^@DfLT)9Ipc2rHTeL?WZy&X#!Cb{a4-NQuxf%oaYTM%l*u z`p~j8v-{s>KtV;FuvwaakE$cg6>yiZ1|ffT(xSl|{w$p+AE4l*>d;mWjH{Uekg^<~ zypmW9e;r-9Co&*_g6ym2?(*?R8WaN#;}G?Zwt94uKqO0ryj#9P)@H9!@r%A-I*~Eo z=sP;qlI&-CT(z9bYGi-y5-rWg9koi|-kY7T?a09AaT<>qiVcdw!pFeu36KKz-46hK z$;Os|ItF+aAZ%?Os`K4vG1qDz*k`BrDppj~vtpSO3x#^rBvu8SmdBd2T&AsLu#1UR ze@5JSO6Z^x6NQA3`m+fX^3b(9Rz$`%R_ayYRiqdwk$GL3{x1-(4UcozcI0Hil=FR* z+q-j>h^&}8thISHV9Gt~rCm;h(rmBqAnlrlfi6u^$WgpoTAjNTCq%^Dk}#eqqnTiJ zMIb*U=#~S2XN$Mj|BFd-TD%^@_H+HvQ(6i|_Xqz}eIRd3U?stXk3)3a^6vJBCIPZi zEU|d{9daJx7fQnl_XhJ2k(@P*?@4;q*)Javpl5hF`Sq8p2K3|oM&is)B6pBKDjvc!eIG|MQ>{Vu;JOxxatwZY=n_)+-XeD5Fz z9>vX16De!U`|K8gawWo-S@-}cGKzMcO1>1OBm+GxUwHc=;Rfd>dFy7i!ySP~yk}H< z8dJ`w_uAUKzYu$*Dp!)&tb=#`=pM31_IoD9+012dP zWCdX`KEvT>j?kqpybzsh1Q()8h#xSG8PymeLBv}oNZfbTP$>07UsU%}VS# zl$Ri_U{`fJ-hWEp{?t1^R@L=1qpt69ahy5T^|3Q)_7R}#w!e>|KZ8cs^>z|5Rr`&z zq(W9Vej90Wp!^(1H?)B;PX&NgD;4^2$P#TgxM&Pc@7Ew0M>hU=f!SYTPsGchJXtp%Ff)B!EU%GarltMShuk?81bU#KZ9ny5b% zHjRQ0x&fyht7#fOrYHAiE}iX;5*+eBH7~mUaOI%*gmAMrMQw$t>v=RV2^>*1zPZET zl2DP>YI!6#itWJC^YRM)M&El?A}}i5f0afBc@2dqjlTiVg(|=quj4TE@wa_Fhm9Oc zLh)V+t-(IY^*mfGrY6G-5I6wv@8aIcYUH|Wig|FX2 ziG7)aZi!B^_(Jx+2JB`dRQA1Rd7*<|vaiQT;M799wA{YhA96H_RgNIX>~(WSiR7waw|ucA}9HiDAnW8ruan?`vm zYulqT0K|;dzfL<+^m6;FnEe-E%eYSLLo>@sS@5*2t3I3HRxeH!v+`Nl4&m}*Z)%gh z&-Yj$!wpM#s{t(7cF8)nKJ-~}w@W?enOmD=_gs4}JoBH^ie3)d?c&ld1?RwvM8-AwKHSV%;X??i3;j+;yH};LM6zj4SVNbn1yi6(1 zAtU)2u$w8fPa6{Rc;9jEMUbd2(=j$U`Oto>9?3W%YJ>mze*KccPwuhyEFd{yqFie5 zRhy*Cafe&tT}8T%>fm2|H_W?%?WAst|M^USX(RTT2;FU(ajR&pj{5KA8(+wV$>+XO z*ZSIhy16y(qUNBEvmW28gskm@E`Wx1-6KI$$^?T5WIvvsVyID>xO{EpiVW5x67R8xPL z74*txRfXmY|NGnIQuYQX>pd0wH~jK-tEdu)&8ro?8X{C_nTHrKTiu6Hs@m(ThI;n1*3j;jSV|ZZIn!bg&2i_gbI5rE zN5(K~x|06(Ly6pG)z|MWemvt}iuia#-QdTL`wV{?7P(x=HJYSg@9`6z-x7OPHIIXS ztr%H6_r*&>&gLpkJo?U7=(_0*3w4QHqm8^Y$J#On?tfqTCC+-Xl{VF)o>|kQrnQld zpS=E@VN3OD#U@uDaZIQ$v^@E4-bJ-HBA~$Lx=`v%aDhLe+W1JV7bcc`k3VpJ&&f3j z)7-a>5WDDbldFn#%aGcBx|rnNI$Tu$0b$?ZjZdaL9xRj9?glHxwnr;U<~mms;O-?(M z!|bu|?H1w&@cr~n9$)P z@;f8)BB}X@t6}=(%pdnV5ys8Wkmj(p?+t7FN6r;ZhwdzNsp?=^1RrHP7Q``^+QDxf zM4?ybY3CAng}RwKTasD&*jFN_L-h>>Io@KNd+k^Qs$m{awknM&4~TIR7+0!xwaHjkTZEn*ju~fG|GRRM)0#|n7j|ov zX}ZmJ{;DhzL{yX#UM>Ldl2t)4b^pDGvv9)1ko@61ft%__PndYS7ko=*veWtlkor_b zb@VM=fF#eE%$TXI^Zx>DB$L|~`W=p6R()G0oKwmnvqfg$chL>vEHY{3ne|DUh{)~V zr){tn_jPz>sjU8e_;Opjnj`IGxDmoR2TQ$II7Naf-T$!`mzpP;!0DWnVtV*nTx=2A z`6tkr)p`reY?}%v3(Hd>HPHyJHc)V05l{yVeD~%{B6COG#ioX!^A^kvn1Djjg{ zt_0qEnG8Dj#LKofNJaZ*ZSK3<$xoEFx#7H}+Q_M@VrWtW=bXH8t;mEvi;<#y#vV?s z8d|+cy|?5z6P#tgbEPeh8aVf9a~YIyZEw31ICPlEeLsaFk=JLL`0g^@Bfe&_Ew*N7 zn+wTs5_P4_Zq9PKh<)4UvAQheL+;UUcY1V4Lu;~#I$jQIM{+3>r5=~_Cz{i;YsLi7 zl5JL-snHRH?{iuVY&7AngWtjO(zHRaF;wG9?`ky{Xem zt~*^!vd%d_LLSKXt)|wse@%7$mv-~}{g%|M4Kg{zqov@vTQ>3U1qgY*S?W*qCA#fc zQs5MLUXe^QvysPbn5i+DSUs)HAIy0RxZ0dtxf+o7@xF*j+3a;ov72=F5aTjt)5Z zd4hIt!o}BCx$llAU*A8!HyIs~Q>TTE)FAUu9_N&@!Y*4bdLQu>%#H`1XWwsYY#z00 z$Me0yICJIgtU8a zP@nytlk;9n={+j30VNRPp%ZIm$hr6esrkN7i_>Mg@1N}LJD(?Q6WZ?y(0h3y)5Iq) z1+|&sWR_vI3b~zpp;4~!J@(N@r?gS$C>@bNTb3CsGKsk8J6?TSGTSI2b61D&pYHAZ zjH7ud4z+;XcfO{kEf$%VW#`t!mpM6vOVt4>N2%=1f7sij zt!>rPfObalm`c%?u=A@r-_;q(@j;x&((xr~=gEP2)ZqD_=S2v1ZWZzyJDy0ior3d* zo=7-KUc%MJGx@Gs=kT(0?>xRqp2|?Lp#mldaEd@gNcW-|>zl9RnF+kq}tWpDs3^NgRX*5J6_a z;GOF%lnvXUdxo+N+QPB!QC|gh4TzppQ_l{oMp_Jt3wq3L3EDv`1kGB3Vl2e@COd_j2 zJIMzjx)YrzQBxIhwyHE>+u1!OYpO`cKGfo4yF~KxK4S@{q6aG>h+gCy6ffM0@c1n z1W(K9ogrPD|c`oE~d071L+JS^{63df_8eJAa}# ziZQx?lR-oHG~N8{)XtYGxoUtNEjxRe^w+XaAA}?S^|FuTx6eZRo;l8YnJxRCe*T50 zD^d7ajdpvQrdG+mQ{L0P<(&@6L!#18jLx_3ncnh{5s9zLEV|>_om1W7^soKF=*Y7r{{})F zZxc|`yLkR*w6mo?s2nsj{M7_dCOF<6$b+pUjMG${n5R96@S3)@lM0(s)cQ#t*x@bs{2&}E4J=Z?GZ^i8$gEG?e+ zQR*#qNoS=ERHZLA?dS!*@R50IEHPDkfsa>3s@Qh8khXZ+=q$Hww`s0Kh|l%v+T=Zb znucpTLhh(~PAo1B7re8qrJtI8a+iY&S z2Nbpyh$8?{B1L$u-tWniM}<-{3R9EZO8AYb2?=UPV$zc} zmBrIJq~QktV7P48qSBD=hQ*cH=90Dxka1HQ0SVIlGBCr5(vCcKS=Beh;_R^(tYr<5 zjyyK5CO5U&ryWv+csK;xN>9PfLntUk(oFC);-(f5l3=43+yww((kT-AZumtWAr(L_ zh~;{awlqM95Ttnq!qbij*PkZlnT`kn5XQoUF;)Qa%PuYgVs$8`FOlY-IgWrBZU8bX7C!FW z1xS!KW5+&ZDpS}gvBe>SZH|BxA;eEPcB~k;+#U_cDKo$UsYHk?9XEEAE=x_n0TO@f-)8KluZKLXNBY_w~Vb0td8Ng8K+>|s~MQ${`HWyMo_4 zAJjXMh1EPgZI`{Mc*+mYF*h7EzKW-J{jvd4@$`x$9SZ-JUYe(*!8fJY=Z9LKifvqM zz9z4Dddpn6Y(ZY})JMH%q>870`HFeFG*5Z@v{m+D6IAi^K)$-_%J6Zz89+2n8PZV2 z)7gA=)omMQ6;Ef3&5NaZx=#4+k!=@D97^p?PFH|A$Fxn#4QGo=Z4zfN5xMuYoeM7L zG>tYZT-tQ_k4{C}oFtBmf1|O|3u#H9GDthA<5Q~gu*8LQC$7>6rT!q>>!Q-Y=9j(f z$Ztr)Tj*RaRz0bb`arPkk!?KNy=L{epsMnrJ)TCw1%}PrgGz+^Snyh0_@<=!xyr(Z zbfw}#Xq66|sV)E9A$3T*CapYBeqbxEO{#1C7b-tMd;W!;m51zka5OUxGMTY_M%8IT z@9S+x96i3&XPbFdLGLmm0#y>u8e{RYG7N*DbVy(DxIYl)cwcxRtVmy6mezH`>46!u zNo5DTcC{%8&J1r8Dww@r8_$Y&8RG!nR%iK`_Ydn<;04a}%D%fzS_NKkyA-+_cpG)O zDe$f{DPAmXv|f-_);AL-ZV>7&zjNS#TUx~pMug*bSuVJ=3U1d;_A#5IE4X<$x+N9d z?xIleo*q8qvwlF*Hp`hy!;SR=-%{qDt_W^SzPPZK7ac_v+@>sQ?rXw+y;?OkeAfN+aRUKc$+c)O1v@0FbDeQD=N70qBepq4qr>ZCR1-4 zw6G7s%`t;*>A2BR?&;ESo0Fug0_2c++~B5HEW_=mzZHGao^jx5T0aOT`{`dgYmG@c zYdyDkPr>VoHojxX?`*J*;7AYEb3#PV9t)ULhA~0TA~xktg$qL_@q`@_B0Kbho2{_q^F`45T+|lHM997j zRAL+wA(ylW_3>JX5FR*1s>};p3N`|%W0^N+BZ_wspydsuO_GS>K=i^sZHJ`zmh~-w zaBLYHZp@jr%hObdDuCpRgFT()k3g)p7%i!GFr1tCf{oj#Yf|jCJ*7J4*ulTP(^q5E z-or!j>cN5!iDTx54ik-O-+HNZ@Wpq}O@6TZ&6|&_&4b*WJz@be(hupRD)vL&Vv86- zB-XJx`1H-^td_)&0g_7P>#53Ii9}h0Gw4_ zRE#@40qg)|qeky~4NLzHrwSmx=09P|E5SN0TMlXSWgEB^N&#fIp>okm22lr_cap9q z>d1N~z&TRcG2zkm8|3MJc^Z==N{g(P z(r)0T#_8rToQna7fZf`leYvQuIElAeJ+OFS(kix%OUfD87)`);S?b zu$(Zv8TB15>^Et1;g+w1ZJofls#_QTeU=Uv3bT@VmFa`GOLr?%`F$q6U@?`NX@|7a z!tIWfo;QQYmW38h_iBUu*=UDtEW6JL*7ceQZrdc)z$@wD&d>vB&Xgi8%~^vODQrM@ z>zzxBCp_ZK#G~qVzL^0wlp?s8D95-a@_C_>qDc&A@|{m&2at}HI``*?^*x%mjTIM` z@0M?qq@g$vo*s}}I}|1UeI9s9mMT1*Q1v>6<~;+k;wdv={5s6aL@7sGY#m)cskKW42I;_q*C1iG( zZMb0ZZZ&D3VcTVGcnno~fz=h4ne(9z7l8MM*ybd1Ix%HS`5?OZ)YBdw%Le&d^E&S}I?8>W>&!c#sKvBTIZo&qnAD*djm z(pe;j)$-JB#M6%Ca8lCN$V->DBFTY~wN{%?n3d$P%WP*dhwzlhd7G0=^uiR9w7q3@ zl|G%-<=X{?2({aUxLzaog zjIH7VKYw&W()K2moe96_r^MKiEg2?wdRE&xlU5m7D0@>%{rjXVo=!;8DJ_0XSZ!qa z4S=&%Je8x3Bv?B-YXP3}5iZu_cMRUfQ`LoM%9qV39}A7An38)4rDu(;>n6p_hV7mm z12t6flo{r(7TcL=DV}2X4Jo~-S;l|vjd-&=3|D|{B>GG0Q~!O5ifs%TR)a3BqZd#L z+quAo(xL-VN(P_SP*RboqLidHBNzND4=PGgJfpduB^87;wQQv86r~$fH6b?t6`_i> z>tf}h+8vcpMH*gh)OI^_b%kZJODu=A%^4ekq!27^yQOM>TMW)hazNr+YVD6VE4a}M zx77ZPyI9il?OL?b@z}u(U&ypThE<>JF>3{Hx+Copo4B z*J`_9Z7ZF1SeIwT<{FyBJW=#Q#f1y%g^iMSHB3ewomKYf@^;onnU1&oH_r&` z*UGlFT3cSSx=kw6twa9KB5DgKsT#c9HNc2bMVAAyM=uI>s(a_A%aAsqjUYd+5+Zuj zzvt6g+I1;q+GXW1;7Y5kORBo1?WonG6m^C+wMaXuAi5%NIfv*hY<^o?cx zE;X})R;Q(b?}R*P%tQ$Ffl#_m+YL3_V)c~>A*s%obT}&+>6$K$Yx9v+33BY%9)4{rrFy6llpNo%F#hPLyDHKAVolp^y$5lCPe>dc%_lOrV8H=JE; zPnooEjYI!N4;MM6gZ#fm3&iX~k@(WDXg3VGEi8wH*?w*7LSFjk^Ic$Ja9|PcHslES1h;EbuO-FGQQplE{!Eu1o1fvH8-G6!FMM{cEz}3E6i` z%Am@q-yvvsiEU5jN_K@gkXmq~C$5T(V23L3Qhi!%=Mz&k1J8+tAm3|j6?h4pJ7P1g zWSh)w&Q*_X%r%VFY2&Ndwp*9|-E}xC?R)40~#9NY`cId$leo@M6E6IU{0l94|xX>{caDh*# z`e&{xE-=d+D^hfaG`Go1GLr7Q8(VdXLM9{LCfH`NhzryQ!qYKnxT%rl)s?R2|Lg>k zOY3j};rF!hV8?H?QQFa`J0xjRed<3Xh&1b79VO!QmbSCuM!V9dOt~b6&B4=-ASb|i z2ynpIDxNY%F9$$6JjFJKF%hgHQN^}{x_C=$CycF$H^CnA+kLyd%}SJ}l{xZr3>5ez zM!Mct=4MN>O)aK$?kW07u@%kfELP>%HkM@NiBhNe%NMd%TCL9dn^zC|1{o2yJz{zmi@EopS8>f*r>4csLgiTx2 zgu8}~R!^TIjkpT7fg7F@#ZZGAC&O(ka6{>8NgCI-oz^0hBBW4CAe|B09hK11l&+B^ z{@3H{%Zk#|Sy!`<*)qIZT_l3q49FNbXiF7D(gVJr4L6KeWE+>A@YENyc8Pc^sUur< zv29Yjj;`M?$^4Ug+{9M8eogM)RY#3&MZ%JQW*(4zBf^q2cwV{pB2gt;T7-}SxyAE4 zq)LQb&AQSj;Fhj*n-reZ=0RRjdd`%3v9z$H%bDJsDq+d=;VhJoJS=(aN1r~>U5WoT z*9M*YeO4GU;%M213l^y{4aNndIFbv_#<^&{Hpc0CNu022!0Xd)P>Owf6`FgD4ciK7 z48XgR#4DBlI%>2{mm%0_4Ke*fz*|Tou1`wRZ1`tHw2fvWq~XFIPK+wHu{@6HrefPV zNf~odDl4|ZG9K6}wvA(8plF-*BOJUc;3FPxlzL0+1pwJi`5WX4Ny(lI{Z$rNtzGSq$TiV)J{zs8p9A*pN6OwmB+99ZF#% z$eFhuB&KRFFrQimOpN3ZmQs9b$R5=urA2Vz0uSVhk7rz0OVu`CxL0w3aT=-Og69y1 z4%87y*j7?yYC9+wToO&5)K)YH2%f-^RM(rwc*^1;5Uq$1ZU&q!dRjzCWb5!0r9=p9 zS4_*02pN}cCygyCwW`!vIzAw_3s%>m6gDDcQrjtG>wp*Ah!EbcIbdv+2;l}QQYAtz zOIqxOiVNGLC>>W^m^EqljJ?B!qKgybkO(;>e(uKMt3=36fnoYmQHc=7X{3s$KFioI zz|=f-X+BmvJmnG}tKX{s+F!K96+0`0r13H_cGb&QMjo!j40*pJjMg* z5Tdh8fBe_RS}EOb&0wpzz-{cDF1xho0?kC&wnfqy3k+)rrOmBkswqczs_eK`#D(ip zx?9rdBnYJlF29jbb*IJV3)`jPMyjC~eBP=kosv>A(p?M_HLK`B6xKRx@i%wpW!wJ3 zwsdnRV?rQp)9D7Wc^X_=FI<&U62ypJ;B~-5V)OZQX}!Q}0?afcl)fh9_XVX(^YpAF z0VnAOs&qX`l}BL&JG3HQze9H2(6%S*Ob-(LALya$ujw*j>GMJ*zikoQX|c_iG;lL- ztR{_9y8V1mT1gJukfaW~Zz5XBA&&(NQ1h?MS7M>pKtVOKf6bZ=)d!|Y&M*LwZ-fqmzd^%{1o*U-r zDXXTlfDoldW{#hx5~YH%@m!}}Y)>}z4JT~l)_T1~@KbhPd}uvJt~i$XaX+#+U;B2# z?p=YmqZ&lz{QtW!W z*2Pxo1#ChgF-Pl4FT}(E8(uH|;GmhJe0D?`d-`ute zAeRg;aVJ_DAZs;7djR5ZHf}VfD>6>EO4-HHfLvKtKvo+dyUo)|gsihj_bjv`fY8-f zgSV9kxgJ>XVrdbAhxdX+JneW(=eAf_>Y)%~$XHrQ=h^|;W^H@CLS-etmC`EtZH>(^ zlP0l}-!@ujxf?6eSsodC43v(}BFB>7PMi4@*hbw0PBLLVqkeicygR&&l^yV+^tRIA zS(VNXZcO4u8uW+r^d&?|^B%LaF9~QSvJS{6wy(?!EE-?4nHkOw+>o|4fC1Z>w#Cm2 ztOgIrwFpSZys+K;@IYD-K!iscRTEZr079p*o!91sZQrA<2qeOi2OZAV5g|B<(s;j6 z8G!tk=eibbq-v-#0CyQ~KAEF5JsV7o(#|*r6*`K~2JHe~UX)U9d&aB|rKFl7di_6k z8;z|p>hYAswaeJR4QYXDwkh; zh_~hnW_f<|N_u1Q?}8#NPULvnG0RM<>(_{NkM&Tcv$)l{V3=WFCCKlJg$)*{666OJ zBJt&OY?B>LGo1p+dR4LDcBLiQBBIrz8%AP(>QUc!RvWDvx1nQjLHL_oqb0 zy?|XaWYj3U^K(#kY|(aCeT%dRNO%-R&W#@uiGY|VbbU!3i3_$F*~Pn{&i5`&%a`=;f?N8RKZn>Bf|)98J0*9X2sT%2BO7h8 z0HjC9v&{DCDmu2+VL`225)iQ9q7Dy3WAMoEYw%nBq;rCp>txJsv7A!{r>;} z0RR7Z0eqBANK{c2$FEALaZ=~w&YP?6V|?$8Iz&7oLxdRe3qpx9 zcLq^Zn<8jo^Z~U9TGS?l!XR3O5ZOX%(WWMeKmv=-J@f7`lY_$??wfmm=YP&S=iF%| z8Ki^|lJTcLHv`s8uwpQu-)q5_s;17Ukx8my@5Zf8O)#Z4;|sEo03%r_)wKNix4^1w zEXb(t(S5;4g3Tst5bImt^vwTkiloJqkeowuLFf34%O%*Rbm1+gnp9UkvK8~c*^j*mu1%CK$8Kq35?icXA< zL{AUbLCA7)4tDOXoL%P>mk<^82SQK|BP^R0n^gETfpaD4fQQBFr#`}h&OE+dM_z&| zEbM$scW)X%)%>&?oArKt{el3JI^ktzfKl1M6CnZ0T*x=wxZ#0Qyag5Tq+6E;{5__H}+v}=+`?Qm1E^#_KV?+`!rRBvE4)N!oj7b z?|rb4A7Elg;$sW1xR<?L)+I`Nbz7Flvh}B~jJ#G70`V z!-^GfNe-Ke$LMRsIedM`Ju845c<47G%0B=A0RR7fS#PTV diff --git a/CPLD/MAXV/db/RAM2GS.cmp.hdb b/CPLD/MAXV/db/RAM2GS.cmp.hdb index 6c0a9f7b6181b783e40b0dc1a5d9301a5a92e95f..df23495a4f6b3a803ae27ca3cab90049f7754343 100644 GIT binary patch literal 18445 zcmXVW1y~$C*LHDtcZw8u*Ttb|@!}MB*Wyy3xKrGrxVsl$iWggC@x|R);OF_?|C;O0 zk(^{QIVYJ+GWZ`pe5gT#c~3uluz$aJ->HtJo4c*E6E&9*I~O}AwUno=g9SCe04Fu4 z5C=Cm2RA2|5VfWvwX&^;CAF-Dt;f4JL~Um=V^*zjD7z1!;&yV;HZ(NHafJOS?){G z13H(?=QT!V<8hOs-*iPqxM^v`c;E;|W}4@>-cHX>HE&&S&-Dn{Db3B$bAQCp#&5-p7u!?D^ga@^+MEtRdjeSbKvrN5JW{xRe{kp->{1-!9Qn&Ja z(f$Irtgc~gZ`ohSkj1gv{y1JaK@TB`GCc?PHt(f<4o94-5NisfnUd^7sG zoIG6lW3$6P$(@A5zX-qdcmPRMz9%_o%T(#kPeDfM|q9JJ162bKbW!N8D9A}XGqPOGtGHdCzK>Cc9yn~ zJtIsI)1`Hf5F&VWQg^a5JKeur zlg$8P^xdOlcV1(=R2j0v+mWtyOg~8=Lpc#{a+Qo~4D+cMaxy<$fkq}Wh?Th6Sl$zMJ@zrYMk0mKU0S8JRi-FK z_>TW6Z(%RjaT2`m_Ow<}an3Oo;q#vTM3`bQ;b#Mx%2oFjc#)OaxI*$e`+<`OkGnf=odHl7fjn4bK@u0QbeHJc=|x*cee09 z3O~eALj^9-L4t~|bCylaR040(jkhie$nN+qJmf`RZBWLaXzLhv1Y(tYJq5 z4P^7H1vxIYWwz-SxWi564gEU}uf)aE+sI@ymNU~(o%6I#fP*Ze|JZzWfo?!c5=}=I zTQ|0^SIEvegyl-U8>x*uTxi+D6Wm1najM4Y9l#&^EJP&AB&tK*+*N5c{00?|Z}e#p zWdC*XuG7hzs;J#JZyA&lEE=cRz5Kw^Lyf6aQ5`jSk-_<^{@5V>K%e%fX;#r!2hbG5 z3&>dRsq(@F#~Pd=@mCY4O{?mek4^oltp|PgM#syLMpWZdMYEqfM3n=JO9f^`BT3qg zN9R+-IO%(NIUL5VkTNq_Ll9K?lr0jk_$WtF`DfyyfK;&devZZS(z4+4y`f-{t_ph z@RgwU^x*|@w4N)zbuGqmys@uo4hOWm*ji5f=8NU<;KkYDJ~-wGVonX2psq*fRt};` zT2irc*yJ3p z%Ew4x$OHfG5nFm1)m&d$Q(4_trmXFJYmg2s>%q#H#3~O0&HnI9VpBnt*o3)@4T@#a zxF<}&e}hV%()s|#pZNmR#`Q}kpIKSLKE_M#8ef3#q1CpG<3WGst98JVl*XfB7dL0^ zkiS;lGsY?M^}Dn7eU@B%yS9{|xncfjr1a~JUZRGdzec2mr<}c#<-rqMbMU~1uRKnT zyTN?K25cS=`EJXI8s2P?JBg=E5R~LlizCGi0g4&ns?dsoz~nu2GuksHyr=82^d3TA z`rUz~wx7RWbl@|}*+FXmM*E+*xp{HseGZ%>J{6tG`#Rv~V^d-2y%HL4CEcl39aDZ# z0Hh25L@$f*k}AD2Q>vzQ1c~CMX9vFaF8(rG-VfB9e=l?dQah2v!|g@~F-YZCqLUZy=|f7N0g zvAX&x)~S3&6S<@^BV&%RU4ookuhJ7lmNd4(FFt<_dwoxSnY(stxMB4a^ zVPZ2rxr1eCoEY~^%lIX8loacj_L)sb^Jo-;qGD)o;#Q4%?yyS|p8xpit)jl_ao(XE zMa4353fFyhjzXkkzaFrDbfC0rojLRgg&%vG+EO{2Zu#PXv5xe)<6IowOJLZigzeed z@mmk^1`MURcM^6T)ge7F&VN=Nmg=Fe%o*~tHSTrFp^Fc&N_z`Y@55u}mf@$T1Bm;R2K!yg;`@;G5W1a@FTFD|0w%z6p4BKdz5~++%&#--FBJtk*EoKH|i;^^V%olCB=b6{kS6ho> zA4n|;)z(8V(ALD>U-N$aw{&A58&sUJYv8BzW2SgXLh^&xgu&ele5aZ_(0Roh8~Bi? zsS$+s24M8FMa=VyInMFPe_?xkLpkZv*mV!wUp85Zfck0dMedL>=e*wEnw%MpHGv%S z_4?Z1GTkP?+ev*t0^lxkN6R)Xp)Z26eQLesYyU7RS*E>?1+HaA9{6r|USMu{ap=Ex zwL#J07ZV^IDoirWw>0q~0qwSKH9?nG*1f!X{>fx;?tfLWh@=j^!TOt0N;&b_e32+|Dv3K@j(9Yi@R2qc5!2s?7pzb|iB-~%6Hg%f53eC?0 zT75xS!aY2VBYhlM%Vqd_ENX3l4d2tFfTZGCzYa~C`Sj&`s z181MKaQpyD#2Vrj3(T>v5Xc&%IGmKxzbWiM(rD-S>+vd?sWaTqDWdb0aao8O)v6_l zVc*bQKO}v(o+AN3g`NhyXYQSb4!Q`E+{0pFEW&0Yw|G{K8_vZ+&&+^s9}G;MlF%G& z_CbW?;1TZ3`CpKemWa%+)Df0R60i(XD!UjG#dRa$#76iIwY;w0SNHi^4AeNdqyr&} z+GS)fEthM^l^Amea`~Llb40tV zIn5XaLbcDFV{6ASsCW9G4%eVsoQ5nPI8WD1N?2O3fB20ghl-gyteC15+6g>GswyHt z$KnU61_0=y&jUzT<%??hc!xoqR4C_7tj;I%PnuN%d7k{@9e{vZ%3XZw>k#B+vgRfo zO?!s`to7>ZM}I)U`1r--AL zkPx{5|88}YqeSf%sgf11QBN@NkjK*T*RX06M%6x>LWfT$%?taMaJLb=FIy^)1a)dl zJBmCvewoN{6x`plxE1RDxq@uAMa$Tkeycy^KssbevLQV|8V|fgSg%shEo7%UJYQvA zO0U;K6uX0i-T5ZUqr!D|mp$a@uGEgKL|jXZxYCN&m`Jl`-M>;Ao-0QN?)kCg@QVEX zy{Z4;7TITOQcM`N-veA1l8q5*j*h%2A)rylCaTXieZx5@0PYlqEtqO5v=7e?$NIv- zq~`9$lJEZ{;h# z=eIBb?7agQYw7H((Ps5>qD{6<`SLIzJp5!?d`Jw>dp?-rPCkh9#4B$WYkG3tlN7N| z&cej&Y(v*1HQzrQlMVcumgC%S!ijYv+ew2d?y`Q*>{%Z;pp?XvyZ`OM$*y>((A*T} z+K`d-l7#7fZ^))0_~J;L^E!f~8gz_Y-sc-W4;KG(=uExk(Kb`3t>zr3-WXh>Q z1A!5+UOV6Y3sG^~zy2h2?VFPG*!_9Re}k@kHTx@Cm~R*!%hGT03p zO$3r)$kPjjqm~<#)%LkOi3Gbmt(pkFOmgJBE)uB*?VyzxpBlNRxbKA&x1{`~)0tTI z`rKt8*T=(F49-ZOJy)DKxG%B15t-u_h8}n&1i|b5Ji9DjQfd7LhpA@5Z^1>V>a7N0 z>H9O@lvMZ>%uAptG-ztP92@6j!7Wo1&N^cGXXqa%==8^ow>%=`sA@N>LW#P(=umd> zw)7T(Z=b3iw}*Wfq8W0_7;3RCm%VgLWb=TgY%D zM(j2!aVX2^iOpYm1M55?=YuhLxNMRl^XtM~a{TmEYSvBgzDYGL| zg4ETZ#jK|rpf=g!)2~B;*!Ed>o#*V};-)@7Xt%a?4d8yQ#ooVY+?Z@^a*8yM8??E* zlcKD{bL-)k`^`Fa1f;ttP$SBaA+p)ECWhNBRIaqs-L%-h)PerZJJO{-!STCLUk^8O z?I&xNP`}`5J2*KDZeJwC$Ip$_?LLqA2yQ)&j8cD$k0?G#lHK`OTgIyknn^Tsdg|Ff zyE(m)LY({`%hHVBB1W#12E#VFLwVHL)I`2m)o{H=-yU~kK>3V4mxZ?PbcE8L=*p!*O%mYm!18ab z?+5mXVHVSDd1eed`0SzzQ?RGgwj}9LQ}sS^c2quO-k;+WRk1xno5G>nKhe0dI~2Nn zcSAGKjDSA5^nSBVle^}o>2PMQJEnX6T~6!DAq~>;+C0BVTOsD6Z@J^X{eWcR>#=EW z5NLnb7+(VEICP$$16hV9<)i18ZFVjmEx*CQIE(@1vE5vib`8Am{FjzVqo?JMrZ}{) zQpgAFs0U1_zYOp>>ljS~)%!z>f`7=u#6-gqmcx1#$OstP|K3{YwF!^fgf(4l9kauy#bGH<+95gJMBXmU>{f89<%L<9;@M6v zZ>ffrW?`X=zarc{n|L*Q*l>Owoj^eUg6BKF&TE3>j`m}3gE1VVTb7KF?O8o!@oh_k7A}`G&`g(+n|TeMw@O|gUmiH>Po6Xj zsx-ER=!v;UrcRq>I(7FJ7`l@lWRNuyChGTF#y~%XzZ&hH+YB6ds_=GxOw{e(0NT=` zYEQ4yrV&MCt)y?;#gWW;3N|4x9Te^TG2K;PJzgOOQr<@#3ciTgDS~^wPEyrWUZy z$ZA> z3+{gn9!+XO4X2M<$dad9nh|%|Dq9rxE)b5<_N|z6%mbVma%u4LuslS2bWy-4kx-@RDP1h_z8)=b%+bECYWTn8&z! z$s3Wxa9#fz78J=^Y)bHK)-z=t6RI|%ZkqX;=ze_)n~5+QnxolUoBYdq5ee#yX|3BSmT5nU)&Bah_oc$4>vW}#1CcH!wtG*cFYIv`%HDW7*c3 zcREygLg?|x-^Fu8OF0{Y0-gs4S+xVKy#m*kday=r6xvk*>8mXksBEr zfq7O-{QG=%+dL2tNxl+(AA)uN_gL7osH>_9(D-pi^h7=k-u{yi^||`sl7EgZ{l0 z$giwtqPk(uxqT`x;Wizj`@J@&rZzJp$^pA}hjjdhx`=X==pu9j z%;-*Js7>$$p_7OX;B|8ltSGlhiBBt&Fw(O3tsg5nzT8Eg@OF6^D7->8t@D`77ezoyh2GEM8mO6eks^!DF-c8fG`je7wb=jaS&qj+uRBpY+!km*3IPyBx-^&}k zJe9>2mVXIWe#<10x4rJ?uhuiP#*(k5IqIlUFus%HX5hKq+UZV}B=h(h`Iv}hOl)JW zFGg8+V?Pt6I7@j1$W3WZPSX!upZx54{Yb3@pmi?i&7F zwTKb-tpPA1#pOlVO(MtA?TAXqq^MRUU50_7isaiT1}alI*q>0CEO_-Nqc0MwFGlEP z!9%^l!&VC2ll1NtG1t^YNmSKQ@W9k!P(kpJ45J(rkdf;M%n4z^D&dcObyFQwQ?=IB zn$us=%Ipfg&Pd3o#Lbi+8vh+AD1yv2FdkWrE{TS0En&L5QO;3a_@%ck*&lF;Al8OCt|K>} z7V~$x80{eEl(I63V^kv1@VL1bOY+Mul2d!^+nMaL{yofdbm2jyIp`tyxVd8C2CWLz z)-sezpNBZuBd@~SIj0n-){S!9M&wISDx!0`V%jOqHOxknnKc1BSj|-AM2Zh=HYMpH zcYvRdae!g^>lgOSR5Fx`5fLHUNhP7(ZDTGo30USFW!jHv*B*9K$nE1KAM5D|^747U zP-mJ&!xNN*ixAmZBcYile-s7x#$T`H2#vM|q8{?; zP<<8e?RkK^pn%yKXh>;uuKK8((Lot^VB=6`fg5VD; z6ae8jr3!%H8xPqx>RPqITTcbu)hnce*leFDWen>g=+FA3*7^A7!u!wNh}+a9B`;Jq z-qaKyPURKy!*1%5<`M$N-p~(iH@6&F_Y6aVMrjtmji&Jff}!wNL0G*I>Hr2B+eqpF zDl@>9L!CX&b0PrsunWh2%vthDf73YT23~13+ylRXXb-XsMH#z(-v11se7sKVMQBG$ z#MA?kD5%N$;{fyHZ+4eR$GZ((a}`EqcZ+2?tP)cZd4#&xnqUtS@H z2pEZBQ*-xPKVpXEJ`YS`Fusw~JdZ+>D5Gw&6%v>-^N`kF`eS<03eIgj**tWCRJ#G( zK3Ed|aNFkuXFnOA#UxC^CJoE)hHl>qasqBX&$t5Sz{Rmt0q3x}k+3;65?&*^p}hdq za|Ex*y)9yzz7qd$`RDX6sG_ThS5LlCG}j+z?gxiC67N3JzkE0VkoWc=wf>cR3Mjd` zT~Ii0Sti(gq}gseZ8@NQwJZq2xCAFcusp8vvfURdNbHR6^ z0Us#^u|$bn0Wwn|tKipMK83$u1DNNQ^Nt>X)nZ;ea3;>9Ri=hKK?8pfV)pBd+ihMe zJ=bF(o|E})t$rKbX~&&9HTuopC3np!O$9;_T^l&Nns<^i2?*jom!lp5f2>#O*5tNX zeIqKltu|~i-2%z7EU_Eb+udg6JsuZP$%(iQsxP%#6)dt~;J*NGt)(Bru(C`tvoS(! zC7IQ_1T)*bQrxHX`_3MKc#^yOYLU$Bor_+R$9kM?etX?%F}lThF@A)s2YNzv<<_S~ z9oIzi09>Y#OONR6I=-rt2+ye_ZKA1;i)xHf-N1xmo1kB^=c|>rXX~yXVFj=B2{v<} zw1y2gp>f&mHE5wO?#^%_nhjJrMc7oSM#x`;+2YbHf2Ozs^TLQxcKv){OsSj(Ckt4hFL$YB#x5kB@9lr6Kba!ZrQk1)ZRNbu+ee8S zn}Sb3>J4|ZMbdprxS(B?_s>pqt1XJ%5$y%r?KR|IrS8!xaL7E##jN5f`k)TuwPJ;~ zk{@CylqWWxzoN}8QTJfutWaOX=iXfo(NLFJESm_{)&7XJ{z{&4x6-~(Tu44brLQMC z{EU?i=)ZbP=m`{mSk~dikk9liJ0x4y&;5tZuKVz9LE*r*8Li&Bpk<4V4{|?6@S&3dmUH5Z*!&!2gdRF@mpRl67e2=ocB=Mbg zjzHm!kjVsor(((Wzp36*0UYVUHfNvI3(ItGU`?|pZb5p;Ujm`-fjxXw;E?~0Gbcd( z?qLXDEdzs@bQ#ze1Pj8$md|kEb3qK5D;4f0B$6$doXJu`lS%_ErA4}#7z~+~-n#AT zy{XpiOLx|}j@}537 z{;G;Iz4|_Bln94|Md4@()!z`ejq)hmHlo zBJ=bq($eoI=d@o>=1OAE4P44bHSd|dcc-vns`RfzswQ+572qom%8P^foO0bNLlyW# zb$GxN&}iFF1Z&7}apOIOyJS}bYQr_YAFyWA`dDCeM}p8@^i##qG&(hBK{w6)wd2xSDg2T#c1~W&M8o2>y z^EiGLxkvB@KU3cCHFYt#EPJyQ5#gh8hHfkVY|9W1MVI>B-^F_Vi9+2o7w{X6|{=aLklMEAR;}@qvYh)*XWdR{KtT7>ht2VKe}E00(vX9$o&U%!F|g z304t+zJ!Fx5Sio}p|JENx+{3Z? zg>S}@elO7|9pq>=Xs`K!f@IMb?fY+tsg&71m&6GT&FaGWi&KD09TAL2&6wENJYC9M z081QHC{Y%qD`xzLPyn^z`o7rs-LXhmT+mI!b9i&8k=aQoGNQ6&AfnX>LQDDOwXe=Y z?9*&Q^gn66rZuUqXaFRW`FD$eRu}G`W zNp+U>qtGvxCJEDQfiNXm{iBxeLdG;ppt0$Tv3_^mG=z}qZz}Xa*|eu_9zp=d^LXlM z@iD1;w{S9j5r4N>g%ALo+24y=uY|b!zr1>JLJsd^U?CT@J~?rhN_{IzW2HjR&~8)a z)oFdFAP;r}QR@ZK^l2yMDQwpiG=558otAkbx~B+!_N^~#+PWJ;?p8=1(1jT6T)yNYJ8{zldMaDY~sJ1 z9|n3Ppk@gVRAE9(^{D)T{%|p5jITE)cfW+WAh9zPf_eG4ra#E|&T*eb>-V_2MZUsS zNw@@k*jhh5`x*yVpN*x{KbYzQz6$VG?Yn_5e*fF4E$|X$de!6)ZJ%}I9}&K|AAS2` zBKR8>pQ{;cW@)9iaHVHoNee;f8eouyEQxWleUGgwX-r_Jw#9GHIuHShP24^A{%f+0z4UL2VIe>&8| zu`JO5Vs#g$W?Ssl^lM$1No)zDL`W$@FXlgBx!{?;x1QUC+<|p@tHuRvF?Fu}cb))(B%Vmr%zz)zmt)!fw{<}4RjQ$D z_Pi+@ET1CpwRu7Gb0sb?LFwUfMYJePDCWa_I!0YrfR%eDZ#9b&yA+ zr`apb#kDDEpTkMAoW3E=xmGdm=;+aHNJVKJD46Tohx#CqzUA`g(*wL^yOiM>j_FZV z+*0|$*z7opaDh0VFgKquSuMxFDBrOrTMNDyzdq<%=BL9P6{d9WEzVNKc+$A**HuBw zN-8yS)hvB3kYL4PFaQyGvyGZ4ae{2VK`x+sm z8X1141wLQvCpnqo>Z_N~2-rUcfx@0<7pVgS0~OyqI25Qx%1aQR5hD>9jFmgy&^i!u z(BM_H%_2WB*ac|rJScDUA4KCs?;Zy{uw3a=IA3Ngkixwbn{z}|m>09mm>v+`YMKHf zhQ3S^Khw9j6zp0{yP2M91yo!6OX>#rqf%j#7|ptk@3!ZD?=>_O7HBHGNuLS%EGkVw z=BTWkX=o2m3PH+p;;8*u%=vHEX`&9FXhOQ?m1uAzNw{mQJ0)3cw<_`I?4#k!r4hyc zL}vUD2_I3`#>QgCrK{O4xw^|-Y);!= z$K~J>%F1Vij%k$`(pBRA>0&n_Au?0VZgye)mFHrkiXpa(TC=zu*Yj#R$Ew9-JP9M7 zqQEfWV5yu6{Ku=)XB_oyrZ&dgwC;GV$fze19Jm3)a64$WLpN$pVU+URzXao%BThn7 zv~ZRg06wPkeoL;&Bb;2QNL+AwgOI!#SFIOaD6xKU+~ z{_Vfmf-u|NvZ=-(7Zx+Q-*fc|t_?cd=^1H$PWZZJ`$$h0I5N{Q+4yht@YsB&J&+Ta zD`gf%>xoE7ee&Sb#Y4ncP+4XkP8UQuZL%copL#6ZY5EQX2)@O$ornl&Qs8P$obBkaTnAcIy7ZX2{yX+35bU{XZ4Gr(NmzR zU0ddMxbIUobqhCl2l@P4>umhXNxe{OZY=y&(lzG~akJpM30ky!9QoOflKv=3qNIU$ z2PrN>jYtVS8*{C{=8e!$%T||hM*CHo=Ol_jVUdNV%g=8!Dz2`+;K%*kP`2aj7}RL@ zNj1lZsWwr<=BYM0LVSom<0Jhby-2ikD7#Ir^Bg$nCc6UjU_MFjVHi)I>=D0M;J6$fYnD;7MV*doq@W}JQ!?si) zLoTq4_p0fUg*4wCpiB8($;oek7=`a^Qq#BXJ0~Wr0JZ{rUH@;L&E0cLFu*|sQJw0= zkt)HNeDX@Z%CKUb$lo!|D~H_3+i@KPyoUk}jlgrvls}nak{q&{m!wHe>=xS0 za0+@92Av)8-Vir^S0k|~*(oY@ks?o1N@)1Iakv%liY8$8?yMc!o!z>H#kCCB1tL-4 z=0q>7qBcXmCRwM^K-nBk>ulisEXkJJT0?p&F-}%N{Z4SLv|;C`xwtp+swm&B%i)bM zBt<>RcOvOCma2RVzSTc4l!FnNa&i&nFPhzk{292wMDr6&ep z_RU$mRxF@(y_IPxU7%FpX2lPvq@GV0=*+-8l>g$?HxE^jFX^tM)z|>zFfB3?ZSI~^%kNF+FQ?ojYU`83_HOq-g)`v9kV|daU}n-$Rh5o^?ecB90kxc(KrTY zL~bA-gmA(>w=X`EEQE|~x}Ei>Bi2Rz;E(8tI{)_t8hKUbPyPj(cEFbZp_M>{q3&-obDOTTpM)CFtK}?%Y2qcEr?7&r{+Rehi0hwdt8OUxMbwlY(rM*;K%}_pXW(0E!i@FeX6xt75eHTDb??*HKYWi9XIT#6 zKVl>;z0cONJzg4~=q7gVe|L!=ay~YuGsOd>1X)>T`>0sspm>Q7^L0FoG0OatYmq?d z$Kw(DmZ?7>lAjOG_6q$nB8~O1L1a&FHNok}*t!H-q({}=7+)yu#~pt&M_bHy4)bsN zeqCADrZD!hgD+yAn{h_UvK;UeLN#y1DF7k&!u7lLerHIe_xra{n%0Y^0M$;X9;#wo zN~*j=eP8$?QyWBmji{%~irGx7+Au<_lQEh9^s2wPqJ?&?SIgU1t}=O!(5s+%AJJLY zBu4~ze9aD3l+I1N??`L>=BQx5a}W2kPeZTAj5=#3{K$KAD)iCf3CG;E=_P$+^7oBP1 zLrhG5PGw~5{3`)016nsO;d=v1qfYD&=fLx0_?YW$0#XqI$t9p^q`w7PLS9Ds>)-w% z-lfPk^nBZKvk&Nk2teNV?pefyHHA2o-ycdkL>woU9j7@4{F6q~Y^8fe+(ObH)11$!X&F z=g7{8i2@4{hp#-h(bqb%oJUfdAx_RDbBcc!OPvXd*PJhsnl`jFaZ}mgQ z%Wdn-5f~GU=Lo;s8XQ@Jf5^Rl#lnIC zXCRCPdUGZFg@FT27R82-Dx3PL#TBu2;Y%g9U-@csU`CR&n|RQq{Nhyh!9N~MOyLTi zc4Z~foA0-;W+sE(&g<{~GzmBfd)ujO{d`Wo>f~tZG->#b3Qlvq^M!1KNNCojRnD9d z1;83kh2@Ji;QBlA@()2jzJ@!I z2#T%y?qJ=RJlqi3BjO5K`YDD~(xQeUk$&m$v?d|UPf2wWlQ{rM7VcyzNq2j}fZ~zs zV_}(QRc9}ZS#Vi;OkbKnWab2S8L%>KHUweX+db`7)Hn87N`)!TCdrxo&1{fUhDE^|BHV3tPl0#Pk^fS>q37jZrMZldeCwYFN1 zkb%Pb8pIy5L1EVu{ywljMfyp5b-eozMX{Tp_xqS*K1xUyhO;mvy6I`NWZ%zfEG>Vx zY%-7a6SkW5mJ8!kOj_~*SAnF3S`K08JWK*de6sNtO%6VO*$>{S1NRa8J!n24UDNBz ztj+b|*}@|rA0b6xb`LY}3hT6y{j9sDvtrZCxh>ku#&-IBglE@O5z#)_ohAQG#nt0? z=aqQDWyUKp!-Va5Tjd})StD76A1$CqTZ{uU`53-kSMdVlOvke$@M&QC;EU&;^zbb3 z3X4ddWu0m~u2;*cn&2s=W5%GNvtwp;RqD;%;6M!~*Sd+U!6+_SEn}ccr>mp=R`J<7 zWXd&$fXkTa&CqqPa@Lut&~WAT5!c1?Ss;3~HZ`b8Y!A%#-uZndu(jlGVRxJN?_K?E zi=^*y0EK@Jl6nb1%Gq1Nb0B?s12w$}JZ|X%d6&pY-y;1lVz{P_*jyG)fhzRv(YR_So`2~SH9#~UMRk$R6mlaCH1TS{H?p;=SFgi z`i5WC8+DHlwGE!{K5?SrGJbRdRCQad=VSV8<;|1n2YvB7!eTErUU((x-{FWnuT4@X z0vY=f7Wijz8B!7F{1r|8HPYW@Om`DOgE?XQCLD*gl}78nLM2%RqB0_{@t}h9h!il>LF;AJU6`L?NdK}yMj9lI;uBR1H41!i+!k6m>YGA34}&-n zwR3M=I-UUeQ+|X3FG*{OF(os`XYFZRETImjOyYixF$I3or}C*^D2rI+Taf^j@P^ti z9l<*bA;9PX7^tbzew=s7YbES7%eRnF0M&(PN`Op&Gvnp~x6fXPc`(QFL*W%Lr*&qu zb9TA)^Vrd?k;D$i@iA=U=KAS?A1G|v5lg&I>;ZbWiS}W7$vW`p*NJfkS^)BX=ZBi7 zW6R;$p1Ex1v?Yk#n+7Y^K$>6h6ps#8#OXbX@yctJA?SX|ru1b=VrO~@OndP@dRPDR zxzleS_0#v;ia&1M$3XC*@%|S9Tb%|$=3p813yzP|`2w2>N>}ylXF#t09&1ZHu zP+gSv-*Qh#Lsw#FA$O_MK=0V(#gneI;3{vgH(VEJX^_9TeTg`ZLOKU(U@R$7Qldna zZoqx>!OiqM-HhU03P=h-Vsj_Ztx;(q*Qf`?s&|=2klbnN!KYX5I|RgH8q<@Ef3Ey zW}DE$q#gET()1CHiAf^3jh^|k=@sq@Xu8pl2B9SB>tceve+J6;`XEbqbB(x|qjY*Qz568b|RfEwF+Ya&qGl_PK` z5|B3~3SbVZ2VP%%IZ)GnEMFEC|J9OmE}j$#_&wpBORu)180ee^K@tz23ZR4IkBnFh zPF&%iraBOTee8N`cZV!23(*+(vc1hRdPyRpGAmGvl7+swrqW7s9<@+ZYqfs4h9Sc! z1T=l5XO@?@Lfe}L$qVAXDrilayx{Ffy_8M=lzuoJz!}7~dy&E`W1x;4ZgHuM{jDMa z^}2BPUg5^o&)fS!cX9w9qUkg=C$pzBEF@gG$T0|Z3na_CQxE?hhs<@Ip8<1$?d{Z{4{kH@KK&?Ps)11acD z0a@iQCyIz7HttJtI68oi%btN+cZ6bS{mYQKxoar+CLI0xsg!c;XODDILq3JBy^~CH ztc;N&wxV+U&$G>Yd6Yri*RK@!@=}ZdK~mce+z1N7jHDSeWWPVoYs@@1-zLb^k0Y8_ z+@RWFUM+O`PJp_Zq44D2hDC+AV%kBOM1`!?qIfNf*!NGt z{33tZD`HG+ir53kXw!EZv@+zYQ}80_M^1O@N$#|e+);w)M6HnT%|CPJ{n<_ain5-_ zZ~aTPIVK1}v6|zjcD2kNE*bB=u=nW8R&{PutJp;kZy47JJN=;JAvwaO^Rzo?)@t?B zB4gX3zS5M}qstmqmDX(>;pA5B>7i>>EA8wzs3({7$#=_NE33AJBlY2>S+or)s=r2s!Pz8fqyL&ojsM@6}X3d^8{)>o!cpC0*JEcg5G8Tu}&Md>>Pks)if zg17?RKg*P3SBF-9Bo(&&QY~+R@|?Eotu#_xH0PEFo8tXuLZ;9auSZ8Y;nIz#@ zn~$=4R-)udUWc@v@UsW<-_cN0$h||E$*E{Yf0`1I?BfT8(7?yB-KQ~DX|;|nJpH)x zgqby)(+0+46%?fn5{!P(!;PS~aM0#{UDeAFr~mi6N8IVjOVlA5B3s@cFE+F7|JqFU zVn67V-WpjX7ETiD@5c;+OK;66T6ea6W(EbMxAqmi%*|oyH0OtUF*&pw&BnvUoqCrS z-3E_Vwo`1`=Y~>>TAO=)P*U_AoRVK&(Ym9pJN_xV(y@B82wU8a zlD|u2DMh(WTUaZ`t)TI&QTV#Ypiu6bl?G-ny$^u$QZ~Z*mst0gG$p|wP*PaKzq?%TsGdtVzpY$*KA;Z*;RPZ>1LPyY?-78)oqX5?9>Ox zt|APP^1`7UHjDE^?ziCa$6jHq2RCpF7zws5rUed4%jGlE!< zj$R0#MK+f*Bvzs%q(<0{mU9nLGI{zcuZFagUW?geAP4wlZOzWR;(0@{5)S*~y#HSS zYX_A0n8k5ICmJeImqeFJ971p26?Sx@E;BMZKf^pcK27w(hez$BM@wQ<`b|%q^d}&X z6tDB1_7S;yiq~)cL+mao(F+s9(N34>V-F7@(Jl{<+Q+$;#17?g-VA(n5}AT`N&o1Cll{k~iG?pQCzq&P z;=VGmtR;jd>`2H+Ksw=|gwO=RtP%WY)FDl9BnTy3n5auaM#4dU!xG~*vAia3BocPK z3^mT~>-9LtF>yk|L4MN{kOU!NX@cXiL>Tt^G*R1AUV zriDUzLwOY2Wz|xkl%;MvJ9~F`+MStoW)`|3aU@i6F;<`@5Y&kA4;m6sOrt1{4#R2*Ih@DvwD z6N;Fz!Q0}W>cWtaV(@y9JdITW^mNP3ZWsuj2_q)PiMnaadUQI8{CC^!`Ixp!cyUz* zh0qLE1|Of@dS(|4Bx-{Lg}G*PEw0+3s1$C^SmyKL7n<|#?deVK=y{^MHrdnXuOtOy z9mg;?B&*tyC7EFjv}u;4Xxylx3M`p%?`6Tw&g4uk8FIw#3L<*R`R=Nr#&YBWl%jLxt^OT9O8Wan&4R|B3v$Q{-5t z@A_jEFro-IRfZuYh*`{P;k$T{K^47y-9BG$-=1X8jwNJj|7)iY2pfd!xw*vBT}m-M zG&E2x=p`VI3N#-*tIRWr!~VCZ^m!`GIkcY-J>542vx#& zIikdtlhg}6W0z4f5~4Xl)hSlOjw%5|G7@%7Qj8U3^?_IGM-axE6}vLXv8L^~rdTVf zt$y*@;PFxbP@-suDT#F?Bzolh4=_dZqMSlX6q3bbTnlndR=Bx}Vu~0(D9Y^>sVLzJ z&jai`m^pOqj;|a>OrfyKi9AyEdA*_yKn!?(uW-xX=abc#4fSos%>q56ffPO8(0l|$ zk$K{bk)yiYiJ9}TDlohMo6jw@9t&9P@GVL-C`(4rRwYdeb7OU$f$cySHZZrM#_ej} zR2%*AuVt7l43CI)x(V5-Q|v+31=ClyoNj=DoLLAX>WAJZ8{FdAoA)6Wf%%^ft-mjL zu{EA z0FrNlR;8G&htfRevxyGro%c?;+j9}DLiY;Mwydmm7l<-0zQ9~ZUF4KE`SWU!jMgu3 zG=vR3o@f4gO3kN3|J}nvL|hysP&*P`W>U9|X1d9gpS-jcA$-Mt3i8d0%r~(8@a@~* zZ(M{SOOPAkRKQv&V6``HV;Qnz@S;Z54BM{86u6OGPyF^`-|GONMvN?i7VolhXr1##kQKX``jVoB^tL=#Hvv}I)r{UVuD|V6 z@Mm6m;SQRPT}zz6bm@TGWgM>wrj)0*@I?n56N}Q0WnXjxbZ`yr@pwQTxj6+!^uds{ zFqbI>@!>&gSR!ILops{UWg|#+GJJJ3CPazG>zo}JGoIJOMGMO9VxADWSy6G|u8=3r zVFkA^Z0L|4&I^UlF+abLr+eJE8Hmtfb@<7Vpin7xVR|v?RY)&ZhXAok9yJqcN1lUt ii=l|6{*CZ-QcQ2Qo$nQEMPAk-=$YsliG*A%tc{7-IT(o;xfqz4 z8JHQFxQLXch-56BO^8H|Eu6n>|4jYQg^JMs^8|*E_`mtTQCZ@DvOD;>4}3PhXvzm- zmZ*q`;1;zu5w8mD?HE}QoRirR`OkDLH&av*g9)R80LEUFEgT3T74(7d(w2gP9eV2a z`pm`B@HaJ8MF&w4V`!50ii*n8N+OcP&&S1!d7rlSwu<&EL{R#Rto$DU_cm9FTuynl z?-ssP(OgOG#l?y)K^X(ZdD4{Z(=WGCz~OFz_g^ah&j+@6vB}b=1;}UC$_OPkrKS6QF2EYdVUHzYPESF!lrl_ zWXUtoIyIwdShWJ7i4J@7dr@pQ?uzuQepW!t>}FUDYRRpIfXs039cOy(x6|7mp^1nM zQ|$+ir#RL?n~CJ&&tD$?{FX~%_#`xvfGB$R8~_+fGl}H*sHQI|I$s+5OYB9$+v-}= z-wz}0+E__PDywLN>kkW0AngjPuMUODSOVuFvj)R!rrLbbR;m?0)mgR)dGBfcO!_=~ z=Sdr{$rwP+7TH{nqvCvy+H+)>Iq9H{mNln=7T3c?s=$hX%1W1N-Ep#J{2+yl^HRDs zqcyc+T#}nLE;-3@ES_5@cFtJOu_}vI3)Q0%&38A+XViwbk0(doOMeY=niqLw#pFXq z&TxA^!3tmWZQTP#lr1VJpZh%@$^=%Z2u22_Wd+oY8a)%{1vdG#u+_;A^fGnv-p~&ZyE{ zCK{{$j&|vfl6EL+Cez<*IE}^HTCA|@F0Ix|I)mz`R2f*+I!E-USc5%G#5&bKmOX7h z{Nz)(AhzqyT-zBx-K-C~;j_r*UhAs7o*23poy*MR2;}8qc_x(~dw_nYzV6(6!%sMm zuD`Zgr(070z6!a!qr`zapn&&>pvyke8~l`coi)dRYl)nLg0s{rtsLHgmV*}eT<$jD z=1=`TOT#l25dxdvo>I-iXGZVzE4H1DcVw>?->d66b#2SM>2a28I*)g=(b5)=dMbVreCo^6d}_;yDxGoPHsVCJI%EH6 zb&i;J(Vgl%*jT;KgCLQuqdpM-+GRa?1Ssh@0GvX}v^p1<{MeUnAp(HAtLEJ+U3Bku zJhtA)alM(;r~R$)QfFa&{X_9i)iGmvHQl#|f}z8<%uByRBPdW?7;YQSlT=?!{g;PT zmLxYU@rY%(PT%)M@HIYbuB%_-H%Wwdh3^#!9X`XxrWpphV!|9ygLC;^vWj#j?8sXdpgec^*8|`p z$~eFjvC-i7+#|fkaliKBx7%8z-Id6@5l6mzvIXiXvv7oxCh$4O@~Av^9sh9A!P}o5 z@XTFzGb+p`Bbak|H>L5oYsGVwEx z;=_uVxPug8f(HOla{_)DdwXBd2fGn@SycxTsROOe90=heUFqbWpe{1|B4NN2?HEuR zN7Y1^Ie_^y>m@Vr8V>of(fhw*wv{=Mv`o6w$iy>p3iS~5HcA%z5VgVV%%mHe51%9v zsM7K9_!nj#xptnOmi@l?F387jDu!XOy$s#IT1}>NkkqBml_`P7eaQP=eBFHj2DE}| zG85M1q4Xr0wnFNh6NNWdN+aDJ^&T^pfE_+Yg|DpXS1SIO5QxmXc?K=*MR)H=OMRnF zSM|XJzcqolT{%9vhiucGT3ZfQ`m6g?Yh-BPT| zwL8Dr>!C6o)34O04C4*$SEZ_xFq|3B*N2-Wavx21y?{K|CS4xERbS20JylYwp^&fI zB_f*ucPE}Vv3L}x%Sw#FiI*msPsDJvO|ABP$AZN^b%Xm>9J@nhr zTA+@RNQRo@mBSmyphn+u=mF5n;|bEUc9!P(?Y**mM;8Gg4#F#>kssSnzitfc^KC-2 zdyp4KV%=2paQR*tMtP%)4(qy$%EhjBjN$eDm7PX+@2`p>?mj5!VfB9btPJ2vwi{t0 z@N2OFX&H>}Md#*^onF146uEDUoYdU3ubCk(?L)>hg~mrJJd^-3^WIaNPqIy1x93|I z_R9B)56{ne4ghcr`Ar^o|K;r7`t_;`bap>_F|I2A2_mpg^c`y+R_pWw-+tk1_d)th zll5*tFS&Y@uS5P*Cz=Aa}7c?by& z@Gfzxw{-jbL#uP=`7NX>*GAsfuhW*?-8ZdZJLI_0_VuQSo^Vm?c|u(AHSzx2{wrL? zTfGI(@X?Lxr!~{@6z2HP<|yt_Z$dG>T|FQoxztSY6{4@r;E)&9dfc%$33)!|3A0 z?up3l`WME)gjDh!F4uI_bCwGuzGv%~hCLmS&i%CHyVk=t$wxbVZ}%O%veIi2|EQmm zogM(%=#}sL4Y7Ng_v;Hq{8gX8_LdlM zE}S;`$mnSTL>awl=2Zzg9I$ez6T%tQI5a%BzeLAzn);r|=|z`@pMuukra%%vQIR)6RsIC6L;G1gW&5^iZ{q(~HJjdHa-Q z+0+(FN0G%=lsMa3m-*Z$qo`r9v1|Vu){z*3u~_YBXXEZ*2DtIL7FS9J01k$R3#=I6 zbsMaNpTNU>bQ~R>5b|!*nyGV!&q@E|T5k*Go$x6vV%hh)?|opbj78|CWM9HvZ}&!Q zYY3p;^a7l}G5uK3ltVxt69H!?4ENU&2;Vn z8bN7q_?&r&&06}9gg6b4?fN#yGR?UhR-i9@3yQ$+e2F%pXM8vL#^7^%VzDNAtxGAA z)oBYXv2*v9QBSw(5Anr@WBO-5ZQj^aI>(|=b$7p5URc<83K3OVPa)D4V^n4~x=`k5 zon8kCo3=mV!{SKLV}tunf1=6DM{h!x%;L|GT-EX;O`B5Yo9HBjsk;&TbsejDQdr|p zQr6mx1=9qo278Hr=PH!cO~O*s z+v?cTC0qVg5+QQMZQm`)wfNp?pc=fu?4Dg)vFeTJt@hz%bip9L=AbJk1_D~8fH%I1 z2fB0Z&vQ7*X3g564t~NhSgnL!-X9(bqGX#IT0XA&ado6Y3QcO=Pwru&oOFnuSxb7* zE2ATa%B+;Bt_^q0oxm1M+fy-pHGjEDN!)T7PlP4w-U)duNuk1t#3Mu}4~I2*)+k9r zO7Eqq^Gc`s23KPL76r`l*;Hf>8Hy93@cEruhfFuX5%z!xf+z&Fb zuU~q?w5DZi0Q8#gGruC{56b&9J#9&f-LMKfWX&EpYik5#(g%tchs+XOV2ZuM)-$e& z7lk&S@>k>cCNY11ijZu*dBUrzmybGw2qY4-}A-xTOOxg^>JKB8PLNc>$E zui6y1Zxq0$O1SmUVL5ekG`%I~YVb(PUhR;avfd&yW4BA3zm&y;_px&{Jgnm~z*(>b zX>1bQYixe45jXk2Aom5`FWBB|T=+I}kMkuxDP1^y2P7x0n8_F!q|IoQ-W39-F&DU& zcZHq3QTycUv1gAW^}Uu+sma54mXc7Rtz6ls@LDbs8xi@fn(~;G8lYngCNWt<*|cV` zm#lvqsbBo=@0AE+a(MSw%<}%rs10sZFHaghN)W?H{>svqem)6nRL}6G$c<)fdmoY5 z6Rkzaz0=t2`oh*1KECi@$p3}=73ziWA#BP)1G&5<)6#*YYy&7#VrYxG@?on_0W^$i z#;Q#{N~FSh!R+!HGLH}Tl`p?tXSZ(qHZMKS%^Zg8hpxCuSxIq%3o@37KT4@Ob5{g~ z>lt52`$EbWlD?2YP`DX~`Pd>JPch5yOQ{$;a^8=Fm+QR}?zl#p6L$wkQ4@op^7^+T zeY`%v#DIl;FLe|wB5@8I3l7a`lG3^Q(m}_k?3`uUyC6e(cA=y9H1IS&D&?cB>we-_ zMP&t1foSRU>S^NW9~4`{JNYW@^)M|kde@gSw^}=g$-$AMW$G%qcQJhvXW4dXBA)u@ z;j(4BXS_5)BjU?HZBI}32%E}T+VvYA?Eyj_ZganqC)pqQbfR4XqHw=bA3d75p zNH(H7TDU@#%eNLQ#3mm&c}myB zxpjVqyk-vFU2(+PfmVf8YIZ_djJ?DWBc$CB*NpoC@X%hLTo}6_1?eGy&5nQaP{0+j z<<%XMoyAU1K)~dLLd*Ml3HIRVG#xF}ikJGyQE{dqgg~UsDg9}4^C&xoOKi7+f3{EC zDs4X+YdoX9@2>xpqef%zJhDgK7kxvXos{#9Mx!m7nWk~Jxl((#<3uJSqtBoD6*Mw| zLZ2;HY#B9QLUj`OLK`zophVHg$YFXSv*9A_*}%kNdTl;{W9%z+N}t|dJb5hCJ0uZc z?0i#KGeh!-Dum5qQ7n>XO5ptL9H!MceX<}+8Y7eD*cKK|&kvWq=ft#%%|g&yGP6h@ z0A#e#K4tkHOYGEWp5vmZZ!pNlbB1SXV8dgPvnYzW^pLcqk(Q6S6q~ekQ>QN=`KGCU zoDH06!)&Z|%>`D^V?_u>F0@iqHONJ`q@3w-=}+&Y;5}Mu(EMI?Rx#0u>N?+P2>-!P z3~4h$Il>+2SFzC(r0JuxZNib}`OAY{u-XN9a;(Oy zI)Sj1f<3MG%j3upVO>7{o~XFhG+}GWl0z++dD*6cTb5;h$FoL*LSk|Z+S;D_UlVDc z9osMN&x~(q=LIhAS!kP5R#7?1xUzD&QO7$#oN|*nGiA1FKxG*9-TNo{#oY z+r>FEZg5(&lEmE8$~PvqL;)|!d)cKz8?7$`ZI$wlIW7h@8GJma;>IQTc=AV?CF}U$ zR!C(_5?i9H;{>~jQR6HXq)(+u*SDm!u<)(p`pp^)|3p0D|7xk}dfpRF@X`RQJFO>p z8GtYSL(xGJSuRpb$oDU#_OYEl-VDl#>CuaZS&Lq8)**~Ey{b`EGN>N2bhkvyCmeCj z@ExknH)!4@Y22s7A*~NfC-Wlmj4y?ombI>R!%>&!&7SIh(+RiG4AN_KD9RbH*{biV za#jOY(p<5pQ7RSro(WQR9$3K5CQH+jR%a|b1C_9iKny#AR#kEHv=CDn3XZJfP4PN_ zh{f#I;rmMtx*NP3Bqq2)wLneQP6hWkx!SL-a#T@*a^Lu|=(WyjE900}-<)b$WEi>3 zY)UR{&zpL=Gm-Xt^*rIp{`B9w29<>xXS;V0e7v7`L`&`5nlayY(Jy~j-ugrLq3v=1 z?f3LwM5$pqvR>|2?^om)>Q65l?N^7CJzHIL0&MF6+O&jec)a^#3+pK$8kM_RHt0pdfQZUAAvo8lP8?o~-d~AQ`3EF~ zlITGUJJNwN@zaAiodqOR3mS#*rA>mEg-7X%7&NiYR2;o0F7D&+}dX;PWi){!8F&EWf=q!@t!B;_KGOBG&LD-$s^~H7BW>I5O>&u>V zPk&?I_K@?Luryhhc{An5BMglN;Nz}OdCQd_Mujsrg2`KB$Xx~`xuogq!EWal-8)i^ zvLYOwtbgv$yYhF(dd~)c+YrmE5Ux988ehZZ?&!3RnEG-Z+%i+5nTQ;6wE5*OckvPP zjM8snDShBSX_!t`o!f+wViAn~2F(|lBO3)raN{<9o(wezEPL=9y^d{>+Z^)0mp&FD z)C1Uika@-R3npwfW202?qBg}^AFoJO`f2E7Bpo`ynYW@|nAsDEZIZk(Pg_QPQ32Iy z^&=_o=2I_X90^pe#fN=a$x(EY_w1ev9DTwXYnXE;dbhPQx}!z3ypkR`glBifCS zcdXu$MK0kiLp*3kWyo5j!_gLRz|Z1+Gf%u4W>{0V`uGcN?iCv{s}UNg3_e zin?QYh}^OU{0A{u5d({vJ~yr_XLc5k-#n*18R$<9QKSC?yg-jZ2^OGG_&-?7x5{MQXgfq*|Aa6(Dcz!-1K}A z5FKGq^#G(V(N$kHs|;ZTjJ2yZ^EUD+v} zaH@IZSMXoFvO)pbOA0D;r-LFH>w&ks$MV6!M?V~xGYO+Th=({mNaIJZz<2My)ACjg zWC6L#)(C+P1laYwlTX_#JMZl7BQ}PKzD+a!|9-Vz5r@nrkLytCDa zZVYj~yJBuD*rzCs9I?*QoDd?{o|VOje~^J5ql6+vXxsL8)TIs#Ys^FpWN3b;kxU-<*x;a2EOsF_SR~NOCL3E|FnC() zHfXp-RFqBjVBs#aZG05ai;}Ayefuj*ei*7`7*&~VuCO6jjUfy(khhQ?=g!PV{M>{} zK=OBNV(Nq@UWi{b5aiTX_08OB;hB*NCD%r0*u(bWob*iK%4tCVFnHDMCK_KpVT%8Z zHv6MioHAw|%oS;9=y|td<)~i(!@A?$gNz-t)`TV~fR4L4Ix9;M8$p5bISAXpVfaa^ zaEGbFyd9j~@#y94?Rf)!JqdAxHR2cX{!TTV^nFfMx9J0d{lxAoSp6Slra`~&6yAm)n-vPzII^~v``xI4`AgL_6(7!u_bAum=|{DfGMSZ{s*?&&6C zz(e%#TW1)kJqGx; zZ7@$2A^l->A>(c8}Xo|K#qhQ8&QT=X@F#VOm|+!}B{%?v)k1T;dZzxAesZ%94Rgd_#k|oUh@($TLtQfMh;FaWxV5Zd zwb32h{kw$P>a6WVTi=IkhCKa%ox!j5&^zEU1Q?|-wV^rlM!q>LxcF~Da5KQ!H$kkn z}H}*oAp7}{C9=-KTAJ2Aw|1g4o^@%4w8<< z_f3E6D8K*;bgF@KYD`Kc@(u4WSt>En72r#12~Um5HCHAO{5 zPL87;sjApz#D;h^G7h_6{A{y&PN($=SHm=y%_39Lu*1)_9MhRs-Fa4yI7$+K(wZ%> zsnO3MzNOqw&&jg$vz(oEQ(F{^MDt_r?=W&AzHyOa7Rsl2FMa{cWZ)(gWOenwW|7J8 zVR25p2_Z88PXrVv-T?y?1%fpS7z8iN#<^wjIRY<3kblWEr_^J7>>`j47ieg=)e6Yg zACpV5Yap$ltr4TZz7u;h8&73;j8>vYDC9bg(98uv#9Esx(p4e z4k$ImA&qrtYJikRo>#9~2&;Rmxn0ktdcNOlXoH{=>C_zV){YM2$;@BJ1WlHQ3&yQB zNEBvC;WzV|sfmm^&rBB=#L?TQtb7;v#0YBT+`jLIuxH$f1qK`yEBKGfIE40dna-->$8Qv4D220s$M@| zm43BeFGjCS?f1glI6kO2nFUeBzEBFpxnbyIf2-+#KxKR*;30ZGX{Nl0VL@@j8{#RLUp{MF0vfye3o{h{>d@!R>; z!G-iEK2+w&2g?{RAnaRg1&84)5qmL>U`1M!?cthMNpoSWtHUcVb!>0wp0lI-&U$H@ zZ|&hHfeq^X^ zp_webkFEQ$jYThd)yCw%869Z5jmtuS_+hr5N4lf9FP*$#*O@FoG2^D{o@Hy^aFgAR zU<9#1HTqtyYQs!oTnvylNoq$Q#47@5TntK=fy`+Vguka+qlY1M4?e&^^9kD_Z&oWy zd2gf#dV@{qhgR_n;vet_*~*Hvhz9%kcl!x`P`EA*>zftgOv&^;TOqoe^!j91c6z|Ks1*JpQ#DAi5Qyz+$&zKE{gxv+QpKp`yIDPE&rfEbbFX{ap+_T{s}zgxi-{ z0ixsE{AE&s@6RRi-QS-n;^j#`DM8IdpNt^o1Sdr15N}ctOT1p_8|^_Fi2&9!PXZ}R z#4S$TFJblQ6LI3@prQNwYj1+@m6CbRWpY@C6v%g}J(*FCLMdx*=wXhsS;P88_e#mD z9|i<=l~kkBX+iCIb20v=YMT7qwP#Z3kHbq#%*~BeMV*a4x@KBx#~Z6vIXYE3bQk#e z_*FJJHu&H~+H*Fmpvk;-e#oYC7>f+QxST*;cdp7&$P1*qE*yniggX@&YT?e^Z6~;v z({DaVNKLXO{Q(S1TcmKL&v!yhIpPo&4(N6+5{9!&Glg(8K8W$j>iFe%2r8oTtnzbO z!q93(x5M9H3b0p^4LVbB&YrL_%{+Odm5(epGKKUPJ1GkK_Gsuxq5fb{6b8~&Z-Xgf zxBP&?Not22z>1LsR_I$_UeYS+0i<2tsiE`47~YCI|nWP4YL#iP{va(Dg1}@%4BDyJXw|Rrx-V2 zK3L-0^L@=**wuehJ|ZE<-XW_Dy(A&)lT;x>CYt2vA_W??pg3|=`#ZyF4^Dop?zmaD zDCxQOpHTE!gvd1r64AA;io7Try7}{AGcMf}l&~q%2JofA5MHQA-`Oy(h|}N?bI!6x zn@i1>eS;Dg2wAJBMI&$~@}^OCz;=78R>H7@NN#X9@s1wXw1O+UKvGWylg_y3MEtj_ z1Y1>}ifMPnjJ(F3HLa?loVav2n4jdYWX+yq37ezTQKZb3zZt;tfj{$JyDp$jP!>&x zN2eD0G8XhM{2sI)bXb?r#m%-Oj#ulVD+Ss{zJRfyQo}PH5eUE8 z?N6cbQvs_s-iGF^lFn6B$5pDH5fCe~D*d~A^>E#pqIqf<^+F|H;w89q5YM*P4tXsP zUet0Y=7e`;c2esFKIupM!j`!st^EE-sLO(9RvA2hpv3XHI6v)(n~2``Xu{IRuC=9y z_X3tTNHGq;QhTEj)I%V23?&?(E@)Ib=^hEqF#>^*>S zO065o{y}qhn;F6pi`)Mv^+Ya`i;O%|q{xv?N1AQujN>F6v)h9Zu?r`Vi`exu)8<+< zrWcC?MxYn5WZm3*!3NDgF_qWm*WfADYVz99W7%N78N}Eb2A=8R^LvM#k*uUD0GhY0 zdqF98?_SVKsxKF@(#tl1@>cCxYM3kc0cb*WBRT%&#Ij@2X_MneQ2RvV`1AH{Z>IS$ zxV+3&wcv~6oWovI{JW`l} z0m(~&OLkWh)#}Gc1u~a;_ibNZB77zTHyV=#2ZFNf(ODle~_k>@)Tz;e3w(2;rc2ea8*?>akA_`Z=ti-pei>8)qnCtS)^VpvbQ@gnIDZCK1mB=Tt<+NpKA@Mc&P~ps z`ka==4BynqK>_43zeIKtO6h$B*Q6zi?TMivIX<||Cgn5svNe`AIIs$!U13rl%(r7e z>MZ5FYulS4gpBM~vRXgq`t}VRlm3^ir5gvt$M>E=h#LYTJuvt%_pbEMk28622JD{# zZfg^mH+lWa_^B?QGgayF(hhlzy`S@lX5v2x7T=@=PwMKH zvmWsY7@3>PJ}M>Mtj|^`x;GSD4g0Aky9s`m=sg0az83$zl@w_^>xYu}2S9BSCe-U4 zcSEn2KR8+JC5-&&X_{-c;o#2qI_j!QMNIq^3!%=bcE7|N>u==awm@-~_e~WAo49wF z-zxypp9RZLs=P>YE{G5#n8oL0vc7ZE4Y6I)+RiHV!iji+>;WiYXP0_MFW-_tJI9mx zD~FJsDFU2y?wD25Gt5tJ=q#9|vq59-y@*CH{KttC{@k-dIUf96sPgp`-!L-Qa~qIl zhv}O!s=zQZPFLqql$)rx=}k_&C8}N8^PUbd;E#8h-TRbg2h9;n)T5bH7yG`}72HeZ z-uheKw&<6&kLt}u*L}O?O?B-wbyp$2lI&im^)^>^OE><6#-4BP6<(L`@G3ULO$nh8 z{TTKw{)b9ayIJd_R`SUnSA}VvcdWqrdH!H~sEX;$hjT*-<`s^T#^CgQ)wXn$8)DhS zp3m9i(w>emb;Xlhx7Fk9|HRghy&yn={zTS@17ca_9?yIFaYiV^7Te$YNWfW~I{l!} z%`KjI`NZD)b11{b^IkjNo*(#;O#j#;AE0LsA`M&hzq*4U zb|*83*1WqX;DR}paXw6FiC%exEJoc#S30PN&b>t{yXVOS?jw ziXrhP(s>%y2zf<=AGXkGx#dh|Q)zn}&HlIvZYTLwaqaQ3a!k^X_7zpfIM$T;<5!@} zSD?ZW$i@HZ0CuYlx!0z$M>-!9eXXUF+_xX{ zHw~~?cXR?{Sg~=XY~t==0&jYdyV%>YYfiN(;x^{n$ zd_L=$hMyn1E?p=c@G(Rc7cXDzihypE0x%^Jt`!R(sfi7j7aA#g)Dxz7F;aU{7cPG1 zc69fSMkx*g}Eh#7A=4P$bD3=m)1<)^o_gCd45OX>3Fz_jDNE zZHXA{Z``M5dn$|r^L^tD)6PwgT_`VHTq`J-;Lmqcv?*{iFflK+w3P?Fo+2eEs^P)b z1-;u->OBdYA;!cmQWvjVE`Be?C8)Vq6}PxDh@TKM?d}v_-4}i*h1U~c(&Y2)h{UR} zLh~7pQ<{AHfJW=h>d7MJiQ9$M8xqr*YB75&*xLHojR-g`Xk+n(2|(BdhD8@{<;^_z zo7b5cO0b?vJsz^6qvV3-%Z%PB?j9fI5lA|Sd{FEp?;r1w@8UXh9sQ77xw7G*Wd9g` z8ycqOLo0NrsIhauK@kPr@V^~;RK}J(!P7K$ZS5v3_b(*u4*p{d7QKgBi6drGU>fqp zwVkATCX#rl$|;Qn#<(`Ah*MlUj$H4xNe_v4mL2mv7dbKEO4Pt#;12VBeZ964OtmPU z=g?Ji^6-|)2?r_r(Di2PTh~##`0BaBsbUiDjE5B$fAzbZPssglxS4w*ECZ>R&62>p zt^JH}Z+~Fqr!7>Hc2ye~a)6puDg9<79W5dli}v;5@)NkFxJqQ?CZjp5$r`?vD+cc$ z!?`(kRSg-OGzb~ZOn&#HhL~@DZwxObS2>E+ zed(oAP1ZQM*2<<=f$=9dO&hEn6J|uBUCvT}XcfJ$OLFvkrBVpFbWBgL*=Z4;t zRc}51Fp4CkQ;gHn^v${Iw!C;Uf~ni}0W?o?8)bS)-{af!97$;$A?!`TwDLPR5kv~A zdsntNav7ZR_FCPjUk-R{`->L;Z1W;0l=3#&OHX6bORbQW6*N(^UsntT<%e;8-XvPJ z$p%QlS~8rRutJ|^(ktgxpD=z2mr8j}C)PQcQ=Ih zm?_^d?~#zQl+~7g!W^u*&`SFsq7PF=OGeG#WGZLd9N6RQ&2Ufo%dE9YFUF5pZ2G!b z7&r{J7YvhBgKxX64`A3;+Q_YdVL-PBhGCO$VjN>U=2&fwt~OM+QkHP8zb*J*X@?DG zFSW)da1E{^CBOC9_X;}5&Tv&Z$*yq?wvvOg_lzBD;$mJvw@T;sQ|+QY;vih289;A< z8cL#39&WO`&c9}KG2aG8&{E&XryBNiO-RBvb#zA+BWN3rv|9)JIj3)AJs_HPi>!l8 z9y<+C)`_UkUR0tp76iilHv3d^)I<_-E0n0M?PoR>Va+#3$T>H){GWjy?tl zX8x>d;Gr+W*Ceki*XA{+xe81cr5}7E=+-v^2f?o2V0`E)SOru0_3%7N^Sp%7mmKbo zS;l-CKSZ?y=&lKWC}+MIj<{J;ei%6@e|%SX!w64h09#5n+LN6`y_^2Rpy~G)r3NUQ zz`V32(qcbYir_PaeWx ztHJ}!&}?6=YmN4O0*U*tms6MKi-l# zL9-Jy)uIaD9Y4x|93`sfv>Al zm9cCm^nQ6k=JI(=9O44fmqW;c>ZqfSQ>$kU_FB}ja+!C_ zemGbjwABrk^_o}a?AHpbd-5su!+Yj#?uf+Q7)P>7T4-ec zXf01^8(j$tgz?FC2fK+j9Tmq%Udx={SFePoz~4O=hZR60Y;+jyT7mgVsTYL-s_q*h z#In2I9LyJ>vcBRQO!+{cIWMf>vA?qH=vK7f;_2kPs~XI=auixz;ewpOLu&-htz}C= zex^>*BJovl-koPF92Pl&(H#{zIZ^-7ILrwIP+@`!lNHikfoT%fQV6FfjZ&Bl!iwk`bgorwwyJCh!QR2uvi}$uo8!E6Bk(*!(8gDSbBb;wg_ps{8v75p zN@{c)Tn#-gBVGgd+87?3yG>T1x>6ioGOX(CWb^I+B)xj|OqL{{{foe$QIpLzzyLFp z7^>>k_Z2wh#CzU&2n^UI;Kk+A#K-Md7B0*Xp(-Ywy=kZw@YMc<6+l$RF9+d@3d57v zZVUJs8f^Cr?AQaiY*cF%n2d|dxgh%eXSMbFGA@2EY8^_|QjuHmAt(KgHCHv9{x-+- zLYY4Q51l`#zh2JHso&yuQJpP)wUr0Vt)MTTtE?5WRG)XZ-u|=aIxwOsHFe_=E{rG$ z((;E_dt(L7I$q^@cS*~8_ZORis^B^2eKy|u#Ijj*L=W@bqT%pRExG^uUW|9pInt>s zII+APRgn{4(Q{471SD!u0V{X68!zK8Z7Jp!nv!0rQ^nPM^3p$A+uxZyHvR&;V2py@ z_yXnU5Rrd<`tr)KzB?}wp6PW`yL>Cq+(B!jyGViC<1i$a=N*4ZQ&{(RV?c|(IM1W@X^>jBM``OiB!+4n0a2oJLEt9hKRC;B-u zA^K{5G*!$1#^O{FwKh>+ob#N*wB7Q2Wrf$KN8(g{wcwq%W{YJ6Sh_)Zn?((9lxj@? z9k6O3U>^HzV`%4?4Mu?QdV{G1=aYRWYFgROZsG|ZC#c458Hq`{8+Fzj+3VjlOH9xP+hepXV+PK6FlxSJVWyRpIexf$8}PK2ouIl!p* znz_7IPC+tF`dwKBMnAIu9x{=QckodBxfyW0rKS?fjj0X(Q@t;B!mS)~c2`NWq@%m^ zht#0h2xF3Cm8Rl>0@GwDGHZBuJ!}^b3An+9EXJXdIGCTJ^(B@ACPdBC2AK z%R+)SzMH?Si0^KQ`2wlipvyd)W%qxrtIHc>$Ar`WS@VOlek9uM|K++!%01m_{+n#9 zEF-LUR(2U4Xe0D<_ach+j&tlSWuY+s!YadGaA+dMD~=ST&pPq9LF z_sM4ZN?6~|kY-WM!}uY;x^rxh+b*?JzW#}MF~z94Z0?@d0p#1!C@v>^L(26O9}d|m zzhSsrXs_N4xh}uw!R)1ZX8=vew?;w5DoJ#Lw&X+?Xx^gu?maq6b{L?ukBY*4M%)kE zH~9{s*So&$w33$a)cOL37Ou2JIxXMF{fG5nwsq(V>1#Xh-~>H5jB3}G1#&$V4dEcK zG!tg8$~X#W&|SC5njoD2QC6nyQ4PX6|9jyR?l`HMN1_4~Es?wki~=|&bLD$9Yf6RRK@hJ z;{-Kxz+9Ol@Ru;TQ|zo79xbPiUgBrWyc$&B&Qj|#OvR}d9wtuw3mbIAxIhNWF{!+S zUnl(0QUBhY0lX@km3qDM9|F*93EMB;iNp7#T&(BsdNk7PyG=(K>&7l1xT}-+&J9<{ zuZH;UF<=S>LK3mNo9PKMrned0-wkrV2tYc$?#kx*(GI4qLi&l;wD;pt#AwXSxIH>? z;bI-bzrxH_4~+98Q4b)GTgC%6`r`2sr)WfxY-oO8dKF8+{p^#8tvTweDEu$S-?U>l zLFpHZii~ns+BY}t0EyFvPTZiYb?i5jnBGUo>@G=xobPO%Joe`wu7|Ut7O>SYo~ua6 z2g^3_y?+kDRo9hMXzl`X9fw70$PT}O$97*UNH1>UQvy`w(IN5XH^+Y+o%xs!NH=M-bsQ!GQm2K2Td?ZNej7se4{^P+u^!n^@Ib&1j zDo}5W|1?KGCL@O%lO*EB54?9sClzBnZN#@$X;Zijj0v3qoWw)K6q3$|fXpt0@tFJu zaE@(1>J;;B=gq{4-#qO{YST5FXVOoYM!*&6w6TL1hKOu=p0;$_qSI5=IEk5fGJo$R zn;V6AuYPO9VoR&Vj<|4okSJ>oc{EU8Y0dj>RIb%j*tFi#`V8+w)u5UEyUC6vByeU zxpi`}KQVEer~7SJ6m`<0o=K0GgxwLs$o8pZ=<7)H@#l6B=A(Tb2m=g<(^eEK1@{f% zSzjG4V}}DZc#N#pcf3wuj8-K&Cv=>o)m&`^exlssr??iKMLNg8_ZP{4^8Cl7Ae<$x2jlB8dI@Ja=$yWy|{)um{zxKy)QfuEj8Q>II0^@fTS=$yCrk5qc1 zHa!|qYKWoA=0s5_X3eUw*sgDqyj9N`0za1E_`T%fM2~?lWHl0qk8$xmuBoAb?`YOl z87R)XmK*s{E55Ea>w7W#pbXUWcl}}AB0S6e+vsz%%KQxv+mGYoyXgAtzL(T&)1&!1 zacoC%%F_(?p-qkXTN(MBs|t{UcWo;2Azgf3c9!7A+>3gxKd&m_^WhIr&&&IjYK?(+ zEg5#%lV>Bsdf0-9451$SY37O|d z22sMg**5W@0ViQJ>+7G~4KLi}R|%0z;@pi_++=Allj0J>hr0v*jl2zvcrUcg%{^a~LLr=cnfT|ac9 z{JG&Uw@MY;10pbFY6ROS7mhE?+${qEQ7jG7f)m_fzCZfJq?Upq3!x%m9a^TBu407@ znVC^9!}78w5^GiVq8f;Kn8#*fyyS#s(lD*7Ce%J-+}B;@iXCLGc5o!MJQ2z=uVqgD zFR%ws_^3vFDnsm<(w6769XPf$KZ3T2(5I&xo;`sZJL7+POn)>QFkMkXGde2PmRLx| zE<&%{7IrkFEz>fZKf^pdK8;1;)1&s;qa`*f-KNK`^v5926tDA~_8Gajig_$XNOqwt zCBKw^!OExDL;f&}-_+ITo>555QvcSW7`A^RL^w7@SHXY7Fif>0rgqyB#L}%%OWkVC ziN9_OE_*r}7h4F#w8ZWO#5iJv0&4xPG|}f-9qN~Bs75#LsVE_4$3XVJ6|ZmpQ{*fu z7KO3lacR`au}9it&s4=66dN9m+>eyD3`VbA@Hc9W3x(s+XpF@gH~lX64cVuS)NjI|{uBjzBtVX<)=JG{nDBw}{F2`x^K^=g#kh$tcEAh+o; zNQ@A(G{*5hiJYoT1=l}$2^yiZ@k3pa1*9qMhK5e z_h^Vs25u*B6OLGn@%OMx{~rJV|NnRad{=916jcB@zpa~L8 zBvFD2O7Wbtb7yzEZ6~?8+k3xz-siiwD$8S&8Dk%O*wG9VyPnV3JeZ@QpraeMqHB(# z+1w0EGS6qnVW)EYC&PI#N)-5BwZ}CIHs~c(Ml`oEY2y;3*zBJTZ73fTwz3G+_cW8f%&Y(>yRFWFl}q zNS@A00Q79r%^fficoxi<7&q#cBkQplB=Vnacgits#W3(x1`0tlSs^SwzxC8^m`GHk z3yN^f;aXgE!Z9h*oN>(W#}(SE+0)*Y+}Zxrj*4V^cc7RQ+-^CHxgl9|Tv?J8(LkGK zONz$L5~{%bnIo?X+}uo@#U)dYI-7Z77W)P^lFkb&zlNw>gn?e5DUxL=5l!WqJ)4z5 zXxHC9Q;LpYltnpPdfb#bs8tPJ1LPcb1?uX(!N6Yt$}-O@XGc+u*j)Au?6+MWSe$fm z^I=AAQ(^0BkbSNKX!>63zyR}@mDE(5@cLhT2*}1m09Y_v=Z2H86xMC zNcHOP`Iuc4Tkb+tt_Hc8jV`170f0V4V$(hZr=Yt9ysv-__ljY~Q(*-06MuWRI{@glBZVvAioN z?gs|?<_Po>5J$y4syYzu1ocp28QTO9@!_!*Fvx=4+mfBl8Mf49{0=N*^0f?sO@`=|Xe!r|54)lN>x5nTVA1QjV zy5SIrBJ;#OB*%1lGiGizD*>~6zx~2S>#@iM3v5whAz3m*jw)$Vgqt-v25tiM*anWS zsBxL^p%m5r^ye~67KTT}I!#ng>ZW^`wZZnqEhnpCB4-xDjQW8eWs}=HyTKn}QP}_a zK>dAz7wwzH8nxus-dp!EFC9sTI`1!2}!Xf%8#9I}aH?e)N z_T6vS&cT!=$cu0)VCyMh71!=y8M4DLP@`%^98Y5k=qJ|`*I({_3jnAQBa1+rx7nl7 z8*&P_0nlR?Zpz|u%eC2iY>1c(G8*-oS__YjM~NKrI=TzdA3T7pjaDi^AGQ)!xEUbZ zV3|Jj1glSy!%nMYDpC;mhHepjPi!Q4Uma_H1^WcCqMM1A6fLZ8%5ilAz{YkpgL}sH zSE7PH_1a5!(R5@jaZA&~1YV$Vd`eis9KB;dhR`u_DD7DGV@Rg!(*O8><(PeBBXJ!V zaO;NXS{vx}`Cx;BFcoI>(3G?Y=aiuMP(RU`h$n7m-P!b92~!mfUAkciQG%A;n*}2p z$zgawP@_4{7o{K+74_T`^29BzV1q-uhjk?RV|7U;n Aw*UYD diff --git a/CPLD/MAXV/db/RAM2GS.cmp.idb b/CPLD/MAXV/db/RAM2GS.cmp.idb index e44e69b556386390d277adf93d74468037ce7b2f..ea02ef1cb8d045f1fd1e5f7c6cf2efee3c9f8fcf 100644 GIT binary patch delta 2315 zcmV+m3H0`t6}T0UM}J5l00000001lr00000006530000000000006iO00000004La z8?+gzWANZ_~V!cF?7(sbhjRG<-2#SwF1#FwLlnIVN)fr{R)@H@Ray2n+ zG#a8+V~n=Z7$GVm!D>1(D4$j#R`6F$*de%DZ z{oDIH=j?mt+&d3kIgT5|x=QK;n3Ws(E>a)NtSjqotW%uy)X1l}yX2E4mg8h)f1tvr zHRX6;h2Kx%dno+=lJCVV&$KSEx<=WUk~|Hz<+t5zY6&x;G>}b5b{>we9(^o zRsqij9t%7RI2t$#_!w{ja3pX9uohSY91a``JRR5@*caFf*a92~91Hw6*#ASoJ-~;7 zOJTmZApck3eZUnk-VQtsxDq%Kcp-2DtoIS{9MG$QcYyu~@I}z~1D+4O6UM&|ybJgv z82<+3e}4}74#L>zzI-KFYqKz(kIcYg=&13Vta_k;X)Sie8y^B{i|I3M^J zZ~^dpz=gmAV0|9y9|U<%;K9H{fQJGP10D_>2kXBJ^^OGn0m#1td=NMXn1}gtAwM4S z`yu}}@C4BBhWwkrdw?gycz@sk;HQE8VE=+49|AlRcrJ|BKt3Ee0$2+?3z&oXqaYtZ zUw^en7+TM=u7x$))P(o41T^bMQ2Vo8u&<~!DPMaPZtbHc_v0hhn2r4G z6~0Ci;aS#y>XL5VmJ{Is5O9FvG^lb#xlmtQQ|$}hfbzfwB?O9w-_9?eg6kowzL(tkUj z`Rk}$$G5feVU?|XU9y$qWNA)0PL}FX=BWy09vR0IE5{R4r{>Jk9x3Plrt!||Q?5s^ zg>oG_irp+m>yxFkpwv@b+2}kf&mZL;#k3ZkA=3Rjt6bli?wj(;d7ag-yk43gukTTL zR{BHx(T9zH)b-N*kIK{jE3b#v#((=ynWy!Y*GGC~p4L+`ofqZ(MtbFV(j$u-;>r>v zrp*x#9L-7r`fNg0yjvHrAU&)JRBL=A3VZL4RnJc!R zvcGaIvONbHN&3B*8L)oIcYj=aCL4c-jR|A+^miQly<8AXs$pEr>I!F;_P2=nTFJL4 z>YXLUNJD3uo(|65L%5TaU;?iCruakcSD$|ZMxLVJ-HgJof4%kG6yrQd??3+wZHS{v zd&ecfGJo7~O~JKR>x9iOYwK2-E$4q?(!In8e8nj z+q4C-p^iCQI<32y-uT7KQ5F;eDw~9>TluhrqQFD+F;qK*6 zx7rqS;nBlx3nlhSA%8SKnX7#zT|Fjqt)=0;7c|pN7XI z^(mscx~^Q)xIaG5`uU^|&ET3oR(XDH{Nb=CEZM5GeD0DTqWhNaE#=LZ*C}(VzX0B?hu(k0ZH$HF)S2Saj(AJy9<=n^< znqHs6JyXDX!+%=!`0bvYo_(A;&VD7QzI>*tpb@$!qQ!3{Xn${U~=zp|O@}o=cwI$0s1L{M#WS;7s+!xI-(9Op&fVj( z?f&MT(tmY})xFJeE`NODrOsbQk5RoC8zk&lKf)!sJ5HEU*)3RBFXC=Dc=jZ)9|CTb zCyD8&oKA`e4t2iiFB~EA&BxcU=?Q=9zucRlb#y+6z?zjWQpZCS=k?~@M%ps zK2YHgQus~^-&yiKxz+IQ!@Do<{=92=C%(fwwzUvg1N;{7YT!QtzX`kwcqQ5sM|UBA5BPK7R^Tsyo#lfe#RmZo1|A9Q0^APsw*l7y9|Nuj zJ`Q{W_$2Tt;09m=@Gz*~5qLImG4Mf{uNwF?@ZW&lV7?OIGoarKybbhcA-@l}6!eXd z{|IA-Kp_zl4C0AGgj ze};S#1-=G+9ryYBfhj2apAwK}v2Y>W~Apa*=&l&O`KzH7DZ^;cL>Udarks=vgDICjDNjks&CN(RWThEQn$+jh zQWs|!(lr5^m?%xAF;$b6pPgdJ<-?{9_^}}>DRS0836i1`l&{P*9;S`y76gGC(b6{+T@uT-Q)03`yvuQW z)+T9aKGM=bP|i>5DaUb%xHjpj(RlgAlC6B0WcyHQ|1TX3<$5$f)j{g7V@Yp+=6^4v zavfjS%7<09@^#5pj+3Q1t$nQF;C-*B8@TbcRUx@2qltd%Ca6E9bRWzw&x%e!RZE^1Sq3 z`!Rrz@9TPL{=V|G|H|v3wekK_=6`8EB~v}h>!tC^Je?Qi{YH7Ep5{XqH^h-ANKBg} z9ypqn0`y*mta!Ih=RtZ{6R7fh;#UuCsW3cfU*=HQv8=#r2rImDPkgB#X}H#IE0m(N z+}h7?1UgkM5|N~8BmX>Q#mVfb|tc%W& zd=Qm2{6G72rp4RJ&YdIf3mvMu1KTE&6>q|jh}l-m@&!`^O|dg!^@p&t0*F z^1pH|vaJP;B>h&*Bv}8@H-B6^f{#DQ$4uq+z&9NGty~aHs^wh8>(X&6?=RU(s5M%V zr!!4Y2YdfR*gi^d0rNd6e$o2y{ZHd~@mo>9{Ow6|e5G z75u!=@&}DopYp!h<{Gxd;^S@AKU=-YRxs)<3)^`>JG*lpWrpqw#a-<)Xa6TYB#@DBdGI@BGWi!s|oy__HB>JY-2^Zr8}MJ zHVnKixR`5uJfc*p@_+axY*o|=^9Ug;((S@x)!N_O71jk0WgjN$*oB`|b&sm?G1FYN zm(;p&Z=2bvMbNgnF^fF^l(ONvTS1-Aq8f0>p1cE4@= z%jv?L`wLWY8@hUadf1Wqb@(yQvT^LGpLw#1iPMGUWiPU#-+#A?>(N-R3n#SidVD5q zPM^V&KWY$aoEwDp#H~tRE-cet=@bqXmGSR0 r=ZCPyU&LDIIT09U5g!TSGvj!zR(vGLL8_3+LHUV7v3~)xC<%}OLkn)- diff --git a/CPLD/MAXV/db/RAM2GS.cmp.rdb b/CPLD/MAXV/db/RAM2GS.cmp.rdb index 6ecb70d4e420d04c7cabe2d94a6ee164522aeed9..6d18490a481cf177f6d91cf06e01b5af5fdbf773 100644 GIT binary patch delta 13781 zcmV;`H7d&bZ`^N?M}HSm0RR91000;^00000000>S0000000000007)K00000004La z?0wyH9LbR%VV~?1C7*Y-tB7M-;*?zJg>|6hSRaxEHGu<--fPWltdDB5~s;lcGD=RZA zE2}E&&+gp0^L_k%fImJnGk1P~pL*x~B5DOm)M=aj@S+nZe}>rKZv1Y8iTa2zA%FHNg+8a2&HuiYeCyXJ1tJ~o}?ER0RJbAOV&4&u--N|G}ev@gv?5GQS< zaoO*t`MI3?GNDfEjZU zcTVHr+#!{jLATp!MnMuDo8wNicOJGAM;^!*wNcBtdu1!auP%V3 zZtK!?dw)m&K5QoDZql*PBw>8swSYG}?c>NHLLhZm-*X_DEx^|XYVS6BN8M%|U07PI zoyK9vy1%r=xh%i!0Y$y8*^8s|FwK}Hy-Pf}q>uI<*UaW#K_Y{8xXuVJE?QADIBJCk zzXalLkX4)F@JsVLO3r|Lq&klrG6+?Wm`hI=5r6ul6WjW`9h7&`14%i0(WrM_>-wZ? z&VzREBxokR7`Q&Vyz3w*IiL*2NLz^b1jy_Gia7ccJ0|KTadgy6I&pW-n7vjQ0QfjO z0r^M=sK%v@B8;HR*plLLm;@2YHAwEBCCNqi!TkKm#cAM4bS@%u%r!gb^C5_3j$Eu5 z?|)IN^V&3e=jTCuS;%#WocSLAW2PnfyZsj{IRFhG$fR%n!iFGC7&r*K3AyHR&^ryg z_DjKkGc*4IvHzy;uOQ(x>OIDQ@B#-!#|vRX+9{_R4s_R{|9%`w#l}~>(`nDHhbKX= zm6*q^&Jo7L#wb`gq|ST?|9QsLzqk4UFn{G9Y3+xIv}oRF1s7e2Kxh%=1OB(JdDM$+ z^L85}g(|!4UF@Pi_ifnfoFZ8G%*=cSmF|yj96vk{F3jv~90tdSU7^@RtB?E=+UV@; z>o`infiEW~#wmld+qK_4GqX*3z3WRoiITEXk@wog2Ho?wQ7qKilJ)?BLi|*!t$(`Q zg`V-5XQEqc@!m3;B4`aHV+Un@XXA7 z7jZ1!7tLaPRRzVSa&j9AV=!!Voku~I7ko-XRkPhm3{*+zENyUq)HZ?n?RMj_Rfgxt z5&}LaCr%#sF068j)V?5mDtu1F|Bv@QKJQ z>gACFf%RW!V7YOg{x(i^seX)1-^aqhXGjGOAWwPKWw>mkU4Vi|3CO)54q7ccoli{~ zNKyD$;dNv3zfJ-D;79|AfMVXq3={MBM|R4;kCAdHUifhmszFIBeo@wn{SC0|+VPa4 z-wU*%P5En+O9dM%EroSmO(hH|AGqXkl!$PK?B3MYKum{4{ z3v`OzN)u*(SytT4{8K~^L*~c?{lyB1UzCBk3-jl>=OC~ZCiNrKe3tmJ{7LB_;kDjr zw_%WtUXiTpXJPYYm)7(3hY#`pz%yf2Q#GPe{1)QS>(WDU$A9Upo21QQjyLzm^X{oq z(K%BnEW0tNvR}0tst|Ve?+kiwBIElT-wL01(@vQOb*fwE@iBcH69Xa>tcA%=>r?BX z<*l;${okPY8A=Q-{_;B5z}IO+9$Qmt zzRq1lZK_IAKNtO>ySOP|gIY+ZJq(5!0!-y&+&}i6)b&FIWt3lcYu!|#uwmIOxaRBjM&vT9ml+G0N0OAP z1ba?5E9-Ejo551vubce~RF^7E0|wNgBSt~N)W-NgyX5jQbiu>eZZfg41^>Aga$^eZ zxT46F>Y`)WihhNHrURLx?&+wMaymJFkT#|Wnj$FU7m!Hqp@f7y;~aZGJf(#yHVy6y zf@E)wF@IO@6W>2VIop`!M;EQ|t6WjJTGdN7R~Pxn`Sc!k?!mY*Fz0_@e)jay_5=JK zM(u9$GtzdM4S-X0YfJJ2q5pjwk*Yy9_^(*`%pJ;l8Glj@B4;!nHmut@%NrfZZ>$PT z3qZHNTV2vmd7?*ALD_6`e@ko1%-QP|-=`bYUfzNL1Fh1Z2 zbnG@3bPbNXV_N&AKOT>@^driZFYLLYBb3d{wy8}8G*g|U$`JxbP1&+;m=Qy0x zAkBn$vwH%IxS}j>nxPCcI(~{Jjg7MK6qA4nR#hLcq^)cWivf}wn6tepI2q%dnQe7~ zW9ufsIVOb}@1VY`Oq2f+*_=V}DeZW0TnQ(f^chK40z63nDvV>E*~ig&_+mVE#lm4C zkM~>CpB(>3$*+QwD+(b2dy`EHE+2zqFn`WpQDJ|^nO`C1+ry7jNb__u#m5vMU|=sU zZj+h{9)ByZvM2gqk&&)0~#n?Owy2&^lXwS>>Ki?Pn z*ScZ*Rl&zM_yMKo9%<5FA`(7W;nj-1#P@MtpFXX}Ifu^!g*>0QUhv2BQ8=JCi=VL$ zvHQUo>Fx8qoae7|_+iSqlgA1@Jf*{fCf&<7O_MhZ8civOedjm~vEn@mjjH`Nf`1mga+B=~7XdYs1q>%ks;A@WEM!tgg}uD+zaly5f_bwIvk@J%TorzM z8lMGuHQ-u}p=Vnb(ErF;|9^{k?JfX^=g*3vT0oGSInwvrJKTyJdVKD^|9HRDrH-ZC} z?=JRpmtH^t=s7gq`3xT#y=D{lZJhL4W)>6jwyE__O=Zz6EIp{MJXl=9J$ThcTf`ln zfyrO6_6*Z+c+zv9X%?v5pR3F*n1{Uxv+I@B1+#E}zFM8Pcj+~Ljl0(1hkL_a_U1BC zlI~;QJ&Q3rfxoebN``YQ=#sI2>VwY3Y%6>P|NR@z6?b`ZW`7i%M=iN{i~|Zh6PjnP zG=6rj0Np!p9$DV5E-n22pt7(~rwqeG&}2@&jROEJK^n_?6k+<#G?2*fRb;}xc*b?vtT?|ktfE=<0Lc(hV(>DX z$1XpqtiHRwx4E^kf7sZ6e7sXTc)GuFxJhFd9)rK?!fK_uuvl4KTdCe(S)Hq_+^^iP zELYYlm4&6%DwEy0!_jhi(;2Zms=L5MRIR{_tzWsgTvjY2YXKs4%avL@wU59n-d-~DGZf3 z$KxD}+Q?G$>U!A!;NTu^UCcxViyOQtj6Nl6nBCdKMRA9c5f>=p$WtgJLbBfrgO}!+ z3`pA{43#2gKEX$S$I zh&!~0LA1ITGV0RmLe*?PbP-wls?eYnf!3RIe~JZ3F;L?2;?i8y z-4tB2c9V8Gda-+OO57aS@Jo>rLStF@KG6&cq03FGD^77)hG3oRv#n-^Vvjn>t4qlNcTHzN6!>obMg)sK@4o!^i9qSAU&VX_er;W>WEw8#X z91ho9kd(F^e1!{-aa(c=m)3e&?UArXK7fl z2&t^NEJVCH`xP$8NgL~mrzHB+4K3NA#1D(9*OKSl+LA|L>MVNBg`Ysll3jE?V&c8cm+RWdneDX zh4giQWmxBM0nOQe$N@o#VS#v#i&x^~*~iv^O}bK$kSoT9#QI5B)n#yy!u`!C(FtDg zaRWnjzgpOx{4qki#FcbV%66 zE=AO6$;L>|78Zul1q}^ z0q?+NBbTL-D)nM{>LmeJrLGQ1ErLO%t`w(M0#YSjC{H{@pjpGB-EQDbK*ShN)w@=I zXBeXBlkRHs9{H9^MJA~D-eHlFFu*0SXXhdJ1Y|`LkrhGYhgsS`o*cbYsn|#%6bdmZ z(9@l12Hj==lXX_C%J4~+p?leGp2eMZ2RF|*anpJ{-{QvPESrT!D*AbrFBq9o)t4bD z3sHo+5!k~lG{*|>3uXK)P2|jQ&9K!xviF5GgE+o4P40_S*($3@kB6*IpAV_U&GMBW z+bN~`?F)URaPHkaMY6Uv+Lp z8blnRGY~c+L2lK6Zy6Pvf9up5U`{Nx}2p1G8pPw$~V<9SL zce#6Ft1fAOfSg{W^?f24Bg4G^oMmFe>$Wr9CfBqy!bV>i7^u>?j}iB%hbgIQcRF)n zy9Z1qw%u;ze^zrEj^|%%8yz)+%G#2-hyT7n7>_1HMIDUxgrq2(C9bWQduuC_oe_&L zn=LM=h?O;SZ)HtIR8~>tszh)&LJplF2P8Ej4K#b|btis_A3Vf9guvIJ?9?Ip*Y=AY z=TyN8Y}K&7*oDHZeIQ=xm3$@b$pPsqA~yhZ_mXefe*;8Pyt&352Cbxba(>vxU51B? zYq4!?U)*7{bAEyE?c|-pz+*I|2m?gN)tcqdM0P01>OSUiNZNT8Vy+##ujC84FtCp= zaua4ToGkpe31|F4yD7=}0d;M*o2?#3B&v6=90FBq zL46P0e>+`|*R~GWq@CTJ4dh5*F@Lo8xMnu@T$1nLhlYChMxxo7uNAxuCpOY8YVrCq z1+>2w=4#XE#rJU<&2E`4F}S(;&?N@aO@YmR6#huHckcWQf7?;pPThHLr}=dci@01$ zItb6L8>e0@e4<2ira#&IGW8#kpJSG{$7cnQe?>s`rj{hA5XZ`rj%mD%E)b1J)k%0U z5C6Y5{zv#9(@E1k=h6!0Y}B%oAN$qL_I6KB3SP*i8*RJ&6j#i`SOL~fO|o_`Z=WsI z9J_5l19h-DyKPs64s)g}H84+rC2@BjlRL}iGO#hl0R9wTxCICEb%BJPpKg=g;_{s< ze}f&oV^#d(cLK843YvB^jM+HB-lW9Hz9uMaE)xMsjpDf%&RGPIE9Yg^wbtw4vTFnt z?$(Egy%X*?&Z;iP0ck>7O~Y{Con3UDy}4`cB5sGUr{9CUbrNp5{(_;_i$v1ztGTZ?q(AYlko+wh0`q`#Z{!1E%0MPe?f&C z{h&zLj_?8upYfDa3zr4$;5JgQr(JrK{Tx@gafovJ3WoD z=7wtq?Wu&|2$zN9cH$dX;o!Dah@nh1pb>iGEd{oyMJl+ASnQ6BE^zeYl8CcIMb-)W z426ai+3=JjlPf?C*qcqG6}~q6f2nF%o`Vyjz4|8Eltm9KoMX&$E>!Met~dMi0)&W_ z#>DHc<#kYj6tVZP*PXT8UytHuFG{@SwmdT@Q0!r&&RhyjXyKs4vqf3leTUKM83Yc@ zDzY!j`g=rjJmnzN@m2P+n!Piev1r1I)VQ?Q!Q;FIIR+f4%#CiI&v?m}e`($2wGe7w zOFAK6?_IQb*E|)FE0*^+Mdj_osAUhRT(PjvRbe%4V{%&@fyRrl1+~cw;PPfFt=hUp@n^dkY-=M5VhlbM;9o}LD`*0o*=M#GOlYtgU{O44T(m;F1BMeEf>-or=SQT_ zqFyV?kS{DHa5)iHr`5-0f73**!=DN?MzW+8_nibBUdkdqKkCHI^k5U3PU2xn=e}9)gfd*foo@0iF&blRg!UWnCh6DR3HoAM6F z&prC|fVR*86ZkE8f2;$eT^J*tdvAJe(uy)ts zR#!U5=4>}3ENs+qvh5?RT-zwKcOpmja@yUEDih5yYKtR{vGq$30(87*@B6INPWIAc zE}@?$^0rKoY2N`l%0>h-Lp{b0Hkjwaqu%K$iGfQ2PFWBOf1ebTBhTCm2bMi@5XtT& zggZN^djcDg7*I&i#)0G|GLiX*>DK45{A4~Nx-1<`OR zOiN<9#-1yI0C%@#N+aU-(`}2n=dI3#{Su1WfG|B@&f+H zlY6;`2wX!B%Rsj~dw`YB9u#w@dqE4?Y{M$T-6N1$e z-I7s}Vd^7%+w(TBRGqh3>@rhXo}JwT^Oz*L*Xy3mKEz_!@H`*09@;H9XIk&HKgXrH zfj1&*rqy9itM{=Hl+)Jm7^4WgEz?;?k^q+^CBIi@S(4lSFaoC>BZUU*wf+4U*nB^h znTjjue`&r(y|%tFZcYwglJoJ_?!(&FxEXEtJ9gRMeQvInS+#SkzOglSrR-3Fwz2!@ zAP=kVL>;D7adwg#WdeosDFzcx{uis}VdVFA@%>@Y z;#~6>K|F&oq}+oLB5cpD#{u?yW$V-$ zEjs)e0Pr*5=Pw$Y&tE)-B24LJtv4a&o$z`9n*-9}$ss5AV(`^g-#Cj-XfTs2vtc>& z_Fooo$F8cE4dTQ^jhpvOUn$#RYzOq98F#ucYszAOMubUM3*<(yb$Ff}n$Wf1e)shDXDo!z!yg{>f#PNPGnj&+26NMak{z z!}ETE&w>U&t%bd7b{7V(f%J*m8S4ir36c z!E_yqJ%tnH={an?+&5>Bb0H27m04>P643hJ$>0slbfIB)GZ~SSuvlaV8F5FXe=U8a z;JJ??WuGuO%ZC_aVIYx&$#M1J>z2q$e`Lp_8=%9V;9Jdm=lM)^ypWaYGhJ?n$5HRx7HNrC zzjI{+M~m%(ZOV~;M54jVm+m{43vv#8Xe7Y?JoE|ok9^^fi{T}bEXK9XlU8#Uf3Sou4uG!bDgabrkf2?S`9r#e4 zpcbFP?tE%m+zdMBrx5fIWPJ#oU?B#JqFFjCRjt>hqY&%RRIt69Ad+pT+jRBbA{@kV zoLsyUI3Wf2k=P-IJ#1~C?v?Caez=2Dz3i|m#~4~LudrErRrXu5QpuX~Kz_5fKQ{Vl zmNLIYV|RoXZPxa6WY*p+e?;bUbFk@VZ7alOK;)n=CZG&iS%?#t9NN0q-mJaM5f%@N z6biWEW^ExU&bOt&*iRRlZ*sG?Kl1+btfD{k zec%M3{E)izi<>fW^SD8BJhawV0X2bMR)^4uHG@AqD#(UoccJ#`P=1bGj^dk&~qTIn5V7I5lpND>6b*T5e=^Ea!IH%tgJ{HR_t+-lfNJre=VkqAvgUFmfH@M zEKEkS*cSt_-^WLuE|8-`E-6u;ANwA;38DM^5q|t23R=#6qY~t3$E9TpWwVHwE{@#? zf{m>mc4L=|A=v3=5%csSizVm7A`XjYEV((H!wlf2nOuE4rIXAf(Fn=qMSEJPCe>l| z`@_P&bA{Xf72+o!f5^0tK{>a=pmbJW0u{TEmDU!;l_eL2+5PE`J+^ppZgKXR*?Lyr z-fg&I*xRsm#O_TOl)_)p@GrZBec%||HWt%kkRYg3D3eO7s#R25@VP9pNe>C`u8hla32%Q4I82c*0 zErP$K!57uE5Bx#sm_YT(EfUYN2ESOw6k}hp!7YNnqQLh9^;pWYcuU(0{*grFRLg=xhrw+a86 z8oyQ*cnZD6{Hx$sX(x{V`x<_QNCi_d`~oTaN@bfAfBc+L?mgKQD9K{W3St#hz$=Uk z#LVX%yRjhxJvlRVl=D{Dm*8if8dya|!K?sPK}B2=w9OvWj@~}_wAZxj^;!eJcq|+W zJk^w9^egx)s)Z9nzk`2Y!|%OVj3s-dfwtcURsXk-f09r8(en+9eT#nYu7=<%j1B^) z$ecmde`}Lu-AS7MK0q_wVk-6+XwOGwHZ^7_H1N@Q&b?g5?&ZP@9%~cb-^{jXx#*kO z0zX6{^&U2V!lK?t@RM#&`!T-Qv!c=>SqDzH68sR~%dLo{8{X}SA3RJe#mE*cw@1f* zw2o-=Ums(G>V^AA^>w||OLBIvMMX@C234$(f0*JivQUvx@v8CUxe-Q4CRsbL;eR~3 zd}1ZDPEs~h7R<#XCUa{Evjf4j{kiFrnrIY@KozS*;J3N`x#^dZHmaq?Lx7Ni?uVO% zZ>#_H^leG?zoLJI6-ED&;3jR>d2g%zdiuAd^uUqrS3~Hxnf~?kv06;&iWT0!{@2sb zf5qbdFP8uB3H|Ho>ym2eNc#VF%YTZSt&MdzE>aGyDcV;AuO=rd_%_?l)nC5=d2q1h zAmj*NS$RWaKNW=iT2Aw+sMf2VFAm=F$j7crC%4y7eYoO@=2!HBv-b$uH~UcSS2XeM zmi)f?Uh!j-i^qM{`a!{<$L^biCp_*8f9?Cm6Y}cdpf-{WZqj0%_o}VGDeX)1wbJVy z;`X&!^|->%+Z24oJ1^Mcbkg&Nny+=*}yZT?O59SV#6`foPygNJ=Ta-Jt0u9)B^)UNZ6N%?QA z@piyp|Lyh@+j!ghLAGW)6%{Djf4H5lPgPxwx$DY&G+yinEX}+9S6$l|RD$8#-}?4` zy1dI~s-9K-t%53nkcsYsn}jD#_#_v!zJVV+Y`kJ>)nXM?jhEP)G+5`oYU6d@Ytnst zKV2AS5JwSOxR zvmLVHFPPE#?|qd@VMdJr3Ns2FD)=5@Mq5JHlu2MDW)v3RPt0f|>HT8NC?x$uq8V*K zy{~Yfg2i&?y{8#%T)nT@e?noVSk9;fD%*P`XSCtD@M4-#=upA;2s7F!dtWWa zjKqw>*L#MU!QD_`yRR#$PP2W)2@By1Gn%19T*?qWn>mXJ=#lhE4?We{dJ-9_?RrI5M@UU)teXe zW{f0wvOML2JWHcxQGd81(kM%vh2Ex2Bpm)IPF7!Iq!+Objf)(b0mXxH6BeiF2aghh)K0 zT$u;UPoO7PhP|&r3qkRi^2x#a=G%lo zsKi1rD7>jFd~#6#&D(@P2*N@zD5o6+>$?tue%i>;f1HI_&=tEu$z;z$gR;*X1^Zzb zHP_-~xZY{?&f8a;Zm}JH@2U-#N@Z`zohW2VFzfT_0wgsWd-~EF3?~YklFpvR2K)0i zAoE+XZGV;uXuo7JNt`W8$7Ot^Fh)QoGPhV1k(@!1h2FM)@6QI?z8M^)Qi{H=vT+kR z;LojD9M}ejsuZ^Cj-fY#O$l%>uli_*?gu?lUvX-5Ba`SUXMe@^eiMo2&#zn9hKvKA z2__1g;3^xj`}%Vt8pM)dC4(Xhy=@J`dsnc%GdP_~M`kl zUDxkLrO$gg--j=2bMOp#Rrz{-z?3@g2Z18MJi;ain3DS1|C(Y(Y+>Nd-gvTsvM4lw zoGcMJ%zMb;7@K;zvaBaUJxi`ILqu4$Krv$J8ddS;m4B>U*5(a={o$2cT(tD}P7tOg zHN;J@6IP@y+aYSzX_6p_2+sN|3wbhl>r0g@O5*x4RFNYGr?<@yD+6kBhKIMUpspwZ z>c{CxH(!ZLKPoE_+(?}6L7CKu=iT~R{!n&V;Sb6_tA+YG-^Acj+OfY9M`0_4>zd}6 z0h!LSUw_~a4^dGn)V&*Ri!U_~?Z=m&hfWG#-aWa6OU=Og;qo)EiNPfRSh&>es~@gw z8e*>Bk4i80SGE<=mtXgv7`~L8_Ct3OTz>n;#Nbkzu^-kITvr)Cip)USNHCoHlo9Ta(JersG+aNr-vSf^N^aJbZ+lQ*8-Gx3Rr|eWwJVZ_jPh0#>@{U+_9~8o zV?vy1er_N!*ObKcy2~xlg_4+FQ@I5w zl*BB!j)USV^_$sms3>$@nTfih9%2K>Q7Qe|Opbg7w^UGY?XTxnk&mL|C`L4%x48$$ zA2+A9fDO(K1Tb&f;p?OTQzCbD&`c+Q>8Zh_08>(Ub#Qhdfa$rxqyQUYgLvD+^nD?n zj9V1zPm={LGk<@eeo6jTC8nT>MCSFv0m%NK=in1S8TmW)m0}?iDWxEzPSoD_-c=@r zGIqt_2}i<`U4-#tyd8w`Ij2RwxXGf6<*6Tvg3JDtSTUIkGr zIBH4OVoDel5Bl*)vLAsE~?*pw%$10I9%LE7|(ccGvj{a8X4JOc)qy+rC5S{65$f@ zxOsMIb~?w3=(g;o^SsQuOQ@VGwpCB>v5{D6hP>2WU%XBV$N68C@0r|2pO4*odN8}& zZe5zKx__ko0djgLI(?r$#3y8!_n)&&AK*(ph~rCBKMQc|I4zB^?FG%3xXU_?`xtSL zdbpumwHwEj5sQb+w$V{2ihTldtU;OOy-d-hvcN(W+LPq+xxrl7KL&tT%c6A&Qdr$MQJ}(KkTSG4)Oy8b#K*>zYV|&R-S+csOMH)m@Uj zP3&h~V3>{FI!@P0(s4RglKPdJG4SdaPlhG6RG_ZX z7$@yG{Y5E}d9WN-DpTf<+LuwGD^qLlWhsd(*%DZ z>pQ~pFf)9y%@}SCe(+ctSkE137?sv@%sAzGmKi6l=b3TRdZrmCt>>C?(t5TTnSZuj z&+Rx+vx7W-IqnSXMjf5nGX&unom%(E=+wGTMyJ-jGCH;Hm(i(p&x}s3`(|`%FV)7w zpciaIQ#-k$9X6DL!E*YxhnA)#i41Jo>`z_s44bANMj~lyf}Gzw+h1@h2`Eu`wrN#9`Cpku9Yy)}EFZq89PUWrghZ@;*RSWdxq8?edz(9lej6QI?$1H9<1Ehbi-#(A z>PMFKOTVZj%<;Is^&6QXKP{}v-@nbO{ARH0S0!EjSGlS@_7)FcEFSJC1G7K>@DtSC zxNJA)OtTdR@t4NEw9*@U34h!u%2+R?4D=W%P%RhTm@>XsR?pJmjX-O_&UY+8n%A81f1){gg;xnFp5c6M$fast~CuOXy{&3%xX-CcK zT*Y(5pw2`MD$dd_%&qyo7=4YkO{z6=X_x)2QnceX64=Ip;bvCav!K-r-7A}Y&`E-p ziRDiBuKPeH_4eK`5r1}GGEL_sOK5uCsC{aM>sj1scY0m)F66B%fMQLU{1;>A&(@Nd zT}JoWq1kI)Ncxicg*k@9pDQ2_faL#tC;*mbjl20B3GrxI`cu4@<8ChwyP;@OY_U}M z0|YnQufjM1)#nLL6fo2A3K;vCMvA{h2TFF9BtwV$`h27KD}NUitchXRXHanQXQIW? zPP=!0gas=QN93VS$;tfENI!mr^xM5w5?!>yul)L!kQ?EA2m*Q@9Vcf9ZI~hV zE{qkkw7;K`CpW^1GTbs_u8l3s+8l+x3iz#FMaZuzI7j?Sl_yOrO`X$@}|f7kMMCHRLrhQrv{k?o()m+3PM<460CiM zm&(!-900GbEzyJUn)%eoh(e6QFK^gP3<&3?Hu{6{* z=3WgV2Y+sy!LqA!D}JT!uTgj=!O(W5bbS9yQ(aW6Xu=*8Kki|u>Vn_k$s!kBF#De= zMNDM6BO{kxn!nFwSNga6%#^YPCZAH&=6snlgzK)JV(}+&n zK`W1>%G~08Y5sUfqVTRb706YQfj~dg@+qg;|9|ha;RLKAQyDw2aYbbRlk|OpY_5~x zIv&Jy;y&xA3T<+1M{{KmP&Bh83 z_>>MG8Bg`28v{=c<;!!+*G75P{i9HxLC2KBp?Ss;4$Y^$GKXeF&x(XY^JNN$W|5jh zGk=)!n&CRt+%^Qiwe|I}>cZS|^-8=-*o18VU)q}rhZ$^)lpbc#Jq!sB^X(NJ<|74% zY^8gcU8G#Tc9C)!4w!Nn4zrXRhZ$MeSYgG!v;$;o(@Lu{SZ(Y;vuv!iD{F#{m4@}z zCM^>L;K!yNXqt^x^zr`z00960cmY(BJ%29*Q51#`>tlTHvRI)YB1EDU358j6jb&z* zyLW@eAifd_l|(^;f}fxeDuqNe5>cwQrSbzPB%%-n5m7kj?zus6a+7(VdCz;!y>Tdj zC;;re4fGV?S%_7EC7om_#dumO{DQ*z#%CvfhCwW&r<0>%NJSul`pfNB2mMdgfPY2* z2VycDPJ51!VID1lDb(+_=iaFep>amNR4^9Gam8S<_I!ML-J@Ov)-w3duLPo~-9y`| z@rxNh;o2UXmBK7iYt~mH!e&iOWwO3+%jr5Nygw)P^X>zSr;{*q<7dzoCE zp@3AhNE%#;DKkkqbfL0zc$qC_$bXD1{G@Ok;c(vy-S^u1K51sq%<{KqB_vQ?zWKPv z19qx^7n7%iCwvj8DriNyMXl$05us>i0Py?b=yaWH@0B z^r2B?{`ssD&nhg+Lh~}_*vdAZQJo-n^stWsbOHnC6Qly$7Y2^$8(P`gyh12uxRITQ zMr^76ZM{|-mz=*W_cLprn=w|#whk;r#uk=n0XhR^8KIX+&E0Iui38no?s#C=Ae3Mt LGwuHX00960K1m;* delta 13827 zcmV+eHvGxlZ~AYLM}P290RR91001^N00000000>S0000000000008sPdm8co*_p>7iV1N`6U8t-Zv(-+*mZ^7QG~D7~&eU2hW0Q4F9CpL_b$BxO@KvW5n_f3GozuZQpLESh z*p1HGCh2rq-Oo&`a~3ttX|LTRTD#_9&^|Gp#k ztewSS$htqX#knlM=>bK(uGx>Hi!jZYC4ERdxTH__pViFPenBFGcDT+6E-zbAGdOOA z2EPR2VUSgu;_x%`CQ8nMd!)LE95M)1keDk^7k?4@qZ8ZuyB(Bw*#k*AdeNwNUF-U! zYc7Iz?=)y8y%@MYzIx~&Cpn-D#zKBReMQCUJD!OFD6P&Y1mH7y$S< zJO%kk2dKuSjUtSo%h;0QNtgr?$u&qGo+rs=_woGv>E&7ANpvnEbIdh67xN*AWsY2| z7=Q0+tMkS*dKVW#d{xMGh@ANj|6`^l`MduYD>(oSAIPMy{=$YJO&B-~y9v4GS(8V+)W7%o0e>*%9%=3O5NXl8*$OVZ5P{Gl$_M;!T=S?G z+2-vvMhaDS+t;y+{@k}=yK{zM;WIPyF;u!gxN-dGBDgfOvvC-l9Cd|akE}lO3uvRW zvv1-k2?xHMo*JhN&hFHH`@+mN<@KR2^)yP#N=4oq7aMdh?xI+zvnA~T0)_agRDWA_ zxeGnxW7D{5V+2Rosm`xmd2Vbh`%>7xxALIGwRduP1yz?|lpupMUpKcu@V&IvX z`8MKMyf2!?_^JwuP37bc6vklK=sJ&rEHC(!hN@<}lNhLy&{^8x{-|vN^P8QQem}nZA#Ofsc_296+A(s>^WMM!Nt7j}nmkK^(MNb~>M$ zG?1e3vBK-ta@c-bBIsALI(tGZ{6s` zS^!JyPdW)EUpJHR1r>isVI0KG^Q$@CJu{Z=Irw^Bx$f`GH#4(F0>eV4A0b#srmzRX z)(dos-AWT?e_2-C%=}|S4@2h21pUPdh@X~$xCis+h36o!6(;o~)O?osf&5A7@8h-J zX}4jJjb4+i>*rzfi!QC_8&96#|AA-5s-|j0rT8txq1UB{;*NjQSvN_W!yIq!4;S4t zrJ{4DP*`?jP-VYvHB=$&?B5ym+(gFrH@+19mKzFhhW;e2n|YzLUCsfS`=>8*Z(eDioI8hgC>e z^RkSkc}aKcFDNVb2&mLcH?hEo1$4|nHo{hLWojoU2y=g3_H(ZJy1fy(%<5&vLgtYq zr7FRm)6L2{TB&l6xp2A)%(Qv4^hqzX8F-&EBrE7RIXO_lFijcK5{<2kDYrkZVb%%ADf>(f4cJ+ ze@9WfoBWitU1kH|6y4gA{6Oe`?;=t)$Oiu0knJ7;;TBl)dW zfoTEg)~{EW^i!VbQB+X2+T33W)p@A)Xe9u(9nXIsH~F2J7Vuz-12D&w4yHJOESb{5 z6bBqfZcPU$BlpPgM(Y*qLF0^X{|ZTGKEQuB8P|s8nHD<5>02hNDM?L9YD!YWgzj~} zO*v}HQB#h(F4qi8|M#4uKuozu*;}4OCs91o-eUK+(@r`J$+!77GcCLbeN(g)QrtHe zEjfQS#EWnux0Y?q@0lk-`wL@@?Zb@h{C3L)ff6{lZGgw`_k-pa+$P>xa9jx|ob(wgy3G{x9F4Z6uV9ca(X@ju@e z`q#Q)`(?q$xA+02=N@U&Um+4cSmD)*zQhl3UY|a#$2o`31BE=FxKZ%O^HDgUH;bRK z4zc^e80qcvot)>d3;1Emxs%5VJv^nugC^a}H%*f@3mO3#lTZs4H$U>H#o-RL-TTzQ z?K(Y)c1};{9v+^h!2dnHyaS+%lbj10O*fEb zg4y&(`hWZ`+HgMLeF51H3yTovovqWRd;jy!o32HC(z!H?aKV+?r7?BqQaBdBwtW(?je<0K+rMVwuuJLzM-jg zjCoHvpWL)XInW51f{rF4qF(?r4eOQaWElSGsy@XsX*_+oDS&ra0Km&Vy6r#0mx8t% z*tCbW|GUVRLi{ysf3CfR)x!&7^xeHdcPxLnfXi5y4nx_Eg5~Sn+!VG+QePpCKS1oE z^>OSjvI<3**~I@7|Nj?;s0z4L@Cw&u%!4CbwDh0wXRn-d-(E+!$_rXTn&!Xa`+sK| z=7+x;bKL5{X|2s~dzhi25Fqnc|Ba~%AYk-=Wa$*gB@i&p?I8FcDB(Y|m;wlnQ2T#d z!2!#6clo({E->cz9GV_{j1P@ovxzGFKt^W0}z0xIismAM7;q!(dAy|TJs7S`vh)p>h;UgKA|UJb6dH{Jbi zE)!>92iR)QV$5#fFX^FP;XDbtWUPPsuyZ-v3SYxz|EBZ4U7noTp9U9EOYR!u7y@U6 z=7lSbpPlzV_f84Eyi;9T_}yV;VWCbLhKHcZG<*kp{#$}Hmi08kjGbv7SUsbZ$gO;- ztS*^(gVN0-v$|+LGNMy)-0ma%2fVjvWf93&*O7W{Axr&!ntFg0?DEC}zT%XTF%m}f~PFZ!4@QWRNq4lzk0q~Kit~eJv!Xn*|*tjzP05nJXU#I zyQSq(4HOzF14+lzzt;ZVOWQ5eVxg?W<;A7tf)W?1t^_i5dT-G{p#s?; zm@6yQqCzG8dnl;S);Umg8N5p?t1CrCOL__i+Z+7_R%ATWG@^gQ3Yn`eZy^PJ58rlz z<~eSdf;ZM~(oRP&b`Nf5%ZQD_1`)a8lFL8)o+|8B6NtbwoG{p}zYE&oe%&Uv^8O`m zwV=JVA~@w5LuJ9!3Uhq9wsN#0!l|DyZeL;nVcfpZQo^`>(d9R1T~9Y!dKYygl3%$# zW7&I6_=i;8ufTthk5=USU8pRqGv%5tUht42cI_Q7_Vod+itZgt3ki;Wa^0ql`*SU? zx-=XP*Iba4wiA4bJC1Qdatrs=dRgx+C-}}8g?q9PM-V?&V0)Mh5SIDo7K9a<#+RL? zVZkD#vf{E3@#aicxDzLBEF$qx5`E-`mTXXB3k;q#t&xAyi5X~oa|<6KfafRpqla%R zn%p`VN{MHo9fiDAcpvLDHlfuSSplz-*$oqJa&sTZ?6!zQT#n2j~v5FZ~S2dQ>;7M^G?jxnX;Oaya$yFT0Q;AVd$=P%clOv&OEV%8#AQ?ol49n>Ec_p)pgoI;8?I#a{rxR`J*aR08b5g0h`zKo-qyFg?84RVdLEn9uz!X=XQtki)E_*gCO=H#{87x9jObpTv4hJjs9T zj}KTOyiTZme!7^Cg{YX_GW#f!AQPb6bxnD?KvOl)}FcBb3cnwCb`=pF+D zRT{?`?9(2mq^jNN%!Tb9FqPPLyOCSXX*iz$N!#eC8C2F5%_IExDZ+R(87k^vv?nA* z;Vf}&**scXmh6mJgxPFyK}D>rnMZ#sYbv6$iYiwng2NGV=nOd^sS#kEHLNdop)hM7h}U{0UrBp%K)Q;^4FKJ}78C2wQ+sn(c)Tc8`~Fm)a+bb;(I&!T4CTB8d8J-qT_naa%dtu6l8yOAM-dQ z?Ysyv*A}}L`9kgp?Bk2vM4!nHpfA9)jM;!0)fMfSs%bZ#WU4edS@>@Y{`Z4+Qe^~ITRn_ORPS6l1gh48`X0Dy>OUes$1Fen$O<5ffa*;xNl+n9 zk|iC}_#(PQG#*ta;l(`sZ*4r+88DqR-3umj9_rI9UQ*1{{jBI6s!sap&kklxid*Pf# z0J(NvR$Xho39hS7#_CZyFg4EJ5rMQ_<#d)6-EHU)e7J>1`L zv9A1a({bLC)@JcD3rfb8I_UscT6vFA+ke&rF=3nt4HbTxY`W(20K0U57sgoJktT!& zi#&F-yQ{#Jtcgd5$y)ocrNw@iwIy(~;pmYg1$LmhBHqW3BZYrKUKsX`V`AELM{c(( zkVMm0pxvjvS>GGk>+{G9&0Gva#%kD<4DK+hZ#PP!u><@bjx6!#8k()WY~o=uzTi!8 zy5*y|iqx_VeoQE+aETuj3EL4~fZ;Qqa%$nSpk4e{FbKwM|4O4M)M=u!oAV*2u2`yD zQ7?$5RfTYXR%(A|XED~?aI>I2h!7m(esEk!eCsM4+_nlal&Jmr{<^5V0~odJnUrf5_K7O2rfp1aS0m7P z8MdG{c>#Z1-b|&{yI~Y1NJO@K4|%oc+Q4ORmzif4_B zR%my?aDqedir(z}h!k4XYegCIg{1^8hrsHz`nYVGsCD=wfyPLdwBo+Qf5S^z#OJ4- zxS5`1LKAAQI}sLbQqh!&q?bX=?j1SCSObw?gqDBQb`1HNEvHcgZ zo3bc+L_h-v@ocwu39$ncAM6EjGTXa^4H`sg9>K!;ym26gu^f;8EGxqKxplj-G4zIs zd9;7A`QoU)eb6q-NjW8z>zr<5jtSk|#FntPS(Kj}Y$X5I?iM!r&_>~M;|rb~oK&U3 zC8yC@k6w5oR=Z6co(pZt2OK~5>Ba-vLIX_Tx8$)7jCNs+c<#OFwMi?=kWD?W*7Xj6 zPjHbjPeYu=Tu*aFkL-jSPFr2+9GkPj`G{>kd zjx@&BuRsXU@m{>^vraqNOHa0hewxS&GDW6+3+N~t5y%Yn7(3Wto(qqAXJ;e^E(JJc zK`eYyP>wuvFC19*$w4H$(~vVCu6WoklFWKk&|zw)IXj)%q=Okp@jL0F1nI!>4g!C8 z`rj#z{3`i?1yVj7RTupJFACF=Sgx_>N+3DCKQYoJ%((LT1gQ(pmQ&r=WTz{fNKR@%g)ZI@bMrsEWlZ_TAj|Nltw%*FZf+1 z`M3F!@?h+r9abiRsxB|!e>}ODM~J}9Fhx;$!xM}m?6yp29Z3RQl9c>jnPo|C z`@;yFa*Pxjtk(_>USae7SY|4&pr`p7_1ebfxH&m|NzP~6drxZH<7Two@7U#F@1?n3 zX4TH^`sVi7m9j$x+Q#0~!#sbix)XJnQpMRxYLp2S&Sw}*IQd_!nkSLp+mU1B+zZjG zjREE`Y@WBHKlr`s-^TYRL5p+E69n-L#*pSgd@wr5x^CD$^Bc4X?W!=V4yO|om2nIT zva`9p%dkDW5eL}wm90~2wCM210Km_HU%qN=y?pfyiZG>@wcdo7cfx60iTYcj^I;Fu(Zpnt_%-erizyrIgUN(pm6E$w$GkvA(gs~magJ#_6!mKHa{gJo7 z4I7a-E?da#EYFDEIsli(iVkyh5SY{kk!#KRK0y&~*2nb%IF^9qOShWTxz)s&5gx&}hF!x*DaXdh%^k0Ohviz8d!cv{b1O#*Yzcx6=G}PU8y*dV4y&y0 z#3z?kB5@BmJgbx4mn9df56}A{J_{QBv=;WR**zG%2I|i>HTQo)PV{tv{fSnMNV#ZG za&WpV^Hdf58Dh)r^(bC5GX>L4EcO&ml&2T4@p9jsJ%q?R-_owZ?bE3#LUW7`bBMLu zpD=+U*KjvPDguTHSZ-;`Fsm($!*czCxk|?h9Ak7sx7IC@mB@}qH$aC!#J8Gv$n&x4 zcp)p(XS&=8Pomz1Ez%OPe&@;tjuzVm+ms{yh*Z15h(v$1?1Ly>b$rYAj!=JSV1X6e zA+OwbE*Io{_RvUx{mYRo{PNW7UIMu zhqjw<)?St!$ShJQ;Fg=UrMo%bmI7lxU1+||&Dwwd$otQ-iUK{H6z=jSVr5Xx(3yqa z!{@@y+SH2H*U~fMOO@<|HrHod9ysQZ{^Ouo<$3Pv63>XQWvT6~)q3*tt`?}eG>2~U zwpPG?=L&k~5`{{BA2^_@fE)96bmbNd}kT+Bve-{>9N%qM;K34+oH$%ozi)+ge~tg3buWXH?e zAGIU+`80^Z!!%1aWKVrcrIOSx;z*aX1UeBQ?s6yiDc^r}SbHYvxGJ%LbUf*=P|Oz9 zpcqi)M&rX6W{SpTPT}F#B_1uJ`ikd?XVlWTnxcZH;b6(msu=19~N;~G-Jt49Rg+mH_hbg+Zp|0 z9*aguE-%{CLN%!lqu=ir{;ezA_OB2>`F}vBeGJN|!Ju?#Ujh{?y~)zrqPUiY+5PE` zJ+^ppZgKX7*?v*q*=yhx4|^N7j@Z7~8G%mz$=Dd0j+|Qf}g~(5w&yZ zUs5<%AgM+PbZnmrie9H;%TTW4Z-ssjzQ+Edf_dH_D;Ag0;Y!C1|wW#PBOzgqmS zDxg)c82>84eZsHhzduP{PCp7##Vaay;`Fno#;;Wch(d2M{uTTx?Zn|<*MIOUo>VXu z!!MAsuT-{4!Ot1x{^wf)C0T4)L9Bubc!hC+nE9$Iu|QAGOdaLi3HuWK%u@ras3%d%5s}$J#^>wz4f+F8X%1!0(}udJmgFVNq`+_(`{?{Rm&|Sy5?`tOKW8 z34Va@DV# zR*ArGa{F`BFC}eMON%9wkb>@q+l23{|Mm3Ey9^_FEBaShQS>hfZqsI+_rBV%r+?l{ z^;qp!L+Ce|{`K^+T7OLGiWT0y{@2sbMKupl^sh#Rcdz|=`nsfAs@h-7|KDu+PjR!o zx#7k|%Aqwy`-VulcdfN`LWFZC1fS$)E@C+l1Mi z2`auq`@ZpnygDe=N7BJmB0uHCc7ILeE_azT%x1M9|xs^hn=pmA6_< z`HI_B>+hNIHQn4?>T89!s)lyO?8U8rC;C38?yA+2k*)t`k9k7*TG{n`tNZv~AwmV; ziN4pWZl$>IRezHQgPQ+t6TTmruh)6JE9dgQS0dn@=zG0NR8gAzU@sFVs`ZlKHvO&h zPPp%_uJcyTOR(Td$S9ifY}+&c7d_pEjSwa+`S`QFc)O z^(KDsu zRdmsKu^teW-s!*U*}kBX3*Y?Kw-3_AT{ctotm{NQ2R z6-%oItDtJT#NMX8I`4$rPM&Wcq^sg=eDMTFHC|!oeyIL!2|U;Feo38}Pfv39F}jk2 zis$c{?0*UHZO6JvF3SH9@V>?KII>WE2kZOq_(HxL=X18~b;b0G))l7@l08{ONre%j zAV;iP;FX2P6ol6w|Ec0O;kEW}1Y)*BR{I4rTKQd9sT5|^0H83V(4m6w5N5O$bWPa< zMq)-`@!iCXwvetDV@4tA?-R{v>*>0}feIGOnSXblX0&B>U9pA2OtG9%2~@UsNX}@h z>$+kV)$n4PQRq;?cL+1uBD=0uVn$*{;p-j4%;3>+j^oNz3-@cjsMm2-$cs)ZN$=O> z>e-8f&8PWTrPh2O2^Q&00{`_n3*giNTMk~}2M=`^f2q8hIeZV%)n)n`pc8==<%^;` zJAWJFH*+G0hdWUBaRs7tfMuf!PRny+E05Dtp`TZ6XH6SXJm>PU$%DtxxwA2c8IRG- zA<}zD!u^uUmzpbaTwPhAHFM*Ug{bYNj*<9s=G{kUX|j;UcX6yvp?ZYD!C}RzeW~G# z3L^HorkgcLX^L4=xYJEey;WjjFsT7Yi4=i} zh*D;vi26nHW%NNKjZ4pe(AekH=4NCI*!V^o)ex%5iF$W&h2QO$xf{tqzmYh$_z>BW6_tfZl_ZGWLkokw z!nBkiDsyWEia}ObKM{Dqg4lW^S3zy(XNf)bc)PH{Ow--zfEFmZhmSVtsFZxZMlLJc#%7QgGz6-Ye z#Ajk)`8|#nEX9?1Y)zaK{U#&}mg34hSbhRMIcy0%S+I)7_zw?v8kzJ|5qgObUfg$8AxHwyN{Flw&F$#A{X>Rq(2H{D`8{Ki!qE|tpOkULSxlwj6p z(*;OsH1_1BHyBP7HYJ@siw*YYeL&{7VcY&J70`akVv;ypl#a{zNMVeCOk{4cC?Yw7 zA`9KMe(%o(+rAkbrBaH%sU)&-8#&<5tXbFwhpH5|n~tHkf=vll5uAE!PLfLc4U6y6TxEw`r+9I1&;$U z158PM?R!lzBepQ`R&PAnKv@(TKu(s39Ok{_aEwh4`dii$p`ImIm?0vpTA&!Qbd9Qb z^GbhKE^G6Kzy7el_aRH2h3;A{SW!y&k{aSB*a<6Am+cU>>NH6ZLl>WkJFQGz7mywR8}CkkvQFhGN}>IyY#jEq3p84 zAC!Go3-xopiNU3`V}Biv!d42`4b3qFGM#^Azrr6LqM}r&dl%XkUuquOk1szDofN*j zYjO*hnt}DhKU_C7#N50em0r9&I5eg7<=6cuhA$9Ta(LF$+G+aNr-vboFJ6Q*2p}T(` z)Yk^~NB(7P*Lc%G81)0V;UPcj!Nmz zW^&{!xS@iA>tG|dihLZML@}cA+~qqS9DkhF0ya1|5Wu`?hp&?YOo`mpK{K5Irl$sz z0!&F^e+{&va{)}x4JHNH5F5l@57X;HIvKYpluVQSEHi(1pngeyrxH`pL?ZKg;Q(a6 z*K_a*pp5*T`bx2oiIh^1Q5$I=c<(BcLK(Ya@Ps2_$u7b85#A2N_=498;}#8E5z>vi zxR|#Y;sc(-fte(r?ug)-(%nvCYOjN+6&$xDYcVB^mzSxkbIaxhe({W-3Fl32lIfZ? z@#bi*8km1Z^ZX)85_1?wXJwaf6I(Fg)K}f>JEOeIDTg@wj<@Wp+C!is-iOh4Z|~x=W~>Dz;ru@34_r zYKFYfU0=FR3di|hmG6bzM4yk{ettN+*KS>z?Ye)Y{XTMfCpvwfzK2i9Fz-KSncl;f zdJxA~rhXpa*l}7KVcQRyU*I0=H0}e$J?-IkZq;raQ${QvGTUZ5i4q_qjU*dwN5?>t zj->_dPY1P~>azwyc-SG8Rovu`|30-b*3zmSw<)zw+f`(m&k|Qx%%jy6$PHR^y zDq??i6)9F#L=#Rv z3+B;+6im^oR-2?@eX){&FsZD!dHn&3lJ?|)bTD!t0NCy&-?GPuM9c6n-%-#?dZ!mh zxN|-{T3m~5WBcNc;FjkS-`ig=3_L?aiZFk0{bo5dksS&!;y&ha$hV9uzKu7Y@z0Ls za}=U)fTUyUoj5d#taDd2kp`W=ECldy$o8wdBzc?I&$`A+thWV>EZJ)(W0mZuGGit7 z6SA=qdrf?-#9j#Y-_)i0h5OKPb=U86Bh+Hv}eQX+F;IjmHs%pbKcqe54v z*51of5?9KF>6=%JnQWPFl}1!M#iH9*tnwY(pSB&#cvq%e1gZ3;2Erjr}Ba~Y#w)t7B za~!nz(cO#c-f0c$^x|e{;P2|hedRn@zHUeDP)$^yaQ>A(oXc+4kAAy+^lIs7R~cT# zT+L~1z13-cVZwH1Gomu?HwS-d#axHKKcpVDTCwOrxp_VRE3>GhDBqr?qgR!qT~&`* z`)*#(L9QP5#{Smsk>5tgmiu$i>^O@v{Nka?ojN^c{n9Tg33EKFZ~t0m$S(@3@^^2u zD!&=*=2gkO>&LmO6!sR6c9luqpMUsE)ZMshH|I>V6$bHV#=W%C+j@Tq+$hS}7(yA! z!hUPY_)cLxpo~1F3(<38>J-LnlX@eE#FR9o0Yr&+Ty*pP68b$Xtjg~)uvJO7-MlJ+ z``QAFc9)w-r13fHEpyNC;)FxS@7&?VUAqH^7bl(c9&qmN!!}l)JnD+=jh(R(b`!s1 zkpD^>-bUC8u1xLZ1crZ4m}tBqmf|Y)3rHeT+A+UX=cFaMgn4y}pDJ_0%L+tqmBnW~ z{UGMQ4gt|Yl}^f7Tm8v_Ez^#g)wzo2h(Vo+8dRL6U6@<*dolVNYnxPS3E7rIwA`>>M)EfdRq?p^nROzQ2upCRnLWSW1@X_nCRx>5Vg3fJ?v z)9&=T=v~NLR{+JDF!|5N&Y!I%F}sZJvqQ7jx{&lG^$T+hhd)<99stSz$xr|+%^G*> zI}+m2vh*i-FUQ?}9Cky|q}XDq@cRgEwO@yE0;|gASDJEJ+4w z_x0sw@mDS?SQCH4u+O02;?G2jqn&o|;us57AdbjGosyIJg^_-IAL(~`tt7f^g|L^m(2EN`RhXVl_k9jB`Kw+ zH-R@&lgM=|t7fGW6!n^`jM}!ZtbTEV{ZpH}h{D5UOK-n*AE4N@!b+oe%;imw^&jHn z0jQW=l}-&Z3p^X5<`smnz9d+CA1@X7{+&0gYgnW*l{NE`kr9O$gaAdjiceOU%+M^hdl{Me?Q9 zzSPeTO_Pgx3YN+`QdcWjAv5b)YT}F2trplQ3FBs`-9|ek+xz%fU12`ghD8Mwv2&2e z4il~}5PU4VrP#?!Vz(^1*$OYPG}JZbQ4JyoZk&I?vTJkIb;0P5QFtc7&~~PDeE$m+ zE~%8vL3()@S#@E`ME5Xq(FOnCS6_XVMT(fnbVsI?$q^E&lrpZkto)xTWeZF`Qq2|J z2d0!EKTBx^wg^vluzNnl51KpsCOQdCBRXpbtvr${bIa9hA&J7f;#444#owjPqMvE` zl+%Cg|8JUtlvopIyi$PeVd3876K-E?IU>E<~z(Y^=2D|D+9N%QNoj zM=XYz9CDZEZjRi)P3s(mT~%`oJ$B=;!fW+-E%@M1>uj8s!; zmt7+_OPbdKH>l9IA>yr7ua7Ag<|@}0T|%IFfTc6@#3TgjfaU*eh2SusQgE0xf++=u z%;+9Q3W4Uci#*GNG)$glDK!qWLN$T*#Y&_4N|07%4X`B)h-n);&@LN0&@dZ2&@z7; zD^2TzLFj|<{(k@f0RR7Z0aTJbF9cB(h7apweDAVYp&%keqLhdz%$jQ~Gqc>i8#D&- zl}M;03M3T#1cgv3B%+asQnf9WA3z}yg(!%K!Z~-(4T_VS%=^rH-gEAaLjgnqVDD|9 zrvT4FtO_jYBtt32(^BCV6xKIBJMn)r3}P8Qog5WIDgp`AUv9TL=zppPEc!nXli_gM zbA$}@Xc0`Iez!gMPHhN{GwP*+u~3dH28*@l!9@EYsb~^wALOZ9K- zwc5Dk{AIbHS@YbCu`;%GU?DQLutW>c87RvLy-aHEW>Zca=$3QG1G@&H1QVHQ{|5j7 F|No>_M1BAO diff --git a/CPLD/MAXV/db/RAM2GS.cmp0.ddb b/CPLD/MAXV/db/RAM2GS.cmp0.ddb index dc6bd54e8b9b658dfbc59ac27a83407b8193fa43..e3207b8519dd7a88194f295e256c530ce3845b6f 100644 GIT binary patch literal 79262 zcmV)GK)$~e000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*JVX3jhEB006%-0RR91008C%0000000000 z005pf0RR91004La%zbry6iM^<3`B4Za=-z>cLJ%w;c$1i!`d{XNfcMza(;fM@lEZkz7e0Wcf{Sm_%UsDn9@F9d7~sx4$XfCp$+^TYX}LnbS#bE| z0*|ZS-U+#+?4QNwRR4NMYER;noXBtFn{PxdSDPl%5-}|aeJh~fMJY9@3up>K<)!#O zsrW44kuu89QZD(8BfUaO>gp%19xK0>oXhW}_FTW0d`dk? zi%5CX2`#z$r2PC)=*QK^vbntZTtFpn5@$vMm6nonNvY*K^0O=@pE6#QPa>B}sN_oO z%GFyWSF*0uSRySO*D4VI&*cB(@sj^@lxg_WdRBHi{y(S`<9~NK7{6JP2L8UopMS>x zU5fuMky5jFEXf~Q@IU5@YyJ}CM-^V=p{YXyZFRm{7695YuEPxhKX1MlrtF!Enuq6k z`o-c~JVRjPDyV*=ZF+NL_Wov;z}&_we2XXU2fufP`Oq`{K8zYPjG=6{y|%fcWn}Ot zMx*a#maW=b=8%;xoKUIUJ1Za}ia%TdmHa=g0B!0&1O2zIt0lmNQfT&i;Cv~QwazEj z?3yd*k&5#&pgr_E7mD+s0iJ%7@GYKXKz<(#yu6svJbbR7`Eue~qpG(6YFZ+I16dBs zuujvR@bqTvVlShjY!Ef=wZ&XD`@u!-H}mOz+tUd-FT;&K0@1D)T;AWmXxD}U%-sUX z`Ek@{YXn$|^O;^tZ95d_f`u(Cm|pQfN&1+il6kRvV!z>v^KYJvd=3j{J^18feq7wa zENJgzR8yRLer4oGKp|c3)l_KqD+1@$6z7Auwth@!6z2-eC(miI2|1t6wXL$y`JScy z{TDv$Bf#I1$8-K55m(Ft=@jSimiu4gm70fz(n_%4y3+an*1F$g#rbF8oek%>lq-XI zCRZmj>%(2f8s!c`?(E-~fxuCxsAer+^Qk`A$dCXm-g$R#4OOe@ZNiyK0$sxA)PO0p zGVoMF!CFIW90_*qYvqCdf!}Hfa2jQj&9L`-6iP6d~Sr=HwiI8oB~!U=gg$c;|cDb+q(v zPa#+_CD=DmDT3Oa#!aRrhuj2v3{#&$5nXH-BZ!L)hTCity?SZy9jK+YIX6#!NS1l5s(?wvz3wcfgAoeCAV^7P?vN`()#q z0?$ImIf8di)eWIxpNuHF@jt7{1v@RO9+uEz?HHmj!#kbh`ul%ttbXt)SHb>P7DGMxD8UkC|6w>1*DsKX&1To@J@YabjO%Cy-Op!qRVH}^yw4G# zhdT|Yymd~C#5Glc6>3d8Rcs;M&FjXw6P$8QfB(|oCFeH9xf857hp!DyU=s0;^0n2$ zd5o>f*IF^>GMESNA4%4SQ?&8&9OJ6e`4rZ!RRCdHaCHcMIg#G%J?)_}Msc1M+2JY@ zSMg+?=EuAB68f5xwShYWC}x_QU^x*#+{|$P`O)9M!&xa<9JeJRBXc7YpuI$)1Uu?` z$##Il%86HeTGOix<*1t1Jalx1kyRzG@yJY9V3!d)Lh066PjlDg-p1q)RzN6PgX*jR zs|Ttd-LaK|lO++&VI%hJ#%K-ZFj#Mf{G*UpU9-)YuiDB~p8QTp4=!3}J4fx-`kJBM ze;B_h3%mOoT{q53v@vfE1_S4z{CKqhba#JaEBD9=*~?#H|e+J8bLg~9piTak3T z^Cy}(r=!iG^NFafGi|-w!J^d97)3QBwivg;5uWOZu?gRp0g8yBrz3pK>cz_%MVPf< z$bAy=BXY&MvzsV<{c8~=?UHErrjR=dF=j&UB_3f^BE-jBQ%m>zO|c%uP^}xlOW75^ zXYC-A8u~t7shz=E7>d3>!f4t#DcBzVLI_q4ezXyS9cgo%t{+KlW~@=iU{(V{z}g_D zBE$c4cpoZ~H$<>DnBQ*#)*7KL`3ztC%QKwH417z?%WbjQl}QRC`i4Mtv5iO4*T8vn zwfQ$=q~d%$W3a-w(B?#Xnlq0yHaFY)8BvP!SsVj;B&2I=hLh)OA7QaS73VRiE#g&i z-gNI^gVUN+YD<`UKU`-0K|9U3DRZidA?+wWeFTb-Id-ZaBf(i%FrjndW)ol+CD@{S zM{Kth=PSWlC#0ttTN_a1mgO`laFua@(TS%8gRK^jdrR_DRK+`;>Dj2hQQn~zh_-^mhM-#wTCMZ4*S`Nk@C zCQ-X7$mVCct=alR%G1SH)aE~Bgr0!hYxti&$LArP?Ipo%ZwX!sKl%r6|9LB#YOIvt z%pCs0-ai4Z2(_s2Z@$a2?fe{HTeQ@9P~{=l13LL_X3#YB15$o6YLZ` z{2|%1lruWB+#(D*Gi&;CmQFJstfNt;F73_XFHGXeWUbGT&VhXGexs-|No$xS32N`l ze2!9V&S`ol4>T4k@DFGtHF^jgdv2k5!QQ5kp{cQ&X>Wm_XF%;Y$ea`3g;0b2lJ+u= z+h*|d-3-0MMr4Lk{=aj8cMaAbSHZx*}Iv z(IUWfxI5?j73Yhfu*L3L%b+;Vik!6w?%;~t!FdZX!T%BpraV!LWv28V?#La24Pef# z+o0fz9CLf(sR+Dv@zE8Gv5o-q(81E~x`Q35M=0Q)yWHFrk+s(XGRhs?i~U0w^d#^U zgl1Jsv>-@*OH-F>9vS{E{#dpl>`=D zbT=2C?(g6EbprwB%96G047Qr`pSsdo(d1igt5QmW+HX*PDo)GRQ?nLbS-w!zZumBS zn0g(rv0^Rl)tJ6yn{M~V7cEq8uK*wu*d&gum?rv+Z78&i=OKgjFW)%_{JN$U^(frX z3>lQzuY^*|9MoKjVnXie(vt)d+arH{M3y3&~axF(!=m7s@w4 zk$GJ>h5bw~l4fe|JBa3e9O8Qoz>0Q<6v_Pj(E#Duib7=M(QCcUtk3$IcbbP9_gPQk z`M@&kKk?C{=^3imK9^Z$MN6Z=$M~*AanN1^SIl;L8|}y?lV%1^vVwL`Xv_~NvTn{` zp3E@7eB30|7^3Wb4_tY0ZOf`0PE#}bnyn5uF}ACAtTnvl62GHIHkLKMwMN`+j zH@Yj6^aoi^_nI~_ZF;k2`L-q+XkxiV6I+Q{vj*{qN*g?R3q>@La$i%daWzfbg|4m& zgP^{RZ10rZzaZ1sM8|2&vKG|8;y_BX%G+;|GD#@3_5@AM<=?$cPydeQ!%c^bZUQM6 z7xAGx`18LMO&dH+Q`;9~R8`2gGDMrhD6}JopU~$5#m%x_t&AkfVqM^*Q$S(&=8a^V zBbEA~xF^Y4@rIkRJD%J0?l=;2CdH(kbMu>8Uv#edc z2WWr(V>9LI{zyb<6wg`+g)gLIfO+Qa5tNWU53N$r#sV>zMkZsOTT^qfDn)=Bu z728|ZuEGmgB5c(QoMrYX~LnEf7m5-sKgYe;-z=sQnkz9RSv1vd*WrBcwCk z_KL5ahw+L|u%&%CL$P=Anq@s#8U2)}W@L}H%At0>fv4!egPf-MDag2>SUZ8P`8NpG zBwqv_e=l8>tWGT3hTF>9hzq<|d$&9+hdo9TpL@E!q)M*Pf#+-2u(BkP$2 zU*&H_pXmZTp&S@h5qjYEUfVduc?WPVX1rc}Y(SYjhSS;wTZ}zQ=N@QkcEe@H{2D`p zw)>ikmyR?xtE`wG?$8#rJM&1=yQDOzgSBfA`5Tkg^FIlta+;L;zLI+|!Yc~ys%W>` z+0@v;S`%_7VLWE(9{FUrLseu>RXf#z=|(=TEyn&xT-H9e zi~cz(nlA3nC43Dg63>1hAA&y^G+l)gqdj#ISca^c@zC*+8~7lC@&&T_Wvq=i06xfUl{hCOFA>+Y2RkUZ@*N(|`8m zX^As|wDj2|W4Z!=Mi^k0-0ItTBV`!mZGN&28hBqkN#Noo!GQOf7j)>Izu7MOFXOSQ ztzOf#fFA4VWPaUDx3#-rQ;o$&$bAUK>+o%~(Nl8%p1%;Be?@g+Rh1oXm0oMxs)DE; zOfnX<+kG2NSH{j4A?WCk@mx1g^`fxB25kc>LdtrbPtuYLGBYjX{+durD~P-hVX9c` z*7l82OR**x5XIc>Ugoo)Cg$D#7mPP5LY-jS@fe!wQCv7vxbjpTiD4`__gb})N|cfj zdV{l~puHIS>4Q{qA!jTZqss|D4^^CZ1#4%xKPP3p*a@NC0`wxu`7M-b((yh-ZR!J+O_dsN*I0Q$ERe^THN$F zG0^Z+N=?jUt-D<4<^G;Y=c;>|jVJCl4ucsyqDPaF8L(2#Iz=l@GJl)?)ZivWJ}HpNWLGEZ3A<~J}W&!25vWvz*}Bqv9{&Yd>97BlY*Z7C%amoGCTQtlnpE(p!40PfUj zrmepUg8+U{FOJ&be*LImY+%ALzyy`$kIu`<>)mL?k`W>dnyWDQ3cSmZ6;&AQozU5~ z8D_?F2Y9Q1LWX-j)N0sMn*R4!qoPuam?`J^Udx)k-qYOix{X;bGRjz|d~GlKzuQ;~ zdfVm?X-rnr#AMD<)j$ghe#ohXXM|Af^;d%PBdP^{h}3h88PJF3K1~&xnr72ZeT*YY zYgv$b$};;_j|y8UW`Il{$UJV@=j+9-bas^lGt|Wu1p{hkFnCKBgLNgF8UYbS8V{-6)4g)!S;>QKj)aXVVU1_{?AnJCcG~xL0bS@2=gf+;;R%(Y_{`@Ln?G+< z60`3~Je)-1KTWgd(Zm97>!HrK5})et-)D}Teea+Q3b)ZX(>PapvRCVD`^pm!q9Tth zcu2=qx025Fq3DHA$=|wdlvSR3lP#h(9#<2tGAEx;?_n3=C^q0`N!L360Sg8{GQIUhn&wp6s1*yqq;u%%%!`-3^6SY3}@lzFW~1t zjy$G;XZ_9Gt(zFfnRC&+o8T%vE7kIh+eoKwz7ej7&aKF)Y!bU>pirFO8ac%_Ni{X? zp|Igx=)Ecppch`#sP&z8&b2vifi=A$7gpiN8)!+sM`Ergxd-^-2UES)Sp4-{o{4n+ zs@p=)SqA8nVDv;Q2Kv9>t+M+ei{4y_%5#XLM1NobKgX7YR^#SC~BrY#z( z6%ctc?6;xI2HKk{KBqD|p|xx<)&+2&TrqvnI$KXgyBI9CmMQ2z+6U0AYxC*Q>SYG& z+~s+Q)*wr*2=cCyPGm)~!O7(X{#f|faC#f+w*KvWtj;{@kD+tr zishqs+BQHGJbPd)UV9JF@-Hkx>38NZyMEF9YA9DM0fpU0ZJkZhV}A#8?zkvpg=*&7 zL1Da(#rtoVt^BQdzQtpSaY{9FeGnk8Vbze6XDQulUy&7=w49yeWt#Yv+^?C>(u+}C zn;(T+tHexLp)lLd6=$jBBU!sFr#&(7I10QxR1C06R69*^vrOTNy;br6D)|h{w1R>@ z$z{W4ERRSYym`j1SLMRdweW8=+ZLxx!c(c5whxW?60e?g^Y}OlDz(Vyt$1t2f=T^# z{1Ht5=o!pG2Lhd~fuQ>h6yg@~aIK!~#)dF$!Fd_vtl5xGb!!S9on)(}kRONE21B}& z?R!$LyLM`3Z8fSYlT<)6A}ILzKc*|`$fUdB#xr(M@ntatcxh;DZ`DXzkTaLLJXuL& zqjE)FlwiEjTHEJi=>F3fF^^mXc62;E4`$a(@+Oih&)ufD#Vec|1FVwY2lyZSA?K01 zs}|US!QpGmYiq_^)dCA}b*SZnPaUf0UChjKL}kvjjz$dSxko*b>BY4#uqF2|N| z_RqyjinYl>p~hBa5@+?n_81!TGN)PeY)@m48ag<^bx$0ps<9F|3?W3D2YaVQBxTBT zf)ag_;0|mAF$H#ptLv{|Z`C3Dn5Pl9YnolO-^(5IYrov@L(dv)6|G?@amV+?g{9tVJRy%3t>|dnamZUhH_m*sbLL z1Bk`SL^QYCo>YWWbx?L|Mtc;qEmlXGS}B}nUz#P?uZ7RraQ;dnIS8OidAIc z$S&YNPtv4iQmGKf2@#(bZs4(hxCFORYcaY zLf!yVOwSO9xp}^&cST>b!res%FGI+uCbB1Hz%@NAj0)E9GW&J48{R6n^n@oIgi_}n zjiSTplU6mdDll&g2(WlND$|}nfl|(nri!gT8aWi_PUJ^^OKd#% zit|s*ggydFEjM5yUF;!u4LCAWKU5zNvrX95-+yT>*+1{9IA6kYORsa~e7|DtC0e2t zK(08)`q(lB#tgWY7M#%8c~p)!&rGyFIXC?#gG>$4TD>{!Z7zK$BQ!JK$I}?mHw0#z zkUEf}0^B%9Z%UsE{=OgT@1Lo>7I2LydPRbe zJ4)odwMBBydxz~>3V5@!80L`!6!K@tE$`u}|2dEBMD99|Jcz}03xB1SH(h(%LX<)4 zGZXrBIMcOqEon~kkrev;pn=6>fp;6rZ4H8EYp;l*=*Rw|pPEPIwc%V_!%jMF*^i;r z|241f@hR%Z%J@={yT2H zV%w%TPYjLx1>|okHlj)^CQ#_J?S`)c^U|GNW2d)kTA>J9)-#v6IClxd;mEw(h`aAB zho0GQCN-WaCl(f{C=skpW_w$4<~+($%`Np%@?T zK|U{{~JB_pazIb>g*V6VfRC{_il6yVA zg7#&m?G4&}fIJq(*2hz+a&Ia3Ggj&s&>oCV<}}wRx%0Y?ZM>3OFwF-FcxQiqe@fSO z7#;r5%?MX=&xE&xqd0qKH5D8BkI?yTrkxbD7eVg!7_%)MwSc0+<>-AL)BXh7Vr{N5 z@|cnv2A!Y`>Ibrq_&v?lpbr>%G9Wh@jTHy2xf*l?fBO;0#bZNjrFtG?yn+mt%P!{E zeuA~!oUpCn592ArKhh*fS3eP>Tlj;{vo)HwVB&b%dRT(_%K$Ow!EK4A{lR}GKn}1# zzMVf=o#6F9rv=fBO#g_ih&lo}sOV#$wT|`1)1Sc-Ij`?ZBm4ualW)> zdxI%Toi~BHefXbNspeoZI!6i4d6#m47>71zh@J^rQng!`P2q=iZ7~hSyH6}D9}A{0 zn0B0+W+gFWq8wF=0a-iz^+lKCl0q_IIaCD!9XdVPSO<=0f&W)z#X{P5Erb&EQw8`i-{qQQ5;nB;eOxip?+HBBol z7QxF%Rj|9YD|}6CE3W$SIVHMW(ahb$Wes{DDqe@t6DQw7%X*|1wLMgy={SP(42s50 zx6t;5a@OL*n|Rt>E&lmHNvPdvxxXbPhpeEjfKH_r_f$Vhdc>s4%)0DCdzUa~2gb)`7XQhQgrAVto^7eiN0}oU{|q_cW&->R^5i zY~VbN>mrQSwpKY+zrR7pw=mgW#@*{hU#7FnkRdbtXD?Tl58UsQJJ z%=*+H!W{;#pHIo#$~BAZ-tnCCPDW1U$RMhA=EJ89yW)Hez}eAIjsNW_<+)$fEcR63d9TmB;x3q5+Uek?H66{EtYoP9v zDE5teO~gDn#=1uOmB z7Bp|^Kq}&?`8fuAs3)JF6<<)j{q@bTv;l@=>#PE5TE3oZDHET38&KheF&aj|(-vGM zgVCFAdQKgtR5q7-?=hw+Pvst|X7PL(53o0Kx{dHPuiKXyZ&_=?9fmSM&%uS}vHd)C zZy?h>d=1}e*yL^Tl#Qj8GB40?JtWxS4)uYzKmXG`!p>3l_%<{#?`IP8EoD%#7&2#R z`)O)3z*lS%tD&N#5hx6RdD~nq22nbm0uwp)0{rMKQcsdI&uP_?`sTh$ zb|alaz7%BF!E967ZJ-{PyiKnLQw=YrwM%fb`w0L1siSFZ{3bE@xo}prGFCrO_z@UQ z(;v!ZV(=pRYZ&n8EAeKPZPB#*y9B3U)`ZshCCe%g3d$Yk?h7+`$C`XhG$ZE_W7#^y z(Z*$Y%|XAEG5D08d}?Dl^D9_OJ$^I&Tp%5}zEVpK;B5|Em#4q*Z#qaiGWZqMVNZQN z)Zz+m%MmKH)(?$E7Bm)0YgmJJ9DV5rlk`C0o0QXD!F+2s2` z3M$4tKsy>QANbRQzO0KvTTani4FjqLT%Lf*xJ*9D#CHNQ{K^XKBYtlkkM^rJR*QV{Lw^H-EM4)&)N3X_se3CgpD-6{c^FwLbDB0t>s*;Bw@fE_W+V^Zdi~?NyAbNYwXULvV`aN29 z$Z9j^m5|S!kK+77;srLZe=lK0R~_zUA8sT6VsoSbHCjc_|HlL?v#U&V1B0?}8y=xlU5{IuH7(Q5x zLq&(|1i-sN;n}_c^jk{lO!%@r|I>o<-Usx~J zPBVXwW#Vq#gKT+~QfEMhaQ>&i-`|7Y)eN8qeNGy~5mk7OVLVm{?f09DPigRp!sha& z$Bj)Y$ar*Y$0Q)4T41dTidHM0 z(wK>E;06$^3W_wNp3=<#3GT_%+!k9^0Kd&wQz%t5?-#+^6%^-ox1tPLgGF{2%i0ho zDG0na6lye=y!3vXW8rT$WNHgf&3(8&ZM;2#PUej=5-EdEgiL;LhrwN6)9+b|iVoR0 zmRk(&9|Ersv*D}tH1nbCkR4UMJ&q<`(%^S2h5SLt1Y?py{?##aaJl#~^6%kgMlT&< zd{@iFzoWy$qZGS7y8Lz;@rMjxp4+o{uRiYzf*e#lci6q{fV%Lwtqs%)dMRyuPivva&=T#6D;_PXaLj!%NOE}g1BKOuX zLz0=#Yz=ArZUN!+K$GK8UaF8L;T^@6H5nFD23>Y$hV!s-J>ca7puCx4-6ZPSM5cQW zB?9*<_)tBXn(GZF(ayvYTt*?E4kmKpWrh6qh|a=WEQ(^+i_O&ZhIHg8{z9Z4 zUfhbmSI4zmQ<~8UwcLSB6_^u;Ccq|(Ew2Mu1iTNSbLEQ5x3(8O57CZw2Uq9dilx5} zp*d6KN^VV-$C>sDB7-QkJfFPFR$0jnO4{#8->IT&(Iagntu3<3NZ5XlWD1tiD2k5l z=970kE15&hI}C!aZGxvBux+LOwPZiFu^LI{h3)n*=ej~(CTzWNQ>DGv4AqwbdBK=C zTGptju(yLV%nDfCRj})`x}K$PV=TXQ zDu^nAHqUW!s0@vw0u$x9B(dsJ6@%QhVK$GkUgmFoI-1>2mN%}e+9ObL2a4}=XVT92 zbiauu6(Ptgn!NkOwRWp(3yg891?~lfZA9&zlf&t+Vd>2bmzRs2D&m_ZihrW>KcccP z^9rRZxfG25e__HSI+RIHd2UpkJK>6R9Pxsmi$*d#xZ;bf?ArJ%;q-8j97#H6E$)FU z%qnZ=eIjU7j09&>~i1FjFB;*s4N@nvRcR(Z>c1s7~{l(z^lpS{tv14-cUJJ?0ez_!^e)}Yd7;cV&qWx6$uwWh;1{wc(8K^I%PJj=O@ybx)|NBSHm= zwVi|M@AA4Cx$26+Ghgz#2Nxd!&ZA4dqJKJ95}tZi3Dyf$5AiEn+1e}V-1qqNCCXbO zpl+Vv<6d}tZJ&rq#zn3z@>&q^c0tiWi=xQuGD^_Iibj$T8U|=fQ7CtKe}BAaznyXm zF5jOCqE*G^c+7*pky8Uw04|T^7*9Xv%cUIf+ui~B&ksTyc5row3#ps<7uzdu;nj=) ztrV1`Mm3H1PO>Fa7AuU}w~=~Ib?HfCZ`dhK=2J!r)d}YN*SN0;gN|tXnkpTsWF~2I z!5FG)JGn|$;tU0UB(v_C$s#+r$=!Z&G~J1lLht`xJnunF|~ z$HvgheR4$;b6h{rM2~^o&)|xaZwHb`GYNK>yoqmu8QhSVbB5XJ(Y?W410dt|`t1UQt|If0~lF zmNAxJ#aK(=jmAW=im@)$=83gfVNfyP61VlePd|lzsr^U{dXN(YO5S?T!3nGSL`|lK z+@9d;M$E8KOAEwLX&6*R$;Eb!Y&&pD7tdv=`y^^#%F&7r6zoq4rX_BDV={lBcALE? zD90X|TduP%MJxCL6(^%moY&ki3kzU&(afC!Z9(RKn<-Q=z3jd-M#*^zt|&k0*{0CP z`!ac8r=_SfoJG)Xpt#q3D(#ylYgghg1nnRU9ro~>j@tsTP5TPuaXh_2L2c6>+HjF^ zS-GO)6|KwkU`laSo>r)!yu}Gl?z56AmXdv@^h{fL%O^PU8mL7zR0k$bv3*j=#R<8K zklZ)P0P1C%Mm|@b8q*bPJ@6qP#GKO5+Z}lVlL# zZ|AME!k{Ig?pVZ_Yd=-t){?REwQpd}6|Ba3+DC+eCs4S8v#HBr?n2$!kON%7h&iE= z-uUo{iv+Yil(r&alD-U0P#gOg#FHIbv*5U{$Q`qi+|02-S&#&^9fB1^l$3C%E!~UJ zUql$J0t#2;4sbjR{6AOl14btxr=d08#gb5K4%Ui8Ydlg&$eP6_5m(E2La<95DhZHR zP)hvP|;+2CjdKWA4J8oZ0`i%?aH7+f}PAj0((zMU{F34 z{Xf(a1$|a>SBt}-zc6-dxqE~nt(M2I1UY<_{&u-4zSQq zBJ^$k6U=uh#oy}d3U;tInCt1pv=h{JWG3G2Xla~S6S|_qQ+b>&uMSS2bJaJ{Hh?y- zG9@&LU>Fdwh|5Rx5*pNjya3d4+P$`8xCRUwuj?i>s6#CREEX_bcMQT;8;}~xHa~*gsdgxT)g92+hGy``4{*d!^M|XpfwEj6L9XZ_d0w+2Py$; zQx)fY3xTD#a`n`Y+jiR)tCJE~CbrH)u%^$5zWfhHXs8l8&u(j4MGt=G+n|9| zZ*3gm%FD?-Q7py=JPQ<{-NWQvs*1}g{|!3bl%2>H+M_~ZL#1fc9PKS z4YY2M>=bq88E`=xOLy8t1koQyqG{SCU(>7mbE74`#gm>T)MLQF(|R7J-`e_S_7VGy z8A_|X;vwJD-u#H6?+<;=gU5Fm`PH`_U}}M9MCl8(xCNPe&h;}wRBZtf#oNj&=m4MV zSzq&w(NhW51~TzwFLo^~x0gBN&j#k~XI-6}NciSB<_enq93Dag|B*9;qj+Q?1Pej* z^#_W5)6P(h)VahKQp+QrC_LPHgLdrq%~NP?(leq1-UMIZ0a#y&Kyw9W7BDV~!YR|k z;atI6l%M|sG`YFe(_O)}l&_s(1?Z>H%(;U7RP9m@t+|37X;1OMlZ!5#BY_JDuZ-BW zf7qE4&<4K(d>ds&{+EEZ1B{WHc9ZS*-?i7k6EI<#fOd=$j4jJoZhT{GuB@e1f;B;H zu_xFST$6Vsi4IvxSnQ!|>%U~xPTMVYyzHGrEmM0Hp|5*#nA^%+GJL~*~ZsxZ`8P@4{Vcx|LK3<=teWQj-g}uCK?`! zwT#dw-|55QRQD|99wX=Wa1hd|)X!+g)EKyW>YKK{Y7G1rXm4dk^+lKZ(&{8ZwC%}m zW2;Jgd|5~U3>v_rvZqvvcO-!jni}OW_?w^Ot)Eb{j1nxGWcjr!PyG3mV(k*Vn%!H- zt$+y|$ztr@V(f21BXyA-Tpx@>MQz^k6QD)HY)Y_CdZcZD5{&0k>>7_l?fQaefz+Yn zG{P3MH7uAw-Uc2W$RTK*NgGNFf06n8ib6gc!RZ0I3iXOUzMO(>Qt$ix$)C{XOsvOqPTD|g!&FlZ`Pf$)Yzz^qzX8% zh~oI9vy}RUoFH$cCeZc+?-j1DkM0;tb&D1=VX<%}n85o4_I`HtIjwnENmvZxIAKISHXiTYP4vh62 zI!`xx1nr#3+d!6YHfE|A%kIHs((}t!2d@}P&hrju4y-hOGUsB(1U%|GD74RihE`mc za-)uTW&$pr0+f?ukc;MdT|5g=Erg~rS_Iw+mj zK*dMME!X~vrq$s&h1Sr73iP{C@fYNlZ9K=d{DuVQW^rZf50QB(&Kdr{R$pT<=kZ6C znRBZ(80|537KPW7b3M+c2K-Ote|ot|GpI~<*{xB{x3(R$S8!pSTr`Vfn#tNYvgQ^< z$|O~DU9b&RCiw+T7zk(w+cu}pZ^qD@$d|@p@eLQ5z{M7rt?sVJ^tOK`bMKx##tCJy z9r#e03w>g`SX!Ol*Nm>y!)T(kRu4Mw$c6Q+$#ELiOfIMFRNGgtLS$aj(Db~Mo>0u* zO5&cu&sapfWicYKa(D#Vn5^!iM#?T9XUUGJ$#VWIa!NY=zU7z zVlHJ3I@D?w`oNAO22bfply5=SAFV+q^Ble9QyPu59Y%FEvJhlNF{k2VDsWQX{?b%A z(+6fktHxsLSq^Tbw{bEA{guU%!y0?h3T{1gmhSO63tTe_R}?$YzC&TmA5V~v-b8nv zOQkkubYcgZ2h`1dS?5IYl|y5BdxYzXhhXiteH<;~ixlvOj9KxuDzfw+N8V#47~wCX zgtrvh_1;`KGIHzuk8HzK_80)#{Ieari~D+S>hp0d1+Q;yAlbM*cYVgt+<6ZvSdK40^gImvJ=S#|_jK64ac z6>7YZ+V=AnOLy4h?Y0g)<;VuQwp4bhM)QVa;cFux6K|;Efk!;&dptH7U({HzBQv3U zL(Btj;%M<3x%Lio3x`<+{>OoVI@RJR^$rQfo+1FWvEWL4+F9W&O;0KJh^h{k#(T(k z_8tDX&Wfdl%VcdkyMsu%1A#X$T0zB{*>1AYhy`=&E2dd`j>7KA4w=KCYk{1P2imn> z_H)$hrUVycNkxAR+n{wGAXtvBWcg}qor{ix!TDw|FyZD}%AQ-c2Fl!8Z6N0%uU*^w zbtcVAB^`M>+fg9jfr`;6RJX=F{oTf!jNBjJUpvzC>CsakRHtYkViO zdYZjPcNL*oVXScwY(a@Q8W`Yf-l_D>c&)&E<%Xt>hHE{Z$9u3RH!(A92s2Wvof=UH zke`U+jy;}GqCaKNgI{;2?RVrURj?#DhJDkm6;zz(njCHHN5;mJ7aHNyaoo%`JWbuZ znC7k;>x^Kwn8-{wppnBU_K$f;f5z{2MAPVO4FsNmN{{HlK)G*E;T8Z{RN4EGZr6}t zNUKDTe`Ppt+!@tOf-fm&;;Yp704TK9sbZQ9OZ7JDE7n56Rbp-=wY`l(Y0^;X zE&JJ^LheA+UW_nR21Q2hr!sN?YV)$DrmKuRE>$1r&O5TG-wX-nO46BlDTg;BfpgUoVSC#*mDh&Cbd6x|T{|byA5CRj!o0|*CH(vr-Z?OM z5>@8g8Sy=L@?>7asTXZ2*jL>e?Av>%LbMMvxJ8uXgLsNd{3W!M+!pCz@E{EyXd=6z|l|GnH1xNzPdkODr;< z#(IPR@4G*RZY+~vhqt_Ag~{4K_fDlqU%6yCj^!3w^nR_5ea()w6;sAZp|#R=`y##pl*J?JYY7R=TkutgLjrmZbBZv-N?LlX2hY45w zkG5oUwVsqdP=cTF^qbqjLrp_H?|H>J>|IlrC_$4P+qP}np1EV&wr$(CZ5wxN+qUh! z`|bXRec9)%&djdUr>in6A}Z`UnftP3!T9`rO76H3gY_qe6+Fweb4#Xf=-@-if z*2_I3;GHY;knM^ECrx)>4bw#z_8^GaTkSTk(R(3*K2D_tB5oE!r|w zFi!yjcy$9WlJsN%iGMm9Alj>7*xM34o;F~>` z0ymw#I=5FLvjgNEXbzcG0#4)ZiNTt2jdk6$GJ;B#xG}% z2WMqWyV^sd)LI&10bY3V1~|KXMeRdycw6SitEl3Fg4VIJc5QC34ytlXA8$Jc4AceK z<6Mgy>?mByiMtt4Jb2q9OWtd_ovqKu%(}#iVTN-rrgT%H+A|aKvJH)|M@hb+4Jr!3 zOStYCsvti0m`a#yw=?-hVny^SQrHFRbwyMkwzr5xqbf2!U4FUO?j*}g3nT1p*a#|W zp&o)R&vm)QFtl#|OiNX|SYgG8d@1c|dpwW#9&HVf5yiF9`eJbN1l1Q}T*nzq4CM_q z*WUQ@nn0j@Yx+xg;(Am@&&Um<{m>t0k)(cHU7;q)!91n=B}ZuxhoSlq`tpS*=P^Lh zTK=-3^(`^wRgL!*&Ss3&r0#saQ0#PazV{hTk@2PESxV+zNm#yk+AWT@-}mSXkUw{I zS6PLlE}-{|SiUzKkLu+Mg|Ju};QVSnVR;=`k@)w>n!u#*JanxwOsq*YeqdtcSZ6{1 zs)dlsn4b+c)B(|sO1c|R)|)(HCtZc6+1QE^gOV0yf=$4MZ*}{`5582cysAKRn5g`| zU`>=$*h=XpTty+jK(JJTa4@jfQYE!h`2>f@n2ty`ZVP`l7~yr)s#bZz9luz}MG?yy zv4~-Q)pe$1zUbsGtwko*{YX?oB@!*xfdfwsI9da{2Adgh7>@fpTf^aZLyRhv19=Bu zk6XS?$>l-U70K=b!sThcYT2&quf19Vx?7HppC=?y`L?TnKTGH#(qsh z-_ZtocDdos)|tqbNw}4t$fvUQhe3+r@>eleabd95Mxf!Zh+NYfspsJ({8|C$nP=@F zQ7Q7wKWR*oa>{;AR9UX zSy=yS?2j7JG6xrdzQa5KBa~uDh8<8jsorj`^&s-LXl};Dsz; z$20#>DT32$1n(`pnOY9`u8o0KWrv?Y(UsZ;on|8Zs3bNg4#IFn(zyG{Nkc~UpK`%RFcF-oU5*rW0bqW^ND)Ku4{kKen#UV zak!o7-reZA>ovDRPbYA(PQC1)6#_Xgk2x2EWT$gw)w*68JHIzk{jOVLnP&ZjQSs@W zYW2CT=ua#9{*oSn(!TlVv(KPDBnZa9^hS<+W3o9zJx6#*cWs!wX1&^NpbI}_X8egm zKga9KChH~z*G4q7T-C!XlEF2e9c*CH!(3OoQdM!>m2I4PQ4AS?atHE){{1o7xp;cn zBpy*5iIvpz+ zevU~0!tMTtfoVSHz07>9Kl>n>45?KDk8+<@ZeZhr^6~r+(z*0^;up2Y5f6gQEBqKf zpwx$5&Gt@1!UNq4n$CFggi=l>|F;jZ0@*s2{p-@VKp#@|1>LoQ3GBX>squ8k<5b7fio3{{7H>*)k6^Jsxwh~GAyu_%y z--%3_xo+;lBTVOBf0f*P5Bhw|Spa<+t>yU=DUZy$6cq(W09bvN&MZ)0YB5Q<|Pa14;B;6xgR4^en+_Kgl|^BwW-fgV-7QdfW+ zIEp^SE;4i$eg@h+AUE*mat>`ayyuGKnI>Em@We5uYAMXQTS~E_Zb3IAs3*3)YbMWi zpDseJVdF15IZfj91}# z;mQ5(^A;-URP9GiQBfW@NKiI zVLlRaWPhRecA=MwtH#k3%Eql=j$t>jW${$WC|cf%%_pSzOdD?tLbF>cRJhwJSyEzEP?4_B# zxTGJRKhZknmnNgfTSh%7Zn4dbF$=zZdCG#&zov~g?J)V51QrFZGxxf`-^-HseMu1u z4plBXqBpww-_TyT_G*0c{X}nFY{@ zNyIjt4ycfG%*Js#&{gs0&M2oclU-TSS*`~Hrb)e;li+5ViCmkGWmhJ!kcn{kk@iRM zc>Cd8)7)BpBx9J7{InU{)P>F6o$eT(`9~d#gjJ(jk0VpLPM3)?sO%DCb=XyAc)i91 zh2Q4ckEGxJ&0y1okCy|X?*9lR&`j_|c;-YEVTGS&wWYFiXiN=hU3kCIJqT;Ht<*UC z$=^m6?J1rdzb%&bO2RBVLt(V|ljUD3Yyz%*G`lNhhp2<5n|HcvQ?V1=JcvD1Pl_K! zWckREeCiM{n5>8$tz$IEs(s)wSmF!&PQHdE*fcp+CK$Df36FB>4eagD3UDj!i7P|x zI7y8_&v-hM8ooAL6NEZwVdAs?2w}Xk^`-6L%dBIA2RNd~Oofp?;duFI_f&k@bR<6? z#);0U;vWXkaq#8d0^KST=>&*2{JA1Ssd1#6;}14S&sm zR#h94^m1C^utexX$NXmQX2izbxS4LgKZ7vwtGPfo!VE530)+zQ(G?j7;~*1<%*e+( zdugD0vD!b?%{OLV!nBAnmJD?`47prb{ugfj!%ZeO^1H z6+_D8Ho7RyCJ3g%1_Z&nYOayE!TSwKsE=%M+B63b(@W*rRNh~LyNk&qJw$4SogK`L zS*%`Abiw*D#_CY#`=^!x3yWNQ?!f0q(JFRtX@A;cC2YR-&8wofF{vGvC%Mkx=|(*Z z80@|Lo_*!S9=TkLnLWZzS7(;rBOuMLDR(Pda(M^&e&Ov3qim$;B&(C!Ju63#l}*p@ z%y3JIt8Q>6IEm5wb+aA8GgbJ4Cu-qcI922H&ujLhbX_Z51F4#m7qdaq2!qyZR?(TX zGQxfvjYC=J9op7%m5TTqy{A{EREXq^nu9QDHz`Q%8t|Bk&6j|=!;2HUUf1?L2x|>p zR!7`aRe27can*p<5(_g4?aZ0TgFE1n7vqD2k7J`gk;js-Faf~?iMm%_B^TYVKs_vk zW6O)Ju{o7*m+}aE{9`TH&5Ap2S?{2UY3IakSB8j5=|jkoay$YJK=!OCXKK^7QUYhylF(N5xMw`i~#g9n0amF7b$kjohB+oW6;6h-zHndslBQEF45C%|fn; z{D^hK&b5^C1>Mop9_y}@vQ!hMe~80BTAM8t%N4B3)`+u%xm3-r=(3rULF>DHEZL!3 z%f``^Eld3v9PDdq)%%SItqD5D;4^}**9?)Wr`Sq^yp0LL!y<3L7u7PsJ@TD&6#t?XiCcZOdigLK|gV}(*=Wvu)6RmZDo&0zTO9(dgS3)PcgU0 zl}Go31uaQAK@`9ENK^cm4}COXsgqU3=QDj@*!*t$#x-CV@-xYcMQzu4ATvvdE?6j( zQch&!7+n)KV)iacTS(-g%!OCwkwIiwK@|@E+YLzC^DP6 z`89uz>ZpuV6ku?(o5AgNC5{jMsvUXka$LF|6diVGElUu~xC*@|vvl|)7&sF6W4YFk zqRR*4L}z(HC1~mo&P2H(#WqJrbKEU3BdQSo>W>bpPuxX;$QeaJZX9sw^kPh|1IgiH znQM*fFesyyuDn%K{)Udd{!Qj}Qed`=iT3S2)aI+&hXmh4W*#|V$^wl;QBc&jsQJgo z4CQ`X(Uj#?Btt^GENn)L3;tzqQo>vO{N!M)3$HTSYIglO@#MOo=ibX^B|Y`Mn%88T zo9Ux2S{K5%fSYI;+cVO5Fc@er0J^>nYE{^`(LEW_@`^Tux+>;9yi&3}OqiWov8V*( zce`v0CXXmpF7XCEh0Ec#k~@Z61T7*-&myPA6>ym^T8Att)xwpj0+Y0tF}am^GPe}0 zNa7DwO3~02x)GM%l)0g;V@ulQZ^X?SJm~a@x_H(%(#-?`)J|S5tcvk?MT$XjYl}3! z!Te0{4P7?e-b4~3y%{6en7tv$?0_*h33jIP--pXe;pP#v0aU%QCrOFJpS>Oz&+a&d z9r}U}n03nX0ACw^@&scmm%l)DMf)bX=!4}J#Pl)lydAh90LGYE0!vrEPS*jB7M#e? zWl+wRTgBcEFWkQG1Bc~D-{hb#C~UuYWY)TRV~gMkH4<+I)A`3;+k=C8Uop6hnJiDf zgVe&8O5t7mA1ctroS3RVsPYnqu%p zZucl+%>y0MONqrPL;CV+ccB8tq2 zXq#UW;55D%$?keiP_dD&R!5mUFyuXrh#jq%b`^;rBGR>TfUFpr~dx4s2D)a zXI-tqPnK6wggP$Ga#ruO+OK6#8al?G`3U2#!h}C{WdLLFj}_z$n@QUe<2OPsLU!dm z)d5i$Ca+GzG-msagK8^zQj>!ngDiEbkza3mweqn%U{*dE;`rAPE(mJi2kgPR!_Xqo z%mdIAxQpE>YI0byC>bdlKM~1M+}~A2qO3D9PN3a${wU@z6Mk^qvB6MXKU~(2I$}pc;35G>8zi8q^LNob$~08EmR5K`!S@< zRPHJZQ!DFRq2&9PI5h)-4~%B2AEv`R3@3M6&^7G? z7TH*f{t;zJ_u#>PDE+3^65oVzOSHU>y*fxvCt*(rr;|Yq0tdVewj_LyvF&|cCtJ~=zKyiLKY(7!P&g{w*^Sd?LgcXJq(-&%D0r}|sh*`k$JjLNTWkYYkeTyj7w zs)Dv5-70N|BXyQ)`D?VHyL&6;hZa;!8z??u4wYr&pQb?e<#_1*_p<=q$u;`m%Ztf> zi ze0|9)j(|F%pI}pv_=H3AikZW5Iz)%u=)i44aJVDosP(wPbADmBuOPNXMwuq6-P8II zG!GNd;guNYlv}`)HBGh@X%mus`DrsR{G`ZVX7<4iaxCP!jauUJb4^IC$k%bVKH#Ki zt)550^v1|QKM(f4DD-l^nzewLt#5b+=fz(_qo9vQYa}-2M<#I^4tf-nD3`}I^VD`s ze}!yJ++mu9~N&Lh}=~0nmuNcmLjc#cNt2r`X_c7IR z0=sBu=;{|^{@6p*4g^`%@6mNZM}bKQ*}H}9-GI1-ZtQR>DJ?I%sj2+~V?RRi?y+SWp9hbw+%o3S1Bu}uc9IGtffPzA;(J)<$R5V; zr*k~qP0aY-B|HzxigTQRDS%0qVCez|)QDO%?5MD5hOKh9f~^kriKjq>7mKJitdLb5 z_Q7HDS51_+i0zL9R%K4R%p~)N_ffzn=K)~!LQGe`n8{FE*ppYS4E78>g~;?Sq1JdF zE(u=}_qZ|>Kkw;B|0rnBHXUBpaIxbW5v`Qp=*Ad1Bu?*vqMpz}ltdAC<+%qgb4&y4 zF4@PBGE-h>AIx|=cemW_@@GU_P^nq7rlz+F`>>{V-!jse*H7N4?wOAgtrTn=*4&m& zL-peKq)B%=%vs*6NCgmZsJjeM6{i?Q@|$Q`k#e1V2|%s~FM^)S$PfC0XUU^ZK8O?A z)s%tkTg;(TEW|nQRNAGScSp18iDWu?k%M$VKPhDz?fzyf(js4-;dn=Fy#79q-{?!k zCI0Zb&3LMEclCGRdAm`=E29RSl9jvCFN0kU@5&}=mo=_?7?Wd~vZhz{T|rk~RfOxG zy&>U_#PT@Jb?px5bAcR_d}IO+W`lfd>Uo*>%%ls$_|6k@Ag4^{gtzLzbn&2YV3^go zc$6`_yv1;lt$Kk5#_E_ehHSKPdhb%j+@`VS3OwREaclb6n5{R|THYR-$NeMkVKV7P z7pX1gJi#9M21Qn&AF`2KVB?hQM|em6r1&_GRVuGy(wr3mM~SOe)>vZPh-%|`c%Ru@ zjiR2W=!8Uyvwrw-Zc+8l5c-K{dKu907c-1fIg6KcjMl0a09wj|R*LVuJW^5rIm!;d z;Tl0X(5zg*@rmY1S`?lwxNQutK_yqewd3MjzTR1!Q)k?FqyJ&rf%@HhYUrs1_Jfw^ z&o82!f>zVOR30&LbNQ2LTd!ieVNBgV*ro5ux+v+cw_zTNG-XIBQoDN*4kO4NxOHo$ z2^@wi6qh+H6;q!Wr#;#;^lfs;Kj0I%v1x1W23?WfNR{NU6zNCs)CX|T?e8F2!DhDm zo$oWTZ5DijZcxE%$kwQ9hik+O&xZ>08I#z^!)F^3M@tclCykIzz}2A<19AC1n)Hv? zRuIfCl#@w7o#+()yvkkHGrEWkoMqn|g6#@FLdxGk9%HmzKfsG#Iln5q;MV3F+l7AQ zi>~61Kg^4_g`D7%>V<*v3CP9I$=EP9n z_-%Y$tj3{m+f=#Z6S2uy8ih)x;h@XOe}1u&m4FM5=<8@#;-OV8299ODDd*ZD$Z#gY z@%>UL=k7Kf!;9@ix%8T(bE7QMy7@iZc$;L8d_Q+a7SR|qGIlVXbDcMUUZsYX>KE@Y_^ zeeV;xlzQfUwMug?DcLp_7LR6y7voaih*6&r%11NWf$blm5qP)|L7OEpo1>;{I98gZ(bp+ z85jAgYURgvR7>Kn6-cTQ<;Sr>Q}*W0lyd{0hAZVe>5@!w+qt8Nl%|RztQ?Bi%oWs3 zOPSi<`$e#4JSL|n2+Fki23F6iDZv`vgFrw5nV5p`jI%JOoMhPg`-`YZEycbqG-PeSWVfA z6O;h$iqJYa*S@eR;;K5i*xy(BomFzLqY1lN^(;R)4vSO&F+UN|+mKtnCZ=9T)MHKQIsY`IoKTC8i+#F8M zPHS1W)vS-Lq;x*WXwhlSg;V8F!8i?$F7_)d%Ue6@VXa#+s^4W32A4iOVclW2_SNdp z>1o0oWy+WJzB+eUZPxaOMW?(vT zAGF{DEPM-bBScE|PqWB~OPZknbU|PIAXLqsFATTkc;i&le9&P^@jM`Ml65RkR9fA%v6gG&viDXHvu`u zB^-VfzpKqX;*78XuvgW|4@xJZ^%jw_CT+3%YTXen8*Y}HOb40YIwbD!+M!U?#^s`T zN9gZIsuY&C{>kqV*WoZRfu8*PX!mfcu!?F%R&c9Vbsf)7vBU$LY>C`^f#gisX}QVu zr)t0NyLt^a3p7npTZZAjzF^~qg^Q;(5nH$HiSA~3B$x8pZ zeh<1*@HQZ8i`|D^r=&==jVP9|OVkYD6%a#d+rMaKG#bu>FoS5;Fa<96u|M+c@Qhcv zCS11`7x613QC4qAOs^t{@kwwE@Dj8~Dnd`ib(Y#SjBvLwZ2Hn{MSUMQTSf89Q(VnQH1q!XX}?!SOf3 z82_bW@I-85{1WegAhoZ;D2fIDg2u-uYBam!md+^y zJnJANtLs`^KW%>vOPrs3Av=DRV2sh->QHX=#{7i`?_bSkd$&6eR2&o-|C^;sQ@g`r zRz`7Eg~!qPfr5z{@*-=`81AKi;mJ`JA0_b1ZP}1%76H;5-4UYne zWBiB0r@H4lK;hw;9BW)KBb#Gi5j#3?$9m*6U?T#?P-r+8F=Qnq`9v1!E&MKpCTfvVz#-+z}Gi1l**IWAK51a4qGR%-*8VvIum4`NJ zEFh{20VdCtSq8HPtNuD*A2Xe#1(4HHf5R^asH7suHnLbZ&4e=d4>{F0$O z##k^t1aN}!SnWm3emGjUcDesDX<5zI_Y!Z-fd5aO$lZ?{ByUy6i+=WHWh{Y&kIy+ z&DfJ?tihaPf{n9G$6VqQ6Yxvly^i0Nl2!rA$1yq7Zc=q?qR;8ol?joRL7pZ~%{>@# zy=8;k7nU)1h0JxVYO8dtUJ-qw%U33mC-74ubRXDqxNd`PgOz$rRj8H>{x{kc9jyD7 z4$+J{x?VC0Xjt5XFL8Kl*57D!<>dQr zu;~gz1t5DB#-WPO6h@EZN{(mcm-m4@NTbzgEP}~+M-YKH`93g%YMAjT>&za6QQCZ)JqSTAs9pT3= z`i6x#r&3xD=E3hQUzjUq-lglN_WIfH*_&S|UIG%!SGSIy#&5NofKakLT5R8#u3sb! zDCSB|`?#g5KsJ%O*WqRZ)t%tQ>XqqP5Vgm&BkjKNr#Mz7D~*KDm%4#_m8g<_0n&Mu zOyBpl&dgQ<{3G)!&v9Z7FweX(hDa7&tLv`I=pPg-4q|&FiBh#E>$Xq72jcEYz(0gf ziF6K`>K2jQBOvPTINSj@DF{#^GSS+C486e+et!>YS4Yzewc&vju!IV2^GtX}^my+_ zC_jH8L>f*6FHIGaG#}GZZaYr3JpUqH5Kv<WMY!p+9{8xtWiIr^h3I+PNc{C+b3+(51+j!p ztZiEX;l_JMTh1~XMyeNjGJB1d925!)Jn!1gUgw_N28+r}bxmKst7h)*5=2bm?0PIL zW98Z+JPe!GaQc7^Wgtc{p;j8Qoz$%-eim47n>Vya*Km= zWO3}&k7p_k(+4rHJ(=fSbTQ|1l0~i>Pg97F@Ll*|#>LQVV05r{0l4^760XF+Uc?=v zg?v^S7W>_z3fC=-$=tZ5)b~N>8PeReG`HVBa|+4`I~N_h@Y5tcVT0Q#oEjiM{47x& z9z)-(h$;MVG8x;q^Kk->`=@dpyOPx~LxoDU{Fm70Ch{@|*`B@sYctQV(lf7LRtib_ zJel&~b%#>OX#w4sZ3N~`=h^F)ymj}fQ_vUvv8Lv?p>u?Ka+Gj{^3(w;zcD{fG*zR@ zl4Bb{j}?j3Tg@a4Ht+g-C%f!+o9nx}r7TqIjc6eMEZ>*MW2E!9#p&sUigGGd6G|mF z>P0mlFI{ilYuEpH(|lPzbwFk^W5_uKt?>5xgAqkLmBPNrim}ODred zD@SO8;hddZH{Hr0He5Nq%DT5~6D}CE-}(&{rcV#5jgL5NMJ>f@6LQcnI|IkEFmRnJ z^hL3!IVb~N=s=7nlA%vhWge^DeC?igH4aYuI6=W34zVg_xi#GJR}U%P699vt<( zk)C)jY&WfLPkfA>Vb9Ng4Je|~`@Z(ut6B{2aI@0L z7BrUM`m&&uz3d_n$(E5}x$2-3Ij)iV2^g7S2TOm$r=QR9q;kO`Z(RQiqws{Jk=He% zI^}5XX8rp;pn2@4^VvT2%~0;qMJwv%l>wBbe&fV%q}hq?zxQyr2Hp}a3~ziP<8R|{ z9x$pkR2YW?@hU;ST9#OSWYffVrVJ}YjHa79ht(|mGfa<^T*y5wZtw0%MSCr^-&4P88}a_Q6a;PfwjjIyO3F7e+M3p+(8WluOwVS z{&7l6SoygmS8w?))&wd)De2aWZM|`J6blLgxhRgqi>XC}bN3ed_7Sq@qd@wxYK-y^ z70n8=g6TxIxZPt0vw_>dY34)#@{C^!Dy}0ocbYrNpBD5I_e*{moae<}6Zrqy1Qp>Edv*Ukk`=@T&YQHvEa^u-r>o#4+Q)lV5tPgIcJ&{N z4cz|(K>yzWvn0>b3%k(GYD>EFcJQ7T|Ge^f{U>x*5FW`h!TBZVf9LgYG_&NtR9=Cu zQCrlWHvc*N=XDnEKbDhxa7kW?56=L$|0MGytvE~a{r_qH|7`wWf16)^25^+#;S0yf zq(MhV#iGR(wu_?ah{zh*;`@$Q@rrokt=IC0z>Vw6gGXaiq&$i+3`1hBO?xmIXOd2F zPlzzL@h#;nIzK;dN^vMrQG%}gyDaOCw#ac$crbPeq+R^-w&7}P#Gw8KAdO2tWn<%B zSYvR-UtU>_L**l;$|jes%}h`Gf{YmW@tz4UVlvveJ4u42(%y+eu6g@pk*Z&C4VJK@2rVF@T8?;Nc^;ATwaMaNVtkN_B;h6(2 zpz}|o4oA77^3Smfe*q5|oPb&^ymA`vS2P@{tE}`Pr2GFwZ+VzT4li>>ExE&0pf{!? z+_A+9XUfbr^w;1+76FfOMc0zLmCR7|4G&5{`YT8pmsD;cZ>wG*$>0VWfXsztU;%Zy zc95TBZ;`gk64d2)y_n4H>UEPppsmxM2r}k3p#4%Q!A7%ZMNUS;8;xN`f(%7>yd@Wl ziev6@#|1xZQLGC>1@)VLij6OyPL7ec2RVbGZ=U^CC7~EO$J+2kz9^9p$Xz6;Ow2%h zjuhLF1-eGyL5+DLpYW8(s0yXN!<*m|%%}p(XiUm1NKd6;$n1AQcq8RKaqIw!n$u~b zEvBl?a>uBE`d$y7HcPGp23wdr{5zE$JJu8j%cP9R{F>4zaaMRikbH z+^U}hNJ)<2NX*^E*j9Z%_af03un*OEk!2ZKy;>EyJamV4u}TEigxdadsUxndnI<+; z&}Gdve*Ty4pJL!TAzVe-!W|J^>45bNKYUtF6?&TUH9}lrx>54FUv_vrd0uLAEpB4> zm~xw^@VcLsYep`g6DSCaJFt$XGd}SveI4<59|zEQp-{|Bd#|cX9Pu0oj*d+~s6nOe%33 zCkc<`l~~W5-4v*s_@wk`U+Vf99ePtVOusRAbOMXWj2_~mQwlL43u}vk^eh%p)J-&{ z(;O@H1I!h+0GGmPwYt4iQM$w|5FRldOL%~_`s7vNeYt>x|4nbe2V}WO7ag8wPGxDd z4`a+1_AFt{Us0b9cn7_dq>yvqqFoe{wNRhVeTt4g3sJd2>~bOg4`!5#Xe9Np zWOgl|d{4Ux7B#k+Iay!1I0p+q$Ke5&eIXsl!<(A+T%c(O^Jb|}@d+Y}2``gxK$!CZ zd19A2_4RrwQje5emoBcMgiq$i2rV7vDE&^~)1~NJrIq4U=MEkRV9Zk6ZjsebFq0TO zOhS4&YD(c0EXet1w4&+=LPW>6E_w}EJSCJigJjt^5lv#%@yVfM0(fK_xPa?R6C_xx zQuN7liyKcniPRndpfcENs?VJn`Y>elBEcZ#bi#xTovsN;WGG35c48Y4;CF_>YR0Ox z=&mVDF`Xxvi=qNH*!9BAgIJ*NJfywyL-p@0! zLv9^g>zw>F?yVUmjgk)GlMuzkG?HC-Jp4QkWf@9b2KdF& zl1=ZqJ2ngbus za-i^Lf8%MTA4na~>a#8|7k*XK$#<1K+nJ=-J3zflbXdN`Vv7t{sY?8bjQlovMY;o3B1d_qm25`QwWuGz*HlLKU zJWrjy1He|z1U)LVRxHwZa(OVpv1n@N2omG@2m}}v=~xP+WE0)en_a)*z4{X(`&ma{ z!3dNkm3R*6Z#BwwYaGH7%nWQMT!_I1nrSm;x6~F7zamI^Bb~qs^O`{)GPUS_1+n|j z@RHPCWi$-Xr8CxfLTi8(bz_8+T14WxScHI~Bus0CK19)!bc$i`mO}xyxSm{rg!mj~ zJX`dS7W%lB&Br)Er)(hh(Z^V+gF@-FH>BK$f3hD~Z7BkVP~H{o`NMdQFp#lO=cGxB zC^dWW6c1ao|CTmEZFfog$y~@ktyp0Ee+RQfl0|&eHI&@pT~E6VjksrIX3o@fR(IqR zU;stVV^nDubZxE_BmdfVd6^)8IoH50oC(bUBl@2pL0XFVn*c2tDy;O-!X?rg^g@0g z<%1sR^}>V7WTAu8w{SK63rLyN7u_Idpq8kmxIQ+W6q>g!0@9--l~vQ{0oSwOXGO#2 z`4*(dGGnb5*U*W)CYTT8hL0s--P1MLdM(G;oTFf48H!5-`#-f7Cf|qkBK0CJ>61eh zre~mbT6gJEF$T*oIV|Iw*rI*L#^6l4D)|;rFqCl04OX;ix_EC7Wj61-lVZCw=#S@f z9bqAjSs|tv+~*mJZod;8l&UM(V+y3I^60fPk?k zXX2bDWSysV=+Sl705;!>%#GfU6S5o0eIz2y7-`uVRWWvNs>sFbAfCPm6=1qp@f1lo z5MAMZ|Ly-IkbA4?!$!u4{>-xir@*Vw1=1*GrXnQ;E4gD(l1E#BFHe41$Ut}8sq=A0 z-YUIdW7OskO$*YJp1}K{tYR?mh!@30UPJH*t!kTy`6BGeY%o^z8mR~UyL2QjH_mWD zsvOfUk@Qb#6tM$&$fQCZRI^(RHHkQB1a+Of#+H(HBO^g-G6q=S85+S|N#2gzNrx`L zwh_u~zEoEN@7lIxZMA_z{SeL_5*SW9rt1h{&nRy3)7X#yFclpOC-QFKP^rD~u`wb; zLz6)ADv+BVVo<`U2TWeh5LPN!s9ZvYvOgVKe)F}wE&W_NLn$h@0PC}~sdjcTzg7Z; z7!dq9Ohe86`L1t`F*$c0k2HR|JDjEV-{;sYrQtl{4Ub8~^?psf^>8ObM~z-}B;4>0 z-yxlL(npz(>c-jhxGNh5ZpM?rHCu%flo+k#c3ts;SKlQ8Qtj!{Akn$V68U59J{=V1 zzI6gN&W{T6d;DS}VfJw3c}bN)Ty7C+hCfWsVRYf_`h{gpZifSDu-pLfEJ8iPzqxeg z34ch+u&oPG9yY+VL)I(R%fH4ci07f()l~f*k@Lx5@<$o|blwT&Cg}t8xwP@TmOv<5 z90Y<2d#v0;%;|HXp&f)BrxHFz+%^|Of3gF#(7qr+`=z0xTj*oYt#Y7yMXPIfHNQpO zFsP|cOpE4+olelKmU&Q29B>v7>HkwuWvJKom2GuK$`i_{K2v?l~IaU$sIpHj+&@uxvNxE(wO|<{8W}79v#06{Epv{`>ba{|) zx5fjan`1tKT*Eto9Y#AzMQP)h;X#-{7uTRYZB2`?JGqDP-)p)Ki{CK#5=!{8rU!(i zxx;K83xs(VItwqUL~BpLZ}~>g;CJY`5mc2jFPBjNW7wAfh{Z!j$L-Wb*XW5enKf8x zX5oCcDZ2B=$e{=0QwBj|F_HneEejno{thKtj1{6fkY>0gBM^-VM8VrPw}efCQ&ih; zMRd-4#KE&zE*%s9-#aar7!WPpNH*#m8(ctdi$(PJ2V}^kCDnm?N)@|M2ny(IxlaO; zM{&Rr&=pmy{hB6${`yiyR+{wze0uYwv@A#{bQ^03HbIsZ^WH%N-<|t2D#B=Jg}&mp zbN|ECkbymD#bzU%zf_|Jp`;;MW5i8a5ZTMZBd&Ip)b8oOvS01H@QN}-mmrtkM+Xt< z>@I*W#+kt+)1Lkinr|EnAjjr9BHvtV9>6#*b+ZHUhNM9>3gzLJ3uYD1iljPT_VoB) ziZCtaPecai2P$z)6{7tsrMw7E7mFpf-smjY8DhmWBf6j^LTe<}QN?|dS!eaoM1y4u zw<8&fpqPe-6OhBb6iNcx6^jA91u_H0#--6~v2Kim&okun4-Nnj+J1vVrPYcdeni#* zKAOYl@oAE!j%Cq zj{TR+ix>Aik4_6M(vr;gAXydPJIpnYi<$Q>F6-3%iE2urlhmGzQbc{0>%l*!L%DjD zjseSU{QOcbsRI5;a5}L-DFZQ6DB40)$H_$=wLWlAjgTDkUb=8A@Vk$!`?7N21*Mmb zF^IH-^)s_=4W}Du`U2b6xG{A@<~l6rhoFs|X$ctLd>IxM#e%s3F7 zC2cj_{1Gwz&8+Dv*!}(G(}}&?A9>) zICuq8C`uofAeWdrOY)lW@#80ig0xBIShEbJ2!7#-I-%<}r+dVe zHEeqdlhzD8+8j#S7rZ?;<^HnLZ-oH#Dvlw%@OT;Dod7W_64+o|#$QV>iHF`&6Kz&{ z4h#1r5_vVfz`vhOC~{`u9X=20KTQ-Oys5mBKCJiS>84Kr9$}BkldP^Wlr$bDz$hhX zZ&Cn&Sz>IelAyyv>B1f|Hkuc2J#|Om#+{LbH)_3iAQi_j6B8VPQkYGCk#F7AaAm!SgY;ctSWC>Mr@Y z>$e5W;ZcNL6N?`43y)idcVC3cOjPtD#Mb%fJ#%pDQ!h_0L&#yXO~|2t3NwFs1cW=4 zAj?q~@EQ^-74p%Q0*Fvmw@xBYlQ&SiSjPdb$Kn<2i&4dsFDmjzuH-J;Aa zgrNB5-7}*1e6PYL5_`NZ1lu-&oekRO;Wf48IUKv!%14i>?ODH4%)$l$Y3 zt;9%Km%)sFVBbZp-r&aUYk{PJ2LJEg1m9?wycsu|&Z*6T$$N&%FVvp~a~!^P$NWC~ zPc=#PQ!%Q^^ai_7h{?6MLyxh?vRktVODk}w;ELKH`?J354MK(-U1|Jv>oK;!(TL@% zYFb&7ZO@M5*F(dXZ2$UGIKiIZ8cccFI@^0SX1Z+_x3QDFO;ZOQz+X{%pq+HT4y724 zjZylmPm~V2ffbDx^B+ivh(+`8md@g#q*Iz6YEC^Ez|s{{_zK6Uw{naXO;q7CFTq@a zWz{^iNVZznG6-NrQeWE7GG!wA-OE6-;V#httB!Med_~6#&(z(vGce)eF!8eA^+I1& z7t0_MJ;JOFeDLnL_cSR-4`pOt=usJRpZA8}JPSOz!Eq1S!2Hd7rh@B*pJwb!bGW4`_H0W$gQj%x zx?!V-n7q4pOw~Bb-^>EF#f=ygaVP|JcRZw60}QD=oiuRWdZS7haaKfzAT1k*K+E_T z4~nWECo`7taWE8Nql&)vo+Gxjmz*x-l=8){VsG_lUXjPK%wW|aWS0UE# z`|CVbL7~=b#Qm&z8ox6$+VoIJ@j7QQ%mu;{Q%CB>ZSyEVd1XD68^1jobD_OPhFi%z z%?6Y7H;vN=v(Y;>HLyY63j!#k`Re1lr0wefBEE<^woDnfI;M+(a)NKk{WXsjd6q%4}hmTE@J zJZ%gCbF88xbu#XTEd==DS97o_{6Wq!-b@PI2m)1awYkgsg+GVBjyaoU1)gML?i5l^%y)>+>r$pNfS5X>0(wG@Pf$u1Ob1Y{LU!O`@At$f2;&Gln90#t3@x# zz}h0PmF^0OofWj93WM7-iS4WLB^ns3uV83IqX#0{zlNUo(9p7`9u#OgwXZzT^P7+& zJ3@98OC{1IiX8-#XZ-%qtbE~En(U+>#YMFQxNV3;QVpyYUEGSoQy)w!Sq8)!g}IDc5E2KM}CeU`&*ifZ`zW` zx9t>zV43RV$5<_&)n`=!D0Rxf8_|iphW`lH)vW?Gokuf>|52uF_>BEU_;ZcgfFX}2qMmKy zbuMo`i^k&TR=WxT9yc)ELMsk}Y|I?7@sV0vI2~~6r>C%AP*~yqUIo^d8!!_ zAt&EArJLI`37MZ9)u-c63}Tj(wfc+PheRQ=^zWaPK%pR-D>t7BM#Ej`c)E4U0heiv zwd!45OT-8@Z8@?Wim7 ze--?JU^Dv{4_)F$9oXO8-K2W>Kic-He^loDOYh@rv~{=FG=%WOOQPM#^fbKDX!ubu8O8Ot9` z%}s$8E*8Cr0LqF#nn9@N+Da`W-!x}?om3N14V9XDoG=gX&59E)5 zIt;PFELt6Hsn30YX0+z5_={2XfOhR8WjLD^9FGIXWwvdo;CJn%Uwo!M<_09aSm*h3fL89isx# zOo=XEMkaJT)o7;av->>f*K8hq)NJ~vu>G2|lA#oLA6j^2K^8?+{ReOTw9gFzc%t=n zDFy$?4|%~=8U!L>__?GPfl)-?$!JK;6Y>@)bE@aG{)xBDWPFO<#?oH3#fmXg8$&_W zUHizmu-Vxdi)&t`T)lZ2N^C>$#!QNbPr#@|zi0MZsu$PyS;(5p-L% zD8c&HhB3hkY|=vNFb%alt3a)Q*&(@c4nk3czhaJdCuvHh+WtE+^^9mCIncV-odA@7 z=jaa#>u8YA2btDBpejgSL(%>DXM=rpp@ zb_S+4b_cBL(ax`<3dr)L4$Va_1s(ZeCa7f|JQ)y`fbCVqhMoYTdOy{D50xu-m?j74 za8D4H>vbr;@#sUP=!2izm6mD(>7hF}?1Yjpi+RUwSTt<_@L;3eXOW$^dh9`}Vo;Za zXpv(qIN6izh^;Oo;Gpm)ciw#DKnVhF>w29Y#lcWoH5fRP;VuFvMm zRefC{%s3H6qCLc&F;KPzVjE4D(zUGen9(C3dqads1&UtW{t-pYiUv=kSbg7kanK90 zwPA?4<1C`AF@RTvnWIqbexbvP#AF+h5AYBj1^=25(F&h9kG0NGDr5-uOx0`vizt4p z!_*a=5WJHgXUaeuodMVQ3T6QIA1q)9PfsWteUY$X;nXb{nlu_Cp$Z>)&w zfbp5AN0}_vplU?IQWFUb2wHpuO&wCP2F@JY|KSc3`lflg-;gV~OP`NY^o+;dq~G=~ z2Mdvsf;!DhSzDt|g3{jrtq3t_xf9SCt7pejYkWJNDWMp0+RiauKt(Q$si9yJ3}SXF zcnjoq_Dz9lkA=9CTmr|*fGB3Rc=2sF^9miZha|*Q-VArT*hcWaBvDl_)lpjNQ(CB) z9w67n%FFSIF*fc4IeToQ3UQ(Tw-gy-EKt}NDTQU0QZY$xUc~on7Hp8*5N08?VbaSl zgxwN8+>lMWv_$6+3&TH6Au1+EpU-hvG_8G6-|1-HcDBwSSc)i}{BR zb?P7OIYsBekq}$H?S}kht$7 zl@lmkua`KSldSo~x^VU`w4-5n@P@w~{C|<&ZjZ?=q=oeaIZqo!g!JapQU>tL4gea$ zfWG7-M?Zj)^Bf=oIo*$NGd`2yIFOuJ&2GCXwS~7 zgEhb>QRkl_V=|5<@ym~C9HAR&C9Ht5R6OU;p%R=-An7RJGLi%mCxI-%h&m1 zc-C33He2k|k7?u>!Wdo(^NkKR!Wsn?tAynlAy#9hIsEak*Mnuu=}cXZZMnB@`|WAf z`A-!>m(XDN^yT~yqyg{B)z?Lx_e~h072?5jw=DhYJ#}*i@(lS>w>GkoRRh|(&chdx z()1qQ47(n9Kj*lRuASw7{Gq0M9cK;KOTW7=YAUPfi!c$MO{gLx$RV z>AF-&(;#3_lJdLpi{mt%B0}q-1ubc3lZuuzkm1IMEu+?uj%yc%)UwGNu6AOKlAwgYy~qkPN_+K5;j5{(n=|GLz9s8h6V4` zzKeGabQ_ZCHL(h=wPJ4NCIL=U*qwjDyAjmHJ{A=^Y_v}Vr9{3yX|()aIrbaRWA^>m zWBGX39GnroW2MTH@iR9=jG7&^p6Y;IxCc*kCam5PLQ;jK6#v*4?cq=AJ3Z}w^TC)O zd5SOKMe&uTRDXZ)bx3b54U?d&{9ta8R*Kc$8VRr*qs53LW+L7lJ@1vFEZ&=Tx|)bpTC1qj%I9t-Wm zyR@fTCOq`EFMXdjPS*$fa#|SC?>H&4xoHq0gFSaFt)qCu!*z};)RU(GLH|v}<2K%1 zvn&TFQ)p4OlxAHRQ;C=5p@xjrX)W+BEip!0=jY3nIO>tzqSK?>Lx&;c*B|84?ap6i zR8D@)t>TA{M5F!MbjJBuqV<3(hg}=;x#@O&6va=NGybMCwOhVLZ+#a-RHqGdr6rx5 zn+SJZGXW6}sH)GQiGM=CH)0jo)*?;xo?izG3%~iQBb6DC3^~8Ma;8R}e6?3O&Fz-< zo*RXw>|Fu?4Ta}MDtA(&9v8=%lW6W%%eDIvzVJhiG%?US>4}eM#ro3t6f9lThts}j z!s?uo)qW>L4h*8$Y(yM`5zPCqy=I|41bwvdqmnmZL@l_QapS77Mc)!!&y1vN*)U=$T?yULotN5%Lwhw_PshLKVYVz#7M-3|lraC1vDwb~U?#bCfb?qXu4kMcAGtbW3nyUn2Vwo$=dA5$)N)^j~`2g0;?-Ef@xL~7KCMt`U9 zAT0KtbKaR4AN~fCT<;3C^NV2=4j;E z8|wx)@PV2MzaCJ!>|agvXmJCs0N27Pd~_#f)PPhZ#JF%I1Up=RCN>-#0Dq|`N4{Q3 zL>Phiho&VP8xBv!!+M}QP=Lu5?+99?s9=6hsl)>OkD9mU3D-nInE3Zpk#ruwQsWd{ zWK`+DMex301{Q^DKs`gw&iYuZ4=9Q`Ir*O(7hpB)`PVpLi7hIZ0CQ z2k6E>Zu=BZer|BM#KEHgluJ=lBzb;&x8Pg57EBQ!%S2zlQlOI?tQFwKBi9q99z;N; zB`~I4|Fk}mu?$@fyt@WJ@s}^dmV0TumRxa2tXL2)@jd%7qzJDo{lZL00!)b0-Yl5v zO68H2^~@AfjYJzKL{Nqiu;o_PV_VM>Np)&siM69L7f5xOZ%@pqXUzHS>xC`?L}2xB zh^NE>@ET=Gzoa1l$U0n~PYr+zs{NR7P@ibzcr!`vi(;+O`=w|yvP>cmyh4@R5`s;)ggB2}p!So*a zmP6jVUU3~N9V29^?*8|ewr<-mmE!l%p$D2H+DJ5Bv}lrvwUHEOaX8f)U3i&T{Isdc z)txzd&NUX_NXn`si7K)Rr8LxWUqtUF@pjl4o@0kp-U|ICi-Fj*Km+y(5w+S>)#!Fq zq(c3bUkJuU%AZ8hUI^^^g!HuL-IH{(Vt?d0FRAl&CTU}S;tXLxs&)5-LiGyDEmbP- zAL@4#O%RnAaGX7A6cnlBsMYGu-GDVaKiKLfr$l8DF zphCo=ZBE5_m#H)qr@$rc(hTat574OrPMM8{PGJ<{P6kMkllC$#CVhU*m2OjT$`dMP zgRu*{(WQLL>`QCV48T`W2Xr&rUMiDwIQEPJ`Of$Kp;w<3jIj46kcKkU8{cKlW%Pe6 zBj|&v-^qn|i2nI>@`46RuTt)_YpQ$UPRHtr&wl?II173;TrpjVTBa_tmG;r&uI9g+ zn9^MOV_R{Yg&WBd_RPZhc`}i8-zn`3KqtAtuvQ?}kZgOr8OHgUMbz{o&tX+(!*pGq zPor4;dc<@)+3TQ!ui2_Fv$6u_Y7!c=Q_2GJ{lb)f3;#MeRB0CNDD$_}LWyIgo2BhPq~*XllLuPq1EJDPE#@=P#QGtoXijtFKn=hWj?+H*HM_{s zYS~uro&qBu7iHQi+Wi-OjMx}Iz#6EmHUo8ym2e`yN+8ybM*rKJIh=JqHFKWj=b;W! z>9>%RU^r$dG25*yCwr=2)ohv)+_0vUiUNOml0eBd+Vi0!D_>^RN>8vmZ7#37*YrU|GZDI2$@@{W99}WC@)k}v;Tt@`pOC?zzhX!Fs#0ruXs1fe z5i18vm!IeWdAK7q9W#Cxjmn>^vw1jo%H4)4LNjG93lud51vaBn;K0;a6vy~|i*c+| zuZ%eWf{#Xpyz>M{OnCgAc^bAjZvz#j9oqp*`cI$}Qv@E9Q62DTk*%N)Obc=g8$_Za zm*Y|~k^4u=(X|+CK65JZA^@rY9@7fo&>nLvu($x!q$#3#Y6eA#6;35xaIh#b8>6F) zE&bgW&C(NIcTrGT7sfubl1}neGS^tR?xfi6*<)3K1TyD%WS1oFHS{EICQx{}olP1~%-sZmPEC`p&3ofj`E))bm$_Uc z+!?{qP1e_;$`+InPTr$RRxt2RQ>q!jng$LyD*9E?z#*(I@{sDH0;S2_gV^9qJ7}(z z9fz+R&8tKs9%MgFtYr=Z(POJAN_LsCq;h~_C={m!kQI*{S0Y8*YTB5WPyyK8dv%p; zykx@j!&=G`<}6fv@HtzLxQiREi#MzvReekRv;bhnO=_Gso5O5#4n~ncKDKsKadXE>M_S2%f3=1af_Ye4FH!T>PbHzxW^`dD(|r z*0{y40!X2EoT+T6Z^X0!v=6A%)GY@M+MvZ3hH`DZy0+ZdRNj@P?>SWFU=LA*&a3D! zC>-VUL9ZHRekc#~w11=OVn0Ij-H)8Gb619Jm((xaLe9VAKb}oMU-%QEb>hOiV8^Q@ z3Fo8z3~{T|0{R>05#hESlwyZ|+e$&jRP|b*VlKx(mk%fw!IlO&^<)f+JgJpH@!S8< zC`-G7c@tiyWyG_z|KUH}IIJ0W3b#!zI2ektnQM>*-uOfp*>K)w3GF;o{7T}lX|E&% zt1IFWM;NtSw$;!+)<9PsS()e{ag({1i1Yf-mDnBu`P%Zv?6ABAoeZ`ADEjx=GOQ&+ zsF+{6X}x8gQ%3$vkO_!e-!hi+{gggkaymZ4c49}YuQ8EKQLdeDXKn7Yg&G61tQE{w zoULf<^}Bi=B}}E7$~O7X6am%wa1A%f`BBe4j9iJ9A!V}JKZx_l6uCBi9W_Ov6u>V| z)M36{CxX?OKa+bEa$&!q6<4120bW&AwVSI%(Z@N~Qu)`QKqvBXD%Sa7of=*-u`^irelIaqz&Yg8Ta~ zUlv6mwX?7FBjjcUyA45JRKlWZT_XlVWGsg(6r~?iVM>VOAj7wyNgV@(geLR$Hzqw4n8pi`;hoQ&|L{e4eTkAM*JKeuh6y zZ(?t#0m(5z+T?gfG&qWxoj%NhIOCfm{)=-r(Vs2L9vCSpju4JOQ=249%&VFDSaQS@;wAUD!ktf1@|0jQ4lsSZSbi zERCN8kkv*$o6MRI0b}(*0`46M(Fhj;v0vhW zF%c)xsBs5m>aTWN?KjI4vzs6`Y%mey59keorTXjL1*Rg)U3g!YVNjPA9A!Pb)IE%L z_Lq){lxZUNX+qno_?g&1-AUE$zO(Pdqt5u9&m~3Myq(HfaP9t-Ew<%j zk2RoHx4+@JUx z2~o9F99<%dmBQ_P^M2R;%rjAf@y`QXg5niwlSv3(yxE(S=|KrSQo>;~ZYO$g4mnk^ zp$DRRajR#*5|?nz0$!UXM{7EnZ$`}I{+J&38ukRYsG*cAi;>A990DWCh1xHmL{Swq zPDXRswvV}*dhzPb7_Fk&RKsy?B=rQ1CuQIe^@$;T~nG+N_4{sE1fBRNWH;`i{|iuv3A#U0Q@w zub9+^GQP^7hV4I!kB~H2)81CXSk|#&3BqcL>Rky#$BgJINRSjdOv4j|ZEVG{!bQ+D zo-q)A986SEm!^k}%{bua(>+jfIIwV>=)48vyy*mB`8Gy*RIDINBi3reTN0L}5VX5s zS*^%WE2EDv-!K%JnOwgYNjU^-aTmAnIRaxu_|{}m(U$LpE*f4HUI-%LVCsdzLX%Zu zc1i|l3VoiGy5p#4#>H=f2T7*N_=H=N00$W^Hm5H`+7Y8tn;hK+np-?Z`*7r82G*UK z`Y%*_$*%lQOnAVrLL##G75!_a6~zFb?xGj^$qE2Mijc^%z5xv{pE$r`Ax*4ID2OdA z{!7~p;}nT#@|`o-$Jxh7c28+`kx4J!YF}>E$T%72PljrkKxW7r5c#L6kA;%sz6_t9 z@V5%cmB2}po%|;g%F}me6VY2w{m`*0C<6+kR~v+CECvd_q9|v#$aqMNUAh#!4G9BvO8U5TwS(xBV^={&Bowq^FlzI`UjP~;K$gKRERrts19ye_=B}fL?RTvFeM0w;5lKdHDt^b zd^3z@Ud^T=>vl`S^>uj^!Kq7w*dw<1U& z*6g~1TcgaCeg_$W8I~<5FC8wr_Rfgg_>Jfh15?G+f(8FfAh7!9=mH0G+pT2_wt5tc z5O1@v(AZJernsKQGwx`$vA@$nVh6pVa9fOv!@!S(d1DR6&>YDVkdW7O+RYZ|QNM{! zEQ4gyePToYi3$WFn9wYiqeixE~UWJv*YFNJ)CjM*t#7zu&vd`7r7#H<&y^)NV zVLY_N_H2C3noA8Tb6&n#@8Vfat}{<}PF|DMPC|6^XeiS*;v+(I!?FM?O~b6NNzC7cL7mOJIa9L4yP*Y5v5>PYA+DaC-m7>3DyQ?rk~8Kun}NTwUpER3mMWGv+NJ0B&7zjexV|$GH#5pz*orOYQ=-b zhD|33hc`=$0-eeqsBR0QX`*P)P6TC2yT-$);5|dA388*Ljn^e?y7#ZqmYIYyuEa!M zYUc+X4{&eNafKWJcB?M{bg9a#nk02;a;>Bve9eVY8xY%wTu$6jf_js6R!-qhTa7>z zB=?^UmQg#*fuNB{0U%uyAZIiHXd$9>u}0f%?D0Yb5ZYFE5>bn_Iszcscbs&e0?vr- zm^Wy7WNQ7;<Q*|6~FlNEMIUM&8u1A-1$lf3^Jq?lH9iDKwx;MC&so_QC`tn6I01s+*)IK!^ z=sW4b_2|$XV^U=DQOkQsjdgy8XGSKPU?&jTS1ul)xYd}ov-!^!2)j5h9C;_82F}7$ zVu;aiOPuf|kH}<8=4q$8`N;|oe%LtbaE%pRn#p`LfRT6->n$XHNRuVuG_}po=3Zv_ zW>w27)v<#bsY!_z6z^K->1h|ORdgIABaUOGyD)gSm%x5jv4;M+AC~M@%2K;*d+Hb) zOMR@(N=UY*MDHU+VYA|hudJ(kXi!7n0@tV~15tPAZL$qXFu9WO&J1_7s_!00RjP?0 z&6dtrOEwf$9>}kGaA_mukAOdW@fV1ZX|vOl`_&Gz;$Ih_v?rMb4Qj7fhP36Vo&*j4 zf)_=BuTrp~nDRXJJ=J11levOo`Cc=F(dy03;PkO9n<{ttt7a0W1rXshL0Cpgt42!p zvDGas{6W?qb(Ct9(yJ=W8`jHIhq(h&FHC`&7Bq=C*TR;yLqfUcoUR1umKfYi+Oi!e zGNu~-CR|R~r2TH~ztG$LqlrJ64&+i0wu(+y(^R$dRs(H@KqvjzPN5H;D~}nB(CA- ztEpX$o&pzDspqRLmweY!%05`_6P>mFI?F7e7Y;=ZHWITr>KRyCq!Px5rw& zag=4`UKNyUMRiiDUfRGpMKge+*;YionXiIwMHTA<-tUi-N}Z?y+H9Zk5;u?yj*-7u{#fS>yrAPq@gbinR1yQzGay> zwy4Kvn4r8ClYJ#}j4RTCAP@B~8uAyWw^4P2ds7I8J<`_+=? zvwjFRGlka^IEX07n%}gYtX0lx{oecQq#?rUgN8wgw(ej!FvsQses=TQ^`wcI?{;t0 zZdfW-lCtuq`uGi31@rzJYQllBInIdqt%LvmmUgrWmZ-%ozz9P0)d4{_72{Yo0gp#{ zTF-3e6mW)ILmdg?QqUXy=ul9rQA@iGx!)2gBjw?07T~VoGsdoraDZ<(+9Qwf9Xg zeAN?QF{}9|)uFrPGJ!6WH3C8Tc+3^Qu*VMAV9f3S9Z~%civs#jaoS@L@t658@pSu9 z8Al?N{ybwg`&o-#;_yZ6icTlg7PR-4YE2&EIII}BihL!IdH{s+8#oO!7Pd-;6PWI| z*Xj7+YFJGLDzK8MEp@u{%^*o^p|{dxL>s+T%N}pI7@llUR8gsBoZaCoM#@!@b!mhF zfnwL!dJ#h%65rch5ArlL2`|VABZJJYzv&K~)XeH*oObcHmeXfK#BbiXn zvMsFOweQ};&NSjrseZR|Zx0r&Hvwa~1_h>8wA%%!Elmr>VWQH1?IYHIFmtE1o9|;+ za>%Y4N$cR*u~UT{B(2N6WQs|D%K-NXfbh-29=x`=bR~M-rWF4tlY8=i?85C1r zrt*l|AwY;x!TGEv+YZD@FA(R-K_3i%v@whDrFq7ht4EIo?!$gxn$)S4P}tEnsn$o* zH@LWgd;5P%yb!a*`zI&ZiJR8e9MzFVuP5>~a>Zz`hQMjGOG9Af3x(oW%8j0_**qv= zk`(5CW`~YaH2APglN#wqwUUJkTaf)}D@KF0Y2h8&SXXP=*gL_a`!4Y<&Q z&c+bCyAl!=o8o3XGcwQPE(`<(|G}L916e~%3RU*^6%G^h6nC~`cqgmHY@pWlbCwI@ z?_BPnJ;lFYep@Q6AEi438>8md{=J;M8;b?6=M`sXS7*7O?ka-`f`c4KN-(kNhD|`` ztd5BnN;BvaaKoXmA=;&dNrJ&)jY8j6HwQI6HMB z?v?yPeF)8cY$rF|?)9xLkgih5$rw~c`+hLcrUP2_`YOPd{K*D?(LmGpxa}pnsMEND z->DNS8&1E_!SljajXB@|JR%xfm~y#0(Wuqie3tGd4d$f(bLP2GuN-o;>|!9q}p zW3KJcc4-v>GSdeNU)p{4kK^i5e0l_*k95}!p%G!|$2z^Ic;%xQWJ-ZVFU3ZuU6Br3 znqZWi{^C1p1IcJH6QsL!UDdix1yMHT-{&gjxo4idXPNx)KbkQv%7EPVlX$&{c0)Vf znl05*%fuk#bZa#Ku2vYgF|k}pAoRBu_cwCa8D9SJLaI{%>cHZ8&643sM61H z3Y{pEEPw_*hppC0e2b9WqcWr^k%R6ytyJ@7mN8co-VTnZZPuTH4UOxl4L1?F5}Qdu z8a2QG5|1nJ{i4~~%^&dCKQ&xBMxLnOkX<*Z3hfllJk}w51~XwJg6bYB79nxsr>F64 zk9=nE^!fzi2WUv@)^ebC2!t0dM`Nlxce6<9I5uXrxTTtDl=-D;$vfLHf)Q&sLI(7g zBG$>#-BBlreZm0J%S??Gyl@+)wKFfyv5%xYT5I9)%Hj5Af0syW=%(7O^!9u$1ve%* zRkU{cvx;BUCU8U$j4U^*X;;#pXUFCcX}NseV&w!jtuE2vU5X}JM7oljyR#D?E;=Ig zCVZvFxDv4AcjG1@mLkSRnpZD<*J6Lje{d@SmGgKb-xWEMiuZ3;Py_Hc4iwGz6I3ql zNOE9uj{h9KaWv$zBCHYqY-DEb`lawWylPH$I)WZ_-hw?4w6_GfVS+`1s)=!;i@T!) zJ)`01*LyCoIai6xEqm2;=B|xuI%dvq{Ok^cr~EZAJ@D`&YBlpE(dNN+wi{ko)L(vA zFJ5XrZ#1jN2RQ{Z2-MG$;H7hETpN>rjpQFs)k-PxPn zLy>J(EgbN1{8irfgPr}smw{qy{nZf0sKC;bc=6)xZ)Jwt%Gw;K`jXq8@<3QOag#Ef zaoQ7iLANqFu%=g#w7+bX;gp$mWlyz2n58*372e-6(koQb z>nzsd7!oSx2=n_+cbCfY13X>HZ~?4APs@0Hb8r``68 zVN`I~8KWMnRH$D}`opdsqYExZ6SyI~uo_l)c2Omux^#El@=ymg}ZC)+& zkmiH()Sgsrt=oED-eSW>a5W+`?7tpvPG9U0?IWA*f~_4Grn+7fUf|m3ogo3;2sUo@|QeI%_;>mlR3#mH?a;_At#e*0W?u}l!GZv#V%AaZPufbsWs|;yR9mv?f zD#x4_^wXoYTOwHaCK}cT7rqkn|JY#3#}dl!877RTV8>%fX=Mq@3IeM;iP9|-Fh;f` z&2of^YIn|Pc=dC?bLDxj8yWYGPZCpD9b_s*G7Z5TM)5re%)!p#IEyIM@peCzvGA{c z2Sb;4K9M0~v0@y>j7lp?XuU`q?;@@LT`7{EitW2tR{QE)`9;DB8@5jS{kgK_jc=9` zQfOK2#?sSjv#NGl_nv?+Hbi9ysnosSZ5vpKv;gX-71S2lhObu&p>a|h>ZFMI|Agul z0^V}KKQL}EWfTXB2*9Vt$j`J)wt(6o(_0Bo(1GXsN;< z=Vb$HH#@gmVmoiRCc_rqgDm7sD=c0s){xsO2z*RELm7yuO@W*x56fmaf>@0aen*iF z7LSsfZJcdo@U@YlHIR6|I+RoHj#gE7(4Fau-@kmitR2ocNd@b~r3FSXfx4$zeBxg8 zHh62nai?xuT}FaKa9(~p`{JsnPPG=A12D4#8rZuN(Yu1PDmhgoX^}`Fb6%lu8|mR?_Yhx>XRpWT3cUw)@S8;lxSQY|Ck>F+*359 z71E+fsqNP?Ks*~u`I6%(%;rfZJU?aKGkmT*OYo%`8Iql8(fFdYOS`!#EA5JW2Wap? zB}oyVxE%&hi+*Z0qExN+j&tBW*C|Nt;g2JH2}{0DsvGiAYvLqWxxK=6aa^r{&|vZh zn^eylQH*!!DPhG#l#%;%Bgv4^7j_UWus32`MA`) z{82T4y_;3Z>I0%*T{#N+T}PwALl^8K=*yH=o!c=agRQ=FSXv}gXR+6f9Ms@TZC*|# zey-{2`^r$ses8j4yD}OLlw9Z&x4-a+4kfjsO7><$IF}L0z4rdTylh9;%c){nt5ldS zxH?e2FkfX>%XQ|uKqPQvC4)lZO`qDW!%)|}#NYJ~x>-2cr-}C^R%5RSrG*xRA1XUg z0xcfT8L;vDa_Dq_nr7TVuj}b#kSS%azCIFc)lJFC9Gjfc`%K7B=u8iC!IiBD3@cIG z=NE)(us-X}jAoe-r-Z5`6C(abgO}jQx3KAA8hLU;sDtWP>q-nv+ z)-JR4A984`=~nv_#_skeLa+Vrx{%3UG9@JY!Pscj-%oIOW5^G{7qiO!4QbX1da7)Z z@`Rsvozlt~wb?lC2cK`a?X9%P*7Y;AtJotBf7svs!*a$|7hz!uSedX-B zlu{}sLk?mHtM`-A2YjNK{4r8T(gsq3Pyc=@ubdmwheQ>2Jm^V}oS|!0Ntu}%dN0_~ zL}Zbcf|Lg7gIdFbp1CLow%7ha2Us08gCAoma~ zE#F3m`x+?Co^dR=F)WxfZ{!)1WtB}qBJFaUQmbhKa%YX4X1u;l0vL!oNNo{lG z%6SQ#(_{H{T-=Y#G6ma`V{Nx-fM*z5ATv5NH0(;~+1Nwho>ux4E7^|Sqw`y(Hb&!^ z7%nKOy&|%N z`=Bg!Pt5?Y^F77$+euv!YO)H68j@sBteZu_{o zlyMKs+(%z|Jp=b`N?o=fFHdTZ91fgsA#-9X1UAZ?S%# zJ@C(sX_r~%Doc-ck8rjgA?avWpSN7k_2-#HuWudi;hY{}W7QT97-}xP_}3Dv8Imw# z;Vs0mG6A8hm(hA26b51t%m(O|6+|~YwgJng;-9-8%3NXPpN*#Mh{rEI9a&wkA+S8G z)RatZv?dG>lPhEb@#vo^O^zQiJ`GSN$#ZFL*j}GxJ(OX5yOtm#o*0TTC!m;PeoTB$ zV2#sP4L+-vq_ZTux4M;)YqohU#@F5dsC}{Nwd&5Lqy`RWmu|DPCXFJIe+G}$NX4FR zOpa%|PXF_DU#~^2etGH`h(}I{aI%St$m3?iN^w35(T8vvEr#H*M>uAb&9H5%(G&g? z_jR*hd7?ZKiq~k85VlXN?eG`Nn2PSz!)RWNTKi;&rDa|I$D3RDXPk?7vNb?znO!Vo z{a(5`?rpUf+*5*>qkT0qQd?dBAkOCzR-|QM_G1#-%MG3OndA?#EPHPC*h|^NFS;QG zV>=0X5engVVPRi|Qtyr;jU&7IlUWg4JVL%f8pg&sVViqOZUuv=xCR6pqSdzyp_bWe zlsJX;)P^Kz-l;A2>`foYN7rCmI_lYsg0 zI2fhp{*K;yWAf>4)LO}*RA_p$=K#BH@+px-$3qT)dK`%sxc%YIy`Mlbx_d&M-JEhE z{3@r9FHy9|`U^T+@bAdpzp!=t0zf^ICfh!CGA(1b^By@dL1VP0>tROJn-TYMCj`Zn zbBHnG^a)KLIC=H_j8Mnvg!iN&1j}K#Oh7@gZ=bhICo>ZAfp#Q&Z+&o}73PY}Ups$f zQrw={@Z;0W$nD0P*Rm@rQ&|iB>-r!b{UeIRPNF>9&}U*^L~K()iqOh>Bw9{?1Hs3` z?pY5~jiz4~RUvcLrIIarw!PXeHs{j4en=hNYu{XYTUp)#{O_KV6hHoqm6b`zH;)d@ zb(9Z|;{2Z*Dy0_OH}&Pa>JjBW1B@Y6Ny6_&vX9(0efXVgtLpqh;+(uG#0o*k!`c1^ zLyNe{dqDK+cruYWPhA@JY^1DP3`%W>H9r&{gwF4;{8moWL%@q-C-YA;+bA$fQG|vz z{&xza3(#Umq;31>tLqgrGptOE_9U{k@UmZ_nCnXx2ezFRXK{e1&fDUt~l7lH?gn_kC;ocZ*d+K0Y)&-aEtZ|&# z{%sl~q|m7Jnj&lO<#Y0^0=F}PzL`~Z`BSnq(J9cdjS+21ti1dEA*LFajF*J=B*SUM z=|}33KlltSUE5dUfOJ{>r9FWWkfFhhoDw^DK=fe@(+8CDB6O$TQF9bAOf^$Sbw>DU zv)*RwSu3r(#!SmGyDW|zBMtX1mLAN;Vm{N>4yG4eG;N8{Wxf9;qhwp;dEG3Mo8wk;#r>A_k^nI~zU7C!?TFLN6DiypJtf z_#F(q?pU*+H$s1fyD(f1xDe&@H|E|C^=ziFGeaa>W z$r-x+%?aW(GW&WTfFIzfB$jujGlA>slmXJ0f05F+Zuwj9{fxO#5j52}>ai8m$uBb# zb$1cvaQ!h6?WstHwIt~C$Im1>OX?KmVeS^+uG<#Or_zijS86PdZ-+>PSE7l|#)p=q z*oT`D7(Ec1li$nj~HU$?`tovJgsB+mA+AnoF({!QBmZpws+wvwl+2bTRcq&Cr*2AYfMQ~trf4FLXcP>Kuw zqA}11GG!X&XDs?nv(~?Pc%@qA&9#%7-Ud2P0pr)Fb@+>R7dK1k4c?2vE=+SDIK|Gu z=WIKX{ZqGe>peIr+u2lM7Pw@>2rlf_lbTnH! zcOdrD!Q~s$yxujR#hz|c*z^q#MOzH#-b-ZwVJ+iab&p6%X$+w} z$^G6=C(h2I8y~M`92?7zqBfLhoq4Q8@;`55ZFx$`pPhdInrz&ZI(V}agUmExLY#Rz z83+Uunf$_ggN4VOW_BLOc58DXRe&3$c@TyAmVo29h)KPNZLSTe|6}i~pXvyjc7rq1EO@2M4;`F{hc|zqvW3(3Q zgG%z)NO+<92jK%uyx}C}fAkoSG@*=eUSr@03Ham<3J*p1lI#oDF5v~OPLw6e{cH3` zQ(l88i2Xf07h<_;mu&sVT%oe+zrx^3t50TDE3UR=6fx3RB^?L`4l;vR;NOVPf}^3SBYtu zditrvasUu!0ISNYKK$A8;g3e+8l|G%lTnxEItKLd#2n}8L`M4 zx}kK>_npqUFJm3Q{bsJvk1Eow!>4#}36FDD&JJznzSQB1v8?Z(J!}&{kD!jnZJ1Al zjFn|ed-Q#u*PtFG{;kVDohm1%B+1}tY%(4g>k8*|q;TS5@J*rvyV}nzRqAQ&)jat0 zj=YIY#T!FnMojlSq_$ZL!L}%MS2YuN6I3)389y8vQh;%F99zrjQnaY%`YbAR2 zINb;}cMQZdfNm;s2Mp3njAXgII)u3IcK2e$Tcs!RvHRl-E*Bo(&CGl!NDowVA4Dyj zI)k;LwfM|z@`|cYd^1=cOjmv0R|t9=XGleKZqw>KbOtrknF>xkgQ0o%K8*}v1QX;Xu}uD6-|K#%cyojL%g_0CC5ft)I)N{0#NQ{ z$|@-q^N5$?roZ!(azHg~Ik_goh((aD6z^UdFqO%y31D4*<7!7ODBQ#f?g_X%8&Ef9a}PXGS+xBO#kHwD*-`G zuBXzIYRbHU!A3Y>%Vkmx{i$W0k=#2(LuOwtc@`#mzC*tgBo^+D*-pljNg>ZVx3?b) zHT#CQNQ8rFyV~qE)cXQ+Hd26-b08g>(#xw|LKLyfzq%q3=3|55sB?!P=PK^q)G*xY zX#nZ=BY4#QhrvyShp2(?=%C*SW1*w$3UzaQmjVf^?{Klx>7sQZN?v~J?vz9Gwyb7B z-7qq4#+%rcCQ$t!S9XUuk*0O*fqZSpfbD}P&hnY#AZ?gRDZ(-Y_P}LB5iN2YzI0dC zv{H#Bv5LotZxQ?=fi-+cTO%e)6Et61`skgXGdb=nTkvRWMM-swnC}{dmIp&l0)L!+ zc=^;{RBT(>Sl^}xAzoQNOtZBIub{>^gnMEO)qg&L?Y$&G{|7yj+UO}-Ogf0aBQY^y zBi0xvuJ&Q`f5V~WFgPQa&33fi#O#s&(U3(rOoW3hdjL00EZ&44g^q8Am2Gi??H$*P zqgz!(-0)h7(eKXp(;*%9+zT@hQlgXq8@^qNS1a!Nw2L%n~+BX3buku zy^1CG^(wCH?#NH}(_ut2Dt>92dn6Ci-eA7j#* z#_+?I<3{V}%#?m$jdz6WS=93Fu(_;xWAOxd+lI;pUOq`5O@LA}BC}p?4g3Q{B=Hq> zN}A4mRSvmV)i}_wSlTy|t-(^)SXiD~5OP_jrg2-V#kCGaD{O~d;fLH-Cbr|jm=am| zR-5XT-#pp>M%&UpQs5Xi2vyt~5$`7?Bd+1K`>eyn$GT=D|DaG4fIt4U1~Eh zdwdSm)hn_QI*W?ps~}}7&v|;dWW^fzG;&?#yu^(Iz(xj@J z9mE4q#tl6n+Btfoc+|pZKe!saax0+$wTsk$){^G?xd`H2YvDkZX~Nj`&AdkQd@z)S zzjf<>pn5g?*B1(}^ht5+U)tX|!4=+uOLtnUSWd%fUYlmuhhy&DTeBsfjzl@OlxdNE zm(k(YR>Wb4+_Knpub26;*1J=`=(w{ZWddoOqevO!%zR3LM!$QgRx};gD8)tS zv8MiH&1OW`b03(}uD1k-vmR@z>QqMW@H(qV?U2R)1tqt4k?=}eF9b%DgV~kK=g)U>sW`4E&JHS>Zvy8{;fo>Vv7RQ9H8ASI3^TQ`RxtY4(62t@DZ*yQ zXG(kan=j8n4H})7`cf~zEM*&y3f#LRKcNKe_u;ywLdl<)yjSDU2Cg)3F$3w) zzTbo0xj-@8-H@t0VAz=mg-WbchdZ*#Skd44T3dB#S7ba7J~3W)6alPD-_#37)>qqK z$v;MhJ0l8G<#K(;<}AH@lqBBn?Fp2=*`qIm;d1ZU>z}~CX29WdU8342!Q&Fac9WLl zx8@U&<9b)K9X_ie#|fL_5SHQk3rZSO+ko}nXanvv)&Ag*c-gxhEIb5+tA3mQ#!z)B z+T#H2Daj(ouk$$wX>l##j*;8ETx6i3=A8}D8Y&HRSF!CL zml%RKeYn)wDSLFf9a)0+V?L0ilhHx6H%h68=$etLR3uy4g0%YX%5}^`g}qSCSIg> z&%%8)B7J*8Z6!hEH58=aj8#&DB{snpds`q?4OnY`hmCSHEJ%4dM@LwP+J>pP)n}{@rJ4 zPUPKc<$)x{LiLE4lkz^PP%bj~P!=3rj=CP&n(U=0T7hg7w+#gRk&)Am01`upRgKo5EmdWw;TS$F_vhkHys?&w`hB=#b|tHj!Kz>pUfzCg zEpGs3Tk?k_l^(bY@Q)V7o+fmA?;9@F6x&1L&X7l!?cWM6%D_QM-YpGz;B6>xt<+SlzG?Altc)jufOdUk~ljPopfw|4O~+$ z@@EdyAUw{{I#WONZx6u=vVZI2oTzDRO#wn6E<_c&A4eWsY z1)(^154iF#pIQ6?sMZXaSglSt)x^))lkU6Zm3;w}JCU!!J^N-waVrso z1>qOyM)4Os^?pO_qZChQ)d2s^lc|nT_aKU#>mJWK2a(^VKK($Y)=7~j$Kd*l z^V?rq-Z!(tg#t!;My@AG-K#$=ZM3GuK~klj_iO~!Hw-LK{fa`}JpVbNLnehNk!C~l zVH84kmrkuG9#;7wa`I7+$_K3q10AL{l{v2Pfa-cNM+Savtb(7F2<*_TOWEfSPOI{5 zh1Z7nEr#9?`8$}o9XMk)uB(LD{cLn3hOFCz7b)Bj>c8TKzB4=m)J?-xN*G(BI_!Q#zI==pV>=Hz)?TP%Pp?TA5e%y{seN2hb z24GpxPKKm(Co3j0?Zyz{r%B6w92(p6B{vRJ$kdiy$Cn#e-cFr2L_7~5?M!b|A@DAUpY8_~>->j`t_mU+ZZs`f7XG|ZEGE2B%g^dJky0Y7PUZ#G&cE{}|Yw6TC6xEOfI|+Ucx7 zzvFy?TrNLQWv-ek{-}`aA6~?;d5>hRE+nIo$ zzx~N~RcT_{{j+_JM=t>h%RE(d?OhNV@q)JVmo2TlCu-N{^zVWKQPPzY%7SXW9V)OU9HZ?Tm&1_N`3V}M-7#IMgL&9=e>tmL)YPzq~{!l%1fB)X^OzF}IJqI`C7#f=8yZSvfKA+9GKSYlGeJ^3SAK z7?L)GwD`Me9lJExy}}ed*5*eNgG{ds{CRnd;mV-98iJ{iF$FS8{gzYy-eCTpNKqK` za93xSwI{7v`l4kTKKg3~i%=~S7StYQ=9lU9$@yC*)`MpoJ)V;9TxbitC=dr#1SOyx zxxZfD5t0koSQOvx(&2&jz`4KPcdfLfN%?p5xNR&JUcSZ8W&G#sfVqV?<$f=f)RMg` zDyGCn%O*3@ioXeLN0&4@UY4J4y?E=Ow}}n?0E(5Ch%G7s2P2jZSxor2GW^mNFUZqf z-sQF`S?kCM_L#&#h}lz;T!yiC>Nl5!B@V`>i8Q&P97B$S)+p~egXO@Gpc3XsRduOQ z{W$}UCCJtl`)=Q!@ui2MYBe}&j8dXWldv&}mk)|lYsY@H9yv&G=>h77mOK7(dC(5? z;X|JKTBJ2dqE68x$`x2Xt&eSFD*3~nlb>(-MY0D9-dVptz2{Tqq~Y#YhTu27hO?>J zcJUvvpHch8~F6$rh1bvdNg$P7tupYtr>d3N*oEm#Ed1bqS z-{Gd7A8!|E`08$L0mi%fu>ihNFX_<9h^*MZdT%uHbe%V<|8fE!oFc3#v?ek};qx&>lWX%x+~?8JT_Vlj3&S_Z2s4kZ9r}qGJ6J&F$d?lD0G^>6BQPk4S$?(F z51NNeQmdD}#o`Y<83o!yn?;U|zMQ|F!Rt-j2tHmfos{Cq+y1skJ__Y0$Ad(*fm!yrI45RX&zW+>r} zByK4Trh#e7-JJAgB}6>#XUKydYV>O54SC(=h``DFwE*FxlY7_1xE)&=z7`{H`xtMX zw|GX89;a0hkfKz;!!&G6TE8GL&yyqJud&?kLmEV&Vd|6f7>B0TPwzgZ>0j+G7@RS!8~alk=cX{%-^UC=@9nYt$ElLP*R~2m(fJg` zhf6U1&NA|>+ZwxB&?L{4P^Go6@tsyO*r*gph$hyl9;Q33Dfz@G<5S;J47XoO=;9jb zOq1wMp5_*D{3>(9CVPP#A8Y3g>f&eXacC6E z;_9hq^|M&&=%u@DLdp|qD4DO1oA?zN9p;JRYA4ociGyMin`kOjEFq*~n#A6=VsaMn zkl|UgrX}K~{}PKBr^=;vJgeVjL+>oYgvo`UV}B#!lI4=23WjzN`YZk1(BVWnJFnsbSIX zc7lhS015*ew4k^-mgE-%9b)pHAxCC-RMHjl4m}-+_>Of!nt|ypr*=qm5FjmuL z$SFnys~!T{r8HHjpsfx`)mTs`hdYh4V^pTnSdKliEx-CK-P@yrD(i!e=kY;AUZ^+5GXo?}#y8fWRfiIV}XNk)I+zyik} zoDE~et=lhJ)$NN(-S}NI;ZTV9FV}^ViqYiv+&)pJ=7NV&QNs>KJd1B$npp6tX}Qn8 zB+j)QH7REt?1Xa5pm!*F7a;ggdXsX8kAvb$!DrC+*&37=;me{Ky!m(n91mKrd(NrR zxGT=Z+s`=-Zp)bITcN@!$s*vms^O%PbizBd&G?8&h){hv*h9q`59hYLwQL2jU%VO1 zNMH&l4>1IevRAK=5ycRSgdR3vf%!y^qNyzZG+|5Rgh!QBsdy2w!bj>yjDRj#J)2j7s+YlvBD&3$bu$w0Xktx&n z5Nf4Xo69{iOhO`*O~(E!=#U&xT;>L-3rxCc)I`_Hm2Pj0Pw3fm*J+ni0!JbyJ&d7C zalz+0p!7GUY~%mF>StK`ME=Tnd86 zb9V@0q`W=GtY;GWhx5^c+#rT%Qy6O-ie00{u8>^DI^tF9lvoOBMQ$RqvY|O_>wrOe z*({C2+0RJV)S-Aa?x)gls8b;YX1*}Jq6k?V6I+f`iH$#BIb%ggpgFyc0jWSnhmm&_;h^bV2IBptctoAaO|VFrP6X0r zo+i3oL%$#>_@|FAXcRX0n6eQN(O}c00rJ(7eFN( zAV64m%Th7U82F36hs^K|)%&ALNd-`8wchq1avs>5qxS6Q<%qo|3nWvk6(*1L_=X0$NfX^-MqZ ziW%Hr?86(Kf_(kvb7I-G%pF;oK1m_YC7|O+91o75D|29n>Dg#v|N21i@=wNbX_bTx z#h)Q66wM;0FXnFJ3*mWZW526B{e93BYi~Ud@Ajk+8?M9oqg}4?LtP?#-jRt|M5P`A{l+c)znWq8TC+%-^#+-ez)GMJ3!Js^%@HzNk!&)_>nISc_ub6F)hh3~v8ZTFh z@HT@|?eaUZRfOce6XQX}Jv(x~#Rw5dGXsm*&sQa0Dv9u}(OZ;Q@Sz5O2do35Jjx|4 z0#`2Q($DS|6_1IDTcIthxe~amtYFP}$!b0by4SOphu5R)en&3|$3)rc2|wZ+?7yp~ zz((VIGL}!@gZja1(k}FQ(t$Kt<^#Kh<1z-d6PUe=#XU;u&`l~y&V7vd+1{x$($@Dt z?pUY7Ht@51{xkBG<(~rk&sLaJV}i6cru)6a;3NK~JYJye+~YPKkB`w5BlM_(O{t%gp4)0f&)Fn-JQ|Uhi(U+omJP^Zx%znPCXyq7(50oQpS`CbC!Y$_N)v4w``olf{Ns=&3b*PD-K{2+2YcMVjGk0R z)6qt8{(QF#n6Z{5%EIZ)5{V}ZU@DSYqAW$uJzn^9)%tE(4tpgYIyT9Ld{uR^dX ztPSc&Mc4*J2k5G9Z0+_>ysWh=hK31^S%3LlAP;~*wJc2>piJSBB7HbGw_97695AI7 zI5DmG7ZilL*~JE73J217mOV;U)gE0*Wp)JOv2MB!c84U1e`Py1kV?}LSbMT;MOvcM zibW+o*|9+eH!#v9%IMOS+%9tRs9i-MUQq4GV+Q?#_DDA}gj&0USe#tvs7f@|kZfEO zP3#(EeeTTG>sKWEgU5PtV$1v>L8Oow`^J%75--V~nM)_TYMz*5D0=>`wM<6B*fhMU zYO}NwDpyZ#AGPg@91DhHsi@ObI_4}V#H0d$b8hoZc0jaPNuWE0rGI&BlA|q#loQY7 zB6vl*RL?umqw0>(!k{Wij+n5E{x*$`{Gs!R@$!a%>+t^cwV1jG_Gya>#RqKJ)Az)> zb#qnEMj5TQFHQS$ZW*DhVJTx5A9{j4Y!M+AwIL%-6ioSu33d~spkw;iqVb*X(ebqk zW{OR;{?XC-z}mdQ8Z86#T<)>ke)c~qvywd1F_i{71*zMn=#E&^br_3%yk!`SEpX%1 zq6edtOMrI-RMaWYf7FneznufK%=HLQ@O3s=f6{8g7qlGBCH8jxGo>cPj#~KV5Q{JzeB^s2P@In+9*Gp}3jP(|Tx`4-Nof!~p9;X$VSS*OsLAOVDf zU-54y`$^$xw6Z^C1@WoD)*jRo6TYy5MNT|5mw{?s5K@z$92eFx57a8D_u7>SThVJ} ztQdyM8+S+YFNd7sQ`;qh@2o6xoVPoy_?J2vaHGnJfN#iUYi}bstoWK*G5dy_E%>?} zl=gfxnLltgeQaS|R%;-72JmLziXZ2!%AM?_^(zr$uNPMeij_R}snxhyQ5Z-=L@+tA zkDrt(qp9u(5Y|BmR-4LWKR$m}-57UwqCf|z3qHm=%?=?~90Z+(#thcPXOC`bk9nlpEa;CSzrmif87IfA!VOf6 z-i!ITa>lm-@JAu564r{9m6DrM8I1#Tgrvptd%FZ`{Rls7S}7Y3a%N}*+wNa+K z82|v+_UD`9$_^+h0*&Ch{MB1aSe%NjF_oVB^;Ea|Pcc+`z|~Fii-}pmAl@c+QpDD{ zjf^m%%xG7vMbBz9Tk1)`ZqGg`iub;YL&Psk@DY9b&kyq2i(AIw5uC)QI|%!?#YNxQ z2YRDN^9%I$-Smrcp#8CY>By+`v252&cE7}KI0Qr5Qc}XM&(t+K1s5Fpx;>0D{sv5f zze(sCIgS%&NKfv!8NPf*T~0$Ck0O|pT?zZP#F4KwFB$hFv#|cT||9o8Oa7VP@zhxgnB{p=Vmj9*y|4MyH z@c&EdtFQe(=u`PA5uz5~m)oX@&gv2Ucscju%u+jFNaLF=G-3GM_WD5Asl8FAAJ*Gq z4-uRKW{wLx2fytb+o#${x2J%*A2hL9N7k%$WVOk<+4eeZEVn7`2_wXcLxZsOEe(t+ zUO1YO3Q1FOW!&?hWQI}p-`xp@&k{%JbLz;v$YS@e^qShyosTkM3J7cw8mI1F$Qhua z7M_i6t6H?8Sw=AhAfbGDPv80?UpOy;0a zL;`upDaUT|70joshXsKYyjMmtC>XMN35YjXk5;Uv%AqOJ#2=`{hG9)&3-a|LP?ej=O{GHHR6$4k^(%QV(M)n@Qk4VL9I>W zp~AW@C@qi(^BgoabZScd_=_#J3c3~fO10d)X|Aa?HIj22jq;0?8|C~DFU@NZw8L1* zbF5JgY89~0GlfW*1_wYd+vbIvTbsAh{S{Qf%#2_s#y88llxoI7dB(TG5{C_6ApeWH z?Sgw(&dPe}l>)EkMRqJN_GFuIHCRV_@d+p3x*U}?+!m>r&CTCBJ9VZDeT_WEPy*1S zCAjQ-bgzrqz_g05?mQMhhs~*uL^@|}CQ94QP-&vg#F{W-g2ngq(MzuVQhfu7h|lF* zn7ybfG=_l3d~B>B-JOWdV+uAJ3>aOG%a8WsOuZ;H8XvC8_c~v>DE9Y-z>yL!B1pN7 zt1(9@F{?W-p}-KHWF(P(v?`*0u^}Ny^Aht25U{Q@6`>NyZ2Gsn6XK$xvnad*&k&{R z@_WEvLqm7Atc;{plXl56z0H%F+U#hs-Ai06KTN=9|V(|nC$;ro&-xp}Z3 zTp}=XB$)DSYlXgX5BxO^S98C+VS8WCP!3$tlz^%fDz8Ep5Q>uJzTfPX^vUQNPU}F5 zZjJ``C8x4WX`2M`+&1OCYQF!*gZV0Z(fz4%{LyliUR5q+k2$3^$vkFb@PALMTF3nU zBqD0$$1+7OvHs=NB72t9(liq@xeMm4=%mF36nP=S6izVN<6jLiB6JJXI|cdN73$_~ z^?X;FUyFqKrR3~75Vw{6#@j^1YMT9p=_Kx$WUF*67lL`_@a zk9zrsl6raD?by|=c0KY(hYz`CE{JQ1AHDD4bav89*KH4?uIO(b4rod);W9!Gd)y$A z{PSOg;W!pviq}B;y%+Z6Wa2bEqikb$x6MIvZ<$YuApzgUWZWMN;^Lwcv_V`2_NHIy z$Ia;HZ3^QOmV4DJq94@XS;zp1w}O9^1zL^DZo;szd0+#euE9J zOCaxYGPuR-h7X29x|IB)iFB(;euKo-n?MD?d|kc?a}VAIc2P@GNdktjZiAhIoy0Qm zl^Lt55nxsEripT@4Y#9^PQ8^|nYXHX@nw(~QP*rM4QqH|29sMB&v3z!knYB z;+sgoFF)5PsT2T5uVvkQNoHitWR(qZMVchKY*?|$uTz4@R>-(!v5ZPaJVi`&*%20D zp;toV5Cab>`OYG8bpIBjI+Tpm6BwOBxurA`~$YTvh_$z{?c2zQAHe;8hkg0S)?Uwy?cnJKA5p1030{-U@inkKX0uM7ul3wak9Ca#R>P2ZyDR;Q z;xA2FtndB!?i6L~0Z_NM&Q#Cba)Kh`aU9R662w3pOlB{0ROC$UV37(~&uH1pJ<-AW zAJE0=wK?89SW0nBob3jvC_9i{4c$201ylRj?;1)9V*(ZIrV5rjKz@q}s{7N#Bc)uk zswV@1ytER(8mmIG>s!??vEB#jT}63~+c#hhN+WIe8G6dJ>JQOws!^aL`Bhh^h#{I#quppHq07TFqx>hG7@`@GF)jvr`-|*6zQop{nEP({lpT%AvR4bdxlP z5-h(sCe83K0$LtRE5lNSJ@EYcMVy-@hsY}{RMoa*)p8Rr7QQN?{u+6cE%dHiF&L5eS0y>@32ONl4RarJC0_CX zF*BwBF(4lpS*0wvlv)~Od^rQ$&kTB(h#Gc#KSSMCUb`VZGupP}4Go#?h+g9St4f1zuCfPo{K5}5a(`cbP0bg8(Pq_&zK6X32omBk+a`6G6-s6CG~prg%?ouj>u&))4of1I>}gf;AaTEWA+ zrwl!UT~Nbch4Oa-^W!?D41iMbwo% z#m&-Ji;q#lV!pH#IVsZo6(dClb<}ObO;5RW=P^_hsp`shmXAPTT%x}aCTbP;)XY?e&iVL7#1V1p z47zSw_v79uC0GT~@J^HRMmFtL`_|61J@HF<=a8!CukdxwV9yZUnt0lo-|lZ#n?8TQ z30O!_2!b#(vg+&+oCh&uA!E3D+a8I;S=&ZkWdEQIM{_fB!dOquc5u_0@*JB-3~@QW zDcIG{r~4nDi=yX%oE;W1Nd;l8Rl0*krYcBN5RwSRwq)_OjzI0$XlNNQez~5NP2ia= zmpHfUmjV_XFw_|Q?}P=wB*Wvms?wFvT>lPAEV8;GBkRV8Sy@pd|y+)iP^l;{xdJNf5D~g zR`0)>VtN*XlvLDgeO(Oa_TgVzmG@3HKY;Wbm=kyzu}<`-ZBBq>P8OeJP2Vl1(w6bq z`F*n0X^iF%Kcx-Xji_#&3jH#-SL+@>N`MW^MJ@-P@JZb0baq0&A(`5p)@FUyv#nkL}2bsWvW@ zS=Fg3n@itn#wXigKqu=cNjqqi4Ot*T8&*VplgAsf>lC??I+ef_8mh@B>Yb6OjK5j4 z6`59tM7z;_Vs%D2%(={wk7?)l&B_U2&`B5P<3~;so43IjM}zRNLCXRWgmLZAvKRSN zft+?^bWy}91l%HnQfO6BL2%Mrm@bN#II_Sio|C1xc_23Cqk9%An;EsLH?>X7QS{NHmYqj?bB$C{zbal(#j+3TUkxYbSY}>9 za_f4@%B@?Jz+QF}}8mYN4AFa3KTfH%+KR_jR5y1}}^^@NS1 zb;se;_08PKe&CpzK}X?m z8i6h<7JS|(Zi`RpnbGX;(ZULY4uxFmZJV$>c#Ia$a#H36jqzE|O|MTTdY7AwB^?+0 zd}MgNx_&d}G%N~Q>Xi|F2cFR2!Q(LXXOOG?qFKiiXFqxP=_JJM-l&p0OXO5I+~M?g|dg~#t(@J(7o z7_-{!8Z-6f&P~RSZ#qmC_p?9AvPr$DPqrf(4WqaW2r{=(BBoddcy@6+a(tvx4&?6i zv)m?1BB#K);rFp6iLp}5!CX*KVW6}q?~3ohuz;O zXAMh=+M*G~WSkjX)Znh`Qkz7kH@&;qh8vpgA_S%KrJ(x9VG#v&qW>5Q8DlaNyHx^CKAT+aW+Z521IrLsr}x~bSCBwe{7=^MGh+R_jU#csMM@?BR3U5 zc}u{A-OC0)@O{_&C17FnyPhjge=cx@*cy@-tPbG>=evi_7WU%aa4o+p zT3fRw!4m7*Yu+!imkccHEG5Yr^x}2KHi*HTh5{ZLHaG6~kk$~!GWreo*_en0Cv z6JB^$**L5XJjXY&I-l%k!f{|mG$S0kbwHA{n;O0#g1Rcc#c?))+0?VXI8g-K#narB z>*5y(k7~}XpFm1B#Blly;XWt4M*>CYRAk7kk4H!LS?ILIr*(9;?{IX-!S;wnin#d} z{>gbh=CHSfS&m3d1ZtfwVOB%VLZP-Hacl7?otuGdAHrt1wY;1~;tT|hoXL-#<5a`HC+ltx z0Q-X2^WWT?Vg|tV=OPQ3+aP<5_XD&05M?yISaLZfZf@o*FWy-B2^*ao9-^ku23}s< zx1PB^z1p?h_MSc%BSlF-rV7ye9?#j^MgW7UaU+0&#j*fskVA$>2GbnTH_D@nf3`1Yh_Z+%mONc|H2buV+)7Yc0nAo1TUW@81 z*DmqQ;|D05;665UAs?Sk#Aw_-pTY2bSb41Ul5xsPA-D$Wc~eC zA-uQW9!+Q-%Au(=LTy5e%0Ok5J*udkXEnFuMz_}crs zsSD=hN?{ay)lS_))rBiVZOy}%nyI_dwn}0(k#T@@IWwzX8=$S7mpew+=)lasu&?h> z8BSCd)Isk)s%o>?1cVnJ#qL zIQ&a#ROdYs#dTIMZ?CSuE2XtQ=8a+(0<=y)Zx7$Jbi|L*h2K*MPdh%D+%kUf&?{f+ zM3A{W>!nVvrGH5!6XfJUBXORya{!Akm985_chFs3Pf1gD7QM!(CAq;jS4cLf+-}5h zjC_=neJq3j2{-bJKKM!*My%j59wK&hsrPrkT~j4B*>37CV9>$$`a`dh%YYV31zvp} zO<;PoJDyh7vj{tHEsc`|^ei&6Iq%XM%uMQ>y~go;ebm3;)sjsS5q(N!#q&>CWP01c zHTgDlf#Telbb;$V?UagEhBdzQPppc7jgNpDZ{@0$3Lfu1^MC(%xAiYvB~y^x7T#p* zFGerXi?3l|{cW_IKGRWzI`4B7=SK@xPl2z@DE)dF`bPZW^{D(4O>`bjEDV%4$R#`R zX7#+7GnvySEW3JdTEMx!l9R=AMPTHLpx!+{`rd2_4`~69NAT)8@i|XvA=I?c=}Bqj zJKktmQ_>eqA+z^w=uA_EEh{a^#%g0K_dlOs!fPtzT--|Mzn9>C2z{WQz*A@o_N$-3 z`_IvNl%;JrYMGZUYsgl z8-3XZlYTSymaU48r&p{w4o29IN-o?w+70BIbl}e_PI~g-fY97%ClB}VKl^+HfY8s` z$s08`Q#u%PATc|vR%TGLG>W&6K{0nMh&3ren}6&Wurq`%H?CHz;wP}Mk9$6FXB#p0 zMp^!P2`4y9mB-N08a}m7$J2p7V+ixg|J<3LI}7gBnj&|-atP8H_aVz1I{stSR&g6A zI<{N%mXwBYNR&`F|MDkIbxH6b)x@{_t>|lTTmGO;Lh#XNtS4Xm7{8`Ai=+MoJW+i< z`9?BZ3BGpEq3tvNyNP)|QJm+PKXZL*DvI5m8TET#eOo{`2tB;b+Xhj>x9u6;0a&7O{LT27|hk|h;mj`HCjEC`MrHvq&&himV;+$UL_ zh!1mlDgWtJj%HGN3Sy(=;zpCiO%~t+7qTvcn~i3S!;1F?O-mF+*E#c#uR2Pdl^DW$fl)qvjhZZ1wTm%M)4?N_Ke>C<|H=T*4?t6S@592ud zGgU2TzgVdB&$b;mi-At_);He@_KIF4ZQyd^Ze3+NK)Z|lmHvw#)P%g{z9*9*|7pEW z;lEL=^*#%(G+cjb0oGATU|CbFVw#bksmDUj3tBYe;B$<(Q!kF=n|aiXJ(U1X9L|rK zPbz^(6bo;?&ysyrg1ngtuQvNI;LS6SU|#I9>{^)BC!n(pUBF6kmPcTEtuKxBb94QC z=qNkyQx)gIxxGsdc$I1 zY@(NjqQ_;?X?S(Ane7QcCn7mv$|j^0hy{%)XAouXi9)LN`fMq^i{^gsVYYtB=*&gK z0`6-Xa9k6F#3y%rbWaeZ7-dj8$mJXZUjg_t+Q;*qFf zx8|!0f;_A5oE>3LIHT1C3ZzB*tM#({ns|vqBuc46Br?_c?2rH*^|BQ|7(4nG>_FU_V#|o{eV-LCC?!MErrJnMYm#&u=?tzTPUO>19xgl(^uvE_-26W3 zX4c_ydHILOH-RpicvP=dS^zMheoGwE@Em`}6k;HUvX36-^J(r!u^--bODr11eGieS z4S#e<)lE&y2Pd4Y_YVm9Y;y{BcB`Eyi#UELG6v&BZf0y=!d1*Lrv1wemU|f8g6M1b z{l7kA)-pI_b;gMQPgUOm)N~U(s-FcB1Vsg@5kV0IB3)V#Q4tVO1d-l*lU@>nf`D|C zF1-fn9VsDFLk~!=K>~ypI)Nl4dHKHg-hbYkotxX4YkN2M+uOVS?QVNZI?iEurh|^h z-P2wC=2xKZpuPg~4ib2lnq4{YkoCuXYg@veB5+bN)~&OT)kBP5yydLu==zOrm?Ui( zY0*8jX+{!puhREE99C#@5p_)yB+Dxvy|nt1+5L#)n*rD3$4o(5Cp_Pbj=#Q!bs;69 z4sBddRNliFCn}fRd#L<+*3$ju?p^yV?VD<{@7w8`;CFj6GV+nBCB`Dr1`l!t@~gCU z0$%=&>P5n4QcpaHva$LaK55p3?H`Alk8R@$7Qfeu*<&z1p95hAW(x^~B zKidDM{Poq6e-Ptip2RoaK(XPElRRium8M};74OQpm*9FZAa}VtY2(ZJh(ZD0)fspR zm^*fO@yXo{-#bMJ) zQV9)qw>$ZVX&{c(LAw$Y{Sr6J)LCy$yD32$G<{2~SUBY{nsOCyvfsGfdB`o8wMsB> zofu5T?Bi}V83*M)3S(jxSZKCf*YK5X)@mBY0y1UyN8=4t*%>&;K1c}kdxM5)iKE|} zCT~9i$ySi9V_(7f&RBI(l4i}`l*gEBnM(Y2){`$}55qT^H#8ECk{HJbDw#@y1!_~F znHgU-b*O_+i-I_uyvAe&4LxE9tA5iJWu$Rs*84ndZsMNsPV?G|z0jZBo3h!QJmwu! zk9y&qxhV(3mU>WL6?&Oi4FQDyo6Sa6+hDSNAO%{o1>r7qx)0(If54*gYybVa7vmPD z%ov+L>Sa7dbCzNkp;6D)>6QG1W{oIZF*f?e!-SAePn%a$p&0l>O6A~L%N6O)Z4q?(;b}PDBYzd<KL26?;V>{q@zLcmRb;)&L-*Y%xCq!X42ZAKV<)0^Fa={#)Z7# z4lJSr91PYoSq-eN8*oxtv7V+Z9dCLf29s@8#;TL*Qx2GaFr_%zoNVuiJ|`emRgUA7 zDhr87>@^$$B3Va07=1%RPH{R?Pg_T8@FlZKP@`XVO3!fsK+L2Y^~@@!>{{j}dG`fK zZ@4d3MQcOh@W#mI6FVc(l*1a%0jj0a)O<3te{pn%68WJSaa}mQOB|tirRo06Kp$Hy z4^W*&{5OM#`_7eTZH&*}3#Qd7*-F}`Ea}d*ztZD^*|9^}{$@;kYv-A`_GmW0|AJK1 z20KS|iuM&-AyG}O`lPqzVj3$wyFK&l<42=+tx4uZmZ+t(ML@`pw#0ma*r_Y_i$XHY z=7W1VMb~5zGNl{p%9{0>5-r`S4WmW(hP4(`=+|b(7e8K+g?P0MtA?Pu;nfA}H{kYr0(1?K$n^)=FfDd*r4+005GS$zs;t zin;Kpkqg{*y!EAz=}iL)vCjbmzuXPCSsB-9D9g7$|Hun@HZM-T|OGsDgI} zGA2bQA7ou|B&xg_3-QbXyLh@hqt*9yd1tn0L_O7A9mkqZz(FU{YabqLFtGst$uz>d zPd2T-zPxov05((`&2d<6O&!KavAVv_d^2Ap=h|QJ`wq7Zfup8+jn^C6iLFk~M1SHm z9Bo|N*K9QYDz-XpRgTb<(TD~Zct&iWY~Ojv+mk5a`Xg|Sw_fSkKi_6m*SiwUx>|O= z9xCfnCR;jL3FdTys?ewkPClmnk$gOt7dZW@Ry&>Mj@Z+UR0ZZNRdONwxLNf%Y-OuD zmPzYz_NDl851bwvmIt|+Tg}4zBwy8BmK2n2d$;!VUad5~ljzyue%2932rT(+B#iV85?VgPEV8eqmWwcWmxkzQTm+ZtLr#dkP3pX|3b2FbvMWUcEQWzoKF#KU|xkj%8yu1&%R z@v-0ch|0MxZ0XIsnitvs~6&h)}JB|4Y^C%o$qfH_(j8*!c=gBdY)N z^uG#umW)RD!D%*$D>vb@94J+mdnS+EQsnz!g{b?Jo{P3+`g&RtkmX-BwV5=8F~$La zZO3a4`&$ha&n$nyx}3eAbWey2i%qYYNLb4>(*rpRffR;qGVBpD52Ck62{HN&<`09$ zBe?%ZIuX9A`8}-@Omv4Kqnp-ajc$j&EShum)cR$ffNhA^9gZ}%nAdNa59+9R;T~hK zAD4}U`b}sw{lY4OyeB?`Cs&rUK(4#`JVa{`(Vgbj9ggXQLN$?l62I^?g~#@>^IMVS zRDR(glxP#gcVTC<*)>kdz9J5-cF971LwjuIJ&q^{ek zeevSn=tEVv`AJmaQsDdTFP8e z?ed{v`eDejSFU3*x7M!oT!LBi3(T%XnHPL~8t<4c8wu}lMN?2cgK);{}5d&<2pOD*dD!+W-^I7{`G z8e0r6Io>V?F@hy0!rPKZWFOItl21)V3OBQqL+ zm}CcS>dt2kaq$Slo29Ah!dF%Zf5!)O)5IX?rt7~6SMi}VuE(G4fzz+%XHK8J_Nojk}oUl9$@ zCD+8gd?;`6%7$DMn-Z8YK^j%f-)IG-dt!g#2cYR;0ep<3wC-P%Yyj7ADS?vDX6RLf zwo<(HgX27%wo=&E)9Y&w)5^Am$9m*8><^6n1JXlCp99~@(1yx>v zVRN~rHN91C>K}JqaClmj; zIsou-n__0AsNsOuBw+Tl`ljr}d?e%yqq zp5ks}O{{jHmWx@Xj(s>-^xBG+xkQmhH`MGqjFn43S;pZtV{d2k;3Wr(KUPg} zatQD7io?<3L4-awAHwJj>Q_~vA(CD+BwvJh*tiYRmEF>GM3EGHo>gh-4mWD51bv^h zMaf`6v6GXC|1#jNrX6j{K5kk;-)EW@(p}FMiBsu@lWuzhg~sUamO~~S$s3w&%c`o52&Y<|Nl#yDGB&?mkgcKdO$nIV4wjw% zR&D-<*rGfPrX$$HxtwQB`@=y3#Sp@O%9zhUe4U;Bpl+bJ=N&BqH1>u-=Ww+}u@q(ZQzPVrI}0{PjrQmGcE`#c-T6SuVU|3>7^T-Tl6#fB72d?O@?>zA#9|HX>%?2^Hf;WN7le`-(Q_mI& zzK{XGIsR3q4hb-Sn25un_b%t8Ozm^4HRXUmlnWGfS+k{}wIPoJs9~(wc8Y_5plb}$ zt?R)2F{l4t)E7GTmnn>qQ#xvQviI%%kM$G|MLO55Y`RAnFnokY1p@5>IvCQgjj?t! z;5Xk84yt}G?Z6i)qNrs0Sex<+xb>ptyEpvuKEE?PY4Xl5gXzaVnK6M|p&}VwodDz5 zuu6{SAsU@xPv`RH_UF>GC<#QF3R92MD&;v=&}>CwHSxH%;gNUTCOZtXH8tv@7n|t1 zy6fS5ef8#Q0)9}yQQ!~LAIi16>wZypmptTx@OK0psYPTX@D4f=k%X923i9L$KXj|J zxu;;`DIZH6I8^=U?q<8(#X3#r-=|PJh-nP2bw zC<~U#`Nu`(ZEp9N)mj`wEfU9Gu(aB^L|7dDMG|lvXQy3urp_t*YE3M07S}X2h)P{? zL66#VZoThScNc8ekBk!Q2NsIGF}}sNxugSm<9dh8pNe!+L;S`{?UnLJ2lij>g?$Iv zyeIM%DPFl4wa^ZVvq+ldeoc~s#-yQB=tZaapVUV8PevLqoa(*!BO||}YI>%0cB+qy zvEBH@m%|JB5%Aul%}fyf_W#RWPXF5lWfrH#rnZL8fPe?4mwa)||TI%&$55 z@|;5;l_9_m6y=4m`Z?73)A6FmYey>!p{Lo^r#p`nv{ltXo{9!5AEgw;09+1V8!NVD z_l0eChl?Wy7o%acSd8hxKEm!#=JHmYDb;GzPrmGnptHk!gY)I#iyNTPaI%Y0^UQapV1_5esN%ai|11!`a7@d`=(?$N6s ztSZ=c&&BtF*Y^j1D!hGNW+rDdag$tUg{x18r`? zqH~1G&Gb~v<)2oon9DM2`9p(ZnYE-C|MUHs(vqE8&-~B8oXfrb9(BlpsNEi+C_Y6} zl;&PSb&o`Ehy~}|V`ODU!Z&v{Of>sKtCs3YzrTweLrYcYUXZl!?)n**g*r^^*s9V` zD5!y1Hm`z{(E`S_1iiRnD=JU*u3#GDNG8`v+ibhdKXT+dG#yuzz-MOF%%7q~GScj6?TW?XP+ zt-o9kpTNJLGjiF*TdJ0T-USvBUmvG!)`nG7H)JS2 zieCWOOmX-V8T*6k#p6m}uwRD&?Nr~i7tn#%{y2Nbe{dck@&Woz6z55O} zB$o7Wc$74o;Nr<0 zOpcBncwNe#;((|mx2^kRT3J`pkl1Q(E)+DkemY=Qbto%vyfs3!0u3A@yz)_2kfkf5 z)ZXM=PDm>wnUg>6hf+(Cm!Noc%qPYeh>QBS8z>ZIJNB`6ysU|bNvG}+{shi7ILt2?+myB z(pturv?OE2^VsL+0F7)K1m9kII?z`&5E_Ht#wtK}zeB&AO>F?Q^H1#`cGRn?@ zGo>fgohfa18YV7{@qKmrYz=T{lIY<3ggkupbCjBdyX8XNwI5MIb=RJU>4w?KkjIj} zVSk39&q#AK-S6K(-Pob}G^2r#8E;6Q9L&ZmcDdr$yR8zJF(US(?t3Gh_snW*yh zKI&Ge7>_nzlf+KMy#&bGe8HFfYwqz)qxJj377F1pyZDoi3hj=orqfYs(@^QbnM_pG z^#a=f-zJa69wkOAS#kZ6)$6?7<<*2whud_YW}eXPPCi?Z^R_$F_Wy91t6!j{C-ciF z^RtfiMx>txzXhZxKPjRp(J1-Qr>1Ds%Sj*>U7;%50X{LZ@cw)F=`y{q)7=Wh`uFv6 zgKVrXG3TbxfTe-mBH{H!J3_)x-XfjMRwprRe5#hdA4DnHOQ;&!@2Wz6_pzSEBvf5! ztuotxEtlM`{l}yHtA%U%;C{arzFf@L&jF>@@pA5Q_+P^-H@T;Ms=Vv;!NeBa$j+=P z;a;s^!H7FP~rx!uxIVI$%|4LZgGBBt@L`ob+h(3T#Xl_!ItIzP!di z#bASN!ZgpN+@}tLeR|fC2uuWKnC7N)x!A?ZPsF;D6E_(q*yI53hK*D3e6w-(N_mHk zv2?Z|nF`{w^te1MV&koVCUjmOJ_?tRzji(&1pgVm-u5xz1$NH6%>+Bg zDJnlMvza||i$X8AGwL1}3-+4N$MA5UJmD!GY}6&)z^T>&MxoFDH^R%jPPy7h4LK~@ znP*vLSY>Dgl-bL>bAfrWNc<~}==IVb0m$R9%cJHOHJ<`*0bbiP)B}pp#Sydc;K;?%NltC;rVx=pVGrvs5>Q@4{c(lA&By;g@P@Mx45*?8`4uLtfj z5@UmCv_y&RpPq>ShN|F~(ERv6PpKnHoH?(Zfow-WYi7#lpvV_k=wSgBuT1%L_WAMa zfX5L8y#u=2e0zY?IEN#^idhx<>*qeiYqPU(vL#}Acl)HX$mJ~TUmS=ByW_tWH%y>U z%r*^os57L@eN79SPQGlhK(t=vL0kJyPUS91Nc+f1{zyLY7-`Z5eAqO)xW3EDi}+Cr zUv!BlUr@I|e9;MORl4XW7X?e1B?|l{KbZo5hAsW{Vp6!@mcru|Ll=m|R_r!z;fZ$< zQ$L(9Y+bVfc#(8Z9Nh9scqejlg{^IX5SU z-4|HJ#SZz^)|lZrH!AYj)P3;*_GfncJG7U=9_N&u`TJ*nebW zC3JEV*eEp$o!0SKNf!XsgmsfSLOdpLr zZucMfXR%Ia6AoJ}ICBlKwp^s7}df*jrR4zN$tF*!e+vZ>Y zhT#qrzOeI2zDVm-_#?7rIno~~!F1X8H}}VIKcv^W`F&q zlHR}be;~Ezw>R!Sh#^}_=s})5ZK(Xz$djm^H2q8e_CPl;#(l@h^!7Zx|A~aJ8Z`WD zxEOl=KRP@@FtLKPDt5Z_KeuiH>NfkaT0XlWvnkN+&NtX9+Hp$;2_)c;M}k}@eUlGD zpM!fG&@|>Jhod|PcQ~jx0P*{T@CD!A-LVJE8?&R&TZSA^_hW6 zrk8?^Ew8hE(}Yw{8&*9Nvw7AQle~8biG3H??}p@VeLmadMP4~@%3rNzH;VRzOZ*r;T*Fq;`Y@CS8t|GnVa$*-CcCx#L_gdQongECH^n) z@&TW6l+J#iy6o+nt%%(SB`i;|f?Y z`Ju8-aEplst_)aIXOk? z4qSl?C)WlojNqeIpsHqZBAhRvz1lR6d~$09u?cJ^K{o(kwM;}xqVsXS&pORBD<=Ln zeiTSr^50YVa$)Qj!rrJ=o{5nYDMfTm!Ne<1P5Jd5(ru?mXORCiPx3Ao;T1Y1``H!N zp+QS+r1G{CHx8V=PU;gTgJTmj;v&Lbfv-YL30Ye+IGwuV5$B7ryr2-X?;}OkUu4T{ z?DucMQ7zrB7B9MEaSfgmbJ>2gajgym{v<;`QU+}6MY=%iA}X`7F|@I?a8a$YvAoc9 zFK-9}XM-;$CkM38ZMH&oXCJAbG&U734h)0m{Rshf87FTv(qB5R+(8QtP9?N@!%5`E zgZGlCzj9888OJYeKo;TZn`bf`X9r#tC++#s_*ZeB6A_26l@Jhe*x%uJWK9vU_3STfgEK zt{%?kr7d)ln34}HyqlsklA^O%&MheY0pr6T{q@wjF16fpxReJef`CWP$Xp zbr@+Gx&te1exx!-DGu8m5*yfO>NBkaAobmvIrV{OU(mt3s!9EMF#NEY&W@G-4{ z6H@b7#p_jFZB;m$=qy@GtC_kzxq|>BaU=PZ4b>Qf*rHUM?k>yZlG31* z{Zl_y6OmQZPUfn?FH22~Rg7jbN)PA}!g3$ptM{t7-*PvI>H9ihD|UykoL_x>hg)tO^j9$5KsCMSP3ug~QTP=n*;`J+>+P{2TNh;N{4*Cqu0Wc64 zjxLa&D%oOX(i)8+jKM*ItA8@5Y!|;9n+UF0vLcl|#AsP$<_I&b-d%mR{fkyEJ+lmd z&lCS`zGB&7wxTegGyLBe=ahakFK$&f(M>+A4lAc|5$L!t(V0eExlbku6dGv{u=i`zU?N2 zTgxe;Q{tb1O9R`}=adxX+U$AK2rD|N)VtXtN}8=NY49FXy3^+N;y=9Mj0~yf+rA>- z5i2?g&0JzQ{iluAIBHTbW|xFm68*)n6Wl_}=EC7wd#a~=XK&dkuMSI*+atS%5#zS0 zUeMmjjxFEJS6cGxb@DfHpT;KnXDwh0-|SIxE`fP37QiexLEuz)8`pjtqXl;W=6?`5B$vf+>=L27C!;{}Toeoza`L zJ!H7TZUAACDixPfdE$n3IoetcXt?d@$&7aU%U=37urk!HV9lQnXr{gUNnI3ujD{#~ zB>18C(T0^f65trI;hEdr`kX>PJ&(nPbNK*A=UdLvi8JXc1?KNM1txqh`uJ|TB<<^V zr~ZA@)1b>+d z-<~bSc@IUap?&wJtd#;xdvd^EBu{E5N$K3Vb5yF|`RGKo*SC~!g`unZgrb~l)<0dn ztU3QRVDHc1_|x!>`!8f}F1-oZd(3t*#PXHyGfRaZsX1A2^WR-j*D-20Z(>+PpSuNo z_2`UGZ>tU z>pur&6OK_Gd73ZH_;8^rA@n1MFLSgOv?ZeVrPw(+<$1A0hwc|QBr*L84c~eqq)I*( z-E}vKOttE>e0VS6*pxd zc;`0S^_Nq@_D%Fdc(wMp2bX3BER=T8&|lDSxfeImKfaM} zQZH!?&e!q{GJf%8Ci$_Gksxo9>jUBM71kS}Mr@{p&^j`MK76`k=8AFiseoqFt>tw_ z`HOl#O(_gQ(n~)==FVq5Hy1f3^LO)3?Nk{(DUWxPdZU$+y_kuN(AYd>Msv7s!b6mL z)8NH@<8`m!$u=2+?uBPjGY)ez4m?YLhCKWZd}n6Y_t`P$rfNw=zJTxVa}~pFBx9pk z2|dGIgG}D3aYmC3){x_Qd_+n2_eqi81?E+sF+MKem!g5HC$V?ft#bUGo<6RUV9t4`c{QS-P_}=R_}IG{Dsp}%r2S!5 zHtNCH)!JW5Uiq*Cq2aEP+xMkN#X~|apZkkdPuT@n80$PA4ON@5;HrWV7f~DRLa4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*KMp3;+NC005{i0RR91008C%0000000000 z004(E0RR91004La%zbry6~`CvE<|uka0(fYiWiAUZe`H{Wk=VTfj|KEC(*re2wZaOz?@_u=bY$Y~HUGnzQjc19$M@z=EB=nVl zNE24{ zzavXp$Nf%s4Dz$&!Lpzwi9t&3?sZa%^ltpP+b*M$%k}G!)l$w-0%RAk* zq-(iYhZsNbzsdjO@{#}8Z3h0dik0op|AL2N{Qp)C!;hA1AWbB6IU8ld<9U`egXJ<$nnlAnsx{J zn!ICQ;AUCz5hr7F=;>ryg{qZ}8Br^#zy<1IhVe^k!>> zSW4&9eHJ^aDb8~z6@TE@+cpcFqQddM)(JJHS=)d{~FG^cefJq7F4YK#) zuF7I?#b1&L1`KxiCqI?dSPm`YViuj+5j2}uOrqQY@wEDzFUCtH7#lW3=Ks+BzGlDs ztp(?^m0$uzX$b!=b~rsZJi=dqMN_m`|KPfbzUEMzyTFQb_}XB_xdU9~VsF-!ul1={ z)YzW)cZ!L;yfJ$o5J?i z2EXw!b3U(=)Yn|BZ5c9z+DzJ#)FgSqS|&7EzUv=c;%p58KE-2+$jCg%glNyuD8c5} z&S320uo8IVle0|8k$PsXZ%pAeE1B*4FEw(i#I=`ivcBNX$(>?p^^Hts{Zc)R$#1QY zO&ATrp@10vpf~zyBX!B`6fiu0-)&Hd^MHHl1v~Uz|08bv(ORgNgw7NNoD)LqGL^$I(93I zO$K;96Sur745n*e$5GV#y2dgE&JB-#&AQR!TTY?yfD?4Q<_801gScA28r8V5a%T23 zH}z;^b_p72d|~%dcO?G%e$pDsv)tSK^?syLTnRRs6`<{h*_!2#rE2v9&C&%L8eiU6 zAsb+l4-jk(H&*8g>uApgUm@6Irq&oH2}h=100s`UK1^2+q&HuG8euTN8G+t^ATjX2 z8tZ%zmF+Hr2-t*doIEsbFD$m*8A?SKNbo8~C$!cR!(0JYi>{ClXkO1i+zM}5ijLEO ztkt_VwCnUH;i>*g=N-Y?bg;JKMhxBj`90;nm&sVGlzIo=@(YsY!^%^rPu4Y5;lV0n zpHj;szE2NF(rk7oj;2%%FtsJkjIXQ~LAw|r;9jfnHiB|bm1>!qGSS{2FuWInQWf&p zTHOroofWbXYH0{Re*te9cIzlj^wEVO&h@wJpuO&>{5*iE-7Z- z-~X-ANh!4^BgZJqkB2o?9g8z1RxC{7D$DBD zKcEhY4=zC!6Gn)nS^rhyeC#-!^aPAvcUW8M_<49RI;CSD5~F`G5<@C@s>-PsOI>x0 zL2|*B1~S!P*7TMcJ5zAmv4j~_7Nyk(fXo8teixR|pj#d#RUV*#bXu>R{exRxmz4*1 zmbCYSwUl5@(Jq>LvtxN$bl__iySP4>Z`K~0HR#gP`AG%K1hS`aIg3+U7Is^l+M|yB{+O ztF-qgI>KZ0gN;v7iV3D!=feaeB`0h##O4NyaxAA6^dXk=+~c8!uYo)t6KO9!{+fcu zyl|4bbT&=zax;x>DruHNN_&GE`Ax%kI_pQGw;kWdF~Xs<*0StL+R{jZr>LAd2(ioY z>eOmp3p5KBcADW~n~XIouQi8YJ<)&b+h{u7BfyN?(a#vboQtH{17pb)G;8x7p=zyV zNyU28xL$Rp5>rp3swt63m>7RH@B`V12mJ%XN50HT^2vy+t>ydGHM^SdF#M z=&VD6r4{&FKlpG3{-D#0XEZNRf*-L-#OTieO*;!`SDe3kw%*vHoar%87eqc!o$)jk za0HnBa{giLQtrS5fu(Ig6JXa>Oyxw261DTlD!tUGZ>q6o|H zi&~DEc95a>9OR!8eS;}en<4b!_F5yC0*6855Fmd?aWt{Bzd7l_Gb5#v>>u=gf#zaO zZ&N?qTGS3Dz3>fRV%<*UfH@WC(^F0$_|{%P8^N~I*Fr5%c+F$h-ChFRLgh6-pb<7v zc@6VWbOIKw0bIH~KB;-=hgqqD1PXk4gn86`A@}3KSiFjhln^RiRz5#1z_#$s#FTm=p}U5{4Lq*u4jLxSuDI0%N>|pEF3(#(i3H){X6{Ud77_ zPZbjnCtPs`3uZkl)r2nGUMGyznsJKyd?JvKfpjV>hV^dXNTCEDiryjU9lE3$^{vpK zo^(HIWW&gn^h$T|5#63z#5`1CtMQwX`*)a{ix;PUw{A2A-whC+T9kPeR|&K{54Eh@ z)8~3DAO@{3Y-PrQW4FMuVQF< z@jx>}>FvfN1wIXZmPONi=4t9Rg2YnLoPTRAj1d<&=CSMu5w!2HO4Zo6U+~k@ay_-F zvKSPgo#)EKUgAEId75L7nhUzLmNidK>R&RWIc|A?5u#XgfzRyfOf~cq)THABqn=`o zpE@}8ePH1G$zJBq@qPL?qkxt#ky2RM?gs)em?LPzqhw+$*n zP%O*|F;ocF{b{BnQpsJCd+W8p+shxj(}i{8=zi%aMYO#<#BNA5C>8@eu17aUQk{by26f%z#|AwRFAdsW8MG-hk{i=# z6@o#&*P|G0U%WLn`m{k9bOTcpqXCx< zPK}crFaIYlBq2ikD!CWJbf@_*OGT(LA==2Pz^`DjQ+$uUuRt`t9~EF$yT92urNBdh zw>~?QRk80$+I6LXh|pACi7anofW-^Nn)cJXxzsKwTCir1{!t9j|A0x_mgpb+sJNUm z*%7)CT=^n1DT6*vd&luw8FU2v=p(X2sEOcHiRbpP7iM%21Nnh(B{avh*8Cb8E7$ikT?t{EB z9tJ&kYBTLg+B5V3WeN8-KedfA>MDcgfk|>e@Ps*WlxlE*dHTjv|eW_plV*#=JVsL#izh6jAitmE37O}GDU3{zuhX2{(Iz4OmLMXx^6HUR);WYR6_@n_WZ$kU7RR3Vd2>BGbtIEhOurlb@pSC-4 zf?CpRj8=@k&F3d_YS$zYD(*YaiSjqWJBvhq7?Ce-$hPSO zwTmhs49efgoLUxh*fPy6MCOvt&sbIvRGe02B9ib7Q>9H zRT93Ypej={s+vX48TAxv_3Ye|Zs$qLKR4LSzdqD8;o?;w%{qgc>9-Thsc{Nl^Zh@! zTobNk2`phZc-*LdUgnJ1bwwF#DvK?Fv5tP^=J%!(C|j2QX|V^Z`v$juCCk`8%3}E- zx6qoh*y0A49Xmi07cXjr$WQ08l&AWg3z%)!CJSn#2FXKkWGr!L$&31%4+1-yaYN=A zJyZymW1VXsz+d*D(=>j9tBh3$1QAVZ-|-Api?i+hzed3_rHhHO#+A=S|9amxrl#*? zjPb}NlV&oZbFii?7Js{g5Y9uaPlEAveVs3c05@45ydhJ`gch*eg-mqUcze z8~tvxP#U|z!(!sLk4(y{{J&`g z+cTNhqxTtCl&6Y3kQRTn%6g7AT6xWVTapD~;w-LXoILcKaHhQv{LQs`M|1igp+*!N zR8&3f5k%s~%DzXb(gA6)RX&L^_7Z}nhi;k=i={mU0?g{a_yk2MI-`W7U>@IRc#yNvcn(FG)vigC9F{re<^1w=O1ev zRL)e2^`rZtfGFAcF^xO;z1g(e3}ck)eU&FB)_LTXX1k*4)^=|Z-`{y9^7NXa`gh1J zHFi&=Usrhyu?f(KxOMZczQH@n$dU>V#Kj7DZ@5_vt`O`n*!R;)$1-~r8oiUlF75Y^ zrm#hGh1~Y?dNK5l!96$bRHFkGLc}U`PX1OPub_Cek)zUVpv<*6fmwfn>O@tV=l8BQ zsw%mQFxPqpuIa3A8*HZh+2wvFc3pX;OZ->UPS%=CKOFXuTP7{s)0az&^@XL}Wt7~r z6%#gVcb~0vIMvEO){!Ot+uw&!WYk;{p=lLuAh#OB=s`D^QJy0nEB}JFX!@<|8$9i@ zr2Va;-GgcCs{mK2XGq_)MyR6Ak2FFoAEb!F*(XusLaUQLbtnt6KcdmY!|7_b%}Gb# z2dq=AESh|I`UlrYwD(EYvM>ox+(Wc5LdnaqVhK8>6Hd}w&*jS@hq4UF!^1`5Ho5aw|Xt@-0o~en6M{$UZ9BD6*$Nn_Y z;Obo_51!XsT3av>(lm^QZNDc3gENVFXfJ4l?c%C(*O$2Fn4&xt{p7XaLQk4@Ff6I3 zc7VcS*#i3BP4(#K;BmrJzfmR`0h91lHpEgUfjihH*#dov%iSI92SI~iF#-NhcaS~N zy1T=VigpA3pmhg-?zC-Je9HawDPX{zwmqXd0BfX%LH{3Uw_<9-9WrBDa1IP8+KAA> z%HH`vyD*Te2yJy^m+@M-HiJY4V{hi+y=5%DY#nGWO|jZ|p?Z6RwYjiZ>6EAG=+nF+ zai#O(o=L9~b;i?l&&Ox3TM}aW1`l~B3zMBnYXL}zFFiS*rfBnXb<4WRIPBblU0^V? zxO@->>mWz=3Y*B8QaAV1TxtBGMk3yl;MAiyKU)nRo~7nI$xX5eGzZIMU4UBljd9Y9 zj2^qdcv}sR{?KgS!+nDXrl~1hkw@$hq4VtMSTlihs?vFA*Hex#75;+1@qCX}vPuj3 zx&Igu{uka`A>KR{a=U^LEuxd7<*MXUzCWS38_<jEe5=%i}VviQHkw0%Ik6T6MHeVVdk==^k9m^@AC$%L zn0SJ+SS8py3notPkBM(H@>D>Mg&FOH7dP&YiC1x_cR((lUY$YiQ^;4IZtv)&9{e2v zWH@_^wz*IV+SzP4_37+1vZ|CjAIQs~$ucyGe0Ru{3u0oO={IPEYk0mUM$*SRa#>;! zt5MV~y#Tla1F4mRZAZU%v{SVILhnLo{^;C*mKW(pbyJ5L!Ax6JdsU#%Y%p7?$Vi&+ z%p_)1h#En=K8nnCXf_m%q)e?PxFX9fRxLu9s=g65keYCH`JqLb9!(WJj3Z&(wSTjCf6Re5Zdl>Kd`w)BwMaaF6 zKL}s3-QmrAhY_o~*JHvKuOsu8gzYn^+>s4bbJ{Xvs9I+_1(AocLG_&Xj?(Z=-e$5t zml|8u+#o+_H{-^-)bT!jahgJIl^nE_%(mz8sCAaobd}4kHtqE2$qPQbVKVbs z%HK+YB{vLt2tQu}_Iq7FLtpAj@bA1bC}NHx8znfCl6xw= zMI>zRi7Ba=A;Bkj$Dxq>2WWpfH`X6dyv?QS`kBu*IF0nY<4}a4pxvHH>p$F&q6`tT zH~%f2-~r0_BWQ;G9!0qpN^maUM8WvMfTmr=-JAD&o4Kd-6IJdrrPj_eP+G+w`+tn}VysbXb}=_*2Z z!c(#7L2t5Y3HiQwAo7~ONZ3(zf_M*wyfPy|>Y=%F)X(g5Vx-uw zXtFa2gGNAWM?s-|{z!@~Ei=<<=190=1*qjQhMMl-S+82(=1ocEDBwHeF528Z6uBeEi9{6cM?A@?>g;12d;*bCh76dkKGZT+uDC#mHC ziM$JEMG=CX;0X;7L`rKV;!7LPm7i~BuB@&YZ@R2pLXrJ+K|5GQXj|YN1`6qZ^`exm zvj{MBC0NUaPZgLI-5ngq$wLHi7?|ewgF-B2@2bVi8HL&2uGP5^Sgi5Nxst!^Iz81(eYHSl6*X_aBIa_ZtY6~hkseMLEe0Xz+ zaaqaDGcTu>5$<}tW;CU5E%yY!=7cSDE+h7S<3TS_ryBCLGypADBiQk$?+{{KecH;xf4Mds!OevWO)Q_KOjGf#bmWW4s_-DThaSE zJk=jnuKRU{nGwAMAk}H+#9k7?qj@hDMf6SvjWj`op7-xW!%M9f`JBf_Nn4`C>Y*y% zr&aCcxSUilgy7De-&3=9L&*HIr*TPni;JN*BUjSfC@xI+m4F|W&NqVdEATbH=m;9R zPiAE9Bxx@|!XC%gw>j4u7ZnSpBEBJ)?!Y}04mG5gTjc8d0LCeNZ71rr;gC+ncS7M> zj=$B6ibo@-eg_Pgw@ixdIEeo2*V!1S9C<9qm<26q*Xl&jFAL;q>|%wSD@{v7xo(%S zFFW^i98hU55YZQlHS8w_xnNC51yK$&?CyRprRSIAlw+mw8cPG z0llAs^BHAgD0?0`qXIEJ^4Bh*_n+M8?qED9tcW3YChp;9hnk(&@MLeYG6~)+)8cWD zda_qA@>ip;GUyc6s{RG{+|Ry=p6&55*XRG!xTMswjJdKT*0!JD}_5Ee@V99Pg=(Z+irf+H1zlUnod+g4c6Aj69`!Z%M~*k% ztKQSV^j+?6-Pkyjex0D3>yk}03aa6~3q$laKp%Y|mL^8YbdUFu#h07F)o*YI&kG`H zD?z&(8u#m4R?A!7fuUuAWhcO(N-eJnRu^g!L4-nG+w8^noY~ouGUt>VIe0*qO09#$ z&=HLaqP01uImEaJYTtrvb~Hy?_op?b!l>Nep~fN=29KDm{u3xH|7#s3oBe`rZ1~4$ zt$gh{GW{($+~s55=DtjI&2xYJD2iaQ$0P`NVO6X2cgv_z9%=7I%$le?9)f}67}b=; zx^xY5WLGi9@xXiMz{#7v-RO1o5NcoJH-pCrdCg?5^l?y2TCXUwO6E0plx}WJP?@PW zAp4>7%RjB7-xtWtG+AZjJ1~hrqSy|A<88a8d6x)4xO&19z5{D{Hbzj1 z%(|&}U1MMqvjBHM(IGyy$QDZpbpp)upAH)nl&=YhXhLtCrPpc5d@`ARh4q{;x4S$>+^fArqf5{tR^qtFHABOp70n(SH2clY_}ua zE}GCg8^*C+9mmp&F7v3**C9rTN}BBAPHj2les(>Uemo#oOfIpcB5~zKx~~QbDnc7C z9U!(^i3q)mfj=+UzxGEz8j)TuL)qm!mba|qP|~V9PHNggg2S1lK+bz^L$oZQpaQsi z#=Wk+lEfpswh8pr;UY5sS|Om2Mw=NeyB~iXqlx582mkCunY*ECzkJ$`$eb zEx6)$s-3!GZO@%K#yds(GGzK)n56bGy24vn?@sS#Tw|SkAm4ppBgMx^ZWyg@Fw{toFL1F>hG>66_^q{8Q}4;%=IpkY z3|;lU1-$J*VN%)$6uXqn3U?YA`Bl2_4&=O|ZD~11L{ROjGOsn~@E5Fo&tQEAkY}uL zj&6jK5bUH9EDRzGF51+Op+~o6W_rt+N%Xz}ZxLX{dHWZKTp2kKrJ4Y*xpbUz-XJs8 zZ|{v(%3_D@-mQE4nFltuHZeUK-(>$1yPipJZK%Iu%ug#>>X8Eu?dX4mDWiGHKR;7yb>cRsT7Z?jMzY z&R|KgB6{zJ*7_a|rAi+q7>~3?01xK-tnP?V_Y%4|-!E9>Ik2ULG6jhYxcI_=E?9H$ zA9`l@QLxj)_Kt8>Nv3vMG$Z{F(Yu8*{A(-ZVnt#e z}5HKJ8~*GG(%gmg{TZ>9;^e9YT{PYwB&l<2RT*#mt{pFdlhllFHu#;BBg0IXSv zL&y6K^jG5ey_mC|;QR+Lt;3*-wG~a*x{6?hnpZ!*IY}971&Sj0ghJjJw#*5oo-6-| z8Xl-93Q-k9+6FLP9YMbR=tF8Xq`m;dQ-y5*Fl!nd+4|bz5#5WIy(75@7AE2SzF2wT z-ikI>CBI{XirQfekjJ5U-zS!=qB5`9Vc_EYO3mX`dAJ0Z=C9kiK>zg#{-rkQA)BGhG;F&C~xUjpq+?NkCZ#(k3lqUx~#B+SX_~rTqxB@lx4_82bWaxDvCL# z__%3HN1B^3hH3}I8~;F!xH!fVV{?^uma?QNE-LI#s=_`54e&!_r?%(F@ANH~lbAXb zRf&tADr$OKM9B=^%+RKZOu2P9b}2?`65Ydu~} zQp}0|0P^x+t@Z5_G%Z4cb13A+z}07%M5zU=9agNxfh%6{;YE$(5#-%fPE(=B{~LR^ z1YUzF@cpi9=2{-oKzv1pljxS2*Jk!>+Mre+A>P}<-a?-`1xvovWytmxa z#PhK}-J+?@0YCHp)KG(!B`;oA)bJj3h&HM4bc)@io0H4*Hu9*%^#X#4J8S=am`eXH z6IWAKi-?kAFg342J9ULTtgKU%bHWu%L7&qYxmEShG5T$?T!m&EB>GSy51RH}zE~<5 zCBeMgM|x@@Xk-cus{9-$H1JGG=5x+?ycv>F=yeZ;@)TL_%)z_JV%sjNd%Y?AG5qEj z@@gW%wn+-W;kQ9<&kMI`W6-e@Cr$B}x&=E_gh}}MAy0q#YdhtZ9yG%-UYTSKdWlmK z=A0ftOD}}c<0i9RtFig8bY7D0(MQjTqEx*6h6z_$*10Qy_d@Z$o!3)LdKo3vS?3~v zOT!ffN2>R2M>i2AqW4kTVgtrT)95$SV%J$~9^tQ)isZqnbfeuI6!P^OL>qd`|pUtq7%-vk2%*Gp|^W+fcHj3Zz1x0_?q~X*eqd=L~rpopD*K@8}!Uw#KFfPxLq$G zSgi+jDWlPYhDR?p#6$`=Oai1f_zNy_jDp7anMGpu@xp(irw)NqIl1vW!}a56(IYaq z9eQJIQucO{XuRbaT}oEZeDSuEah~NCQwJBgMZjkI)|3lLYuTV8?YY2ZpFgK&9qXCH zzn^G?s@}fHYst|xeQ}i19F@K8Ao_^qtuJVrmpVqhA4>2zwV2~Vemlt9JlwOcIr4Q^ zv6v%rsta5&Jc0^OPcH^Z{#Mp&IAccZgfLLvg2fy*fPB%J*Viol7T;~9zu2AbXOiCX zPW7G)Yar3siRvsbv+G`Ts=`+=6uQF2%`=sXd;@-grH`1}o z-e$``8yVlTT3k^w8g=v`4x&N}q%-yB-OL_-?(R&Aw8nLv6Q|21Ekso%sSSe{@_8+; z7sOZ!EkK=;fM>QEYW*Z-EDIv@S}{0EYE_<4XzLL~V}QaP%mb?gH$~D60Sb4p z?et&JvAbt)PMY$%3pBm)*}ZpyLN2zTpt4K?M$!~lZrnG3IZYxMZzz_my#rUHP(HaM z_e$)nor6+y;V*aa6V;p7&MY0QB~gpb8oxyyVl8BF658BZ(*7HBqGyOhcjWf`+Yjn3 z?P*XuK+`~}M?DStk-bG6JprEO<&M0PvUhr@JDsP_ZD$f2huE8w%54kw9Uz(b|0IGT z*8rg5k%pd;KO_;%2Csu3jrDYgG@>gvSy8VAda`C4^aj*&1EkyqvkjUWe$L}%QeS(i zSW`rj3TC@vE7-d%8h7M2=c%~6&QY9{wym|Jz>^Vnaqn$M$$5Jma|hc7EsV_6)zjYg zCX#a)OBQ(ATTN@8h5ZQw+p*F*vs{``s5D2ki-=i zvq6)1OBdyeqHibognMsWZiV`PSS$uXB<^wVZF7!jvOXX^xr1#-PKDzC4h-lHwzY=) zbg=~XpJ2RvZ;1^1pI|(2(1m&b6AXxaUCSM8vz8x1<>3F5Xbs{3parvAX`A3%?!9ff z`LK=S*+>zUP?Z3gc z&i@>JIo)^eG3eiQ(Oy^QnsVZFJ;CRhQP@ zMeTGf>z15wb>pNUN~-iIE$SjgDCF7x_|rJ5;-3>-h!%wu@$=uY)REts$D)SVJtcUv zo4dTV&FNE5?0x@PRUX)?qE!clB6Zf$-J!C=_E!t0BjIcO&Jpj#N_m_j`K*EWwe_F_ z_!if6a9NVw1O!6g}U-mKnkM zsx9FZ@m6kEwvP(q9cX;W5ESnY&L$btw7T5U>d4aR?%<`$Q-6n>4uP2NU?sMg2I2vj zX1RmyQE)XhvWk;M66AIeiL*{qd3p41tQ|^odj|BGj^$25I}Pvi5M|9njE_|? zkg5n>w0yhc29Fj(?b*P&_%x+Q5&E<%k9aNKj*{c(Ex^@F#nFxT!DgB&$%1gAqWBWe zv8;`dPT%Eg&Pd+T-0)_ZF-=Lf77D{!u6{G)G5V%{X0iJITm?}P4E$$A`Q9UnCcTnt z*f{w4f0XZM{m(lVspKIT5KZP8rD)cX0%n==1&w4%=e&E!sq^U`{2ui5vGgwLIPE`l z$S9=Tr61X~P)%qZ7W?QyIEch|3jcKD8`^g}ZV zzOB>}0fh+)YT2>ny}6E;N-*A{<3wh1Y6~t5qupsIP~l(u8s2Id3NOXz-@v?IYQ@sd zw_akJ%FmYN+`khewkpHnl-6$TNpA4-Q+cJtSh5wmS((vEt(s4zZ@)`0IE{N=(;E1i zMc+0wcZBye4zOBW>ki`1U;o-jr@Bi|Wwl7!d_s*=&wW2|D~VUr*;NN-)lXvnQ#@t`*q8&s@2WcOk` zuVJyh?bcGOi*k+YJr6bFInh5TsnWr0iuSr~6O7bqpjyeu^}9eGJM@wkwVU z)48C!9Z@`nR+He}Y$H(|T!t(1nZr(9Ay3;fMUd?dO@Wsm!1Iy2{95{*RvpZ1=_8Ck zRFvSnJv{K_#|RJqq31sr6|QLO9Hh0=xaX&j|Iq6060A~-*e$H*#XZhL|4{Mf61<1y z7Rh57Aa}sIqKyT#EGi5tg3L73RqM?tYF}4Y9>bME<3N_zC|!@R@Qz0owL141c=<#D zKBqkK9gj&>8@XQT`x|(9S=6cB9vwqrkDrRnREd!b*7Cp_f1$Su;FwjJT>Fv1xV$P> zn@F{)uB8{n7vd-(c|Cx-Hy}0E$Pi0aO8cADKJ7Pn_msS{GhVAPQe##=XsjItntdid zHr6RuOuUQ#$+*bJJl?mF=~Hit5yK|&CoLE=956-hfElRMn&vzT)%FlUo3a2I@dUW_WJ*^vP&dl}9SjnsjKj+u) zH0=i8qmOx>$xJtUBZSKr#bc5-kHpmB_%jPb@~cp@%a;$*4o?8Y&KPby#zjPl0&9B{J|49LsYpgJZvMC&-v9==87BJ&uc|KcSI=GQhKq% zF5s{3e!XexyD8K(a<=QO+5=b>c#LL5n$46d8~=Di>@e5K?F)eXAr$Y=zY=h)m5-QN zY+ktByh57j=e)y<9}GaSgoYu^atoN$5Ic>paqj!?v z{t*>nn@@2x@Kb>K!{9TvZgEu4QI#lyeW$Z=rovqmsh;3w1agnTyY7swir$SDyJy?Z<%`R;^1d! ztrMEB$xhR$^zxY`Ea<{3KD94!nzHZ@7~qdHtPR%#5dl^fD^qQySi2Xr`K12D>b`8J z>GXA{w6{vg+A=nlB-3vDbUJ%czDQvkl%K04_I~|j2JP!DUv<*ipn`TkFxdt7C{L}) zzY@S_@XG55Jb@1);^Xj3EvBE#Z=oX7+PSpmM8|I2X!rJp)1I8Vd0@m6@kWp^i4z^? z14aGCaa1=%z6ya+PAKLBI(|k|czrz8j+5Z5JUthVoB|zNvYfi29e!erAzmX&=PR7TeJm_Bj{5(AG2G^XafQ4F%rF8|CnwpFD6W48`RZ%-nz&1 z({B=NFWzw-$XgZR4hJtjrWuJR4JoB*d%<}cxI?P2$FzR7>}^}jg}im6$22fhf=m6o z_*Zf_?%2!N#heSJE(O_N5hc4F{2o<=OxWOD3^g4=_Bk?B;LvD#{Z)cFhTH;}pUt^8 z4fU8F=COh0F;Z?e$9Nk3jeMIJRc?|f)U?{s<7t18bf&V(nS@}^SvPi_+9O@0W46-E zMFeL?_{7ttH7Vt%d9-jL|Kf}aK?A|L8}}SEHqgKueqvL>MwZ+40PH8+lX=rxs&QWW zIbM_!nF)^oz!7NSBPd|MSFcSO_cbtI)ukOOpYc1597K5v>&H$|gp zFI+s*ut%bH=*T1)U+g5q*?1}ePFFjLK9 z2dE**ewhJpQD;_li#M1StmP;cMSDg_wIBpM!k|pDXxg+@g1wW3f#|JhADZE3G*lK7 zko>F#U5}YeRsUQ=ADTTdIF-6xyu*~=OVapl!@hOJt1r2kH8C3S^0iaviG*f7o4Jk> zib#WQXFZ90ULTcQ4CGeGs}5dkaIN8ajk$A*a#Rj9F?;`_hPgYirMNRbRTNzsE(OcO zyvhn&!uSSdv9R-FUCHBA0$YRMn{aA}%8jE#eP!)ni`@+&$UjfRsj=L6I(=P&?aguT zV9@?(l-BYWUhJ5yES3{qCFpNB$_L6%oIuY?%oMK^3UA?~UHP}ga8HK&u{7(7{7s3& ztQO%8d|VE{E)2D(-c@-2Ij?1SUO{nZ>CL?sRx0S5+YVi`7M^U88 z*l9B^xz^8Jz5nnnLleFfFyEKYVN_Eyt}n=Vyp-qEw&r%yZ_6UZ`uR+?ex4i|uQU=@ z_{JqPedhx)*&ChWUqPghKcD`|;Gt8x?;|#y))LL2AAQYQy&9V3e%NY!RoD*ROC#$0igjem%l=w@AkO7^Qp?kR>~&-X)HHXny^j3f z;B9Kb^uNLIFahD$Zg?FBs&hxKCeYd-*c)@UB(&}68b7|`v!NK5<=(r7TFbTIq$OGL zNqP@dtSy6+b_5Fd-pZaDKbGSF@uY2sPIn-`h6#du?+myf*DfIER}PaRw*|Y5dqga_ z_qNrthd*h3VLx}U%^E+hNc=r2cW^f)H*jlzWAt|i*H&`>1}ANb#vKeTijM8!r0dbR zg9`~5HzV0t+6FZ4VD?1WdmPk__ivII)CTW^T5#Y%64tO}C-9%(PdZ*vNrD`=3-Az@ z+nVNy+)Kc?nalyAU&dJC&YInu_d@fWgAJI3H9L1K2Wz~loK)v`xRY4g$Mi<8geX=? zbKLF$Vso5GT(6*R!ASlOPWre^?!(5z8HJh-9&oBz#UN z#g|?{Ufn(#(-d!;04@hDT+Hlm)@j*DERd&ArDQ&gW@!LU)oC@Qxg+x$4x$sZYaw5j zL-SKgAM@k(rpSby;_eH?-Pl-Wdw>Mfu?uGt(5Px9El#Xr;3!z<*rj-jl8B(Zid)+ zM~Z|*+C0J5tPEb<|C`@iQn&Bxo6Q^iZSbsDUb6rxhR+eVyv!%*>zaDjPey>MG^-;* zv%nwArO0GnP1{e*4QyAu0h7GrMqipMlj)yZf`L+KCJ-G{!QQtMeC(ZLb7n!ehBL8k z+qP}nwrx(5iEZ09pK#)dlZkCVv32IHI_FQEdOz*zTD$v0SNHB-xb8juBjG)Ido`K( z{669I6J|PMQt-Iy4{B_FF<1Vy4PZ{*9%#pzMLTG$|8N`%&d>|{UDi93JHel;u+ToV zi$I(^`#M$c7JPJLm|NZ` zmE*%K5jTFSxmCA|OC|2hH5qRz7w(V&yV&X{SIzc8PA~ft^$dZs7urn*|I{S?W?TK5 zITO}kv|A)Y1L;!yT-?0t(-$2eL3l>!dU&=_2QlaVwP=>0CbeRe47B)Pgta1oi8jNH zws)puX1CYVaRouLNUI-1DXRsOUC+_Uo}AhWl?#FumM(lb`5IKG;g$sNF4d%`r8ZBv zqiq{c2emxa~XaS{kcp+@|xVHxthF3Y5^DNn4(nl zai^i#S!NnQhf<@KvfvS7R}w#T{Y!v?5!;B;)wF`(mcAS*XoL0f!=`+T-gwWGsDNfA zL|iM>Nj2B@_e^E|b$TNAy^@s&6GE% z)Lr<0ANdo|F9=C3v(xtRoOnN3V3YLdV`0uRjDq#;N>pl)OY24OI5qd3bnMrdYhHpn^E}p4&2!ua`hPF<^^1gP}5U}f+fD5_Qmm$R)ODzO(T=2vxj!r z)C`R_OINr!s1kbdGR{uvdjHOedTqXfplq|?WIl@4^w_#;3MPTb`nY`3!zWeO{)p~= zQcTC(W3yi}v=~%y(X}ray>w(8w1Z8ntL@KfCUS-<}4@w5zF`9xE0@doCV^fBm z=D5FL0Kz+p{O-PSCry|M409?4tUEEB=**@As!VT0{kNSEg>Q!Fa*8jIdH9iai?70W zk(1~)sy#k@zO?1@0S9j}_V00Hdj#LYxKg5jrOD3hQJr6+v7ggC!W&;N$aQjbY_7C| z_?FWweI%eL%J?ShkB{%WaC#QK?O6y*pks#YB4cR6MTr%-9m|jTrR2fw-*XD=HviV5 znVHqljqFE$M+ILSwxto?D|7hUttkVD(JM5C$U6g-XWY&L^nAL5f~45YpHLgiyU!3$ zQq(W0qx7Dbc-|T6!Fmv;YZABf4yy14sQ?HM1Kyp^s^)$1KBX~1-x}o&9wa>=qp51M*p3TNLgNe zhSoZZ7UuVMqMFhgZMZE#T9(Y38USMImY$!;q$drwG`XuRTsJJJ+hbZ_e)<)I{2jE+84kZ}7Wo7V)kkf3Q0HI_4g1+vo?_fO zDXo3w89|~X+W~F^ncIb@4Bj1<-LB<_njwj!u>4Z;c0Ty{?7hI()61eH@HSw-py!OM zlmJv)Ur6{?miYx&j&zm=br)<-p>LY(wBU7NrH|T3?sE2<+6XRFXlpQm48)tYwNp(IDppa5G)Btxd5>NQ|`ZwoK0#!dozD{YW&XvF9@ zqlM?ld`yGS71xu5&OJD8XZAp6wV{ysZCI&@P6df6{sB_DA)0yWW+om8J-$xu!7f%_ z8(Rvl+BgUg*Z7nxoK#?Je6g&G>+`tFOpkbj--Sb6FVc`CC)??@k|Yz&bO zgD!rqjm-e0YflnVQMzktWYIBNCZg4P_j$mZWx&vv4SQ18$acYiS4(6!KRLdgnkvHe z(V#BP*A8y(J2rz4`4OUed=H#{on*;jpO$*x{?VH`=nAn-b(Zp<*-smHCGI3OQ&n*5&Zn1%l|f89)<=Sw^Hu2Expt^Mlm-0cdELSUQ*s?i1F_mq8GO0Qimsq3A+*Ny z^_+oL$MBRy)VOfkOesDVt9C2S^tMcrI=X+SFP>pqx(D`z$xQ$fT2~3u)SCQFt|0C* z87gcJ>OuY$Mln5OVgd#=5;U`P7Ijk#6kqYiqmCoVd9P#q>)qpX|Cj=n#@V!6mg^g~ z&U|wHH*Wrsv}W`wWH&@Y!`#C%OR}DVg{etc)hx*~Kt0(^wA;xv$BeM*%aID6hvf*O z$Q$&mF9VkVz#H+WrnKvffyJ-=RyGHCe^Hk&#vrgzv=sU5#llA9m*L9$UTQxppP!+< z$9wz2kE7`(o~B*L^Vp70XxU)*xT;M8cEj5H=8ZRh5u97Ltkti*c)|y^UE9h@cs+#| zi6y8V_Q?!|5%X!s|6!lQF@g0X*wq{BkduE7aKR7KK(sW6=cjAgX|w~OIq~}1i?*!0 zd*uGbCdMHk=1U#8qe0(fn1z(yjTYVTqpUJG=(xYn1O|N0G!5zg-PMv93c$1I~Y9nTiV%g()*+ksD^|a5wMz^WU`p-W-Io1;;nwCDUM+HeWe)4O#v zn&9rbDjPcUV_U~sREw)jVtNH`Jyz{}GfaQ}i%3dolUgY9xHdxX>8@RyX zAX-c(+0xrKYpn2DdJzrMP*@vnNkBaXSGxrF$9@}saqwgPGb&iEa)e-Lu8B~z@j{NM z#jl#va_Y)Kl0pMtUU*FUm+|#4wNwkE!Zj!BNN!arZF!VEwsl&`#nlMc{iYZf*qFUg zeD!j|2QDXcpz$%c%NliEvXq7-W0y3MU-oD#ldYzb;F5Lq!nS@RCx}8vbkl>~euHx{ z+c``fly*+|vCaCyLv`QgSep9?In{f%K#Oy{!9I7bY|Qe_Z_-+^3&l{9)}uphUwk&B z5AhCUdx~a;jGRl>Jf%6aKw{8j>et(b_9%uL53p!QtOT`QWSHX?n9@ye_HqkJ@~U9i zlufY^2jCW=_n4?R$3*YFXD*APvEqU~byxoO-yj*SgLvHQ)aB8Tr$B2@kMER2p|B~1 zzWz={loMR_#n%c@`iq8*`M+y=%M34ig@V&ROm6wQ{6nTkqDbi}qi`uccXkR`Z6E0I^!A zv9z{yQ!YZJv>n+xPYS zaRh+lr!f)uywO=6w_4pMoK*g^{erT+ba`4Izn}7kvTgO+-1T?A`$RhU!?Iabk?r~} ziO_a$5$6@Y^`EbE*7wj>QGKoh*XAEClwOcNi~mA8Jf&^b6ns)d3Z5f&qCoty7miek zPV@xfO3TtEZI^S3PvFQjh%Gk4LwOzSPo-+s^%IJn)rF6&-77MQnj%pP%vAz#faDe{ zLOZIz8Z%N?lIIaHT(a(9?}SulnXo3>Rxf9D{wD;c3$JF9=#j zzGTw=$n`a9p!VHRQu89YY)#*F`LnH|@~93K9R~)NDPi>MpYKo~yD3&B zhE-c4;17*jIzA%h;pbg_?r^DZTB_Rn4ZgNLJ`V6IC@P82Z^6ASLGh18L7J03_p{3@ zcZ-n^_9wW|M~Av6z7uArh5yhKbhlUMy+%x`DArLc63FA(8NForDj9Uj@iT0(e9aW1 zYUOIPy^lSd${zbg=Q=8bn`5{zYFr5UOPt+;H@7r=f*iYZM9dN^sn##u z@$XcZo%b~)dcS-^QBBlIgNBu@X(NbkY!Qj(knh(qR&OYezkAOh)1;rFfambygzZRJ zZwH%e23<6tlg5s48Smr>AASO({w=;aZv62E@9hJLl*`_@LuxP9PdfgydDZ*){Raz+ z>$uC{-+Gv=;!a2G3I7wJ>Q3YU;6@ z{pldKosKRur~tE8fjZNPjuP;DK>I@0Hc5}*;0n4!t&OE3#alO*tpZwqb4-E%!%aGc zn-A5a84hFxhO1VPhVEg5@`lRePL!RtJagWg33Qte|8%2~qT3@OPFHZx_aE}W5-$Mm z*hf*4i?o20Dq+zsl4@0ZhG4KUnM#ki5|5}&%%3!o+>mRQ6!r0;6(ASncTO8q>DJam7~U-IVctwFk$3paC&`x@Sb%Z6NIlgv zcsZJJ@;x9o^~;aPVCdracgb(b7c8|srq1pW({tL6Uvo#BEkJEt2yg^ zzJk(Ucfppt_djoQOc{O!Xrf8g4woJrxPwJUArCkIf*#ytc5qA7LG8~U1tymaT498I z-1+t#nAY=esx`et!FHxJ&6-( zEtXG#MZb{VuLqfr4B#SF>YHkc|9+awmR((S9kwISZ(Z8XPU5F(wj1G*rW+}2%bjG) z8SLea)@@>hqg*glG9TggmJ3F$q3Ow2mxI!vgDn1I0D%tc^T<9Cis*bsV!pq!?41pZ z{tjowiS=-9=9ncghRiexFdwau)G(#4PVMiiSobTbZN?_@m|9{>Bzhm6?a|fhlL+`% zcEatXE{Al86|$mSVsaO3MXonxmYBOVGFw~efjnypM?0wha7)j5z>hQF)Y%cCig)K; z*UD1J~0gr5U8dR(6&QGX>l_JAj9z=Ms+Nw@f)ms3*LFUYS*ay>< zCc-k&1~=c5$OqzDBLh4O4HVfoR>@F)QE#I0vw^AirJZ5n{o;F!_3e+>^|;}%`v*?t zT9x@ix4-OCo~J3S15#Awz&n30!!pzd2Hr2NB$<-iPTQh*$e}rhwP?4w^OsboNj@pQ zUw2aso%tV^Xpl|6wRz&|bap@w_W%4KVjoekgHZt@+%T!=zemmen{a*+JH0K z?T$4bdXLo|?Dzo4N06^7W`{Y?daxgz2v!I%P-qtpWn|2$WMqt0gb`sl#cm(0D&>owAmF*7_=G=Q9HxPEtRRtz^V)HZr{^->LQ0O z04retoy8r+e zCE5)*#`KpJ%(p(WM4iP&3e_x?PNIiogGLkbYFZULDwD&;iD3H<9zA{vUu+C5
  • 3 zyeDO(D&v>C?1@P)9`~gYG9FUB={%pi4BNS0khd1Tk{8l?Ct{NT$xt|^+ z4DW5IAQpQpnN!gC3QpAX@gJVG$5ao6AcAYqu^2R(i)N7tzeO z$696L-g>ijEuL_VDOig=e$9O3N~D|V2xIj8DEsqFJFtPNa8^GQnLbm#I#d;B68(fa z9)O?_@%Bk`y%_h)2*jZdTVBG}`!%9aAWdfjcA42$yC;p0#v26by6uMj zk+gFkZCmsU;Kk#t0UF15H(32l@*o&LN?^ZO9~%D;0kyfF=MP42EtyZco_DA4ig!uT zw}MzY+4lA68>8)@3+c}4&a%b9`KXTVF;=2Oavk{X-*UEa{T!zIax!z&hBN-kgW~kG z$`V_ho*@)#+d}DR`vVrZDYep6mhz8#odbO?1(jM|n z<*+AZuQd5KyS)SYTJ}A(trxE2!frCe*4POEI2l(!W$Yqv!eFwSkRaoSfUkw}4Q_2Q zAnS{wZf=PsB9nJAF&k}hYzPc7&iVy>Z$C>Rt#G=Pmsi(Z*H_mA&v>YsZ|ooBlSPhv zs5@qm~F_hnMKpF}_ zc&nUd+JsYSg2Z2u?+NdCqxChqFuy}Nl>XKf{&z4YUS^#{lk7B0{#M!(dL}}mGqjJE zzdg9dJTfWzCmFhOb&D1G&Bv2v&bngJ+|}WTEx>Ir17(SbBiQ?niSA$WfPG0QkwRDZ z!p|Q+T7Cqm&i`j1WIuoJWRf|- zL}kPO>49*$>ix@)hMDYIycky%T8D;%#8zo5bqibiT8evBzQwv(olm`xP>mI)X=j3_ zm|Rcd1gmc1Ho(^fU%ldp_c!<7Y zO9ZW1>2v6wa;)=UAa?4yp0egL7ETJew$oro!M`%4W2*!s^I|$9rA$?34!ymd4- zk-4f#CJTPNe2(?y+dkX{3}}ZYojU$$)4YbI{LVMW&-T9YoixU#xf)`dV%O8sNh|5H z6UeejzF;_p2ftB$`CG!(`)Ox5bdC{d&v2=m5=3U5iw;Z*nr&O4Z63$?%C%Id|6u*_ zqp7l#b@bl}VA(%*kM~*{B+TvCYiLEybLlcdMq^rj3O$ZPX``TD<-fPd{{uUI#pF%h z1Wb9zo3JY&YD)e$Z@WV-Rk&)MiJM54GYE?G6?VO;WsbA%o89hX2A1XglLWnzv~mIp zcy)O7E)!%jZuu%#B2Jeg{2AritGO3-vgr~ze@OGkGUp~?`LU;%3P=O2v|Y&ptd}n^ufX#VE<&i^;e+O4LA>JnFJH95ew=H4)1(0a>OMQ?qAT1qZ2N z?mXY4J~&wg_W-*q7n+4V%V#j;CrmV%r8uJ$O00W})ee^4(}$kEHi0Mrg$dHqr%?Ki za#S^+Y8(pDS>45W4JPVew)u*qGr}b~M2%BZj(*O}iYl2B-_v|ayV_eB`LEZ|!pd;| z;L#@SjZF>og&I(8UuUh7vLF!`*F#S3qoG;>Hhv*t1xQsVtC20dw+AlwVr z`m=o^&hEi8bb}eU>D0pnI>WQiZ}^n|)_jOE1r)-kZ0LUH4+9wiu@r4P4Bv5rviWXQUn3gNDD8~E7OOJk+an1$I!R}EQO{dx24lTBs zA6ia62bw{go2j?7-g&X}{qq=l7^`_wPy3du zG45%c4lYHn;twI&;FvlVzONVy=hs_nd`y4!cFZZQdzv3{}6Wxo)o5@j?eTtRZ}=mg9L+G!1Qd&)pwy6##wUnXw}d7Shn zClN;e8xxYHgBc)Q!yV$TfJM zlFW=^O8imm>2!Z@CW4&Rm=$?adm%p1a{&l;uw6^aq3Q@mRiO?6_I7xwH-Lx;-JCxF zx=`(lJ&AMOYPrA{=Hcv=x#^@d+g5_i^bkR{4!j5?Q*?b%#aozT*|yBc6Q06;bp#<4 zNTj2$z=$O#U!d4dvaAqFJ4FT`ZY768Ls!lWVk>WdtU02#HCy|K(o!`jN~}(SR$Q2t z#6g)YlOw{>Cdop{I;mHLE*xQ}04Af#z52$cL*?V|X9mk2yC5WHBO)D+GHD%kJ-oM9 zq-eYPwo7G!V=A;I3N7`2PcG7o$Mz#O2}nl%m@P(v)q|v2(_7gB-P{5h54?vM;~#F$ zxuKdsOyG0HLNS1^rvm⪻=3VeK^=I#a5C!dK#`y(*mNgwhwDHpP-w8cvIU1yp^7C1#F|0bADSKFKa{5 zI5g)3dItodGB-ocDYD6eg)SinJ!e85WrGk~e!w*r*A&DuN*EIm?7{Z_uE1^%nu4OkYwdpL`S zxb`-h?^HJncT8r$g|%~ErGaY65}Dob6|3p_gzn%Pv>Rc+z*2Zzq0<5Xl_Ewk50d)B z70!=?(sRyhN3L;Bu`N>8W;wxImg6LZp*LOLsxB&p`i3J%XW~vD^}EgfcBnut3a&}eQOW8*nZ|@|jF!?fLQ3Hc%BEc0&}a=&Mdd+hkISo?!1P~uhbc+D3EU#fCALE=zn62X2%+|EX%#i+V+u33b_`$(_m}?3Y z5yimt0ZCsN%@ae(X^f-u&3p}kkawx8rs;!a?e}!SCfO-FeE#h7i}%bN&EnI)GCIx35W5VUf~0- zR}tfKA|4PrSB|q51vk75j-v}pK}q5b0m#i90UjDLXU#mduG0+Y06z})Ed{F9NPDLA z2U}2c(5rSDbp`Z$Q173uJHq-UjiS=@Y;2kg?u@VQ!|Zf;m!&-&tHB8kc}c?>1#@me zx#!Hpcd#P4U}4T3WA2u6bt14)7)SXt(?5J##;4$AO7U(smHsg9f;Oi(2HSZHT-`i~ za;wdIv`htvriTj0{bfF*@MduoQFlvZ8YQ?Aq|e;uiu7+SzRpGZupP;}mvZ)2 zcU#T9uEcm=LH>#hE+}aSjPEWj_pShQt%+=ab<4wJrBgz~w9{Q*ShmX;MT*FAMYXT2 z@`sopcs7VSUo^vbZL2eQW~3{i?Vi?>0dy<>(z0bc2<}An31DVss71?J%utk>`hUBF zU2vkD>o?Dgmv;Kop-zXJ9g%Q#xcWX|V7yDeW^52!+?1$PBBQaExJv$Y$PI(_86lrWQD;-`d0TG!oWCQ6Lek=Q>DZx45R z63LOdTt(f^c6@?}vZ%_;g>j(JqPXmAqop?Nym!h4 zuoYY5s#$f@4eTIBI|b6EY`2LN)nO(EvprmDS$3#QrVTDiAXSP9nSmlgLOyMOdkBOT zAU;cvGo1zAchKt7gB}s`@jP7mEcYIleb=u+puHxpJ*Pm#M@56JAM9pkw>x2UD5`h@ z4BE}y!^(Fo6KoV#GordQY&RjQB|>cj?;M-kq~Rh0_0;&(3#I>xNth>)3%C!LYWlEB z5JatC7FEa(e%9^2-S;x#Ja@{46E&M^$&c<_bzZljVSY2uN7FqMeVV6wm~{Z`h|x^G zK!u7sjJo>!9We;__nLu!Q`(_sl?AF#pZy4$pHe4iVJRkWBy4TuvrMQ#2Z-cS)gFMH zsTvzf)f7K%TT%Wn-!7Jq?mL#$MbvGTS|jqzyH$FER?jOz`_L8tgb?CEKTE7esP1`j zGs4^Q8ql=^UNh2fs_Zo;P_}>+PABJuRZ)7 zv_kq{TWBdEd4S>nbxZlnCiCAR|2voeuNTqYcYvET@S17Jx}zr{z(PV~BQ@iU+*n-7 z1PjmnJFUJ|$`zk#>g6Y?+wWk{4m1jWRd(iK@!Q)!@_yb^>4axw*xNu;z9lc^C@4sEb5s<-Q_Jqz)u=qWj|pS$fRgVySKyEs)Bh;u7oHu_b-&; zlg@}a=2$zx$?8RZM@Q9XrzN&p)$}oUE|)ZmhB@pNG3=s7Uk8n&4?;bko@G>x5?>_y z%g>_)+&sp*`Y+4l)S;pT34tFGl zO;AKw6|{~W_PEpeGAFf?oC5%yJlFO`B+oyZub1lNF-bw-^_Fvu@7pL(f7*`hB|$yX z#G9b?RiptV+Am#%T^u-&xYi#sL6+$CD2W&euvD;Bu;xn-aEFAy=rP9$7`sB8aiqYY zKOh9iWNr;Y`eQ|lw_&iws+4gJGyasQ#ll$U9;#ZGjtS_@c_fST0>)t9W@8b%$sIUFe3dk*Ca{mozR=?O5Zo(FGUkSd|<_@@PtN9hKU(3f4jG5;9wyPI{=GB_BbH2ZxIEB}sxHS3jO;!v{S2!TtTP4f!Bu~lfWmm&@qRc;E zkR(2hjyHxD+S?{rw+;xGOjAL~mC9#3^k(eLGDJoy=?H<=GKtt1Ziwv(0yGn1AZFfZ zxb_trQ-gS0-OfxY&Zd{)*;JzCQ7C0%5IS98hyD>=72yPQCX#r>Jt7886XkKfbIt)H zww`H!s;)p>nwolFg*`CJ{H97g&R4JR%S|}2hoX(OCAfG6f~qa4fCbrg8B41_5RgiQ zbNhSR>WG<~t!qoVBPZ=)mz_duHY(Q3JNgT2;GJlAUAVywWfxawQU|#hzojlI>Cge@ zMgYsKe-IY*5X--PT`JD@p8q-#_|g7T0GumS9R!!Y6qB3iLLSX4B0SnnJo?vY(wwAc zL!-PWG|MkA1lHuAw;a)VFoCU7n$(tPDSW*6VZzu+Izo#HPkrJemj$PxCuzNrz@DDf zP?@1Y$l&dy$Lp?Q)mS2MpN^rX63A+sNYcc%?9Yos_b1iv9I$z&%75A|bS`uoREw1D zQnJBW1I+LvuS*!0*Y}i93!$Qwm*nRR0yUs+d!QvvxXsAi(-9*lk&G*RQECstd=Ssr zV@3WWNnkwwMNDg)nZpvl7s{?ANFi3rK)dC(KbvZ|$V6ZPPyvTG8hC%&3V+w4ceDm@ z@OKG7^6qIPabOnK&s+1rX!Zi z2ebq?W~)Oa(cK^DVHG2dTH!=k23@4Ir~{2?#n4-XL-7W{UoTnWjo~JTy2otV&$k(9 z;;o|&!z`ptxT&~dUEPG$yyL*F@(=`dvLco;Jq^IxgL;7&nc)WRQzk*xD#5B+DR21v z<4#qvS;TU#Da&2j{QAe-&B0-xCnVsPK{yam>QQgL^k9y8?48hat4qRu_(mF3O|SQ| z&LO4=H=n>YjJQl+Z%Wf8zrnSsUY^PCD&LeoasEcyE@cXROZ5>sf*hCd%y8fKX>Y?u z!0i3UA2oCY>OW`?w4UaQ zX>eY?<{Yh7e@HATkcBr2MT}D8T&7iR(%!&84}px^qG_&qVR?YeJ@#4 zIx}F>f6iyX-d}|n4FlKV1Q=oYOeC3sZ`YTWw|%pyF3B@kDyR0Zh5=)fLO(3c}&)gK<*Q?~nT5Hoe$@w!F-Sbo4hp{gL{-M@2oy>v|^KmoVBv!*= zws_cv%gj*VAv?LR8}fuEG@)Jz$8(KB7>Lq7_Z?VQGRETGiClHu%u?=#3yMDnaMPih zV+!|-2u`A|At5^_XqfNl87&tqH%=~hagVokN1Vr5s!qpdYlf6O9K~~qXH73D>sN;2R4J>nYH5A){dbE z$k7pXa?MET``7ey7uEmic|v4ga=X=gHc@@34#3f{v#Nqf7$m1A3pxi0fFVOK%(Msj ztv?Oyb0&LYzC;4|a;GB=+kOUkJJTx>Uo3#S%fJ@jk6EvfVh=#k#=c)fuf9f5w2tix z;(tmbaE{omDfI^Z@c&JFL-nBK~wQIrn7mRAq!+36I~`OdUM?H zcOAa`s6oh40!O6PRUxoLe9u@z2N>w7XWde6&b{JI4quKcUkCKTYX~v=(DmNbGanhV zlC@B9B~6f+BcQmSdIK}>+>jkHWOxhOC&V!9HS!aV*E-m71nIr3cu7;4rKzFN&U|9- z$EFD1zcqjjicxN>O9xUNEOPs}+@=|06=MtwYiH;E=+LC{{-D8FE-NXvDLnK+GAH^g zbPp?(bIP`>K9^P%3wIB*N$^}Hc52tl_Ppl*|N|GcsUI-aBIgUTVqY{ z^>_x`2?9&8F_^Q947L<68y69X?2wZ6t)f=!kvM3X`iMOpR_U3)LzI{25Evtz0A>~< zeToq9!+B^NwLEg*3LhfsV;G5{MUp0!!BOW}OSE^t5Ycx~teQvKgTwd)89{x{kA60_ zO55?hMdTX(oJKfw6Ei;ME%L0n>$<$K#&)Mis1I)(;vS( z85Uhy8Sc+++(*d0K-gZF-z)M@KD&?Ej4hFnt3J_y!A;+AAt@H93v1yUcKJZG-*~}- zi$qLEuntk5=CI%VuVr00nSc6*)ng1=_#zC5tx*<~-0OMTaSFL4b9aN&m!KSi608hG zAZE)>1qlYHmZ zm``Wz)H7gz-}AQh)319P|4xYn@V>pu>7TWy03M7!HI$nu{yXrFC0$?~!wOr9m<}Zx zOGzn`&w~?&oO!ce^yf1T?Dyc6F5FR2C}Z+3;a2FY>X>d>vDfyKzNVeD341b>loyb8pYhMwaXeMBHymlg34pu z=tUMOSY3D2@siDM>1H1A+DXYTlJ$54@^Tq^$`YYt5v()u$oowr{Uu}D;I6uPX216! z4TpT>gFEq7x*vJmLG^f!9Y$(Hn)wSb&E198PaDpDqZ7DK#;DjZuMBkIjlxUyr*rT4 z(daJk(7Fy-C6N&fwj7yE#DvjVG?#%}!03PEKm!=D5^H|Z3*&@ZQrV`Y;Y~!yr~&ps z2Q@hjl2HZ(2@x|z)Wr`As@SMQ9!2y8Qc^x_$JBw8O6)OE?GtQtmCnq*gBA~lp{4h) z?&kX~b@ky1uE5~&hnH1^a8(f5Mzy8=YI7Aqbf-j zb+i?u3|p*cQ;Hi|MhGXjZtME{5*I_*S_Fhn(TT9qn1rFqWA@@C@~UoFG0n&wM2s`) zPT*UYb;uzh#9-R!tcFDL%eUc;tWL)HpCA%DM4ezz*(DAvD}yR!{b+Vf%b`zV2+uSH z!>~WJdnx2J^{#WwLc~d>v-0W`QEKv<`WVTjn)rN$GVYP_>W*q5`ScV9Mvlq zt(SFrOE#r>r-jS12?GrOtnYLT0RI7!98P+SqisxE= z(n#`1It2yYfk%LjxByOj*mUiqvR}DQNtO3H2$Ge+WUk#Aw+IuUQo? z>xc#Rn)_8h%=`yRC@kwpO0a<3BlO3ww~IH~WZS{U#n%g23YjBmlKc36MrH20svm`? zw^9R{9d(VL4}B&>kDWhgst$dkbxsVF{M-SgoEq{_O`{RGW5kUIHGrpiyveIB_cSaR zHM2z77}KxmvZuCPM?=$el;lN`5b`RBl!{^COcZ(4ds;oN%YZYyRdQ*k=K(F3STg#Z z2=USL%7~V8+F+@Nni6sBkAk>icT>-SEcD1Fo~Lv*>npq1MuQB(riyx_VI2xbj^>h@ zaXYZli3q7nh_RD3jWgPq9&ldsN(-wcnupvpReE%hCkd6q@rG@($Lsl+UG`+fAaYzy zo?VOM#UjWQM8+4T4`#D|ZSu5}8h!ifd+DAy&!3At>1r?p`Y0oHg73os)_Vv_Xn!WZ zMM?iR8y84Fv4UsOq9%x5`I`tFoN@;Aii)S(zc=T!>w!syP@>zAp`MlH0?~adn6T^o zB*@#ZAoP@c?0Zz}h(J0^S&l}=gVZ6ae>a01p2d>eN#=B6;khf@Cn7esJ2D|hExw1b zz{)5D$eojQnr+!&1Zgm=q0Fm>s7Zh)v$DSh1+zW)8+Fo7yDr7?mnej!g1!MFuk<^B zqP>6bLj5KhB*;5`{zzBRqiG=6colIO6X*Vh7thLuabWlk+>Z0zbza$V1(5nY3~!pqdsNcc6cut=C)3k1NWoFc4x$Rv0y~i<&9s zQ;huhpN^8Q@9=^Y&AZrnDSz7WawDg{aRY+p!26uXjD(fwt|9t~9(q|UYm)*}oO(^> z1~a7qy)BhZ#;|5DzDwTM&>IOR`HA=u?E__7z}~2w;k5<)4oDpKobyBj&sCvrlfC@4 z&g-f$8NThfeHR3b(*9Ct%b|hpx(&dhgKnOOX~x^Uwv7M#C`~(DGqC#W!+TM@kBHZg z^V(&P2PJ>bAk~)H2Fu2plRc=Nh^fX(&pj%Q2&9+B%r%z@>A02d(2tJ!+Z1wr1I3H6_a+=`<;Baw#hNGM|8uUqAM>ttZ1wO%R3Itmrp?L`2N{^(0)p z67u+O?=7pnI+S9MKhc+s^se|eyHnw~K|)whn?)>_V9Hn?bJhx$!Nt8Pv-RI@iFtUx zoz)@5DA3~;Bi2h6gZ3ZN{P5^=+KYae%-irj5w`5!5*I57cVMf?6j62P$azp9h*d5+d{TaRB~uy`uc~cNcbg6klPq zPE-tLM*lLxC|8SXk*!BW9|{9+;1RanrB(zHpz_THN|NE%h1r>%5>Bb<@ZNAvd@jTI z6~xgtz#=(|+3L z`bS0U4V-#yQp?D?5K;0V&v^XunrUQ&XF`OO4gmuuCLQvFjuwV4m@@yHT2-byPVC;6*Tr)n zDsZMl**~MS<^}P2&w7d~F@d1mh$z+!tK5xqUiowjeWb>r=E{x@YCVDii+k(f`T@=w zYK7VG*9jeaR3x_A@}aiz^$g$CXlq`MLW1<~P2H9X1A8O~j#xIg`U^1T*dN|aFt7mN z+~kym?P4}}fGefGfjJlTKReWMbvSNEDC31MJx8hd8p{I8+HiOc4qo_OG`rI5xbsl# zMdNE~$?=@)j_`Z)p)S(KDV#xZKM%v(@G5a9p0a|2GXeyz35Dx=a1)EpkTi;)>DJWK zge4QWK#_YIRE1w`4tp|WJgcZlC+JeG#O+R55~-KL0**LaEG?BE&FLkHmockum@>TR)A-BR2-*ix_P7g!4q3Vb#qi>|CG-xW&BiO`N-(=on`M?V;avb=W$zN zm2jaFLSP!On;vc^%roXKrm||l~jyRXNfgZH)SQFE_hOw9t#X>11 zi=<7=nNr{zBsP$t@nI3&)z!tz5q{XgEgbKv`v{KKgrVyE)_;&8`~<>T5Fr}KkU6@ zcP7F5JsjJ%Z95a&wr!htY}@uE6JuiAww+9DJUQq5UO%7U|9W3ty}MTRT3vnZy{pg% z+v^TfJE*w7@~>r+->p14qkT>wj!9wI=Brl>ST{%%+9W(FT%j^SRr^HYCah#?xb?L= zREgbhV5!@L7nm#W#=8c8m$v{I+C>fWk9*JLa#K*>@1xhna^!v`W-Rrbv4_Oyx$3hjy zJes$MPdi)BYd}~4z6uK3m1duU)79n*EGX`W6M-;%w2b_3DV}%70sPQ=0*rVKMpWL& zUfqDo^#y2U{U36smo*c9m8lTIwqVzE=2bF?LeStaE9R<(!hc)XrpOFmxXm$ z#x10A1T6;!>ow4!IeIZIk+@X?D|rGB0U%XF_S%BlY$^gb1FC*|4>JbyQ9#GbaGKK= zG49k|$_xSt+PrS0~uP3I4Y0RDv4QBpX3yX#yqro8lL|Us7` z9b&;pJY&mRGN)X9GHPV7h_qz_NC%yK^VamMEsR2`R!kky!0>iEt>tvN#A_?$;3kMn z$T+9vJv0Bf=lG0`~GE?g+PYDpidEu zo~*uAnV{;@I2KuM=?c~dB(I__41<@sj z97805h;s8c#1a=tm5n#XjuXmvh6YCN0b4K)@jQJP7%XZfUyG^M?`rnR;oS-A8*5*K zH&Zg$T#Ix(fz_X|E$3@PsMDCQBGL6cU%QG}v}6sR?f%sl3hk{Dcs>zqs%|7$xoIJ{ zOi-7p;^#;RuQB?Cx`MH1<;g~?r+ zzSbab(9IebB>XgJl0()dhUNhfP~xH{J7c1r>9<^7Hfy?uD{uDb*FeY68jHd2nB_a{ zmcI%#sl(kQi6@pK6T-lxudmWib6i%oZ3M-0csL0=iq@H4DQ$06F}-wD%8tDgHae{E zrY0uvClwu0Q~WlBE$M4kmF5&mNX3{bKvFdy8+I_h95ZbNnl`RS1{Fw7CW#sS3ozO)atcxiz+A8pRO7RZ1)jgi_RL1La$FfL_^(ajdD-F8CR^0s6kWg+e zy~-w(v?~yPn%4nDXO_^voPx8upj*Xzpz)P*d%Zwn& z>^QWSV@4vf#AQ74L-{Pvb$|A!O$K>II7OSY`Z5BdQ<(M0RC`G+KY!gV*Xdl{#3qlr zrL|_BlEK_YhiEt14CGetYD;S8@{fYCjG2VKx0j61e2vSqz8u2fNI33~G<6zicemgbbTG^(e+A3N z$q7z`JqmwC3aP5}M7UW{ZW=ObJVM9brWm3ee!9HAVG}a_(q8bK4D!8zq!2H1D!wa6 zgaTnH<%)Y~%%43Wm#c|G^nQ@>CiTedx(Jo|SK2ayspnO5H0@#0OK_U|*JQir$6f86 zRyqv4ZB2`WFg_dHo#)UsYsuOX&Mn2jOv3R>wV6rTC${nw3jGx!%0{1q!@Z7w4W@!7M&Z~h+S|TS+o?RErlpXs9HeJGeyik!<6NfZDJe|H33k!x zK79FWS0J8is*s#`r@Zmv(!nkMx^a_)ZZIAmyiam!9k)ULX0%UVW_US`RJ2JY&`T;y6m-{&PbnW# zN_@F7)@Sv&Rcb1K1`Tqra3L~=%Pwd(DBhAR`S+Und+*RYVtH{^ zrlNmoz2xNPeC!r+($qwF5)-aI)9qq{MWU{*{_wXo*`0yw%n}k(Dj57hfH$@SpUG8p z(+&K?*6cMlsji8NAr_K$>UfHeq__sd-G)MPuFV2ltkVu&gSkQV?$ zpf0{q1G8r4U%#iut85n48!bv$D}*fi#rIpB3s9{M(8Dz}JBs|M>G#St{>dui>`ZXM zGgS{xl^$r{qtx$n6|A;j3U7xr0lT*(=Vo5yd3?1i>p{vZ>rP542 zDI;I01RUR}>qi0^_Z+tV89jOru0$-Io~Z3o&ukwYLS)qe-OW{gXTH+7MOwQkB!oMF_4oNh*sJm zjwoNE`07;grkVsC4h^y?1;J@OU04@nVh4%?!?n$-nk*$i7t^m!vKI@U-Apf`PxlIV zCtgwI7-;np$I4sB@0>}W9Kt`*-FQ^Pxtkni;GMRrG7iQ}&qa?8?to&;WcIDdB*p<} zxVjR{(`)L(r=lLtnn)I5GBt8Udeb2$wD%N=N-Bpico83tus7?{NuBqk=UdE~WV2Y( z?UWA+lR(6f9BR;}K@DVo68b2+20OU8R@O@=RR)Ia^W+PG9LdP%e~yQ9E_ks z?kfU!@pdLm9pP&c{GVttA03=XapBx-UXiWf-{7Dic{UszcznDRcuNmO#nav0aN+-; zeSHp~IPkGy)Bgv|MvDJj6&&0Ee1!l5gOB&2XvxNg!^8DrC-|T8%>M&;<}5AQTpblT z3burVe#!}9V#CBmAR&9;|6A_zKR}4`KSLuRj6*c>Qc<}&-iZ7RVfl0KR^b1XTl^nz zD*9h0LTiEUkl>*R2>w+6qx!*7@SpO@{{i5+|6@XhJ9}MF@IxFi^nX-$ApTRH%l$u~ z0QG-N%s|)i(a?T~7ta2d>di*rf67@Q{|C4h{g+9>f9FOR5>f!|e^mW(|66|iKj8mO z+W(uh|F_cqe_qd*o>=icF!2I4?l1m}3^l*|+l2PEpaYWxqYO2Z*|kvX7Rm1qhl^&NNTUaD1x|XHa@_lJIMjdR zrWnaZtno&wFQ*~c@Je_Q`4S~ni7F|+*CC(I38UbJcsprdB6#)2JH)gGzNCtvx4<<*ASRGc7D zIH{vJ-KMDj=Qnip?kTh=vX!mD2vOMOF3n0mO705z?fN6sXgNgFpNd)S@ZWr(O&7n^4syix?%Jp~$QiM!RIbO|6TiVGmlQ#Blgzwu>ZNG%$s^u=fqMSkrWujr zAh*I}8wE*fE^5&9q-~+1+DOgrn6D6VMZMzrnqOhqbohW~pR29WK-8%;`|B(e{F;AN zCu6BB6A<7>9vchpOw4Zv!wqHN8RV`OxX4M^5s6Kz9AU0JFgR;SSu%~KtKKA^F20y{ z)d3y`Y}#*r4uBV{=ZX|lR1_tbm_4ivygJM6Bsm;W)emqg7?UC%dad-;jRQ)v)o=%@ z|HfZJFYS>Rg0BO2UA_-!wE?ys5jszZEYkclh~iPT^CxfIU1?zet}Ql`drxq#SPFw# ztECz}<~Puo<35CQ^hc(P*Z54Zv#L`DOADW}R}wlCN+5gG9U1kcCxW`y2UTi05I$2k z8H0*=pxgXvhj4z@-e8QN>SDW%UdlKoQ51I`)$mNaO;l3=Sfr5hYbO-KcR|0V5dYBT zPr$rMaw_idRL@<+rB$QP)i^M_azTQ;YtS%Sh}i**DZe0b{1zk0Zdb5jE*x@!SauKn zNj@#{nQ6?r2q$lhLwuc0MDAzs;Ay)2sx}<(&jOZnHP-JGkVw&8lVPLec2im*57{zS z$sfLKngr zc_pIBLa`0;B~>~!)m0LQp%X5^&1Cl8hee1sxZdjLanigH2y{BI@-U35c$EC z(4u4}e->b)BjGXJdMq`MSCI$-UZj2LOj+~LhCKpIlnpdg0P#8avtJp6T>Ltl3-H6f z{ZaH4C8+wd&5nwPu04o>)}J^D-|mH?w&2|OgW15pxhzv;Qg@V|6C+)mgKq0bjGA&7 z4M)s-(0$Ae_0l-zhT$%JhdFtuGS_=8y1-6Zd@>%KOGS1zzl1i2h%(_k8rk+OnySE* zbi4U@fnxO&9d7?C6+Iz;t~o>*&{j0zS_DFQ(P031N4bB-jA8^l3q}t!*|gf;;dYE% zMvbP~c%m}1oAKQyW=&$GN)P=VXtjW`aYAI~kQWUgCtF~V?w2nWJV-@tfsm^O;{8C( zov+)ODf&A5CuThVhak|H%sJ^g?D8niDG&so(NK;$2x^<(V_kEp)uK5~y785uVOhkw z>ECcW>=_HTk9VpnlI_+@e&%ZHPqJgki_$=80NkOMlvK7$Ixxhvxd|f5s zac{??(*7&9D&q;oQ-GEqu;%c)>+V}+eH7dl!R(#9@S#^7k_ zmkbB&VI`J=BJ-mKBLwaiv zvrooWZa6tgQYT8ozVTVMG25UZ2eh8ScO&-oz8Ikuy{3!F+UwaA4D*5^YOMr;A&HJC zukHbb0A8|jbW$3<#z%zM(;ZdjxsT|i)qaPu$Q0_bPHF-JepU7coRgBup!%t7dSZ6YaBtM2D-Kcm8STK_g9t=bj4jHY-9?Afq9-R2X zibeK7MlrMaHiy>_i5ztN!|$`2VZl~jrKNslmz4!wah;&-NDRX(mM^Nsax7}%E>j^o zC!IxlN;^wv&aLU)Otp@)Th=MlPilV#lNK7?uWK>jzrPR*V-`Czz8i92y*+6<`KUbWDc!pwlgbkoK`&&O&}J@G_3Z{ zeh`A1$+*~a&b4VI6-?{EAM2?@jiK@vyiCm=o-2Ar49<*;ll^`1A`?^sd2raNFC3?w zlt`EdoKnoFS-2LtQP)Y&qYlR8bw;KbUt(T*WCIw4}wD0uy%WIk9p|bQ&!Z z=-PVb0j1MBmCF8~Ca%P?q!lh3Q$jnaKMp1Rhn%W-ymcDr0snKfPV8F`v=pP%!CVOg z64W8HM+E7uA8_q6m2mYF+Q0WhB(b2I$T)A;9xXfzULV-J>}t2{WKkCHVy57&()eMP zlBWJ8(+X~B$wK6idG;nj0>4VeS3c-7yFnph%3qS=uUsNkS#@)%|IwS4a+slDm)>nu zFr2^`|63hN9oms;j9zN!nHIi7cP1gVH*9a?Sir=)D+EM@A0I4D3@*F_-{v-LJM10n z8FeWeDp=tbh7`6(+Ot_7S<|t&$un$EbeI?FE%mKGTuCQ3&odEc#taM^{t5{j3DBoz zSR@$tLc{G{QLJUjqS|;Ag&;XM9N~`Jv-GX@-X9n?M5YljqXuHecaKsoG4%h|@ngOg^HvIU-4BMm z!x#Lz2?Q}dcChLKMviq+7RH0P53IjD9IuDZ zLfv&z)Fe-a9zl`u9_a?kWRI_@z^wy&-*qxke?AXwE(}g!GDF7@T8==X9@w#}?htz> zY!ZpSBL+>AahEGTW*sZseQXXe3x5;nnWM@+qpX3G!EiJcu%j*Yd>hz?f0Y&8VG4Fi zvc*SuX^Rsjdo`gM;yWrRB@GQq6;1Nh;#=fgNx94~wGRH`Tzsln06s%oF8TeRj(eZ8 zXy34x*d6Uzr+g;dQJydn4>)ZrylMIDIJVg<8-s-2$0Rr)l}K^FAvp*n-yjHLqc>IH zo`v?Sg`L<)S;VW(2#z10WSYFqaw!RCIIw2mG-bTB<0fj2`7CuWK@F>eO|wD zOl>R`7?=&(oUEb8xHw7y@c}apN_FsQw4q0P{Hr5+dA{?URYonoaL>F%^UhO3X2n8;NPBsQ*8%1FqDDNRdT@7~fwnjw*@YVQ-m~ z8_-6z~UnFBk+(3U^2~wJNCV28GP+v?MB7D9zyl0RN+Emu4LZ# z?KpO!$_S5?b|M9t6F(aMk@g=ydci5<(b)7qS|-3`z$?q5SB@jzu-wnLNz0HL$xmCT z3@$%^COUT9&K7X+niX_jG77K6hKnKDWg&%#-`%HD1^bz>m%MWk#03pC2@!u*C)%#q zT52MOeR61%S1W8{Edy~&{zjxUGP$Kz?~ehg2C$oHm=|$m^9c;_mHtS{_Zg+KK)Os= z!Z5vI=pX}zA8(L~9|F3URF^QV+11+fB%9LX(bqIKe~>X}Wo1&SrA=8tOf35i&KAr$3EzrYahl6{bR zU7^h+m|agZ5v2r-_bf&YQ@5$JRILk2^HucuTo7h}#eKQ%=ZLa|npzoDvl+LeL6x(< z6;|-JMqs%UXHmf+b6KKKFmn|3;wUA#cT3Qd7d$gRH2AVDtA|OaW16LWd4m@yzrNGr zmmEaE0-lKy`b(Nip7Ih}@?S7_9<0Yb_1!{(=C?Bpv9Jnw7_CN73AiKTVl%} z3ivI&`8G{M>W;xX=OZNxc#leM&;^x|j}39Q>NfN)@bvanOQ>rRB!WnTw>k4qXTyzC zNXyZiP5<)vAREdkJxQ}4^y*Hxqb5d0B&wl6u^(h$$^Ur>ybam9rrGu9OBZ($2QD^q zsH9?>`+87<9lZv~_nqsOe-q{^V|@Z5n@u@dirn$Sl~!=k3@EopZvSMul6d0?!494( z&^-nCux45^=2q~ym5M|PW-!-W&^cKhQpwYhnTXQ!XVLB6!j8I>i~bB}TAon)4nnuU zx=Jp(h22_%TEZaFQWJ<7JxjCTLk#Z&t9=VLK9N{~?h7fwU^!}`X{^8~c|zj@*2ue~HMJ8& z9#Y8yT@yy4ia%w6?u66oEHBWFc3aMS-wIWSgvmuwJ&50~xl$~Eb< z{y25k=V{|%EQ+16z6TY_a0^GqP-L%@uB@K9VS z9vx9<<%tDcoZo$QI`ALoYNhL{R0Ay~(8Ku)ncacM&j$kw#N-KBN^osW%UAA_fiu3B z#L}0HWro4ihcp^vy6_=(Kd?G@B)=&`mAm3vr`z@8sbN#=zKS_OOpX9FPtdQ zGTS?i20idf`Fr>pAY6r)zT}kPX6bT7MdE;H0E@kXlPvKyfre9)dr7iO}t8m5E#3#6@c7iVh?Y-YKX3{O~Y?k23V02I7_6qXT_*b}6j5R)8zahLb2X86bbCQCrFj5>fDwKpUi{v4lIwJRbb-`uL0C-q zD$)Rg4pyxMaz7Ktx2{x4#CsZiV6mmiV1+u>VaF+!l~P`wSZ>Smk6@cG`W!%!Pbw<6 zPGFMSy);u~hmA}D{TXqCanO!mRf427kf5%3aMwR z_mew~l+6u-KHjkiW`v(mYO!XdG(};px0B#{JuWQidYT}zYy&04dwo7ljv$Boyas=EwEqH{}9wUCx+eP0a=y< zg|I<}({vEJ4?6pESWL3pTpIdFyIALV`n&YJi=`kc`#`U%mBn+lx&n6n0I?%-b8p`{ z-le{sfKN1C0xq|ce#sQ2FFUC)_$FR5U5y@7m}#z&IKXi~<&#~ugP^sVaI$QFPBEH8 zSL;Nd*NE8?z28IiN<(aRKI0|+8kX^p)U*4e(KcOTD2w};y4v*RPV%n(mAs0U;{06f zrrj^Rl5LsxpOW4`MNGu3uFqi(?Pc&@ymionScD5xJsT=%oF0&aj_7@-*}vj$L&AD~ zN-IQAF-|4XT#t5U0QS??if9Zwt28Mj+5vUJ$KbV?ut({EZb6U(Ul`RIE;X@{TCpKE zloxH_CFDL`5&>Nj19T2KnfHf9x_!X9910dD2z5SFO!OaVSzm2>28OiRsXC$rf+xl9 zxHb}S_kyByT6Ug^{3Ha#p-5jcy z+FQ~@qc?4vz;65yt1RNp32yjB)yK*WRK*H#8!&%A*~mi9_X{)#4paz%Lc_7dkJWS3 zob`50Iu>Dv7E_AFuDj?(wX88u!bK`DT&O$M#g#gc3;|e=F?O>^8#6ax09E&34B;}D zFc?&_L!};+J3PaUBxiGkURv;^<~CRg{8NJP&ZXqy5^xk_c?q2o#rcjGC?lYr{?O@kOK3g{KPi}`|{67e4_@;Aq z(*cS-5NSp0!m&eA8;<4(>6Ag=mfUslbu%)5IVh5T4gV5t5#`o_9p~&k+LljHgW(|j zXlbrUp~|$BJ$L?VIgY(&&_HX&S>;2-?^so!g0YQbw3q1U(0-f%@un(RK1(&|QZ&Va z$sdSt9S5`XtR)=(0pV3mq9G~rkY?n|JVHJfc-j)ZqM4aeHLRR9fPgY`wnp`=A(V8q z-84T+VVn-vsy*5<5Aq;NRrVtjpLsL|VnPwoWQ}m(T_$g5Us$@Ib!1@!JG<>?s*h0j zY5Pf%E#?VKkyFonT_zGXL8!qc9SB`#76m>Z*E<~t;zgzb3wx04=aGgM^0Ea`MQ)M< zlZ2pUNEm0}=&2#N4P7#;&X(cS%XlBDj*f@=YwFpyLw(!C6hvGxpe&0q$_K03T-o~L zXoja))RlZ87>;8zmG(|4@6rMRN(QX3Jqn>>@F@tAA{&62ngk~Xi%=<`rOf_{6J%~7z`|Qh8Y4xUh9We?+8Br&5a@Z-(DFDIb=01w9IbUzR3!~^8jf3ms2>*k21J0sh8qU zqoE<4M_FuoUIQA&5@HMReBjiWnDg1Ot_f-ln*W+hk68TTZ`2SY?A2k`QERCD1T5oh zjMi!qFd|M3bKUN8=bnKl_M<7%sV(`hC+}+nHYtQ6f8iPdq>2;kW)w0 z3K)^JX)Eg;hsV;MwGSZ8g%!d79bgZXnwxVZ!{M72GUf;|TOXEZ{n`)%Q|}ciDSrs5 zVO9j~>s)`!r!xeiAVr_HR$MA0!zT|nqx{*2IrnjpDZWY|(oZLVXJ|rsGX+Fc(8@L8^8qW2;#Uqs@K~T5 zm`rMhxvMmgVbsJhOp72OXiLR&HIQGAOiZ(sGu=RqL4yyc@HF6?C&|Ud>gS2I?A4sD zW+XpFH|TL2$*vix3()70{u#c_2_nd*Di*dmZ|c5n$db(Di;iH#e*(FzVc3mzx zCm~2LwMPDyQP!vm^5e$G?vW}4;l18;uS|{NJ*<|Ot#}rs zY8`gkQx!}l4dO*sv^Ci@G`f>&4J#_%Hn4KaW;;A$tWCEzk(VWT#H3hC`n}&#BqCDw z&E!#5fL%l-tkG7BID5n3)_e{~QU!P62Z#*`m)k|RFZSCHG^-7V zQV89peq{8e){pyIp%HV=h-M#+LC48-(ESj7#no)>dxMx?gl$OgayR0T{uiTJN*?A@ zxM#B(#_Zja@vES?AbYE0xbGOU*WD7W;YOmoHlVQ7+KzJE3ri!%wKH9}#7Wnixk}zNF?dfYm(*uwaI4S*bifDkY-#P%|&9g{gX{fK07A_Fncg>@$w;RS+kilvb633#Fspq^Q zMO`c`!tgv(0{$Zq4YIw%ssJD_ z=lqzCvGP{88VBWQA!De5-C`!4mMiqYa?V-rWD16xsclY&5|EAY;Ui-B>k{$4pT&M zn;du8X()TbJ|? z5dc-$PNx?2=OAx?Rc!Be#8dP%s7L(Aqw?YEqVmRS4Kfgh=6oZBZwqu*u%{H$d*?|7 zUqDkeCSh5z1e)524_ow?y86L46C*_z&eCmz*>Z2wsRge_KHhCV3Ru}0lPDkBTGvl4 z472S|aeAeJk>gP#PW<_;i;H&%#%qFQXJQG5;;)>y&0bNriTcU?1~`MvK0M)oFOv%`< zNAdh_I$dP#?sY`9vKfg_PIISU(szaF#Oo7Qzj@4+W6)1f6?EU-WescOTDm$@P z3G(5Mv~(1bg@gM8$5>J!P=(7;;=AMIlrZ9iqg$;@nv?fK;*}c8+RSk|H9`o4GLvJz z8uk`w;kO8eG@zQL7dD`S&BNrIkmWGNieO7k59OfANWI|=zg}8u?!L!D|+W*KHy{+ zO@o&xb6G9!wLUC-pG=n&GhJtuF5_?NV=K~g{=9(|4h9b^)Js3RuxpO$R{~ zbdV0}^gvSG+|>}Tf8OYYv1p|``;9fvaa zS07hzu5zuL>uvM{BM!wtjO}Jn#7OjY9@hha=Z_l>ECs09OaB)bE2=L@yId`F@SyEm zFOI*EAXh-KN(l}Pv&vn}I(>F@NS#R(sj{KY1LPGqdD%H~)o5CBTlks%r^eAm4V4-yZ-!*O&E58-~)p zzd$2%uLEC-uQ0W=26f@$)oy1l*3NhX)=uwwJuvWVIWRKv#AxBO94$=Z_maXsc&{Nm zsr~P1#`eHxO`E5jNn?(a^d=Va(gH+_bx$AO!WNP$6ZSyUWI3r`KF1Y=-Zc#Cwz^ms zynIv~eL@+RY!h*UKsN+_=^iKtU(QIP`nk4BKz64(=FuM-F5;+F%gq#t0Y9Az#*Gvi z;ssA?4w!Ir-R)b4rt~4CFOi48hugGY|8nN}7~^U5NS}sLOrzIchq}C7Qq1XdX`~(4 zt=9vBFkC(jzQLrpiCwn7L$PZGiHpQs&l*P3G1B1PlRz#tY^19{LK2)fsb8W*IIpXB z;ZW-{wSC}CRP17OkyrF+L2)+pS7k8%K0eN8e^X!)4A(sGu3*~On_-5QMK2?mp=y4A z;P75Ygc{k1z(jL`o9JHG1 z2C`v;Js4y5`(WD`jKd9b>l84Ob07vTY`Kd$rGbBcdGmcchCRCEL7Ag)~@0FY;J{ccMZ*dy5p-34ZL3A2AgnV5*LvyKr<{Qj8WN&TtKZn zG(K!HGq9H&Y$04e2Zm7tN~TIyW{y#~fs7DDMc+i`9U~_aQf^?0rH17j47-RPD{&Df z_LK($xeF0$WwGy35HGMVBRO06m4@tseg1Q8RX2rle>v@V>{B+(7L-aw>fLL5!=<3L zTH$y*$9%;hh!k}zY1QM`2+^`$rOIrnugHD41xi42=~JN(VT^OPHB;a}{Af@;2cuS< z3CTZ5YGE{3-x9k6PjKw^v2qCv$h)@s`f4^k6G~^<*$WwaBika4l zWm+RuNJsbfvq=ntDLZCmu*Pg{mG+s7fHYTp9MtKdjNocJ&oOPb$vP${IgzZ>cEb@{ zX;F=f4LBB1drv{q;0H_zj=nW0CCuwr78vG&w&?v)I-L8S9d}>2KsL>m$dF#QF|n%C z(JU2uDX9!uiOG!_NilFQ9jqJt(|#*8R-n5Pk-tl^53$KM@G$4q%S3R@c~rvz-Mws{ zx^kP%Vwa+!dE`OvLm`QK1bO_JnZMWS&o{RDSys~Dx?tLJkgiJ|%8!Jyb#;@AJAz9!l0jee0s)GBv>1uFTe5bo`cN z;9UBc6nNR{!R%vaIv37EC9VIgw^l0Gzr;MYD9GPAG2A&t-Vkb#^jUp zGEe++a+ge&IpwbuGo5Gr-kM#TBAf;QabY!FI*iUOMXpD^Qw0M~?x?Pf6ecv)de{oDn+kz-s5;iExu)8K^w z$2QU7`t6EINV-o?+vgo! za$K<|rw5RN(7p(`CxK-DGyCxwpAvA;eDO(C_Ad~)-=~k{VW*nIIQG_uBm)cmbFn2I zq6rEcTOU`i)zSg0w{6Na2&YKdlN}#8Pj9L2`_xxl-lSR&XcOyKht<-2z*hpwW_U21 z%ghOIr9J5Tm?ruQ$X@maLzODA3!oyro_wjoO;Fhp8$@~B&V;;c){yb)q|X%pMzJuy zKs@{uU}}xJydG%1Z>7P-t@*dO-{mRFG%)L5M+ehyH#Qf`CP@oE-xr-I*d z6u;1F2(>WS+?Y1`Oc*VNA^iQ5fN2>+7G|(Zn_DYABM`#6oT2wRgv_5_V@rb#PoW?n zczxV10ZZ`gZQ{`9h%i0_VvE*MdD`(0AYKo;WY$6Y*(&N^3P0W42oMm;)ERkcY{CgJ zKPsuwDuI2$eeACzyT6l}rs{J02kiducj=)i%1UnKpUw&}38OQALpv`5d~El?2K^+X z^%RlJl}$9!Cxf4vB<$}}Kw{=HK6tq~u^%QI=Ee@A8}A%E@qO3`(oC8_(#PFJW#66f zw$D5VUYvlrT!QnD;f5RId{-P~OjKDK1gUGhuf8UI0Ilcgr@|q$6al z+x|!r>pb%hC(KxRtJ(bU$kpmNui}@+B^8kwrd!liU`lOUSO9k>o7Kbp=OIkWivAwz zZmIIXS%vpjZ2#9U45eP>sY>po%Hs2>%_0gfDeG@aqS)Z)xuiCW6|qm%Pe+7{t) znJ_FK$^oX{XHxYOk*9Lde$1Is-HlC*wsBne!>T`AcS6!9Nb@dD9K>+_Z@Yjiv|)6?MWO6tU-8e>g24$c;KfT0AoffeHq19&*A zGW`zAUD|y4Hy`H)^{YWpH)Aq`&+zXI5k5v#O)Q5SZlVd#_f&i8T=$RmQvcEZr~yh@ zbd}K({S(QqKLt>%t|`#98teXRoVb+IU0)-rnp&(JzaDxp(;~j2ks!18OTm5MIo%AE3XQO*t~Oivjq{A^bM@E6`m*@FD6HG= zxA|y1?4_0XNcfiedNwBXUD4kLydVhQPbPa!&ev zns-zgDFJnN(OA{mSZQVJ4E+Y$zv|f7>m>0q)Amh5=Rv6d#tAePDBN!g4=3sD>xqtA zinwu~F|g7qqaFg&$jJHu$!h8_{dv44m|-WKo&K4G;KW;a1Ft&Eh6L|9fpai}i~Fv! zai@(CngxAG#WR0eh)aRBe)2vWCB&)yX1$0~`p-GOwk)s5vJv#kxO1%!H&eo!`HoLP zX1C$y;%3AeH+v8AvLqx1GDP@&)PHVo#wy4MP-vFD5jkx4(J`iLlSzMt^o|_+^`U)I zU~>iFwhg6Y^r4K`7nfsYtoJ+Q6|mFd3ZOUAy@uTt6(1ii2XRej*D#*V&EtS+W0i7S zD7m^!)N0bQ(;ASPW%gyjYmOodZe6rr#lsbN?!{)p=d_ZYYfFIsQ&up>kl+2hvV+sE zy3y~0G{%)D#eMXAsv<}J1k^I`(f~L)!o)oM_qAdo13P*rPxpp5!JG(ib~N)729~T_ zSWVHC0Hfy-q2BV&{M7=Z)nyh8EQ zTYoZ{ivQ@i0N^^QUPfZyX@ybh?-Tq+#mP~w>j@TyUBpkb8|~V-=Ge}2miqCjw_J@e z6Cwj4;Ena|+9*WS5@)}MX{jGsRCHUO`RTv))#^-3g)pc8`qR#@kHXW(97ygj&L(Xw zk;~|oH#+;Rgm-A#>B?fM9%j6dkZrx^zpA5HH(A|-m){t?Zk4u2UMt^Pca>H3U)tLv?@Mv;1!zRr>zyWFE&YNE*tNn#?ec8*+!bo^~}GQ< z{fE;=t`hJxoE<__8S#n|b9jdAST0ZV1yk)*{6#8xGu6^m&6fT1`6h5mrAYm4boH@# z2TW^;Pf|R^pEzz*6MVXk;pCZm$2R1S(ISk!SNyZp*X3!R`2v**$e`Z!8gry@ns(IBaN*1t_w}*iUCySHDr&>%h!b8`(v%Ye7}lV0ol8Z%^6J3889kUDPqiLf!PET?$af6?gIa7RL5RK&?8 zCu?2i)An^8^PlT`QJByLm)dI*GWLB8(SW2^VZL56e7FSMTGBd|bxHiv!79p3VwN6# zq0K(`q)3%n9~8Acks#0Lq2||N9)Ps09%nD#{2*lQ1h6O2k`t&i@U;>E*5LZ(DmuC6 z9Kus#vLldXFTUmR#oOr4{m71%ymctkb4fb)$JJyPCt_k zoB=j?d61~TYQ?0-Bngc)%#-_u)@5DFyFA*yMX(hKE87O{5-Nh zW`Nr&aiG;zPX-VqTung`e@avL3bz!b&xWG(U^kwTJkSyziI#ojFO7Xac`=X893*LA zk^JY;IcC~A7%^N#Z{v0zTKY1yVBK!;@0Y?98Tx*tsV?y?AAR|U*f*bnpyThG*~fwn zqroeIP2ADuotBAh(9Mha9mnM%a(lctMy_$|7Bi}9i@*x1o4#)F!AVPFD)JdNYGTl2 zO)lsB$KzF4ToLs>wCt|h^SJzG&xtF0UHBcDQO!8vkb#nstS z+#L???(Xhza6j1M?(W=u-|zbmZq@ziR@YQbGU-fDda5gv={ym%=$NgL^l*6iHg}3o z6k#H?g*SUt3w>C>y!)KrT=0q2IyiHrsSBf%ZSh$771l1KBQ8p7EOhRa?^iT4oDTA- z-Bo`Ih@;sdI8~0)6mtE3$Q!u!rQfqQXws2pd|7ZNgMjuMfeYpVBct8Gcz7fPNU-Zv zf;8Ixb$+v%BApc8kmOEquJthN_WTupM)sn&#ZGGp`t3#{tht~k(u{7nX7};PVp)=e zkU~@|JOMWPGD@%&`B(B=KBuF|or_|O`duw2^FiAyl|ja09v)riBZ+S#PXl@=%zcR1 zF5~xMq?`C8NRpwnzZwmHYAAvQ_%=r96C_kj+cF%4#7ScrMRv7nHDO{BsS=|#5*1U> zS2~2s6U_+L2dj*HXW&zipjiN9OER(}G=rKiK~+&?C~068u25bpj&*WSNM4;O%V2vS z`7IHo_KLZb)%e)i6$aT_r^gmM8Np=+VuYRk!zpl6L+f*!W5*JIbd^9HmTXbixLAK| zwSVK{VZfQcC^SqYC2!#r$EevO4Kt>?WlF(Ee8w=(5$52Yt;ds@9tiglM6J_Yhdm`Y z`S2d7vGPte4f~C=Jv=38d=e?;3u!M__O}rv?RqW>sHagH5P6Y9T7&tVL+cx3*9zLK zN?#s0v$TF+=_tUAtNw}oS`5YfF#C1kLv|*z zbL87xoBM@)t`VJ!?C$`cv?P(KdnA0VlW1aCdnT$=z}S_^%{F-AKAy~Ht$~D7u$I3o z_>U&whs*wyQ#H${fM_#6-vprvGFnCE!te!M-BrInz74-=vRL=S4{X7iRJoa>vCej6 zlry2)tV`XmBd-%S%%{O$S~u!^LK%Crf3h~vx4rHLtzXuqO%DRNziA*583?!#ma5fv(eEE=W0k?ppEQQr)MTaKtzu8h;axAAerizTd@c z4qa0#){V*F!mzE&EZ_M1IkFm?rj(PsnSA>~8y`m^W6{u9TA`yVkaVldsh zdpazpq)v|bfQ)&4!wc1`)_N++fE}wV@d@k0EAUidzuK(kOD7Y5&(ipI>XJ9kGlM zet3pr;W&-V%s(R6NKAyxdV$IcRoiA^N--pdz-iKXO=dJZGJ4A(_~Ce=tKd_rfpiAy z-p-M{`q}29V;WYlmfiST?;yf*9>P=!PKCKJRvu&AkWWCK#w2hWnTOp-WW+kS}cWkOEH#uW00TWYRUC|7^ zdE+E9SIFr1A%)V9kWrH1hepl3FXVbHojjCb3Wfz&qzH&V3*{!h|Lpa6PD_1NX(jQa zxjx>AnK?3-rZZR>ng8Wg{(F&~GlyMC)Pc^+F8nlQR1#w|tt_5tVM<|e&D)8Mg$EIJ z41}opPFOa3@%7Ka&Vr&um<{xLro!-Mh=H?^^<1`Ie}aKkqA=rUF?Psw>$(jgYh>59E8X75M~ z0UI_!vQV@OWa~04ayta=ys*{-9oPCzO1GKeSEIUyYu@)Los8v_YCDmHIz;|!`Opo` z_T!NPX_+4ldLpn>JHQ1vEY5X;TGnm~-W)z{ zkAnuMox$8WbYm2Y*p+eFp>t9&UZ^ksPP2=&03ZK^ye6inlk;a}B~O+nre^LVHa+@U zg^uegswmKQxeMvbZFlY*~yV>ssapiG&jt*(~*qSMZbS~8@ z6V`Cmwh>2YhcO#J3kWK0&819dMl>^RuJnF zylBygiP?giC(*#NHiG=@!=+jl0bIQoLDz+R(+rC-TR{_mN_T&R}u9IaH&TGLPA}D8o+8T=OJv@Q=&*r_)zE z)t7hNRBA-JP_NH-iH-<}_^iSxljJE2AJ||;2zg}uNooW$A7>F(7TJ+nY^DTi^w2!h z$gZa$4Y#YxSaPG^w__N~H!_^C=lh%|zsNZg4B%|JAVNjuref~e;$TKcW@`$vau6mC zyjNYvagDKw$RYPJ2L4oe^D|Y786Phw?Q+U>@V49uE=yz~g#gtL_ zjd3ny;S*cJTWViW17$gX$?i?*7RT+fwn&z z>=CB$IRx&!la1T6MLi^hYm_yK!mpV&U!HC(;h8s@c$r;YU4)|pN3z7$*5#SycQF-$ z4ym~04`R|KLlPC&9`9dZd8EeDAB{Nc^9;I443ja!R72v~9 z2OXY}Xy>Mg5_DM08!2}~20P>re(9{%k0ZyU{9UuK@z`2gla;nCRGA6Sr66m4_I{N~ z#y$}n0L1~5?xAVs{)Lru{uZfj0m_#b*S$)urWT5V#+bzi3V_ET+|>050)hh>(tFuO zyVpLk^8Oh7Y49fOZ^392q?bMFfG6w4B0%iZ*gj+Ebb!n@T*M;+V1X|TomiVd~r*T6*G6*3Op0h9Uw5>G)8&PX$x zgOUF3m=aQsw}{ns)9@PD{S>;lV%>PaBV+jHh%?UUeBfNG$WHT@1Oz|>1TQQu{-&N` zYkj&%D5w?4;&a#0QPaqu51dV!s9nn<-Q@xV;~4T_G(dIF9lWBhaDYIYV{7Tkto4WM z;PG$Wx0bx1dQKI8|`+>B4lce`qq?uD%$yC7#5kFXLwkqVNN}CC>a87gWHe)PKw07AgX-m8PPMAB zAD0toOEOBBY4RIa6OsUGltZKnfw`XE&}RJmW7O3@pH-+6AL`~x;Z~!6S_G~815{rW zOty$a>Sxp6^Rd>lHM9dXW#OMzQQ!XY2eIS0d~U->SCd;Q-PuhWamyu>Zq~JZgWLsz znbvSrilUlX%QdC9kF3mFvYbO}BF$Ez8#hMApZt%)8MpydpQ>c5K<#2Zhx>(r=db;A zgLE_BH~gmd>3Q~XIxbJUpRrschNj6N=c`o-e?P}4U!_&o+*(HWUy;t?@M5}2&2lCa zZ@2n%m%`3AbqS7dKL;A720FOJeDy>&pHO>(;N>CKPT>|d@TPUDwF`Hx%<~*c!6Ao@ z5+wGkwRcZNkwEh=!CD;LsZwQ!;4cp0>vkO0E?Kd0S89p8M2{DWy+;fCub7`9@GLR) zQc}DMTiZhMDFxkR8;94Cpbf9yM}0{%>@D7ZX>qA0NHQLSbcUofqQD(wB)rj0?7OPF z+Emqi_mL;~uRoV3}oVo=PvWzS8Ichisd!KKkz9 z_P*Bbx@S;r=rIaSJ1rB`mH{Lkpv{6;!4=&Uf~|L4%5Yoy*-4))~Ht~piqt!9hi z{<-8BS%L#8vG=)<`jI9D>iwCx)p~$h3jPyon%XVSLK9iBMW|)3Ut!oFKhA&njN2IY z3!&^l3Z?#Y#|9e+E!Rv-Z^$mALOrx4O4HUiib8tvHwZ|A*o_s|QBm3V%m&VKhW9tg7W1)qyb|M0BL+%_N0m$4Bsqx9dcws zSQ2$^Fh^>1(KD-|f6L98R+}dS(`$0h0q2PP#q}i`XX8AaZs+s@h!ItVZ{b{PbZZMr zXsZTd%81`hXW#D#ozd}t2@`>;DPVpJ8Ww@ux2fedJ-@_$aqto7^h}8wQf@%ih2KTb zYHy4pNktSexR|4wyp%am?2K32p8|ukuhlF;*c_#sv4=AhGA;_RHr^QSbSG783_E&V zMZB+%C>6MUf%6I^$N2k&TT!->&FVXUe_=wN+h~251sD5Dn3UHFT(W+W34{z3Vo{@6 z6+`TjN!I}}Hh_cHW1x$u>p8JVXF57tHP1lh<_#vZ+>#`t6L(4wfJ(F+(urLRT=JUk zXvb2XVKSZ{3VOCCZ!lNLXdY%y(b9CD$jX!_oAF5ALJfFt6EC|Ov>3P8$Tg!361Jsg zZkug(Upa}hrPJN<%8py{Tj{fLg{>9H_>d0!iYu~OEWUPn4~gETAn3h!4GTnO`-h(2 z``me7W4L5mFo`bYGh;$VrgKAfp#9;*6&2H!8ih%3)QA4%>q=&YyiCjyskKuhoV?=% zp#K;+Ee!QdHoY6tpmizWfC~dnS}^v4$^DO-0`k>oGWgzouZMnm7`(^unmYuB&jg8*`rsaNiR<9hLPk9#L81tI!!8Ye zQ`C+>zSx5em@!iXxF$J2-8GC%{4VtTUK5;hzG5>;+yVwb^QHB^10Xdlp22?s2&)?Z zNV;~y(|c&YJpE|Sk;-dHs#9k5V>`d=@BH$u7gU2Lc@&$q;S$IOKI6en7RGr8e3|(h z>0W)(LiKw%;fPMSQTXf1QnWT~M&({u9zw(02sKOzC){(ss67GoA$7EOd2;NKJ*`7S zDQ*O)xz11w8;YYm0Q@8cVH8j>;BamN>DYKiMT*u z1c-4O+yd3Tj2^sM!&US+w8L70vL@;SR8t{_vT6Os+`lf34~6M)n~%oYKEBBg|4@epV-u zA2tau*nMs+J~mzsb-`Xebl!5OPG)y?VN@FITc_BypozwWMIwjvFL|34P#~n;RNta6 z#Yf%MB&B85sTJJTvQ0wLVu+W%3ybMjN!$MUCi90|n|ZHdauR6E^u@ZCw;f(^h~p3Z z>3o4y=()mBB%)L|DmL6>Bxchjnm%xX4s63ZBZeC(7k)qlp|J&XYzjaT^!P zGeW3+=R!+{gJ`>7AlpL!09{*^0swk=`Kqc8xm~K-XC7;c^;8}g&$rWvbqECIUXQi* zJ?I)|eyDdM`r8l9Ie{K%C5RN{iUWdwVx-AYu2WAaQUd4zL3EczhZk?Pv)*P>quYB3 zGRS|g2OrTM6N=w(zT(z^4$r>M449+oOPl88bN*;>f&`v_tzR8WNcItCZk@AQ3e6uT z8<61T3OS4v?!#d4M54u(362eWQ(%|?Dy0^o!}`Og$#Itv5H&d2A;tR2kF{n39$4Af zlerG>IpqwYw*te4Z77+%&toFbXYmlQP|ZkQ{(P5amR&CT_aUEo^HAs|V<8m+F6c4v zuhuhp;G=cPATmYI2oMw}En5A0Q&6qPr7NtEJ4k@P znn}MmXC7M;f~($6Kf3P%;^LJk%MQL{FD>*??o%f8K}O%Dt$i2y5!AJ7qw8r)9))VL z-YsWpP{NN^!R$szC)N)9clwAdC!w=XXT`k=|1A9v>vO&A4udtf%Guxf5?m-&$#6Go zkAqmDxMS(Te<;hOLCgE=VY|D~ZNAcdID60XT*QYRBm^LRAhOR=3ulRQVrKp*Hv3bP zRW|)};FMmcizG<*f|O)-?)6IfIHW=XC&Hq|mqAb%NA@#UJa}Cuw>5!L?^|Ngi}RDa z`?<$s9QdDSl1_%`P-SS$W2X|N5y=YX5OESBi6L@UnWm_v3XQM&u3t!v;y+N9VGMkE zj0Q*)uobox==q?rpv`88g^iT0I+?Daz`>u%d{LeqkiA~RjG516h_VRvy!7bmk{>ZFsIH40cKIYDhySrk#KV4D<^oLw0lf zj1*0Z`V+m@22dY7r&apJlE^4SG@N@)1dS*V+tyy}MU>?hK` zfmb7Exh-fl1m75SHjbL%7fIP}sx_wH*q^n>aN1b2a@>EL03HS+k@hLqoG#mv7E#lr zu^@^?nG=kC@CQ_N?p8*-2{EE|T^l5+v99DD?#%RCYN@y;-$xw$taZhb1~w*apivlj z9WOBGX8@SbbHC196j`011)~8<&BD^UDWbj1uVg=IFz#!0V%(6OZRQUgb=sw3`n;l z)C^r*llT?+8s)9-kZvG8jIT6z20cu|Cgem$l?<`P^-A6}MBzq;au90}p$Hgw!Pcw_ z29GB%rm%O$L4G5|7!8bRBTTvGR5NxA^A^vHo?ys8aPxXo=s(qqc|u5C=AKX+-3r^h z83tK}c+!RG+4t48-5>YKB`%~m93+b*(_weTXI99%m~k-^h@YnN5P?%lgU}>~nHNIg z66A>j6O5xHT8_e>;?ZuBOV$tJq@?oXowp?;)}GTE?4&z?HjMGAq`(uH8=KR~giP8& zs>_cW8fc|baQkc_de&vtC3-+2mEau-ottT-8B;l{1P!&xv0L~Rxm^w!KMj}MA>W1k z3vNQnS@FJNDXbS1sqB$q%R5<*rzET@Dk1t~5+$^{j}`gyS)Rnb@r*Nnn*ABUW9c4j znAj9#0sDFRk-uU_Vz6HQWrHLz`lYMW}r&zZoF= z(UZ~pJH^BKBBB-&87tQo?9cDI*Iin-NphEkp}hqIxLpSl?I_qpTF*k^^0@vs<1F~} zrIC|;BCZ>XUDC`UNJy$!8ymINyYx-UwHu|GevMoZ!_2H~)q%0p^ra+ARqZnd*Ahp7T|t15+6^9-e3`)aWaXKzglz)jR5R=w->||f ztFgF1{=hr|b+#S4B3++e?(+e(j>HQ>Ewj&hwP7oPl^$96E}FV9&Hd2u6vHq|u~Qj$ zQ(A;v*ulsnJ$!aDXxH_H-)^--krv$GMC*Q4fD!k!cA-h$OvdNcZc}X?5<{N})}`76 z^Q%KeCneMBV9ibF?o}A&WlJi*U;w4LwMQecD6Z0s zAWwCi6LL&X#eSl1R6I!<5!8PEQ0HscVmGdn25~tt20$$B7BPW9%^dg>UnxJ*hbh)Y zWGg~aJEPBxE$YHvp%KvbDs>vnS1kuskWz`ezp}n`YIbuMEUJG3SxuEfmK`BZWg`fi zQ)|gh-ky8~z7gvymKb9HWfAE0K|au+b@cLE*u|;LT~_wj@%MZc6#ar7HYZ?H6>2sG z1*W*NYBIoNRGBiL@tEP>*axhC>7x9*49d1oLBIR?AB^r~;Im|3*IPoQ$X8GoisJCv zE%vSUA1oOytY59Fw=6ZwVRf`un~`-ufPI>MFSt@tZ?o=AcX$J0p{ zbYf!gL`dbi8|~FH39y+bzU3$EInTnDz(84Xs&)`fgA+1*Y~Ja9{CgOQS~S9udu z@vr=oSl^+-RKL^XHU2jfKj%LevHUkeN~~xI$w^7js(8wjjs=#KIOTZC1d2|Qd5Zg6 zB6#)`L{30eYs|fmE{yHU2Lq+syG;|I$8iJSz-T(6c|DgU^ z@c&ziDER-yJ5>r1O%V3Cr5~w5A3n$L{K^QtUyYAE%5AE_u|Cz?!*WaGi!t(MlOy^N zW6%lODefCX$T4+FbMW9#i#8adA>^1`HrJQarQ*nO&~AafNp6iF%99*e|54jihotO{ ztq~!gI1$rvJm;TKGsF?rfwME2K1`QWL+VW#^Dh~pp%skGS$kW#$2Y6BLWkQnh#Ra#`Bc}VmKKg6Sm2rr_?T45pD z?}JAAW+*A)_claCl61q=1|!5}`zCS*mPCUuRQv?C%tU61S~KgWjGqnv@`%ExyPwWN zV?S-x-eRG4Y(~C8bDRknAI%_#B4PUp4#X)Te8Uwd^csy5`WDK5#^HI?OLFZvarKTP z4)1^!of^jOX*xjkO(Mn~tF8wDo7HtNHxO4m7LV8SJ!Cr&`jW#_pb*Q7@La(3?x>GM zN!&ZRV6G(M5qd{tJamTzmA};XV@~!28&b419}>$)t9Qd7g!rEO-w<T7nhjNYS++Cl^3 zy?1?ycf_7^9CbA}0%mq-QoUj5_W@`7ls6f>s8sYZ*IG!l0=<1<^&Y~_hSBNFl&Pf(T- zp0)fLfEr-OHM48N_gJy&;9s*Jf{)qKaIT8|YsuOTWh)#xt>CoM8!wXjH*R~>bS7+j z02&ErgYo2wr~n#&RM6(#j^`?Dz)+Zg-E?M44aXgew>9q*D>YiO@mnRR58*W$i`9H( zYr6nC#(dI}84;HWr7utd36I$o4ViJ|il&Xd-@zK@NwxY^APx=hMiTe@K%%#+D^(4Z zA9p9wJkrN!Yyjb^=1gRjg$L+!1eA&7kvx|$ERbXB&r^vMT=S0L!c(XJ8eN|EB#ESg z5olakulWnL0g-=p=V{gYH6-ix9?Xi(7De#%nit5|Y^2%)rVV6{1AhJ{+0T`yShWOW zl30?MdOk(hn!(}bQPc~qflUW;>C|DGzQfJ2Q`gX{D)!_rdB5c}Tp!0EP09+l(eO7e zk1t_v7bEe{3P1fTO_$YTx6*m3{;s~+dHL{;^HQ{nIDBLG(?)QLg6iKaS?+~V4K_n5 zF}WTs4a;DODe4a7~mGzFGiPVRNk>KIq>xSoC!6)@UcV~OW$Ooga>W>y%BF|x!D zX_ytO^!Q-;H-o=T8^fw4N&Kx0QeTyNOWjy)WdVwpB$hG%V z^E?nL2G5Js_Hh<^)hIQ#eJK7sC}I7sHwpgT`6pG1Et7s#P*F@YUgwRSkvvrCy|H`} zY82I}_#(cg!XiFbadj7g`UVk1hk=1dV_I6pcwaS-;(%~8tMqpG)6*_&NLgRSKMcG- zU8)A<-3vlhl7S~Ohq7~DP&tL6Wr;DW_H1XnC0?_=&wN~eF$7%tz5nUt{^G`KM%;w7 zz<=c$vP@WiMX8ykTX-aXZ_D_qd@7Tr{Z~($0u$+M}Ely_Mib0mN3zycBt+PrW+;3mOL!%{N!NkcV@MHd~zeA-Fv}SUs{x#Rc~fia z0vf2NWk9mYocr51yQKmB_;5pVC;a1hR8LqFRA$ajr!&g{`C6sYjI7Od$` z)mV;DS)aRa=SsyVGRUD;z~HF}Gc7UgcghYvmMg(HMd)(dx?AnD(-H5Zh8$w5O?yfiJ^Sq99y7`D3lw6gEISqGy2z>DmwON_1)ZS)ykbc#v zk4DLhu>3`;f)7>R%yiz_Y2f=0GXv*C2`sKAVsSaTem|8+MQY5vFemUvk)-BkyqIF^ zo~H%i>+hcjnfx+a;`*6uA(@KX*G+yjloX~lzcQIA8n4VoRm_si-C%g`?{3)i5t1#2 ziX{jY6K>iRWE=K$7D?}3sa6Xn%*$*agc|l**1l~QrsU8l`ARn%fN0jW4@waES8Y2($#3_G%DyM&EBN9xJR7m)W0N+e;b_Aml#Fx)9EPFQUx=YJB*#E zu**!K5jF-#HH%@JWf(=UIh>;{wwT^iRa7|sPybcARcF_V|A;ncN*7y3K3GLECqb{I zcWTFo{*#cP-~9ny`EFn3ZHXP!vJdUwDCX0so3G6ycHbp>PX*@xHxQd9q_X%55@4S5 zw7PBEdP^p%&cDkEYQECO%QxO`rd~$T`_@(7rLrj7DWJmU(0$n)-}H^vDorYNj4ytR zjy^Fr$^V+#?HbdnFu%5aeAB-tqMJBTNr2PQuUWFrQ6+brj|W{vM=q6zrU=<9y)KVO zO~YH4e2*)Ihl)E&rT8uCCIu0Gk^q6SNcM%aT;{8!D)4*Uf+ld~?RkHVADtoA9z3^y zGNOS`Q`A$I_hlyGWqn`bD40SeYKF1thnb6Eik&Y3Uqt0wZhT4|VuL<(4jNUC9vD~% z{1kk~s#Tqa;m=?v|b4>CW(d;3DLui#nQNhML$%qE2P{ES#cT8tv=ptyxqLj(M(xD z+t7#}#zx&~;$(Th(5%)#`4{=P+JqQCUiAT>bN=nhSN6tC++T+Db6)+f&b90V5kJgWxX$9B-Fk~p*R@tbnSQ%No_sLk#- z3&XZqAopkn980hxu&Uh^kO!u;moPfenE+?=ypQrRHL0Nb@_m+(3I_kISk#eA+N2Ri z=A0~UpBNS+xyuhbEuHlu)2aApuQE#Dc0I)9t_|0 zU{ZZN)&FG0Z5aCY2LSnUh5c)Pxq?}XJ_c^b6Zm!NJ~p%^2QwY zosmS6N0FA<%yG_?Q6i;43xYt!xkjxW_aDBR`g!*H0#2H#)x+|hp-Um|idadvtdsVs z!qcd{oD|HpMX%qVDpwck-3Noq5b;#roFp#0Z1{bT_S=#(VY@x{Z#q*V_7lu7+5xFd zyKvq1CVsR}P|?RqSHq!BJ5PThb84BUG0q&(^fWtmN+(GKe!BQ~1_@8-{Yr*Iy+}Sp zfhNgK(}RZzpYRos=UY7fGO7It?`7wa!=jUT=m788t@>p;hfO8^P~v0Z+Ef2DTT0jT zq-!IZ>y25W#!}nl0jcq5?)AXJGa4IFeNtxLCUxV0?AfsEC{*Qe^BI$__~k6HtVkI8 zv1Ijbg9V>Mry7H@E#mo+b8~BBEVzjV6S`r|Cil_R4SlVcv%_xUEtr5kMnn3Hu-Mj~ z{Iof@YZ&smk%7+v^9aVxVeLWAf!r?sy1X%J>ui;JdK;z0tZa$0Q68_wL5I@8lzY6X z^zV4Q(&p%ulK#EdK&p2le$j|j?JfF(zd-4E(Ycs@)l#(zkMt|~qFa`UY@zR;2gvz3 zOv=yyw8m_ts?Qp)9E*c4)K3Ktm5qLclRff$t4;Z=C02U&ALizK=$y$ zum?96|7%z0TjLzi<0+Xq?JW8q-wpJ2H+Lhl7^WIEK_i`F?T1%@4wedz%0j^3&Uh^? z#Amrn+G6cvGFP3kAC$Gh+&eVV!&yK1eQHmc0_2efau3kq&_vqn_~#BX0Y1Ccv$3z!z7?c0rftN$%% zw-i3c^_-!Y50jz?Dg9}(SQ!pE0=Tryc&N`@7N4XRCLcees$xbMsdB8-0xW*relva6 z&G1~VkHdv)!0^Pi*w*DMYTMoAC3TU}^qb;k#HOI7SR7>VBFK&~3@N1Z60w>BP*qU{ zHkTzbEMM%nndZWIS#Hy&z;W3K>wjXgK)sT9)#aFEj zLrPTOqU0Zb~( zq|}&RF>@cpi8ao?i4V2>{MLz2mZfq#1x#)m6)%4H?C2Mwc zW`^sRfwJq;goMZ;_H9?xs!7_WqR#{nt!d;YA^%||iA?OAiIA{qgqd}L0Cc+eU@#;) zIULDN7b9@re9b(VI_XDKoz#JG2|O4|XOuUMps}b&i#oiCzh|eD8G6JTP2NMDahbVq z)CG9A-;8$^Ax+l6upSilw{x2C!z^`9A`fyQkG^(l+wqqeqj2X;Lo^!ln+_Rbo~wP~ z>wv8Aa`<8Gq}vbKZ^9W%q}3+z@#}N&`h85>6^1FqX7o80xLe00G)gB_uHl#+DnnA{ z`4DJOGb&4%>6~Y`%{bqz*fz@Q-qc>ya8kNC)`p!XzM~(~*$m^hkRVjv1=nG_{>)ll zAZns9XJD!G#=MXId+}Vad1xQ$v`-{hU@gEnPZ#@CZHbnLUf=Sv-yn*>#mCT ziCRuhSYpr@!+embpC2ol-t6Ol!hO<07EvY-Xi1fxnlYBOh`oNN=#)eiDK>LJyxrb+ zw#Q-5c-M%`^c{?9y8^#)`NyXf{cd2Ihwji8&Wt<_i{h$^qh?ls!f;a|lE<6IY&2A@ z)rfB6p>Fo+n#W7Cfn!tC>1THB-*$R4pSf6HBnBo1%%sE}zPUNYd~+Dx7mnD)@0_Ms z8B@D_E8xvp)?SQOIJKiK;JqYW9J8{Rxy4tKPd@0hSLz^ZFihr1;ux$~em{Fux8vBH ztrO9Q8o>~U<#r#9^-z^xlaOz!nE;ioDp^ak#p|uzCsPMf2U^z**S#FFY^ZDyFLPwp`r&J0r11uJHhv9@m^)W z0^_-a_G$DyjAZ$4V((nfpm(3Tx9sF@GJC3P_OfbxNajh7Tq?AVS-JFmON^%$E9b%| zUV_!QhgI8G!8OZ!PYt=n$<+_nx8W1^!D;W!24;QiyZhR06F5YVoYA}Qa>{B12{j!z zA-h(@gxE2^Z=PILH%FI^)y}N1MOK%q=lvM}Vhs6}5L_Yq?z|*@LBHc+kVbiHSFI3A ze`}$`x!ra4iSfS+9-}52pe`Ld9F{}V(qI7Jt;Tr0 zh^Gs1A+jKRwJ{2Q&-O4g@y3J}>B+ocIe0Kt%u3$Gg?wgJi1}oYy!RxMH#Hxj7T4F} ze>e1KXFR^WkkpRLi^=vP6UK42`FV5sOHHD3h`YfQ-NmiP-I##Tgxk2Q(G8G&87;03 zMt-lv=^g7#e4HYp(vFnfY_dq=kH#Yy(QEV9Xj5# zX3BC4D&>Z5+F-14`t_Iuc$jpQ`0>YcnGw)MhP7s=bJBz`%UkJf zj_!6|#@zf(wx3Yrz+5AaByz&qxou!VSVI@F<`Mxi(9m|>kDqv0=zkM62lZ=DaCX=K zL`mafdZZ}jLd;S4*Z~qHPwRk3pPZj{bw|Oe|15$sk~-uFlsNm_XGP_CTveoK%E(;Q zAHi|aQlc; zP9ZJTM(Ws?;BDRWu3%NCPG_P*ymCCDS619x|bzACZxRaolm-llgfk*doFAt{9}j`Au(^ zFaEvL5+x7g;yiTR(&g>U3vwfM`=VJ1iz8=pq+lXlL5duDghumhV zscfOQh}VD?RXYLC?svV|tViTyZ`*x@60(KO8K;Qdpp!RT>qztT!c2*}hN+FxO~tM} zZ;@qWIjz@VUuiNE&2yFFsPYG@w2MVI(HITWX(f#VgnM5(J%_zEvz-1953iHMf=L}u{T;kl8K#_q06+{w zrnBM1xZ3Qk=Jp+wiFtJ#!ArVl`~fHZ!&AH#(dgm#tpPOIG1Y2iKmNqsK2DL|M`N*r zf!qrovNzr$fH_^Gn5nwi?AX5J2Qg>O@7UWr0NzYPk!gSdaJs_mHr^63=Ud=BN|HaG zFe2f8PQEVXXBZ%PIkY>o{DY`v?>_G)_GWrd^R-5nJ__cXsmY%iFb*~u7r^Ep7x2q5 zj#{1OcW4}WlJN$ZY#L~)n5jUi9Ui01&UdQb z`bC85u!d^D$h*LB%HEr-^xHCo?3hp(1+=%|kvgg=M* zf}60N-oz`OIjFY@hbVTLOoU%0u9X0sJ2Tg1@O|q~G9x8vx~e?2m+#EdTa; zKlKl#k2H*T6{*j~lpD2h-<6by*zx5?kcQ4`X!6Q*6;Jn-uc*^o4PoRd%kz3p!DZ@Y zx_}p4SxKe1Wvp9v;h$B87>m^Iu#?KZ?$J={70mE`l;EZ39G#`1`~)}54oDi(=P0}J z$UE5>0lLcRs0q4Tn#)@Ok6jL&9Nt_DVHO;l znboK;IHfa_j~U_?#VgHGVWVXiZu8Y?y+K+8Yb|+yuFWBIiR#wWsJb$8nI>eS&6cT< zwHV78UH8b#8;gSQW)fFSVK~nNT_gmG|K1ms0rJ)v?SqSk7e**a_*eq!xsq^#pmK~V zWY3u^5ou3)JivmCa1L#+l9eY2&p4tX_TQ@ge|?BodG21d=~lnF>o+yujc-22-Fiig zpgSa_(OrU~cQJctUc^`;g3V#lwD|#3k!;bsYmQ%|b>YKhKR>DXL`AQurbEXCVmQ(h z=gYQ9=E!-?Wp%7GzU5F_CoIwN#SRa699YB@HH}aprv6aG&O2vPQ!M{ z)^nSc`o$#szEPABH^>{&Q^jEZFfXG%Hu_6**2Z)Y^p$Uz70udcf*6JmJ6MOb$O5f9 z2mnZr_A1HE+4X!j#hS698Pl2wDB|bpEDkA>_3)anSo4EV zyc_b50}&~6DAc)|B2kX>`TeW#1_=uk*DKoa^{?j)MN8S+^P$pe42rE7$sai5ZiD0^ z1>Ds(Kh*iNmA8VbhuuVzfr-T?3HUJBQ~R$fKyl@<9$Lcxu?gs!_ncc`FjcQ-LZ({! z%j~$K8r9XT;zmZEk!;ufQ@IaSM?T9V-3p9mPI3G4ysh=)%X+?hO%2$!alat1ph{NR zwNY$M@k|7N_to+UE616*GcTL0gl&*uo`8K9!K7Ut=~b~GDoyt+Me_hfIZZ%Cf&)?V zP-5pO8akk50q;9mtb16Hce{{Q*!XzzW6@1Z2dkih1i(7TJvp4*l%A(jed|=YOsdLv> zST6gVIn+NlVyUQKj|n9BHwJ%B+SI&?LH|;|N@u-&KHI7@?nSrpf33ZP`gC`}CBgM* zESp5nEBRVnL)%M@rlx7tZFN9>bAWpJc|AWHl@I|teEAaoUm(u;e2CHdH|LVxEkFMW z*Y&KT-eG~#XS+Nf#r|rfQTb_q8%)zFz+UMed)B+XT3z3`=``Ye{{y7E7jgcAnBL6q zPiye#bvcQZ;}3?S&-@aW!Bt!{Fn2I|rumIR{qE>UJ) zEN#B7`5g>vvivL$QZEHApo8cwes2D6M4-|9LNYo$*ANJ=&ktEC{` zKkftN!BwFiG*~A@DCout@WYIqG)X}|yVf*3D1R75!Y;S@Jf1%(K95L<+{f08sD3_m z=Dh=BLmmwHajZX|yhY9lb2t|K9%dC^!4d--uSC`Yua-d%D+cNlj4l{wZWWj!c{ws?VHViANTKigZKzQrbZabV8B7aOr)kDj9j{tUGwoU4=>EeeFk|u|5m9=PUIIZWB2pq9q^TgiL+IsE zln$ZyA|;eSfFvZ4{>JY!zu)`EJD=G*XYQQcbN0^J-81Lx?lmltR|Qlx8AF!6erz6# zyi)b=*a`M{-nCLXnTHrGZ7hY^GpgD;Emr!Va2DhNm!L*%-k=0g3~Z`P5~(=TVc8bt zfa~MUt`P1IX?Q|$fH&0cS|3k`XHO4o&H2xp_emka($I12j$0qt}8UWJ`~NR=zu zp+%=b_%_5=XiSRlY%;kx8P5Ai=R$8A)BH}6_n+An#Z0MvBK2_h9pl|?bzKH zZy?him#^m*MqhRit*zY!AA5e{Zm@g`JMU`MZ(%|G)akg($h+-=4gC`u5dLXL#kR#y z8C=t4YL($j)?zC@)Fzio%BT6FPDi&Dn#sbXSKNsGaX_xzRBb$>BiG^n^Kx1PEsPDG zJV9!LxJ?zg3KFr)oo<|N4}q0*xys&J185atbt7|WXaX)us3g<66`+{5HK=cYWS6IS zRFr`@0J!zr#5>g5KEdb}Nw~QCsW>28FB!JjwlY7CJ8Alj8@(>N7m_$Mbu9Rf4OgwXK#t%mOjY>?F$83oP2hx?yJZ@5zSJ9X4 zExdjZ8OrTEGEs1%5YNeQm*cORbUyY~XfdLuVBQO?$4p+#Kbz6#6WEu}nd9aAL-n`9 zg!!9`!?{0*atmj-7VdBHX|6vBcit>r-~ff0#Mk?eUbT%{elC%LE53I0)9GxnbCy?g4iH zm-4Xy5(%?xUH!w&e*PJy9nu0`GA!9YU89 z;F`%4f|9+5q6Y0Ge(}+_*$2@rgMTy@pZB0?)y%-4s>^}?c7f=*?C*Nww`HC8vU&Jh zcGZ009~_cvPE@HZM7CKJw&*o~m<-oU>hD{Lg9U+SZMTr_~*D`R@_OB6SqVDJ?W9%wpDu9G+o=dN+KWi%w>n| zbg%f7y@jo)*0K&X4tRrq;&w}=yib+{cX3W;QjT*rC^BiVQ@w1sc(B?^)-gsPzglWb z_#GQ7v3F%t1IYfY<7O&N@3K>nRJE_EQJh%En(a>cIq#lxx9ZQ7?z3+z@;LV)efaq+ z&Qc!gzK*cU;n=7*KWtpGj0_$5^bNE7Btw4q@Eyy}jY85r=O4Whn4L?fZ`v6TH~v|P zGyyX|GzI$UiUJyi0Q~hqJzZ?X#)c2+)Xoxi(~Bk-c>#^zIZNaSEryl<01_HEG^cui z*nQ~0Emt1$Nr}f^!B>X|09L*NqH{AujgfmABb_T4%bGHOVfn){4<&Yp)Ce;J-bB@7 z$F?g9@b!_lrs3yR-pz&>9U`H8+f$=~N_TaqRu*cIM=+9M82_#kwT^U14KN2l8#S6d zwvv@%!cK-IwWy)qFOaC9xs2v26}x4r?F`TqbWSFwUXM5bWbqrd4yIkXIi1EHX2M7d zXr{7<{bG~{ehD!!?R(1hx*fyCB|vu%Zz=|;R?di27=>*Yd=*;_dmuWOG!{ZSOnkBF-T7iAYDAQT zo=PJl6Psmq$*=Pva4=zP6gsD)Nq!ATctU#3sQU z8v9bn`Ut9NM@iUbcuaocbJf#wWw%x{;VxBqhorn4kyL>uy3L|&ok{vV#U(eJ8p%H~ ze_quKManmMaBtxJYSsm8Z0j99p;`r|EGjd!`Z1Y}84fpM-ebSQ-VbEJdSu`6lPA2i z&`p+KZgH`i-MoJ}r=Z#E@>ajV9rw72$WQ|Nv=rsmXhSwL{5)iKRUIgNju^@_*AOSu^yEIL>7G6Ppg;?63~S^8pYuvT48LcD)j!KmXpUZlF4(2mjFXa=R-_kL}zC@ zvcP!hzFh|%T-HmGGldN46eOqU?exH-O>$Dc=B=MQMp?i`KI;4l8z>FNk=f0J$IlD2BISJ8>{oo>GcS4`~j?N z#1731?ISgrTO$D98QpgAUr$ZkhLxGN1wIZ>9cV+~(oTd-5abW=ymq=`<_fWFgu+oN zd;mD(U%l^Fl&D}hMqA3Lwi=da_zlJkSE697ljf8b*d^YY*j`Sb^9sZ>oU0N#!_ue- z;7vYnx*?gAFSfJd&%1@21w;g|K3QJ6Q6*T`>kywwne1wBS_Wo>xG{P$ zhQLqA|#s*Xp4yJK4Dy4OQhvaFz4}WC+RyQOZ;PL#bu^IxM*173lDqF3USIE zg@Sdh>YG*jT+E%w>Ihpz+*vbqlF|Vu5%X?~K##aK?|bfh7vDxZ>1UNhZg9jk+e9dj{i5=~ntI^jVM zf-Vnd9GMzh+g-AB@)x(c&*0WIV0p*W;>&8NR*l7(YSmZW{MGcq_fHo4E;R>Yyz>!o zPcO9?1>oz&eR{~B$gPWtv+ivNbIPFZFSTBtK^s-{`@i7ccjHBVbtK-gfk0ZC* z9>}QN#|SbK!nt2rNLSoR{6xr(C>C*L+); z#rRF}cmPv!k6+V{7^u4;CHVoe#wjl_*zY_#H!aasYVyGp#?E@xOj2dp3_sBHJqd3Gd1yEZUVibm$PXe!&AEm7}DmaJXlqK z?=5oix7*h{@yE^ZcGAUtVyeB3wd3zmmUVGrlMK<1X!9Xd6tw=z%lOWVo%`XPkL6tA zJ`Mww`K3TmUCCW7a+-g~KbSl2r^%Dt_a$rXp8F_G{O={6;Z%GE0YYi7*&RjOMoJ=kF}4o3faM)JL0`;+d> z2x8|ul{;u3)Az=bBPoBxeSHQb;l82?Qq4`41Hc5YmWXiI$ef`%LD7s+tK3MyS3Xoz75%lV&@@M*8 z1AZBrOg>1f{tV!`2QG!~p9@~sqK;EOH&o34rmWaqpouY){B>(Z*mK;Ek3Wc4Q=z+L zd`V2h$_y0z3Ph$(Pif8{xOIWpo(GG`HLj!Ts836mc?6?ITUT1OUk0R-~oa*guwW#DGn6v`t5m|E`E@0vObA zp|aXu)kXDkOf&ej~D#qdLa}O=xSj)&)EF{c7d)4g?KxR>UQW_iRE&M_XwKh zZ~2wggXUjWCal?H9TW(uSeEjarOt@v%2ucLF6057;@)_5R75WHuo)~8uZ4QCVN@9^ z#95@C%Cp8KJ+&Va>95Bf=GUI=`7VOApDgS=RX-M2?d^C=zCQR>5<9f~ zp{Q-!^&-7+$RR`Ss^O0IV;f05TXg5%!Heu)$+zJj30tD))o@V3KS@ z=P{PTaYH{(^|H?Vdt$u-O2-lqyC+_4LD0kINUQ>|nr>;DN>IIlTi^@)$diu^OIf3O zpLN@&m*B5;+yWb|tq(KO*N^l&2D%zSVND?OX~aM_emHv`I1hZ8Q6|pFLLOt7@5%vt z)yEyDV3CX5=?{azp)qFaw#9vSIF7Vri?OIcX=?@)W5XeviDn2l@#nOD8Bc5J*)PTwbX@mRY@X}?*KE4YMI}5%h)>maCl;8 zx#ey2p`3rgs2XH^<-vilPphxCNqTDvw~Y8f=?HOG%KHQg8;Lw2{EPu{hYN;s2Pm%T zbCbD?99C}GQ}=SEn}jhoof4JdcWI9~=Ue@~GsDg+SvBR1L}jhV&Rm6-fvx|Ad+g$j zoLsHbm{E$glEL6u;476hW;9?HhTO_+0DX5a;gflo{RHnhh_8BClzC%t8h*#~mz zhTYt`Rs zX6kOfPVM#W+qsf!S)=U+9MkETKKallrC>eM<@eWS-pLw_Jmijoj1SeQnPsK>tDOPR z8vP+A1I+WD_StT2iqvP7lxL16%LBVkY4U0Es0=GYq^Q^Mf~Ke!pY<|Dw$V)AT|~_t zBV%952gAylr^&50%%&KSRe>^hImiv^4!6@WmOQE6RuN#yR3UuHlAVB4Q@zBmCxe;e zog&4`!l#4@`bvf_#Gtg$X?}vlpehL2XAtJ~Vqu$U0C6VNB(V%fF5y)KR(jIi77i5_ zJ^;|>E^vbuuxSM^XgUr$O&BGN)@la?T%OFBTHmLfL90c{qqM-Ks&mp?w`LLB%|nyJ z%?9K!^s!b`IV`E8lR#GLnf6v8M~Bqn8(`zWC*%?WAbTYFC}Ftzs-K&gla`7iy_zWd z+`3L%E-R)xl&v>xI6rA?V?)-xDi0gdL;B*oeg-kE%!FbPKDmKO`CLNfFT##2@7_Di&Q_Hegh9(a0m$oGTw zUqxlT@TVIIpd!~n#q8>7$n(_d_^fo`mwK$J8!9Jc>WVnJSZpd6UgcGZD{tWU;6fx= zLo}QQPd*;{l{+kAmnOZy-E7R$Urq6A5ciT{`nr$D16eSc7>I+{>vAcH6(StirbO%W8eZEt%5#5m*h4$#q>7aA6sJj*g zW{7QxQ|!41uK?ibno*ohR=Cq!@`SVX-uvCd>TUSbs)za5n)3>&fdL-s96fkpMoEru zdc@HKS+vS>e%kU{(M!lzuTtI#d4j%~D%c)aea(yuDC_*`jr{QjX~*(v$3rwu%n&g2 zcA(B;I%}o981?5Mb+cmDk$x5(FDnQfccdUdOh68T@}l%!QXyX!VfKzYSaQ#4F(py! zFsXrYi*{yqfnR%FN0{pnpWVD{N{zAHkbSev&&SLGyzYGHggn~5LPHzDxUCpcYVyL-c~w@y{Idox`>GR{cJsgy0#@#im;apVOhnXrcLO zSDisJ@Re;YHX0i}A!d`OyO6bR2zRhi`%copN0S^xG5#)U->4r5f~YQk6)FFfW)#a5 zSDB;4R+eges78 z0{(*1{+Kr`5#qO4u+GnStiWI5=}}4$oQFC8C*Uuk!9Pm9=1FI!7VWolBUz9vO@Oih zve@MQ9nemzlr2}$?oU5x)#=tpTOQ?eJmvrCCBW{i_AFR!ZNw;`wYzNj)$mX!_t9m2 zRkd3Sg9p_avkG(U9dc61IDf$3)-ySFGdM|V}IP_$}loE>q zd~U=Th*OIvi@ScPRgu2?qPQBpRF45=^rA4DE>&}C_xV)#h<4fjB|NFA8f8lt2^$EP zx$8-;2bn<6LZ-se-h@PkmVk5j&;f)LZ2pUC5(p3Q7^5gBqrkw)@GmqbloVDSGE`kg z|99s+TCIV;{ec=o0-Hd8pEIND_tw)*pr#DeAF~EDV6q3Q{|B$#9Fg@`<#{t*CA8<% z87K*nD|E;*&4ok99DQvWBu*^<1iWu~xF@lv#WRr^=Kh!~;3mfLNdXN1RLT@=Z`lct#%_rW)T0)ugsLrCzP|TD^r=SBLL2oCpt%f zNhbQ!e*Q9e`;<~w$iEBBBRI0dB+EGh(}ayzSfBpKB^n!L#4^0`_34iQsV z$zEEQE-Pp&AZURIJN-P{4{w0V06OdPC4`@sFk<9Mp6#|OL^3!aB+H4emzVo&b55tf z{%VR7*J@Yl@yf}TB1Pf1E)bMNe-vO9TVuGx9PtNvl<-4(g ztz2?N2J_ET8XA}s0{h*!4A;W8qKEUMsP5g1ynk+h-;s`?v7M4P?I2bf^IZf&?G|Q;5CX_%WJ3IdCebjNKGa2Asr1PcZ!@ z1I)sj!Pw3k??dYk?M*S&(T!Vn7sQd%X4TqU=iB+>k0ZWMWwN%{^rqB=b<~un)M!iY zA@9WRwhO6QZgq5W5gunzstkUn0-Fr&FoQw5L_}stIy(vSB$o1QCRPou>c{6o6veDJ zN{Uy3yO__AEe|jGO47vN4 zA^7-_`D%vMU<@{2dg8X%|K{RO^S{$D_?Z33p5oy~enbQ@fSAMbjpa?!%*o&ZFGvC` zmF|iNAH3^eLC=j86ShBc8&I&Er&vn5SKe9Xv75cEm#KDlS|(oP|+CK;P&V5uj-(n(^BZOO@Q{ASB_5Puj)RScD6*ft8+Y0W?s;W2<7F#|hG%P1y zn~FxuF3-?(dv$#Be9UKl&jU*Dm)w7KVD~KS3!xPCcq+E+s;O|I!DgNbpaf_X_J#Ba z|E@j71pf}jG{s!TZUQ(oIJL@@J2M*0N?<|56OFeXPD&WJJw|ivz$U%E{$>BmnUzqb zWbFU#|7(!c$%E!<0FOe|$!Gr~-hDPAK9V*9DzNx`3H`!-55Qf(eeWEvFcXM_#8PTPzJpM!`5v<3Tb2QE zoCCa*j#$RGPn(`yy&!b`@%P&i%1j?ex6AxZ(b`L>>F79(M@E#hsDa9dR!_yAet!IB zB7xg?Qc`k2yTf{%*l>btC$gG;>DY}~cay-zmSFXVp>Z6w%+Tr)oY1n926d^Pe0Zi$ldNsIyT0-E?iM&LuViK**;xn?k`8$f|j#$ zRdOduNh{)&$W`Fi_6#giT*AA9${lez)nf zg)x*iu0pY}qcoBZsQ|;FBoNAd4SLS8D|;m&Z$)OgH}5N#Ojq~Y<3(A&PNc{5!n$um z;X3f!GPFQYXsKnWx`}zmLN8EmqEx~W#U;BFH%I5wIaFmsD*U58N?*tUacANnq*!Z& zWK9hzbA=TnR?hx#?$(e=nz*PZ&Fa)4!O z=u&F9QC#q#kPLiHzrV8|p!5oG6uBdnf11_z^7tl2%~=}pkpcwGTw}{* z>I>*hve^PzXMA5|M<7OUp67UzjSixRlMkLw+RuyqBDYfgKS7OPJs`E8PAx{E>7{%) zOAYg`-+%gvb$(OsBN$DK&D>9JLO*5ZTGjKT0&e)(XWbw(K~pJphy5EFmG&h^-3Cxd zJj=XkJiDE~S|K1(&Wv;gXE98kdBYfR9oM1f)uI57R`T%=`6|V-U2KD!yF{p;po;@` zx(x1d7Ustgt0i8<0FeT6HLu42=K zhs~$?jnG&2$+7n2?|^ak9p+=_UB1YFnf4TmKt?m;DEOfuA?myusr5EPjJrxxD*YDa zGPIBWs3GT}>L>cwz!%IOF-P6*MF~lVVn>NbB-Pi9R(b^-CyA)dBf*z)?U@I~(M8-4dbxt-xPr{PIUGJ(HK)ERi2`dkia&LL|jp%}<9Oby^8tc02 zeQCW;azB^0nGy<&J5z3juFfXsrZd2%!fhLRcQx)%i#9mjmH*Yd@PBv}0O>>shTeED z8I)MD)$bTW`?>|l1i0i?1lMi$e-@swTV6iN#_G=^e%h{9y)kdrSGm0Zxt6{0K)JC_ zXHsoW@IS1buC>@rajer(rgj9+xg_e(x%`Kvt8a}>^bb@cYe*lgVqGxRRJM%c_E*8gJeoT$!MqHe3FK8 zMuRX*LS64gw0jXxOlxS0{~U`q$D8VF3;N!pUzk6Vi)v4)8W}uFI6HUtcW)tGxJ`h8 z%Rx2J`+M~+O&Q0Vp{te$caA2Wkpb<+$;TGh>r}OGvzq%^8+`YDHf(j5i}Tom&06&P zl~dZ&l7)lw{WhfXUGVyL72aC5eb$Ej^Z&Nm7`CMJTcZyR12?J)7i0Jov4;K|HF11=!BE4N<79Jkw9001&qQ6AIrBid2mSS1^FgwBaBqFPXiQL)b2krPUj5Aj zJR3p}4NWm0?B?YU?o8gY;y=33o`K`_!7QaR=XiJPp8*652vrF>e%nSd??m=Qzj;CS z7H~gMm*ftjl+gcN+Uptc$6s+|fd#}h=E=3q`(n*_=3_t_it{1!d#(rn$i>n1%j*!mvEeg{oct;VFO4`jHC}3b=!s+o-E)$3 z`1hCdFK$qXjKu28qatRmZ(Ipuaa{Ng`6X7>tMR!eqNWEBx^-)r(yf1fpZa9?Star6 z#_GM^)$R8(51#W}mSN{lKSdd_&inA?owh%>QLDW$)}A-;I5&sV`au_T<&={8kaVic z@5iSftPQA8WTUUTnfx(Of7!}u+?yP2^yuzE0poPzQ-f>B4fFTs0)lH8>=93vaN0S-<0L5>R?U8iS{0S%*gOOzF@eH|$VO(wxv9xVJjsX@@MfRAB%6|V zAxbIaCC{Zb)X@TH>bt`8@9?~-8v##;N7Fo|Ee1W*%xg_1TQ6i@zBKLKK3B`kte2o2 zmj2Bx@m0hO?zMBSDxOvrGoDpA_+s1G#k+&`^!ngfhoH9h4d+GP%a_~{r?*W~G*s+e z5`7w=2Dw$>=Z^?4r9N(L)sH;r=G|up?}~KA%S!uc=?w#4)j!eyBC~%5+JjMGs$6{H zyO;4;d9fQD;glf6D-!k5C9#ny#Cvyp4msmAYGCXtce`~*sxhTD!+hG{o#W5#17n$r z)Kb{dd_>N+fY)Sq0o=|8^41nB1%`c`c>219Eo1b`TUmbH RowA.DATAB MAin[9] => RDQML.DATAA CROW[0] => RBA.DATAB CROW[1] => RBA.DATAB +Din[0] => XOR8MEG.IN1 Din[0] => CmdDRDIn.DATAB -Din[0] => XOR8MEG.DATAB Din[0] => WRD[0].DATAIN Din[0] => Bank[0].DATAIN Din[0] => Equal14.IN2 Din[0] => Equal15.IN4 Din[0] => Cmdn8MEGEN.DATAB +Din[1] => XOR8MEG.IN1 Din[1] => CmdDRCLK.DATAB +Din[1] => CmdLEDEN.DATAB Din[1] => WRD[1].DATAIN Din[1] => Bank[1].DATAIN Din[1] => Equal14.IN7 Din[1] => Equal15.IN7 -Din[1] => Equal17.IN2 -Din[1] => CmdLEDEN.DATAB Din[2] => CmdUFMPrgm.DATAB Din[2] => WRD[2].DATAIN Din[2] => Bank[2].DATAIN @@ -124,14 +124,14 @@ Din[7] => Equal15.IN0 Din[7] => Equal16.IN0 Din[7] => Equal18.IN1 Din[7] => Equal19.IN1 -Dout[0] <= Dout[0].DB_MAX_OUTPUT_PORT_TYPE -Dout[1] <= Dout[1].DB_MAX_OUTPUT_PORT_TYPE -Dout[2] <= Dout[2].DB_MAX_OUTPUT_PORT_TYPE -Dout[3] <= Dout[3].DB_MAX_OUTPUT_PORT_TYPE -Dout[4] <= Dout[4].DB_MAX_OUTPUT_PORT_TYPE -Dout[5] <= Dout[5].DB_MAX_OUTPUT_PORT_TYPE -Dout[6] <= Dout[6].DB_MAX_OUTPUT_PORT_TYPE -Dout[7] <= Dout[7].DB_MAX_OUTPUT_PORT_TYPE +Dout[0] << Dout[0].DB_MAX_OUTPUT_PORT_TYPE +Dout[1] << Dout[1].DB_MAX_OUTPUT_PORT_TYPE +Dout[2] << Dout[2].DB_MAX_OUTPUT_PORT_TYPE +Dout[3] << Dout[3].DB_MAX_OUTPUT_PORT_TYPE +Dout[4] << Dout[4].DB_MAX_OUTPUT_PORT_TYPE +Dout[5] << Dout[5].DB_MAX_OUTPUT_PORT_TYPE +Dout[6] << Dout[6].DB_MAX_OUTPUT_PORT_TYPE +Dout[7] << Dout[7].DB_MAX_OUTPUT_PORT_TYPE nCCAS => WRD[0].CLK nCCAS => WRD[1].CLK nCCAS => WRD[2].CLK @@ -164,21 +164,21 @@ nFWE => CMDWR.IN1 nFWE => ADWR.IN1 nFWE => C1WR.IN1 nFWE => FWEr.DATAIN -LED <= LED.DB_MAX_OUTPUT_PORT_TYPE -RBA[0] <= RBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -RBA[1] <= RBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -RA[0] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[1] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[2] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[3] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[4] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[5] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[6] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[7] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[8] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[9] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[10] <= RA10.DB_MAX_OUTPUT_PORT_TYPE -RA[11] <= RA11.DB_MAX_OUTPUT_PORT_TYPE +LED << LED.DB_MAX_OUTPUT_PORT_TYPE +RBA[0] << RBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE +RBA[1] << RBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE +RA[0] << RA.DB_MAX_OUTPUT_PORT_TYPE +RA[1] << RA.DB_MAX_OUTPUT_PORT_TYPE +RA[2] << RA.DB_MAX_OUTPUT_PORT_TYPE +RA[3] << RA.DB_MAX_OUTPUT_PORT_TYPE +RA[4] << RA.DB_MAX_OUTPUT_PORT_TYPE +RA[5] << RA.DB_MAX_OUTPUT_PORT_TYPE +RA[6] << RA.DB_MAX_OUTPUT_PORT_TYPE +RA[7] << RA.DB_MAX_OUTPUT_PORT_TYPE +RA[8] << RA.DB_MAX_OUTPUT_PORT_TYPE +RA[9] << RA.DB_MAX_OUTPUT_PORT_TYPE +RA[10] << RA10.DB_MAX_OUTPUT_PORT_TYPE +RA[11] << RA11.DB_MAX_OUTPUT_PORT_TYPE RD[0] <> RD[0] RD[1] <> RD[1] RD[2] <> RD[2] @@ -187,7 +187,7 @@ RD[4] <> RD[4] RD[5] <> RD[5] RD[6] <> RD[6] RD[7] <> RD[7] -nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRCS << nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE RCLK => UFMProgram.CLK RCLK => UFMErase.CLK RCLK => UFMReqErase.CLK @@ -243,12 +243,12 @@ RCLK => RASr.CLK RCLK => PHI2r3.CLK RCLK => PHI2r2.CLK RCLK => PHI2r.CLK -RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRWE <= nRWE~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRRAS <= nRRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRCAS <= nRCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -RDQMH <= RDQMH.DB_MAX_OUTPUT_PORT_TYPE -RDQML <= RDQML.DB_MAX_OUTPUT_PORT_TYPE +RCKE << RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRWE << nRWE~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRRAS << nRRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRCAS << nRCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE +RDQMH << RDQMH.DB_MAX_OUTPUT_PORT_TYPE +RDQML << RDQML.DB_MAX_OUTPUT_PORT_TYPE |RAM2GS|UFM:UFM_inst diff --git a/CPLD/MAXV/db/RAM2GS.hif b/CPLD/MAXV/db/RAM2GS.hif index cf97260213641dd24a00f097a0a6f1fa1953fc25..53614c0c3bd33d6a100f31f5df66c211c2af79f7 100644 GIT binary patch delta 578 zcmV-I0=@my1k(hN9Df|1drQ+KOO?PDl3XoghQtz&Ess@K%l`KoNOxBXYI|r;t-!LG z8G9bz;4cj?>!!kYrOW^wpsZw(W6harQ-VJ>GL5lm5lozGO0Ox=92|be8(9Nh#UDVit5&N6>!uAnKuQrgKZhXPGG%eN9cF?i6Mr1mDin2gRPyo>-|_lX z;mM@aEc19&KI}N$e%hSX65ejPR9s=mYgs>{{c5W|s=6ro5l|ep!F)^C;q<-sVJh-u zL~@1G;wS|x?z^n<6PoXLK2t_4ST6jv=kDAg>t|&ucm=G2yiz54fX-9Vlmb{HQ$S6U zO&<`qG!cOwTYrQng!0I=z~*3?k%+00%x1_|+hkrtne+73t4fKvowNCdt>&J;-?5Fm zb$5NShme+`zYi8m7T(SS*IU2QTn0PH{R_Ff_WWSU4$HvZ`0E#s3*QTO!G5s|`%{0Y zd-2>;q4@sYIUa)$@?zlm-l!2ZNMEm$1O-W)I!sR;oPQfNn9QrXS7%(5g{tS*266$Y z#~8oD97v7xBo{o%pXS*XImD%sw{anwOa)c7M_Hems4aO$k5wz~)A+oeWc-_=9~-7+ z+J>-fBT6(!Cx#B1uB%pvB3qdBAEw{_4)U%&cv`DpiRG&NY-X3^{OJXM`u~6a>qIli zit@29k2}h7==^CW6GVXM)SPKt=Z;W)?oiXDT&EQ5nc-;GFK&Z&g!|2ijEN$>oH}-e0$-oTxaX_S-&V#!AoEzWTh(6Beb6Kx)8u(nEd)s%`-s;uk!gGVIzgujBZr2~` zPCR#2D4zFlj%P{;c{Ol7chHDVNms9<1O-VPTTIU_oPRsjnas+nQ>R=MxvJ;a8ZrT> z!x&v)_N0b+k}IC%PxEZ@45C8GnqJvX z^Wv#1k2}h-Kl$TS#t1AEOcD`8SW^l{$W7f+8ymnaqN!^A;x%XnxZe%Pn8?$UnrA5)yIqNqtq~Qbh5CsC1vLR#{7+mRK&%? z+L)AslZljxn~{Zuk%ftwn^aYXRL;WLgjC$v!ujL7TK-V~X<|n7KgA~t=zq(9j=~cE z*4-gaGX17Bm-WFUOVF5dQi0kDMj{+bh6SkjY-3VHMK!IIxxn?>R&#UHdslH&kz%eo ziLnbf;WsVTl7c$cT$!X&=UUV7{>Y?8v52$ir86-UL+wCnc!tY_}*>&LdqgSPYL`{x~x{<*oiay5f|7WP}uB+jxn z?L7uMaN$+F4Y<@s7w0RDRYyZigy@?D0{)rlnmG3xvJg;J;1s$1oAfZJ&9yp$-{t#0cIJvkPm{lDc zB{Y*eiSI`aXW*tCf3cHe)ikS`&|A-3OgnB#HLe=c12!97?ThcX3=_XV(V5hdj%!)3Vxrdx$%C~zUDgA}ydabKu?+FdJ`&5Ne`82wl-0=%;%aT| z#SBkBSuuWGdKI(eqRp^AFFBsQWMF0S=T+inzgcU|n1SDlRe}|1rW37q!x**8gvukl zXGELwSCibE%~qY}6Uyl(U96ih7B_vroehY$ey_y_ z&&Jj+?(cLjpuQ>+6@`~7=U0Wg8p18eQGL@Bg*xqL?I262U-Dt&j*B5%DG;2bBQXcc z3U#I&Ntnlf<2_y(RLA>6B484t)FXq*ldd8fCLiyTud6jY{?_3qkUaN-6i$8XP)o)g zJIU=2@<;wa-CNyo+oJn_Z?t*IZ8-NZ8W((?`lfqo54piJp+LKSJ4s*cZKFoVSFp&~ zrobp(P~ut8Hp50*9s8AL`O@X;xJ_T(fGo3|u1)nIq@_{GP1XB|#~R^05AneFG}wUB zk3N$+dnT%&tn|C`yN>?4c)o_UE9{hc85|fL{&@9Sbh;$b0bvoHTwCRgospSsCB?+r zAMr_%U%de?MlpNp-zyJJ2W48!y-z1NkH1uF8hDF;(aH%8MB=RszFoSD@9|tcNaQYQ zw_U7Gv*b|$+5J-CMrUJm2V!X~DPq7vYK9GQx=22O)s-N`imzoQ3d3i+>Pw zhO`;?-S~gJay80Jjdmu=*0G}_Z`TA|_!bPD!C8S?&F6~KGdHAl{_?@ljx3s5h24IE zK+$t#jG&a0RjgadI?aL8_js!94?Xt#+7#(a*%S%OihWB#msF4+;QOQhez`~r(&Jsw zVY1)9EjN=%hTKk;1plwnd-JD=bF_GPYyUnsv^1)FF!U>$$nLqe0wlSn5()Haa#t-1 zOuKfI?OKV=L`I@_wIhYxFgi-MeZykoFI503qUg`LF9B5V`PRFM&ZOX9swzq~IXguC zUiH!3`BhFbh`}lx2Z>my_gqwh6u6Eu8VKC%x8@4N76k?Q8s=dMJ zs47ScJH%;U)j5*Y6%_9d{7#sal9sHTLZuQ1EF^T&^PVO;&)$P|u1MB05?_>EbOW;9 zf*X~f5LKWw~TKql_OSQrw&b6h?;_~$6J>!BknZ=swZCt?GVtc7Wx ztcdNVO85W^k(UATXiNuMY15l3nuc${Kyh`FA+%89!QZRA0JhmR8spw5S4kO2{3fit zt>&%pct)FC+t2vl5zhv|pF0WOCsxkdhEep=ZP;+$?6Ikv9iCOE*9;vrhuegqQcMYA zKpnY=0JgUqPb?ILF;+Hvi^l1Pjm;fBKdg7I#Vka`_M!t=c|-#_M6mQy4*_r3v5!RD z&Kr%pZdQ3gR9zm)GtP#&VE+!`ZG_^5_ot#L=ylQ%>^oIT2!ydFU9oMJNMU_6u_hyV7yv?v;*l6zfL+6FwiVM5X*HnsMnSGp$o2$YZv&Ku9}x8gP=gEA%r+&Rx%z! zin$PYr7(ml(ce)%En_V}iPDXN`J3r3iSDQZn(qXOFH2>|@*k$}8UPR`%S0n`r}g0J zY^)!mc`)>}L4(y58>e$@4|z(QKH~FGw}c?7f1Dl^?i~_&0P6t|?OnuEp}nRR`)Ntl zFfW(ZGRAk9NeiwhFU}?i84D8+gW~RS&;?9&6{5%N)k5GKNaSvLlHpSusB%NvSs!4! zBqUpvc!BED2%J?fW+)KMgKaS$tt*DkFQT{;62$#jBVmi_`#pXU6b>dS?p36suuVX> z{o$Sk-q( zc84BQSGAEI%9wJfn(J!)02f|lE^vI-o_opD)-NBahgZp~4|>wIikdx$ZYgz*yf7T{{g|qcOlEHH zf>OxncyxAI#^?M89xe2QItKzkhRX3d5mwVLoP9+#_&WB2l_9~H*Zq0ZWYD)G73gO*7>f8-&;3|=gR+> zGjI_HHF0q!;ShQZ)SY0RYo7^+oOmch+-_-fxhU@~XrrmF_>23Wd8(l{wMPjcA%p;{ zEaDtsEILritV1PnNXacj*3W0138+CO#(o6*M;KC=EaCU!SO5BcI(Z(9QA956{zeoq z`Kn_=$ky?W&@QFZk@tq=qR)fRMq5Fo3kH?tW(2pnYtwGLbj{OZGZJhdK5Q!QBT8e_vnVBp&xgqL}b4ZS+&pJ`SAgaoh5g6 z=V?@_E*#cw-PZV?4BaIKzo}a3xc*80#Qa2No+b?ci(I+7V#8k%w1FS|ZqZcngv*mU zR)ax?&G)23@~4~s0Ck=@p9;0)lU%p`G~HaG6r>rG^V?J!Gs*o(`Vd|x>lZUD$N7IS zu;=R|72YH2zoz+^$Y)S>sy~Crqgr3Qw>8<{)X&$eB-g*;T7FI*nYdUC4hVm>%u!u% z{)<>9DtHNUd&HOA^ZSQZp%YlGwdjNM10IN*ccWp#cl0>DNdejVS$KgGK4r_L=X0fW zfjtf%^H1e=_)f2-|AWJLR7)rC;22Tmv+#X@W3`UrMI!niI`F3sv@Yib%=-T!i$G{f zrX5?12uXI!z(7bF{WzZ$FoXnxRRqj;xG-(;JQe|JDR)ict(xCLnultFtwJeieWaug zxZulD9%;YK9}4aUQ_9E@=n!u3>q`gh`jV>-W|CwC2K5<~KS`PCs8`BuULYb;f|mb$ z6X1OyRKXUxe^Q=DYeVHLho8jS>W=q`7jo6e=vchB2qtF9BLyH8XcSg4%-!1j0`6fc{~x^|+@tEi;~fz2AIt-aQLa^@BaA)2*tvydfn%D9b&smy7J-G`F2UDd zoCg(~)WZ(Bl}jL~63O|de4m#TshOy=CtqAY$V!uIu*e7Hw=%Ehi9&c!R3>+NGqB+# z`dY3OWC#F0(^b@DlOsqO4y=;{ zOkr3e>5bfuvNTB{vPqY&1+4E209)f$91T8Oi0#G>S@~dF6jTB98l%;m_*i0) zn!z3zqIsE^sU@1)i*%EQ6J*)#kBmvl2D53uzJy?d%`(V866`_KV~R#9()6bL67pr6 zJ%3~U`)A&SD|~Xc__88j7_Q#$UaX>dC6$Gzl%xSvPKdk>Eyu&FeBGJyqJ`OgV5d|$t#qO)x|i!ZDH!1Eaf{>VscPo6;h zsm+HRnBf-*s%F+m$RhX`b^Qk>wj%lD9-^{ti>o_~Sg9HpxD3}FTu}UWC@&z<1Oh%@1}AhKiM*`&ube`l|o@JF#V0UJG>sRllc?Xr~ejTxh_( z{r+YU+)n3B{e!pn(rvVN;QRH0>GbA6c;TK`^)B(nDNxbY;NbA3a%9M`%m9Jb1~(>l4`3=#7d9%o&ZeDZ-rnB-z0?kiSVYJ?F3qQXtm_2|nkA2Q}OZJRLmDFQv@2RDXpmpoAkAAtkzYqF<4xIO6* z!RDKQ?5$f;aWzQKL~hUnbr^1>2KYdDHF+FAJN-ZDOvK@G$5 z!tfvRteD~>dg9bJ;I9J1e!4HC7zWro?SRut_=yQly+Ff^=^^uA_~7SzCeB9Q@A5n8fyd(IN-5+B zRxu1Ztlp(&m0R~Te;OoCHu|!Y{=k~>2iEJs1amITAC^~%oVJ;}8U8~UYG8e&eEH3x z#OAijtd{iC!R0gjo~aiN14LfcC~P==e)=~&HnP%^P`7#NC9)qRW>eY@Yz8t*$AueX zjQ@PKjMw{pm1&G-&{h$t@ zuGzM2FoQcVs_WJMrM(+?763J`Jd8=g5YVJ6g{7`2UHy|>IFXssNOJ~ie~FOE6aZbs z_6497LB?#V@M6esF;h(xvX=XA@Cg4q&?JjbfR99{Too0OME7?v%kAlF zB4R6voaE1{jvq1qNC1GJ@0RXSD$2^-!PAEjVWqnySa?t5D2yJ9*|5`^t*2QnZb#0b zxmOGNV5!7={eEM6-j`rt^U_6$L7i{6~A z;$O!@l0C*m|Lv6@X~PW+sZpHepWT>)&&fd z&|6t06IN_}j*v*?XNWA2@A z_AJ~>>J}7-(AL*nKgv)suzq@-*@+w0F<*(PloJ;VBTxBdo^zEa!hNX)iE3Cp?vMig zp75)RXKxfPIW_qt0$b_qXH@T*oN82p`kl2ZeNSfX;TUVpw$Y<8DK@3n^4|f10JkUx z;KMn9r0O7RveSdX3IxYiAHUE4r@3-=lWZs8_6$CE6kfPy4{Jum% za!i2uBBfpYj7=lVlSs{vO+Q|MH}kvGJa#qqqErAnM90pQD@wFUEJX-cGxXR@*IM0J*NY;rikYVH8*wDfr&??{!T- z)@S}68%{@s3fg8$8(`fqe5@7Lrv$e>RO9C?rh>$X4V1(7LcnpGQmMGv2pj6YY;RSy ziX$Gu(7+~L(ybl2SGjnp7doptr3?X0EXNoYBIzN7v1VN|z!n#%Rcz9!=XNUhZH4`g zu1f39kemCdX}jUJKw2^{UR#FdKdK{uzLAE^HWh)~p~}8|t|5d+a&i)=`NpgDXC8EH z8TGVyyyMK)CY?*fXuxTi5szEkk6n${1o?|}o0TdQ*7I~t=zap~cj=W8#GFNA`N|bG zB~6kXT7*bUkIM4r*K~hqi8Tgt2WW2s(Y*6R;ugO~%qVPt-DAo7M@jer-zdrSzq;DKXuz>(!TA{zAU>!Qv+o8>NsVI3$}slE;a9 z-Or)f3~*Mr4rblI{3JrE>BI0;xEN)rCSqM@%tfp?9?tR2w|phee~{<2^J7oTcV)On}mGO2`lA-XE`r|HtWdpTbD0_&Fq#mLzkH1t=A0?&=D+KEo zol%o-?Xv)!0)kmQ!8luv%+Iv_cD0} z?3NrS4V-p*<6h&)bfFrdp#L25 z#|g5Mzjf2b&7S(^^q(tPY=dw5@>d(})^6S;vzH%+C{~Gmwj<0M`Jb}3_~tltm`4Ha ztbZPK51lQK=IOj|JI*9H!i;;1`?WhMZ-qVKR`yt0_?XJdN~>wdgmI_Z3XZT(q_~i9 z;;eWdlw4}`lbC%Ux8N$w^%07a-&|%f8E6$tpf#ZG^*#vwii9#QC|b; zyX$6S!MNecy}FZwN@GDc%4ZLrzyq$a_}GIYW5G$u3&O!kXFg5pXAgDXr@?e@4OXx^ zQF!(x-9%YbLY%j5=Fbk*wtjm9o%E9f8yAm`qrAqL?48YK?#+fR-wi;ihnV#|Q&0Bk zlJJpap-t6l5gL_(53RP-wZE{ZLk8m?ic9)M@nvW5Uh_mw4R=#V>%LoA2j2Kp5y(wm zPy-6{e0{R1h=&A&mtksUr)Z?|IYJ+FsLMx?$U?5(4BMW6dkJiB&6Z!Y<;GF=JJ(z3 z-T1@ePE}y)$x%JBX`u_#oLylB%ipz6ygp2FHeE0&F~vtdexQ@?Hyt%2ZqZ0VE<+1P z5jl>Hc;lhF+LZl0X@NJRsv+zPk_l)SN4GQYG~3ylc&4wzwoD+MBw2&=ZtsYHF0r{3 zbj3n?<14$#z}#am_-C=fL#ys58YeyA{(7(>uG7TiF>qX6hR|DlZBkHmebbYeKy$to zMy+bBQ{LrPzNeP}Wb@n=zY4ukxn=g_y=do?-QKr4Bu-Q&)R)j8k7|nGSWOsJVg~E0 zRb2gy6c zt7xZ4SM$B?HLppX*Dpt13?gQAjw}_!DR>MbfQ)Vs*4|eg{c&8F!9$#^mlZutr$0pp zF0%!0wCj&BHrQ%Nlj2vib_)Ep*}#R?!u1aa!S{65eQ&nHbgUsT&{4tKB`Rm3=(QdZ z8lA*u=*hjEl(u5J`@vDTRy&-JVAq`-*zRC$t1|N&aUeYHjx4ELJGv*9^uT8z6BxIy zr$b@$#z`9(CwApw1NYjue?1a^O{Xqs)`JF6-su~zzi&uC&`*<`{I)+LcD=OUECz{a^$%wK%r z>vR_5Yzxnm&O*qs z=X*YkirlKOI>lS!$NIU&v!(DA^wOhJ* z9pT_mq!Ddf0GYd9Uz=nK$YLV=$!}1F_n<#2$Fr9i62!gvR>RR@hCABEVy1o(P=@7e={A7u4zx|An2#~ydG{CQrP`@+DIu1-`Zfo(?Y&R zN%FV=cF12;Uc^ojP7|7MT4>Ef5t3N+}PCxan{;$f! zvzLIzpluFK-3Ab8S@*-VaYLiPCOUpD`)A(t3X4U8EMk;*a>877Dsz?{SGb}4?#{`x z{g)1@P-mMe6|cBHP|)<0V0*QiFoA>M<9%PyiaCHcmE4>>h+j2mA49q9{wO{AHHRZT zN_~F8`fZQ?4xNqGW_%x->qs=1t~u&A*g@B&EF%3(MA3@pF&8QO zCQ%2*@z~Oc%7Yw=iN}Rc0aP(w1ci)46d=XXBG6GuEk&VYn@JA)SsQoHDsi}E-w&QE z{ftFVYa=hh-IO7xxY^f}NvZ{APWgFVbl|y_W*{TquJ&3`TZ?6GeMLiKHzY@E`W}~q z&NhFZv;{N+aWSLa__pu(yzsd%zh_j-opR-g(REHyw~;I5O{||^l?}+vH)`b7DdS}o zB6uOmFtTjpzPxJOul4C|rS8Q%HI}^}yNZW0| z;~@+W>}MX90-lORGCMJSqxqu83YJHzj9LMSMHgFi)eAlH3{b_2*KUoK(=W$Ek;#*I zN7B8|($|lqsEPP*9F0MANZxE9G1Rh3+X?v3)kizT5)0f)c!8XF&zBwSb3uFq&sO+Hi3}dgdFMFze*fY)d#guC}woexwqYwkDr?@Y7 z-|)oTK-mEWjA{_}Cwd>xrvO|9C|y2o;XkRW32lRyRwUeRI+Qtkhd5 zWzyJGr3BnP&Azg;+gzxYa{2R_J!TQ!o@fT{M|C4kdEK7_n+;5^YZEruy`TFf`tNF_ zKYDdHNIcQhv`<$lTxCTZl6h$x@6&PRv!`h`61Uo6SKgla)KLiqF5QBvf_USC*AHQN zxKr`ie^YVda8a@pO3%IScEN6J&|&2holkEpaVSM8Y+O*wYpmz~dSAOz0SVccE4E3b zSt$s7Im8BVAByHt$dey??$KTd3=B~vpn&zBf(_Z0-F zXg;=OHi{WELRfezDWz7yxkFg+F68Us+;Ehy*}rF|sBq{FVb_d`K9sM7&$l3P*yeWq zYZ!K&8N9tFG({i@tnq=(-F8gZX8KkrK$VA+-$Rre$UX=*N*>YwJXmCUR*eFG#X|7Yd(8^9#rD4|sce8r1vd1HSj-H@%dMr(0{V)$-Mul>>Wv>|k7I%f50{4nMM-Am#=xEr)k zl^Sh(Xz@!Yr*;(e6{kKw9&bwuC6td$J}I@x-T z#v?4L9*kzXuQAp|4AKmpYScp`X#RN2=Vy((`4GFys6Cia0|(z+!n?Ifl~LBDTsx!L z&UkQ^&f94Decdi5Lwhk)S!N^RF%@ zdPBRZN$K9It{R-#;>~*>T%*8?f&O(~9X@B!+VOFQqC=3pP5tgk?xT6z)(+-^S0G#);nHk-jygU4G*JX2ofSf=(_jVGrLlPD~p1(W=0( zyMG(0m25q9W+pPXP7gBx-E`pu!g21?pN>(h*D=OcIFr+48FJF{z*WP&`OJlp<6!Q7 zxTQ)Xwr@dr0O#Mz0=mzAarwTX^a2MnT~o9s{vHVuae5bUr==`(I=4O@2su|Zr< z6%L~IF#+WRv9L{(uX6_KbyGR0LiOdZ_&60ryLzM;LK>xe2BnV_N${j81&&vZ-*!2Q zkbTzm=aDiSN#x@=Z^S&*(NHFI6oI*Zxkr9SM)sq#*XSc*8}*Xw+#TjlbXK% z->2@ttY1mTo?QF6V&sLYLd%MA2}7v{l@kj3pzg?M+RR`;#7#X9A5I$szd^yKi&VSg zc=5GVf!8?m`<)c!OhawY>OO%SKY(^Qim*oBbjyzau`9U>M@vi}-PQ|B>}8 zeneucw6g*#i7Iu7elNuy8yL-PZ6GSK9EtEg0Y(_Uav7HU8z57uDgjpM;c_zhytjZAWP+!k1Y>IdYf}*nSO8#=p?WCMZ>`_og{U z*s=u8w#1yyr8Hg@bB@SV=+FCi6o^bHH;b5T>X#frtUQyfe)bqL*prSC;J`gL_t>QS z`{iJ@AvPx&irU^i$iZ z*gzl&q*k7SZ3h0&X(+o@9Voj@_omnS5pL~O>nD^ps34aWh39)6ii;{1cCgrHdoC&? zTuK3a_6`X>_kCp;c@YF-Q+q`$XPOgA+nJ5mRr2jET~!*!1B`8M1H3KnJeg_gyA(9% zhO;5{c)Yb6wO?x- z2{S`sMV7E{{dN!Wvye&!nsd`6)2`}Pf8?1wW@?lBLB5D@#xrXIo-;g3d5OCGL35`u zha=1`qp;`j*c)2&qfH_;Y1GAv=|7ttwGGfR(TU9MG}#e9Z5uURY{o!U1SlA zL=+vTNs6s5vrg+;(%gdq|a9{mg(-hy}(jdEq=wVF{yS4&gSw#A{Xp|$8YF? z*v3+!gz90%khe2B^O2ernH)kxI+O`y^TYetVA9&6BFgzno{owmg2sw|$zw44;f+YS z?Z-Y>I#Juv3cvnOR$avKnJZtjbVdRwS&BAhKp2tx&JRIG{3#r2pLT0rf*u-lQFSKQ z7qofX@rZ`UApUI9vEHp1OYs|&R1zztr+a3^Hea!wgHk$WaGo!|v%lO*0T`UF%S5;_ z#a6F35B2PI81}#D_$^3*XXF4rq#NY>*o${W}#2$!lQp(Acxv-4n+hEH)9_; zrzkvAM(_Tfy-_CE&H8;z@Rmi764Y-NqChi)JI~$Gzh5S4NkJ5Wg_L`PE|zTvoU>Mz zwXWHH%&em;hXi}4XRtcUV3Ranp7%l7jpCs~z-+fvGR#0R(pjl%MG}ihLfZ1HJ|%25 zmZ?RWhG$lC?rgb^^SC$xDZvHToY*~eMYz&e^rok&rQ^d>-yOj=1PB2KrWfJgJITqo zNW%_Tf-@}gg;;=8j5^;El7l*#4NPg5pMUQk5vzV|brILrA#Wfk8u&;VzgJeoxkQiW zWv-$cM`D=(9e>{Vi5@gm+b5*g@Sm;RfnwhD=Vo(e;lBWohXs!mBg8FMFj_F?p&3bEu+k7c zmAx~YpmyNw4uR5@z+9b7%XK$n46AO&v-*U4=QL@<{)LLsZKD%P5@Pxd%+YXjyAe%WkX-t9H+l!G>5#C`iI?SlW*Z56=_!xXJ$dxeMOb z_#E(62ZXU!gHv|98Hy;{DHEbj2Ecn7pI?5u{)8cvl~g!RS1ivD=wZ$@C;)1Gj<&Eu z*9|LdhySo1Rr~0Xk1804)oA>nEBwSqC;5|!*Rlzl7s6KJ+(O1mymFUd7|0B(2!mEN zO^Q>y7$^l=vDj1b!8lJVW&-rJjLcC4?P=HAx)*?SsE66b(JH1T#Nr?g3V z!RNApvfX2rD99ZRg=VIItid_RJk?PT!n1LEx}(OFDjW4a+6ymX?i9c?r9`V6*3q+{ z#-@*=(3;1170J4Vrj#@NSEn`pE$Cl`W!La8Y8Di(cxF~sj~Yc5IA~Pv832bktiCJB zgFq=fguV!?ePBZS9%s~UMrTNn-GX2ysaPbP-B!~II=D)D+^?r>kn?M$61OdgcZI=@ zo~_r)UroGNZjXIrt!8$CG&!HNLHEeH8mLn+JFmi9=x4I`t{jZ6Hh-u#U(9CsCYy<2 z#W|M%x4Bpl%XGs}mN+eQ>sdO-o2k59v3;*)Zd5tQsphk!97Q>U&%XX;%|JvFfL9^Q zbDL+sYAQ_}apR%wrL!kxLEl2TG>xC;`Av(}MhAZ{VhH~*uZFK&fm7S60>g(?YxYxO zHt*#TJgHooc)I?xJR>ALR=f6>SGY0?|4qq<<2hjN_iwUR>IRJ#M3*sM`z`@GeVn1M zFygJNBH<4MiCaeX<8wKGv!bSFD|5q(XXDRl^@9GArvyrXc zD)urR@2zCA;y}%*8X&`g!3}k{p>WN6VKMl=hD+HR%ZyeXzEB24k)qb|<&@x@BhfN} z0tP?;h-bM?V!wx5yQOUV7@eoGwOohwH{U}?1<>AW$7N*RE_>t~YZyB^e6WJ_=;+lr zLubsRq}Mc4ncViWNKe9$%kqM#B7?`!B={1`Ek zy;Tj(a~D9{OG-434uJPHtL0q%v!q;%f(^*pG%UKuwv?#O35cAFKQ^Jg>REH5MS%QU zgS*Q6`g>PDD65rY9#zV?2G-em3R#2y&iM$exL&G(%SW;BT>5U_#7<3pkKGIz1y zULV#}j~n3jE1D6R$7YkAk&fwZ=#@cb)wIDGKMm<(l}9ydbAWv-c*OOiBB7I&u;TDh zan+}jRF|XYz-{s+R{LD_F`R8*nX@Rod-2Ka^~FOUTvak{Yjfc^i53+8#HkV``@Z z&)+dkD;U-$LS~3?*gYOtKCw!_y!!MA^~6o}^${Q%QFun}_PEZ?<@;qF0= z^X6jpu;2*0!>QJ!j_h!ZH!EM;r|I&N9VE`vPHq(i9~LI`ZKfXb+)@v) zz7*a&nOeq`HiOqOC+)OgTl@u#wW?8&tc)W7vDBd))uX>_l&(#DJMP#LtW?tXblK~; z%3AcP+x_h0HzahPhMdu#?S?32KU^#Aptfa_bez;q#d-AUWuGP8WI~yWG{;Zef!`7f zZRpC!btqMe#xP2m&4=7GiGLN2DBMP}8=^tG>#8&N*p-WOeR$|ryxATB6?DPD-Fw+% z%X;GuM6C!Bu_hkSE{b9DZbGIQ#HNoLd*&R$(^_!cg8^mVCY5#>re;u!PX6e+tRTp! z?mBp!SeS_SmGhbk+*IL^Y4^O~gaKEqM82pxU|#(#@hvV$ss!hpIV-Ua|1G}Blc&Ek zO68|btl@ao!QLN(n!Z#=!XlHn28-aXpwFEnVnwVSm&~}DQE~@6j=}H0L;c`I!8@xe z>d)A)4e)I?9}@bXH+2YsW*U7rsMgDSmCEnIB~`tMqYtF3qRaBq9*3Qb%8~KB(B6dB zMzFgoZUcn3EvZagnOC8WB(T&oQOMAN28pXI=Q_^JkvE+#)Kn`Mn1$^$PLkg`#B7Gz z4J}(@dd;0{$d#jUlJZwV?EWgO!s$i{_kmW5c^EJZvE*-ZNC!6>yRHu;1}p4|o(7|K z_Xuh<4fsbmw}>xH)Z?r!h)Brf&gPd-@eM!-j{LCF7umc=o*WrJRnHvMC}TADpGBP5bUGPdtnsnh9cg?OuUjE6|sL z<`j^2Q#q%5dM}UL9Pq(W^tIh8G2U;2fCv2 z<<~U_uR$c!^Z4j!NIJL3XLc}I zWoD@qw@SE;)IF;o%^mc)1Fu}yV6+J^4pCiNzFSi7lCT&QQ_t|2Kx=Um(zg$5-pZ@cfwe4QdLd*6a=N6#7$#DFu!FbL7$W62;Av zE4G#x65A8zb#pm{&_bT6zjGyHrIT!+f_|mrD8v>Bb+4$SJ8`3G{-iIdh249pjBZs5##yPUV>S(jR5qDe% z8vUgSpcVDWQH!va!k&9u7an%v_91k{^Y$t5YgU&sLLZe(spt%unQO_3YJ*=DEZFpJ zfF?65zHs*Fv!nRmxzs;=3rLv;^)2lh+}8>WT$~2B8O4JxH6@hUtzOTw~j6B7;yg_^F#b%+5wu z>+_8@c5jCOJ?dKn^BxU{7$S<~`gIanWKMv<_)0j$dvdahcw+i646?NvbPcV7YuaEF z#H~OPExQhJiLK<2&91Rx^r}%G_daqO##VmJc)C2N2)V9C9W-4Ql2uELFWmoaqX8u=b51#dj$#S~X4?#+UGs0b0CR5Gm%nu(63 zuOxC;j%x%sGY_@|-NN5$urxVj8Ixqso(SKegkV1Z>HH44#PVf5o zRu1ACvJdNuRkt*ShdCQ6eCEkM#-0$ljG}|6Y*6eeA*?s)kN6{(%Q(sNPzAEa1MoiCb4lX&&oe0$&n7mi{<;OTy+>R2_RL4a22a}p$Bs-0mvv!Y(go|n9rFlc_d9DAX~put0cdAId`X{9>+TmrP+A?Sg~emkSbU$^kjA4 zpTeZg%u<7U<5LgYyG?X31qb_T73nDz8{i%$P7*z@c9uvKUDKwmp=*7xreAGSDN@DW zc3%^-q!1uv-#ES;0h#qFI%Dgrkjly^G-XL9lTw+G^e(^kS7X!=shW&XU^-TTxKec> zjk^joS|rJ)IQm=^d}N@Ra7oyu6H>-v7O9yrthyQvT!7D{YW^l{Fs?ib5*f{kip2nS(`*r0e_N!w^cWys!C@luRdE#~zEk4CmzB&9<( z=;LT%b_TQ7rJutp&G>MIo>OdM3!)JwdpIF(%Cl)s+!R<&Xsi-npX7o4Sc1QGPxQ`T69fWq%HFLfwx;xewf4WF zQi&+<^Pey5PkZAaznWvtAw9W?x#FPZ#MQ7rx*jiJRrL7PVEizc4n*pY;16FQWxBL@ zjqwi-6?S2S!Ku`Cf|nP4In&wxJBrUU75)@DY5}XtqnCYQ7tYy0tza)kzI9yP2}xE? zT&9h;v~6M3Wv0XC5ql(2yCIv-qaK*GY!#KQE0M8w_N&WwZ;@Pp(B zH!~|MGwTl)ZW2`)5;6b6#)G6xyuw%{vU3I$ZM= z$h&s;+8bF;?34dMgFeCkmgRJs1d9f4uX&F>kW!EfV!e__{LUXxpV{3!RZPkTy?M zh_`%Ke>{;6eW6_GwO#k!yx+Kd@Ad3ETz9S`_{{uMS6Q!!ut=*5PDaaxK_EjUH_hi% zOK-}q!9>ExC*z5cA%UK=C5{c`{_oW9i20HYSz%fhIq-`?ru?rDHsdupQ6Ug#vg z{qq=z9e!HsD2JelUCX4mp0gN!+L?(_OQWaUZt`*1^>rXC^M(hk zW5DE}?ws{1kKt_}jzr`F#zr;5L zB(LKY=4^yii*HvDPY?`=7nAI-t-Pb#fXg-UTl@<>P+gC!e&GES_{T8sVvA2}*Y9tJ zbZ_1ki;k)aZv}4e3Qdg!+Yo>CElw0%>mQn+C!wi;h`_X3`oTId2O$vtbWyTJ=(3t( zbrJc&Jz(lP6O$Z6qF$kjIYtFYvC4mHRCg*c<Qkug=Hn9N=MFiqeaj%6*QvLM&2ep@0o$%^kv59`-YEQam)yPTki&^chSubJ!?M%2Zo;4h>TW(Ju+=|o4-O<=*p?bduNug z$`bFVvF5cOl!atcqS}FBDq*jk52iC8)N${jD}PlNrqNg{ZLgtWW1BOnHB15+YKP`xaZr$)+$1F}S@RExQj(Qvt&f@4S*G(?xr%xrxq#@e zav-!by%vaBhWX@jpk*(Vl9D6dGn3LV6~S3%qpJ-7l#$tZPJIhaP8RuXZYnJ;>>R0m zgS;msH5V7MSEPXzEZF!HKKDPIIi$3+2LhFSN0=?HzirH)B%6yHg875Mo73a z--%Cw^~R*b0qNx?hbc@Gil9mg1Ekm_-cKI!PMlgDV9j+(f&s*bZyFus*WbjJOTOQ+{a}ZetYk zq4Za>rd&lwzZVrta+5fG=!mfZcuLnerIS8ZbCvQ70X#Co|j+>oV z>$r)4(wSO#_)(^`OR?3j7pD~q<$&Bd%M>ZPq)jMt4vk3)TWkMxgzngWKf>r*q#lct zIsY%?OJ^JA*l?}3l6|H3ssT}dD8r#UP*02pXWKn$to5f;0f;kE7JsO_6SS+pysdrh z-^vYvHyx|-);$1!srUvjOP|2h3MO(LSw36vG1uQlK%@}(cbob!6Wa}429wB2P~c$> z*ZZ0Y@#s;&fOP#1wD;$RWfo%pX8U@i(sm%v}_6hFNH2#-z1wW-GE7xw@5bme?w-hmj z)j8qiXcpriKJo0Wgw8o8j|dC<6J8X(WcPJphI=4qdiAcwGbg!d z%83}u!j$_<`l*RGUiG>($M!?1{Nra~Lgj?2q8`_gx_7*lxVI-ZM1lZ2T~J>~&EK8a z)t?a^A+qh9hE_%ov;CUvmIk}zg)c!8#}4@%ScttU3*LYVl@jdpc+5wNDFnO?n_q*J zdZK&)zT0IL?3hE@@<>EoyUDkKQ6Sbt>V7}Z;OnfmN8qZ;g~CMYNdFW)(>7i>jeo?G z2L>LFEB^|wkASMsuB7LYc!)zP{-y*_=f)NCzS4oMt2-aA<2trQ-Y!5Yw-@6F4JxU? z1ugpyCb#{}{TmqE6ZEzozIT)F@rCJ3ATzT!*3U9y3V{vKWV*2DnG$(QB3rkDZYMfU zI<4t#NIF7)etVehZ8&tmC!;jEaUc}lqjPV17c`IV=GMyTwN`yWp_$|EpCj2 zFaI@`9XIbRo{=bssV92OA$R-JEkLAcl2A== zW235gcqajFxY*3bC`^D8l%K3V!xVY#t`w;A72iHFv*DW+DEC6(A4F=x7XbKwQNB3{ zZxHX3{24PafI9XEHr&ANC9K~80B<+{`eO8sEZR@}-LS>|*=nY^(ZkMvvr@u=^=3yg zb?5p~zTr5kcqa2)o#P6fBB1wMdCZXg2mGDgMq}3DCiC+!sxl1NeAn$7M?T=+x3%df zkKC@0sMYfh7{W*UKdluWl~+bX0njkrhE78SC^~ZH{+lV;95zt_2h)eYa>bFxPG%FE2^P61@x5j|HHjd)IKJOZpgl) zTgg_rtgLTnX(A6IciRG^MHJ-B0pjPXeMh^y1fKm%uJ&7e1L2sv?*|KR?C%l9IgUQ-vMN`O2 zQJ4RNmFj+@yWA}#nH<-mn~_IO_(cU|hZsO9!e zqLKfigkt~6{EJ`T(JI|3I2qJrW(g^&I@eoxam=+yZ~K4w%R>4neL6W2vmz$EGn2nz z_b3OP)5NX-VTNIw3Hh{RD7mKlBX zE9d`{Q_Y?yYU;wu%k@b;901&ihVcrj~_8sXs$s z`GZ+B<>vc;F<0Y2K)e}AFs^5e6knyYR1k!{euDqXCWHi>ZM1klH70Q7#T|`eO|FDc zrgj1x4see8^5o0ETCYGmFVK~ri#$X^;*7s}ZOtMxHD}W+DG3{HXVXccH`*2BCv0%x|O`6omGL+ObP8aC=!6bB~?k z10+XG!$Q2bCJsJ&t|v@HPn=+M$M)(mHWYUY}QQt1)FIqG7_iWY*Xj2A{EOBO;A1n(k zLCEbYkcxrl@3+lHm%di2)V5d^9(9#*HhQka* znWbC#NeVbBDSx!9OSYEf3AAiA`sR=eDR7)T?v5q^FlaD+0*q*H*-*!ipM0 z;Q>|WS}CLQ9ZFT!B&RkJilhF+z%_iI0KkEdT}2<3o@DyBMbs(a458rm0>KosrrLsJ z_qdTlFJ{v~J_%YaVZnbD3gn9Il*xdgdFuEtOP#L&FzYA0J9lytb{?kUoB3}{SAU0K z-h8*e8?eR^3@<14S)^|2+Qx#Ctcky4OT-D`njmmTF)Mi8r|A=ev{BEziCbSog7f+@ z4V6fw$i!@;b50RY>S;V=|4RL_8|va8djH)hw#``#QLE?W%QKosT5=fbSP?Tk>qOYfG<{+JFcy>WQEfmGFx47#wbAOs zfYyxXBe*^R0@Cr#1o)kmv1O(xw)QPUN5S$Bu8YA(3mn{akC{ygJ_uMeK$J;iVxG8| zsTpYb_FCc{3>QyiJtMjB3SSUWfDNsa!3~3p~Z@~);J~KjpOZtszY*3sS5HV{UoqTFg}LRd+fy69>Il|Nz5V2$E=sYIOZQqDD97kiYN62 zH4wkH>v}p!m0K2WJihFl*dIG#( zM8>_1<{k4#C&#w(*0oRtQiev|EC2mzNzu}281m*+{y-o}uCdmtV@GUPXcF`IRW-;4 zxDwv55xv0qB6vyJ*K23DPX38Z+IF|d znWx-^>F3F~1-s^yFTfACdU~(W?TlkH|FQgEq#q*{6jXOJc5g%CIbh)7|FDSpzj?n3)g6@!j|1& zX164x@W%T(3l0AV_(n6HF~=V!M7VAifx9^0d3JPP{BEn2&&ZsCf@Zb=rIVgj_Y=|9 zcAfvp$Yd7S%xh3k?c0rb&*n)zF}%;mRglje$_X2B(GzvjOJe(E=v7=xUAG%d0lzu# z?c2VSkSznV*WzPK0i)OA=JxYEq?f{irb|vTJ-S(srC=5Tf_ugADujSRok^br!6rC` z#RK3YmUNqw(#NHcI{yLkAx**OcveA^cihS`Mvz1@i6g3obzVV+!8 zV!Q<7gi1#FH!=&ba#m#_dk6|E0eCoSi$zm}XiFyL%iv#57seh@ezxExLPFa_LS(KU z-Qp-5Td{`XvW}zz!b8&~-Ln!=XSsXFt3)lM5(jf_%u+2$e(W=Kg(CX#W=_MOYIvXO zS8~Sx6jA)=FR-#mH_Ze^P&?osh>08AqCwmfQ!%-%?wC>})9k=r&42*M-W!v2Mf1Q$ z%Gi#5aYL@6lP_hIXZ=ojaOV_b2RnKUJ!7c(d@Xniw-}K}G zNyI#q^ZMFi0V_CsVT-tcUv#0V>UojnY3-=dHkrRy*Gb25C9>+2x2v4&?C#%%M*iUu zTGuH-in`g6V%WRTZr*lTJ%;@rYe10%Ebm*>I4lazqN4-tOO4>AZg%)+3$}!CFW{vd z3W#i?zqoNr?f41AH!z2tEAPzH=Fvij-+93CesSF1W8I?k>P*`Gk zz7!`~QD=$dnjCuAUWAO5_r^&i_qWGS>NlAaP5s67zPz4q-mZ<6ynlSNP@e`1(k z0H#Ou#1Bn9_Kat&z9LP+K~=%8k4jqQwsrVv0n|Z28SoWNWv9dno!UB^9Zs zKP&FIdmMB}Wx2t~XayD%$cIG@N}C*`l---&We^^r2?I0H$@yxsKt4wSk zxwMV$&QDP@cuGrqgWErMbc`R1xq?jjZ`m*xz1>_)qlV;w>pPD_@gSV_|E;#UyQa_G zthPh%0(hPAuG8bH?7;i zE*G797a!}y#5qiaf6@Du`gcKxCE@k@4p!8)l+p9$P8Y;0VzN)%Y!F(psQ*9JhS`a1 z(m?E_`n>+iO@4|+6v$Bk2@BBiue3ibT$}1wi^co#UfG9vSeeTmIugy(?m*j3iUQP=)rm4_I`l;0FMErnL_+{8WX zbl~nAjW&+JtKibXvYKjOZ)c-&X(<<{T}X8Npy3>-JmyuHK;)35^sL&%Rv zn?K#Iq(dX;^4P(;B@RX~n``hOwd-)Dj7HbV(1Sv{gn^_sA%3DJ{_@VyV>#N5Z%C;K#>cHI+TP* zyz@&BW7}Ks|7E=)t_NkDd0yHY@C{CJxWh%95J;>82^M(&U*}VX`&z%4E76 z#TsMbgEs2ad%NHrmDm9F9>(oZMjc1HaJpk-;2R1l9K503sYM>T{haoh*}+g*s3Nlu zlNWQv^0xP$kKL?1e+S&1kV*0{SUK}c3$pD8KaVGt)sV0v7DK6_4hae6?)daqm zvDVQ%pF0?VyQNp+wWt0vqV=blwv}jVqQE=2ob9_^VxK$X3!H@V@3ML3?ClPi@{%Os z{}eQ>+Gr;QCr6t8V$%XQ=O0dZX(z#>F(waeF1YI5-TZjDJUw@w?IB_8nfEQ9hRT%X ztv#~nN}gBFHlB0VjrUV0nJsK(8b%Lp11Bwi;T!**yG_R@_S=IIPf_H{-4eeOEMet) zage9B*=Dc`03b)@LddLgu?3aZj_ezj=hX##)C`SxSnLBW#MAOpa#!`-$d?hsIc(Cf45K@KEu+*aMG4kW+d zwkxNV9qhOPy32$pi@l3^haPli_|vjYh%E8d z(5l%(fG(8&mv*m5nN^guG@m7}j=IzD;rj zx`xSpJ!#ZmyEP9mzyDksv%tA4;J1EfAm7l7)e*=ybN4bbItgAE_i!5_HEG|ZJ_r>! z(hv$tK)jDd_NP()EU}xMEN>HGcDVh{i$*5GG*mM6DsQl-gRaQ0!tPquk5WWfS+@wZ~LfIzEff)z?z_B`6_arS$U zt2MIk=+ISKV)pWKH(4mPJ?36d%J3kOk!!*NXQU7Nu`7ucQQE&x_6XEZEf2t$`@a;i-@qjW% zn`rrMv=X06cc70L7+hLu5ulq*L^C@1BT1nO5i>INbDVS^M+NbSJ64w9pX^VoB`V1- zU$PgN#$|mv!;!MziaUKvG5=KvBQnx4zIp$xoEW14`r%A~nn@Ee`x%p0-^8sE zM+N?rrji5svahfl(0x;u){2X{F=J8L&dKQpn{dLj#CiAaSyC9_1wN4sWT01N3)^={{x-9Ym zLJ_MRNnUlDybXC6xuiF-lXbFHyb@L~bIO-Fd3gj=d&)fs$yTu*DUR>aF`u8=&O``unsk>*) zUVq~&o7+w%s<8}9Y{Ogf6CS&S^U3&EV`#4cQ+L-(Kiie?`J1XO;xzZD@q;>>_WY8%JW6$7HhOBG0zRbBqXaW=D0oc3eZI?L%wT*coVBy`qaU z@APKXsI!Xp11D|0-q4^Y1@i8SZHg2X8l|9S33u15fsrsagyN@}ER3BJ1zd?R| z?!%xnLUJ53Y$IVG!4r4)=E9;=`2ky#d5yfBZM5l()IV)(<9=5L+x4lZhR98mGUNh({-Q|874K3ALJv;Ts zac`dOB+Q6VNzh&q+Nk-E#`V=QGIP2SbDm!9c ztP%$nwh5LD3vtUsr|xtBxq03E{nt3UYykRLRaLoXb5D451qw=enE7hX4?=d^B%%3`2edxuhlm5 z*Ky=o&rzB^#l8{5SEL^jSrUUT=Nq~kr3=d$<%C+C^%v1Y;x%n8? zoLT5(hA)REohXxp-5_)3EQD@6=SwO(3T^CNwTMi+b(0nk;Xb6 zie_80??6Gkxz>`%-FLM1^>8&nFrmtG@#?%j;VHC7K3JZ(=#qHgAIG9hjwNQ);TP-v zH#Zm2hx$|d`7&7tKvqk5L9F{I)D^sSh}L7*!n3$jHqhB)s047B^WB_VCUfDJP+721S^XVq&cLo2*?hd{u<3)267E2AzuczEfRC49=U}vssk8q5v zJJ*u9)pN6ysS9N;D+d^w-7-`BwSZT0_$<f-;{+Z{kPWV*~`yYJd^U(InyNx(9 zw)RTVD_6Xz!e!z$!bYrXL0qX}EzrNnIsPc#oYE=9WiVC>={}E{TdeIXLUs89LQ_FQ~pD&194xSmLaH!8T zD028*HY`X2`4{R_jpJ9#7uQV&@Df7Us_&Im6^j`L*s7l^Jz^cZld_E` zFS$pwb`M}}YtwO51*(te?4JKc`p*v5);tiVf%flb`}K%f%L6PaF6CQLi1im z_PxB`5U5Y{XPE2j0_s@9HV)PlpRqm|!Kbm%Xofe;NspE6A0&PrQwhr=)1r`ibt32& z%kERFrkCocCS@A!pujGf#f{Qxr-sovE3>Zq`^V1ZbMe9Js}cM61Fy11`z5^v1sp%WsT|c)zUWn%|6 z$`?CcM~tq65^PV>XM4G;8Q5p!o;>8srlifQw1HiYW-tkaBxaw~y^IbP`uGyY>s$33 zw*L5_qJyl?cu461fTCs;5El?x@J`;X?Wm8Pw7N{W2dKkc?0)ft~LiKlV z-QbPGMud=K$KKz}V!(F{fxo^dW|+k>d%P^wCf3cm<%vg}jX~}Wbwe}`f?Z!)DnP@k zW;rHKA(M37fN|r2572xU6pV){X5 zTDA;&0w_>J?s;qP@;2N;!X}ZKazU*fASa4KkC}Hh%R!I1HjZRC9>OFRaS)h-8(T~g zlSm?>oObmtOusk!3N1L4n?W@4v?DHvbz#6m;|_Iom*;UotTY(EeM^rT)`HMt|YHW+=6R)pp$a#=uYaG z(C#lx=|~$=C=ezL zveJBIWYFUM-64b)RITy1N99(M4o-+kCR}kjuZhL{X!Ro7;D|kdoqu@-eIJvb-D}oI z=KH&={3jcBs4kK34>QY?v-^U_PO;+Jhi-m5X|X@4{b<;(ttsL@8XC37+nJHcQK<^x z``SLK6eD*st{w;3h#F)nJaCk#$F%NV3|_iEjZ*!mi9Y+;iigoskJ+Yw&s?per56AE zvDt3z2cah=_l&WevZGeqbUlpsRkCXrGr(#50aTMjOCdc|aC=aEPe(dEI{kTY zBaC*==~LQC4ds{5CNS2sp@tjoBb{FZ_x__Pck!XzJryVXm3&He52*WKKV1aJ;~x9g z3BRpM3`@7VkDf=&=WrjEUx|~59cpn_DiQ?>YI|Oj@+G?|sHG00UsqV=Y@IV$IUxp> z(>HjCL;6UB|0;4R`7_g{Gzr;^EYQeuo!B#;g5__=G&}qAxBAR$j4?7{&;E%|O$F5q zZ`DFUtnhS(P!=567~cgll;;)lH6!=i{x(Von60`2+TYlR?sJNfkh(`^b!vcoT*FZ? zpp`SIO`dNpKxMzW+Me?ryjwKX{g1+Hn>zYcEhon&#a2%(67#o=VyL`bVtVe!nn*GW zaOUz zK~hzX2H)Z*@yctBx!h~NrX2h>d>*y!K@q?_A?lc!{z`6^NA%Jpzjg3e2O0@C$??~M zN?$7{uEP~�UVC~DJe>9od*pRHfMz(kvVY33N9@57!bZdK#Ce(uMh5k#A<3-OOP zU=Q3{P=qctTn}>Rhgh|3UuX@KYX0>pEpw~VfzO3%1Uq_l( zaW&t@rQIYh9{MqD7LA5YWduWFh0f2ge(JjBBHz8{!mSCE4ntoO<|sRv#E1nEipf2= zO?qmli!zWeNb9CE?;hRHQ)Oid_wxMSIcLZym>9eV>%qMj87y+Z-u zW9AiG3)}`2SGrJ?=}ehv8FD%OC*JU7E2Q9nj;c{YdyWxL7}2R{R9qJ|!rbp5Ticq3 zm3dWY*jn=F@74-P2G^6?>o(DDRevb8yB+@OkhOfsS6zU>uRF(i{H1YSRL2-va_b}5 zf`fpDry0wzlp`SB7f#{XUl!LF6tk|@qThs&{t8AJc5&!Ftl9Til>v&#vv^6c^h`$# zU~vCgpxM|)q*_>PRGQZEg+8vHZsN)MKh@!Wc(_HUcX*2z{6Z=`_r7xDL)v)UVHybfM}wYNJt5;B$DLCPqx@XjIp9W`LNAEO`GuP zU~cVKfokPb{UlyjJ~h)`5X9GCVM_V03L7=TOO8lYZI{>LTMFi4B35mx6wJo*m74&# z4w^NpZP`*c@;uX$D0!ScV#$V_wxe?P!XoWQy{nw416a?{2~YR*s3DLpUZkR49F7=L zRE>LF$7H08k%8RZ3KWf=2?r+*s=xL~VOD-TMzjLXxiR z>$3CU{cI}?4k;Ys-eJ6wDEz7}EkVakf4D(tst5TBY-#VB{bwB7%p5zzORg&TG40ffo)IjLYjjBwzG%A!A>6Mnn(hz%$l~==zcON4Nk>u9kQ@f zm2E8FmI_J{Fy5z0XIVKRwto(=q&j4#(u3NE6`R*o5g(aBs}?E9DR7%lZVycmP6#~7 zVUBKZg*+ZDViENc5GYroXt+B^k;|)1sXPp_08Hpdb}2HbYN4mvblCpDq1}Ac07cj) zsp8WUr^pBs{c~-XSueG+XM55)8ODV7W=C|jLER$2Ua{aWx$pd;_uWpnufL%j%q~?J zbn4*N>ai;Cw<6$0`*gz9Nt1D2CMTC4Zo#6n?wc9xHMq@BqEX_H@80pEhx;=nLU9Ne z9?p4C&{mX~N7WWC6c<)Vg^U zva1c{bK_(^hP{MX9?ailXYl@jC(UefyZ&SsT9MLqJQbhP2(LFt;*=R@SvMJ(Ub*4w zCsoku&G9X6pOm>O^t;V7GQ+42^vsY_?m;Q1^dpz8KM(}W@8YLxRPtH2@vhW3&wY0U zSHa9-P5Tm<{r*LBR+-#tP;F0)S)md((F%)F=icu#uekV()fJU<6_tvAia$Rr23Fi# z_Q0RiE6Bh5E5f=rtMq8l-y`wU0o66c5MqfomF|s4jZFUV<(IC8t>U^-ME7@=tB!`R za?0L_6~maHOQ(^y)a)f2NwTw^>(yJVh~eEw58*|(NgJq@0joI{q6AQ?t-(&FbG+Ok zQ2yNnOx9Y;GlRoo^k`G@i=qW>Nj?GRq6-dbk95*pIcv~+ou|8*lw_Yq>IKWJJhfrr zHZxiEVq3HDFxFjJd|2^*4jBdO(nO=+RNumHw^O2#-gPHQBs;<;|3YziEi=x%cR63M zj)6R>$jBPJyj)rK7z|x|8I*twFj~q}^VV4Kdx!4k!H8TU0Z8`>&qu2HOX*U8JG#1D zWoIH-njioCStokbd|qok=|rTN$p_`>o8taw-ZAfw0$U^p3T&8y^k3PVNpNX1*x0+` zpKS^h&)PYgg{)NL*Sm$)_ARg9{7$zGXnYcG>~r+7(R#1l_SfQqbq3l@Axyv7S?5qu zZD~B;xFznvZCVk^3;;@RkdEzPdE!c$jQH{!Go=_ASF{~Re`#=+4RD+`s<+|(iHFy;oG*+5>4Al2BXlB{f;FXC}m*?>x6Hl{1{Bx=#dve zq)x%2#pix_e2uDFq`F!ITqZ55m$m7|kT-*!H}Y6mX$>_WT`_t~h5z(64G*42TBu*y zDqlX`P8^%par~imzEp%IDB@Rj5|-embNAamFBz7N9&$5Xi3O#);3Zjv%V<8DMZX4# z2*p7FKANQja%+DUE;-0EPr*KM__jr*UeB7me7mQ74zLFlOtjm!zmAG*Z_Nnu?1^PY z;vxKPYrt-Fmj0?++&XD<%+7+n)#hHB{yWZg050wPzk_Bz+Ll9k#^60S(aK%pwg3+gu-5w0_8 zWZ}Aw2?Wuh2(F(q+4d@{``#iHkNgu>6{TOyJX-ItP0DjC8D19ACXV6-5MYJDv=oxA z@XgdP;>wWei(erUEo)SPlm4ONsj{AkIQay|{H z;Hz;N0-+T-ttjkLC*y?Af3X~EGFVs#ip)UUk3}WLr&iTacOB9vNhGvh7WgaX1W;0# zqpw*U5L~lpj>Z~i6x+4df?>9@I^f zMy-BCApPa?nnazNq6{b!+xKpJ^j>e5E{}mW`jCfuo^`t-Fph^cW`?%8>FmI;ACIr! z47Ux{4xci#9tCX6l!cGj+s_Ms%5jws5JuG%qPHjQb@v~(Ev0OGe6bGbH3;UM-U)8) zDyC&Wd8^dRp`9gn&Fe-G{^&b+qSY_(u;?~#H?rBE+QV+nwswv)6C*m2TDv;oE&3Y# zj|F9_5q>e%N%62b3lWjL>xF|wDz|c3)pK(vcjMPN4yt9-(u}{#T!|SrKGwg&Yk|1x zkWqzbqL00Ij_~A8yCSE2G+(;7L(+$=ZjO3>S;76pj(a(iD5Hd)4*$#~QHsK0=~?q9 zAG8qFY3g4_WXeZSYchJ`sN33KJ3tE*dCvUtoXV<&4V;8d-Q2{tFJ&VaAX-!}Y}1?n zEs=<7(?Lk+MN1Ye#Ib-vM2m`EGZZHI$pUhxrszvuUBf2jZE6`F8qeWulEewsx2iIW z9p>H1K0O4f)!H1rw?(605vi4Bl(d@Mb@BOGe-x{|7p*^)4nEk#3DRBEI{NzUf}~r9 zvMpg3)aDyX>CpVE6v+7(j_yL>u{`t1rNw;o>B>7=#K;JyPSN{{jHcAz_GNIJM@Is<@eLMOs6=k`#@8W+QDBEh6D$v=6BF4WQ_>G5u_ zR;la)*FUD=(0frK8mpFzM=-1wt1Rbao;{S7c3Ncz04gaA&Mje%<;r?KPP=#J+B{X~ z-*lU2t+_^c?r59z5Spq=(~sO*K#x#K4{kqOs!r}wTd}_y8ueGZDn^XpW3DED3B7~L zr!{>1AW;MgLWk$L=z@pDav9fn_9l=pFTj~c7a8V(nc#xeZPAvMdQl1$rbj3Rs%(11 zr{8R`YaHs-A*6(cK^7h>Wt>{uZT*FaTbh$IV0`tLpOD8_BNnehA#+k?yc7 zjTBD*83&4=rUg+rLQG(eHbb{}dRHJscUpCZ{@@t;)Lq!=cB*CfSMVa{)01j;dtXUl5(>GD|6W+E*TiKSP zdKT~Kv*qg+5L`EaFc>=xI<;DbWTx}OhxoCOMc00>7yGvQlApjJrDKrlDDOFG8$#gp zEP^32J)z@G9kFpcF(?%#6v0=6tqHl2n>8GCjwmAg-Jj1KO*C*gVgsFy<<9my;L-9z zG~qRtmap-TNQr6@UsVP3&WA6O=?$XSbd^(62nE=Gb*MJ^J;k$7!SbngtrzUX{GnVP zid%WVEhISWr_{{teZ{wI)}}FlNIk5IoI-QKFjP10Gc*a`pka-IH%=%;reBVN{m*o7 zo8_0vIjyd87m{*?Cy#bQ^kZ3{1BitO+6n#^k=x`e`z)UC;KodMU)@sDZn(`LPxU#& z_w1`UUm;L!YykUpvs!KfSMg|D4!m&|mznIkIUBsilFbRQQ5ktM5!%It zX0bzCn2wqWRUH?bMeKEd+?{74RUyV__YB1yDr@ib{66jX_Xi`lcfJn-)20)<3Tll`V`YUNDvH@#@v0?Y#1mC1RScJehw8d{UC#YUPxP40F^qKQDzwko`JAQu<_Rh2EPaRnS7TS>LEf z*jpaoqKlBj%IYx&efdmBV|p?lt)M+=G*3B8OAlQ>GmnvMmZ_)S|BsfDF|_lkdu=?Y7DgSJb3)LJnvpc#SkJ5Q`(yDHv${MQbMN5 zk#=rxnA8jD!NLgcSoMtO{dru&ddx6RKjWCDVVc+XuCb(q`4{c%#DV8@af$N|-Nk>p zrut+0qJD4a&zuJaRGw;8cIi@s#W8mSu7`b&i)b6{{WC%Tt*=>i-^0oJMT1uXiwCn! zC?6m>!Lf2lYp#3J#C%)W+G!x0tG-LPX`rNEK>NL@3>z&`i?d8r&FExJI%mkbl{hZc zmHlvV=Yha0PnrD({M(2Bq!&(eT_My6$H_~&uzMAQDUVUSE2IF6-!rFF!v zNdo215}EI*XCiF%ji^8CXxeDHV}3n*XRwK|JpM2p;w&JIn2VDz*48I0Mpvt4u+iyo zY0%O+Ndl@NyY>HdsnFA-%h4wYPbGDOvmmO?*~Cb#SGu>1<%`^bPYuV{6T2j7$6k+& zv?UJMGZNCxcbMs8bYX2r_7AJ5Xj^S7HOBaOzlXhr=Omy}_!ZEMgb*oU-;J6$LsEWF z@;ijz5-}fFtFMbl@TKwR!+cTiu}k(yD$)WEgFtNoUqh%U8k}L^J0@(Fd!voH39u{* zuFtxE2YP-|tR@}9IQLhAJfXD2jBym#?f%+>6FmWT;);;B94vvqEe;_hmB+KR7aDv* zsK<|Zqrw}QX-UpHFgkkF9t>{lVC~Kf{3Ly^KxSw4|8I(tcLRM)Ag znXc|1ARr(W@Sxu<2#D49!TQ~+nK(LG*x3>?anUo;GZG5BSXdhqvU4yJGIB96GczzV zGI0?qOB4RGa5fth3O!0Ubg&2MUkHq&$#Gd`Muy9AQvcrg5fN~MK#yyMUl^zu7^o;1Nz~MurSr4w zaMZdlUxk5jYW3kN1WGbAn)SaGQKh=B);lJ3jxv2N`OScM5NRJ7d|tqYrKZJID}kxI z%gx2bM~D88pEupVJ*W;+oyB)j=E?lBdj?)4msm%WCAkk+EcuhI5bg??{-juF&bMn8 z9Y>BW3?;tgrCRGu@_Ovnw+|5qmF$a&XOjEI+!fAHg_)p zGO19-QHO%o$GcdOrzI+4En2mmGk#Kl_8+5L-)h&Zi_8F&3$A_xq<|$ZGFBXq@X1V) z)E+Ug*y94SaYvAA(RwLT*^UK3%QV^)qX`+@pv1z$bctJTlWd`DZU)w(dRYmbWD5Bg zmYxgHi!-$Y9ZfOhmsGQOm7|a%S3&{hY9V6N$qqC@7(gbuz`Cu`&wC+E8KQ- z`D0|jWHbg7Zb0!!O@StZMI+(uZ}Rs)DJsXkG()sNSQdiRo9?+aaAsYT_sE7e!oFrG z2RVD3a5A$yfN-+2?OKmb+u};lm)Ex69vFrcZ^SOueE%#FLG3luD=g351kOhVUZ_Tjp?M-maQ& zQw~U_Ojy2YUv~+yyRyT?;6viWg;8Q^3g24yx6)$zLe~U0cbV~`a1MGNzJR> zzw$(ea(5JNXKA(86q+dX>h|$(=E$pC4c(A7$+gOW%;g3}Gx2C-Zz<0N;xx9@qFMj!^kg>vr0xCV?fd zTgOhrQ9}MTj2BM7?i_c_9?4sLa!6_nF4BafEtX}Dk}FERD#zfu+iopWa7TS zj?hce{*D7LjJ&*-6IWn`kiYJm7{M5h!^S}*u2Q2SZ*}I;BVRaMKP$oP!D|eE3OE-` zBFS?4D0Il7UZ%u%{@}F^B2acq7;%ghX^hd9k54oqe*4)~xrDJncn(c#hC<+@tI04z zuJx!{FCvVYF{~vr?>iPWri67tacZRRgM(ukZozxcA%dv*luyeZDIXpeX8QRZ>L*MW zS!zogAo0GmE@(Kvz*lM3R^{0H;bzlESPVR-r>uA;%Za912ZENBSd5hQlu>kdc9@>a z19+nm*!t_arM%*YFe!uBS>{nffJ12g*#u!TwN|*~!QWy!Rym)3snL*h3Py#2*UE2zgr7^-UUFQw!-y z0;WCCPC;D76T8SJx~Va5B(w@?0_+5f5|47_Me}h_=$4#|o%_ErHa&(}UFFT)B8T%%L*A1fF@{Gq^W|uL5WNi3HrS(C7ExRBKmH!(Gr?X zergH=f2tsmui7NTm*Vcz9B*Qut97BxnoB=wuLNQf;5-1?ic?W5zuQ6_nFk3_ikSKf8vu4 z4>bA=BAOzJIb$Q>m}TC{OrMN}nP-qTR*hD7fPAy^nzP;gVM&M>(10~JLQ37uCDYvY`br!tO< zKPFx&BHhl*Z+blKz4aFR`A_*#dD%o^mHdjPB-;5QryRv!|9zXW7nP`dDWCJEQox29 zt1>14GUPouq9*t5mwUb-X>*X+*D=6G<(|w@2fTgJo_$MLL?H|J$pmses$<0a`June zPYwS+m#T9;(eGiReS!{Mzu24}LedSb^nZZha0PZRGpf`ow=E zIl+pYOfBr|Qn|S(mhOPc5(NeA1>Je>+g`*!wjhLcZqK#%Y{2+EMtHE$PJTudNM&1$ zh{}RfsZl5m3)w{zKa$igvYbQ%K2bilZSOl)uzeVW_CG_}`}_E?`PZKqtFQRy-l+9H zTfp&7a)h6UxDJU6B!zLuj3cADEjGsTm4k3E%#~dzSWvwXox<}YD7WJo5D0)m; zU7p`yVRYb?A(u~p8N{ffH_Ed6S>ah6~l_S9DemJ%C6{M55!Jsci&!uutmBmAJ)c_GJB^q(Khfy;$IMTRZx5m zt|>98Ye?Z`fX4?>V%CA2#DoiShHOI3q@bG`NA_BEn5(rDOcHn9nPh71vWyH~h3uId z=sjye`vUFo8LcEzQPP6BDp0gb54zPnuDG={Meq>ZoQowJOqCM>YOW0PW>+5D95YCl zQh4$5yd1u+j(&J@H7Tosg~v+5b@7qZsvLesBQsLZ!~l_ZEp=+y*nANo^E|}}=ecy3#x$e?~{z1RGyC}d_+xP7otm0`k?1iNkpX3&~FaK_fComs#B=@)!{N0S!z z1<7!)IUoshaA1TW;<15|-hsJRV0Py*yG#tOxXmVbZiBP;#P;WoGe?|#>zV}T1|n(Z zBj#(!>B)nl)e|aoZZwSaKCk{!^jlWuO1spg^(LtqyWJm_433esiH!YvFZ44tPCI+U zgVjuCL`#m4a@)Aja@&+|0KZZEjjC@ng_gT^X=WW{O9_`Gw?KHo^GD>pv9h~14o1?Y zdb1Zyw%E+AF4gZ*BJ`L-1U9tb(;7Fi+C*;0DqjS~;MSxj!DHG+8UL`r6JE5=B9Bk6 zn1d)^;g;?G|AgfUt2rc+_+Fj-DPrZJbe0S@(t5(n0>?i8y4TN9Jainc^^ilCNh=<&ZCtlOCj=R&a=`~ zg&SK}_%Cc~qTos&M2E_d;RM4K>l9UX*|+lee>+f*b7O)b^KubO&TS9AxbVWp>95N{ zKz_qtcQloTN>W$xCYBh{CI-a(wWQFQ3#q^|3~Lc?UZ0it zf!~}Ld|7ehuoP7>2x_l40tk+XK@bM;*8OyUd*b2KOryupqfNbt?sXD+Tpp;nOfAue zOUylQ6ihTsmIp>P)peU(7DD&whXo6{i70^Wa6z(sP3p})jEo(=G6J@s!R^D#%O}O1 zxt~d@SR`e7bZ(pv&|qUm8Lir2EAc)ZavcT}SmY3f1o|idNX%VblPt|IfC^Wm{JzMv zv%JeiDci^zB4%zoPa29POkU;!(xq1`w#9+7OX1w8zGx2nrLO1#Hma?-?t11RmFm_Y zqLCE`DMHLbyDrK4FB!(ccbw;r^@I*&1}RBah>KrdXZ_eKiS2wF&V3B4oQ&!=oNfy* z8CdWUB{nJwwp$uj)N^G;?By;@b9UGcBpK>$8UFcoRYull^BQMO)*5YtWa;MzpX2A{ zJ5nU7a{Tlo#)w7PNX0DU(MmdRt)oS%87wW}onz}HJsA$I2$^n+xX;{wiOw%kkRE4b zt9F*QdiAXw8;P6WJgV4@MT@BHzCgD6VS-{(+!P6yRzisjW@NJZNUi(ij>^ZG;3V#& zFpJo&Wc4P`x4K*K^y(~<=DHA#uv((G$CW2%krFj3V>?_wxQ}GbM#twH6IXx|rlI`Z zC&5m>a~9I%U9Yq;Lj;cYM#+=?IvfQIcr0%S1To9OqzH}tB1^sNvROn}%C zV2UJ9n8MgB7Db{dru41et$h{T`_89D2?4)S99zSp>G|O@51p7+ux)6Xg``vR4=q?5 zWC&l%_t54-`Db>q;VLn0>TKa?%bQKjvifMtvx;r&T8DdAT~680*ynp&x94rVX*m&Q z{piZ!GGQ4l`v#UnGfg16Zf5;-brPdg*5N3dV`V|C zY)W?toG)KbVwZ#5aP5DtDC$4z+U|j9GvDY4Lf6UU*W^4=!x$cMa)2-1HDuqf$1P1e zsXL*5k{>CgW~VflowjeZO*Sp9BNJAx_|VS4b=1TvC8c=G7~!^H-#=S8GG&3bnk`|H zSG4rQz%zOiTwU>UCd=l0u&Y^w237ZJNf`7jGrSnA64n$7gPCogw~ z8jBX4+~0F+eKiJ7kx|o{UmS9J3byua({xQe=^~a$DRizG)7MvqZW8bkRW^Auhym@p zE^2ak31yy>1i9t*a7Z3b6;x3dUb+{EI(%o9>MVEj80rv#GzOQ4d)|Zar?zsq2J~tz zX%i;E*Y~~51>*G<+_l!4I4^K@-&2}eW!52O`-wT7J}4`sl12LZrrH#_!{n$n7Au)M zMT(sxnQ3#2mNES%4ZCAePo4rTH(k#s!f{@j`pXXMabAY{*S^7Mv4S~nkcTSB;2_J~ z4qtBu<-pYF4a4FEFK;^S)Fl0~fgj`n?dHh?@n`oLJ33&xWo!3NL-UGySePqT#q#Je z`t=ei3;Gv^eNl(UwVuEI7-Ez`7-UxIP_P&gxhh^OG8YM|=ngnb?UX7)Pi3h)Oe&Sy zY!=4loJOoGSK7$tn%cJ%aV7`OScOL#JP|GXP|}#P*2}Y7P7DS1;0}n5=&zUT+M2DJ zkqM9adInBy{(4uLJ**;*ylHBJ-$JSC6)@*NR-EB({Lnscv_cnia>zQgcVfh{5FmcL zsv|oX@6r1i4c*yOwgk}$I)*L-JI;WAsy*#H>Mr5srDu#qOL4fL%i!_&ffeqJ-n@WH z+-DE4HjOjL`gfH!8`^0d5Qe`oI6ycyT5!;Y$ z>a8U1dlNRD3P+c1Nib1-(9h(U86x5Cg1baDw4eJ+JXgvxUkb-wWnsw(|*1CzuW`LHf8&M zP`=+KrsQ9|a3$B0a7x&=qI}0*P^b3|Z^$8D1lGEsz#WDKJ%_IVHUy5GPu?$+oM^SB zy&rSg=YIEou!>=4xq6krf`^&8XoQ{=Lc!HP9*gn-8G?OAZD>A6dSPHgYqo=j^5CIJ zZdouT`+;oX#i3BBXbQrPk+kk8CDExp-Fr`z8$x{zV8#sT)o8#*`0a*yrENO}jrfDI z?RefSUpcukgx8VwecwR0_zzZgeLW6f z%z2)9*51LB#XAFTNk7w}(?DPER3a0{jKw$g1e#?}-)tP*On)P9U5_w35F3`%Hd?_R zL~zZ_Jr10Rc2DgbI=veWjYM16Sco(}=jsX~q}|!8ShmPFkb0X`TYwq^%?y(3AujVh z;Z>4wW^}}ooD&Gh-S)Tl)#etaP<)gz0F8L%o5}I--;5tHmTaFOcS?)71Hnr`_7Mj& z89g!jEoex*Px3iyY9^G%Vt)`Yf7s0zYFt(4Dn?e3Et}NB!U?EZX<_;Cv11~Bi0Z&x zx@As5M9%rrDIoG2b_W4=oVTzauYpUpLBM>Em(|dNW$$YZ3Q*lcVvGLwUIYy^T=&nI zZ0-*<4|#et$@cl8EXV}G-ZzpT`0?%uh@JC;FJgFRnM@rK2d1XsIj+8QyrhgG!~(_y zu%wzhb}`jE{+Tq^{VX<-&+U>0QZQYxnF!y?D)@p^aESvlQ9WqMP`ip2<0<;Z9Ml{y zE%y?w)I_0(R1;j>P?ykC6PIfWM(5*FLsyUbL(qKTaZ7A-UgQm3_vC9w-cx1C55$E_ zZ`39w@05^Qn$@GRF=gm;8M_+i4zCiNs$hT9DSdOca@%tqlecj zh6w*GVakJjNQ$=p&ClRH|CFcvjGCU%wTYFG^#n@2eMMBBnElx16LD52u61+If-3SRH z-*c$Vv;=0!b10Ig-~M7m$0^hQ~RMnHb!cp*Cg_x9F3J=$);r;5`Hq+|v{_~_xk zbIj@%6kI`nD1CbD16bc_625SEpC)RK39n9a{NQhZ2}g13*XzkneN+WR-vI|WqAYq} zrMuI9S@z?~4WNTzB!i(fI~H%pDgNRm-vTi6-6NNOl~jI_*9XUS?G#Ds!Cm9G&U6z>-&fHuME?rJX^C( ze5e!aJ?!mWnLac_cV$H)grI zztc^eL;tK3hwbhoHA3%%3kVpjV~ry`_~OX&mD03@g+ek}C9m?*8wyE4CLp*2HIH9k zV!=l~Wg(@o-`LOTWZdgmiR3Nz)pcw(ulo8jE(znFfe$hLWuj*DXxI*co|( zZx2Hx*%qDwJH?4yeY3op8L<4b8WjOt!eA3l5tAX*fnpT8_E9V|Y&cAplLrtyoJH-H zia(O6LM|NhINl`miF|!S_g04TfOP%FY5LRLD}fV{*CxW*(#5-K=C2yP^QOihUgb;C zhnh)0%!3K*%}t21gZ8EC3AM*l$C0*4=MZ_NNk5ZeV*yTr8!pOcJ|sc7zM$a=F&x-T zQwG6yl%Yh_F8-;y{;K?Fbsmq818rU3W*w<1@?ir z-;!SF%X|^|8o!0xWfW$XQ5vQ7JsshBU>9*8)4yS2a=NzH4D@i8o(-JUgPG(d+2UJL;+QM`lj?_n(}H*a@EehQ=4jy?$x$ z1fgH*htGxEW_lfXHIckW>X*HjDvqw8HdL`)0V>8@cB5SK@q?aBF#u@w={mDBbBKXgT_PmPSQeLn8TYI-xKV zwn8W;pjp$%(~qV3PB7^j{v&tY-rdqCOyC|(P~!`AvS|_J=Fe{P4-a`S258o{*%s4- z{7V{CWbt!rz4@7y&4rl;>=cPstcj~*-PxJ~BZHyc*w{zNDDDwU_*Q!4+wNd_q6yph zoK#=jnK^jqUVq+cg443F6tK}4dpnP6-gWg8EKlBrhXoUf-0rGQ2``ePBazY@cZ7I$ zi2&LFjY+U_6)ohig}$1XL^y<#G5>=8QAR%-Wem|;)Q-`8YPe<^Ep8@GY|wu}FqrD- zWY@aO9}tPd7YXe%rQpFW^=o zpnZrzh(SU~9)h0DkSlO86lAXI3n1hSg(q^`ffH=%R+UAXgz8f6OM3l-Cs&0cN8_9d z4Q6q&Kt9V(Mu3Dp#$C^uRs0*wiw=W-vLyL3&0&0ValjJ%AjOe(m5iz;Q3Nu!Hjl6PBf zN(f2{_7EGpFgV)8=qFiJ_5;GtLD&HHXSb-HE@;ky;wj3B#4j2+9g#wuPx_!M8ubj@ z#@`;JGds2@oLuhr&j1i{ zR>nlQy@8_I`Vn#mK;diTR^l_#59~PmAGp~w(K+$!XiyME8Mj!i1;1A_hOjRjk;=Aq zvRjRF|5h6xNNnRqb#bZYwrVuN(5dvZ>pd@?_c)^21WYmZ&%ur9ZhQSux=?xJpe=+6 z_UA~$>!g8gik2N`yqLH)8#IO4+2V@&PET?6!p0k)A^~TboOazF12s+VZZF!!c+&^2 z?);avRhhYr3PF^)>LakTY^{QnkT&naL*D$3754b&dYjJ|oa_3{#wkC(>gO?U9ObkR zD8FOK&uN>_=_t?x64(W44|{!tzcr=5NHZ4a?e3O7T>XxD-g8r)MYc|meNqJOH$JCj zK60;a!gLRjeX0cR9sQ0mZ2yg`?i`@9aaKaCcQ9Y?!nHU*^EB<&JGkWw+;3$*O4|U~ zGFK0wGtJX^*Ob-(Cc>-9JzHQA0zjNJt5gbSc@7nPleYCHAfBB3jio`m-Z?Vm2cyaf zAQfA95O=m!oM-y^t~I!pFk_}sTr(AYb?#r{IY_MBMnv&~i()`4{bZD?I#C>Caz(Nq z$8)W>YLJx1IX@F8AAs%gW5|<3Nzo?>JjostiZjl;0JgAO!JNC ze(!pWQ?!ulfq0`Ai5^mcS>LZWk*#Coj%mQFl;3d|SY%gY?BokPvVGJoBP#w?E}SKG%7Q`sWKOv8q4MjIJti zNX7`fk0MbGDVl*{C(+5iT7}@B<(dosF6hCRM8_FZfNx?k!Du=L)N1w@|CF&@1s`HV z8OXt2j(4?zqkeOhCQd0rTnaP=%X)ZBa}`mo4RtY>rG;)5dTG5b1mzmzUS&x!sE-wY z0rS)DuVKDwZ$JS3fZ3MEg0+1CLwk=8dMr_ajkCVH;|`c{py1S>x+?D4Th)u=+m4vq z<>l^L19rJ?gU^ACoRa5|z=90no1b)OU4-o)Q=r{xWqu#dW6@Wqn0q_p3Z7-wS8zIg zrf6$CTKj30Vun0gngwnR(ywRL1)V@OId|U8v18uL~rZ+pkMwY~dZz|=0s@`VHCr^vJIvxCF&y~}!M^-nLwm{YQ zKzd0;uq%_W?`27^&gMUbTMS59eM?iEczalv=~W8e0(4QwkUJ`kRW;uK)_d8E4LH}X zS-6~k5pJ>-a9WtvbUMWHX+^mqmXz;eg)Ckb5444>4S4m^QElk$S|38w0 z0QCqN5G;H4AKxe23^&((DSnjNSCP}O1p|42!L?i^Z6NFpQBMMLU<^Ir*qWc@ny!JimPdH#jt*3jo0O|Au!n z7(rfe+hMvF^J^gsenpsY>rIr5`{L(p^S;|}5%;p9n!&2ylU6Wx3#5xUjmJBPI~D1@ zxLqY4qTd(Es|V#%2v$%wOHayfNJ<$jzs2?!o_$B6@Orh_T-bagl7m+7otF9=CYi#G zgF`8N7(&kVpPyPOQp$t=kz_i@Db4DL%s0TI&-Gc{n8Q#cbiu89k9Oc|r9e+TJ#iu{gvK zbuBV)AFp`yU!sSX*PW&?+5w_nMy9)AzSI@hd&a2a=&B5 z|4h}naC*+T!ZbzwIjI3LKjGTCX2PB#rCTtL|PTe1fRg|>j zP~s55s~jVZk5{4cN|B;E_7RXa1S%9pOBWZTJ~+5{yU$7gWdGwnd5HS2^Zsx@=TC(+ ze4K228f4YvU6+YbV;wrkOvEs*OUeYDVmdQx8 z8P@Hv-YmvE-uN7uKKb-!z1UrORd)Kuj@7k!m(c)W!Z!Q5uxK0Ml-QiX!TxabVaqm- zu3X-OV&x%dIb3Y)RD9v5zgo zT#FmJI2rw8L?kq4^l8{E%2O9Fg-$GM@w!;60s&tC@<|qMMhMjb0ReX+vTplJ{ff)e z#&%fy_oHMbx`eF?K zl&K}}5M4`S4A?Xgix+~TAf#B@akZ>0gNNi90*;9i4fOiYsohKaa|&QOx#@kffW8>RYtL(Dc<=p#sJ5Mu?U;EE6YFOu&y${|Pueexo*U{|&=uC{~ zdzm|pfD3AyG#&n@%iojF-qKDtp0f^-DV>XrKk_X2?daK41OVD;6UYZn?##z>9dZ?s zD|9+aSU(c_4|uy|d2`LC*$@8wVCSFO#HlYZaL!+GE`fv2U9$h9WT)=LW-zU=5&WR! zy~qfA!DfQnifq0cK|W1@r@|(I{?7_&NkMa#^QqLDjPyy)T8B;!_E-kl?aYurNTJ0q)pASkmHFz4Q0n)HF%ZR- zwD8#RmP{OoCfNlruCuH>#x1g}V#cW^8x?NB z+p>QSun8U2PqJAY{WwY=c8dHv(!tMux;7`}(7O}gJp7*T+3hcNBs6)ET!An*di=d4v(S8c3vHUU^Camy zh>5=fx}N8%StdC?Ty z)X4bM5@Kf+?J&@X`{7;lGWC=>j6u;91`s(_iJT>Srz6^hAx!By!{%t`NQ8M(3`!dX z9bh=V5=-~7%D(Xs1*TZvuFIn5UQzq|xPhJ*LRMc<`FE6qJa#}2VV)gV0%_ z7P_)Z$|)lZ&lp$ioNUTd>ixJ6c)xn=1SoOxAR_GfuG(KOXm8H2H$@e04b9bmhlb=D z`uIVogWq5Nu6K}3$c(&iwG*eg>Tv>A~CK(bhIIUw}sRPP2t_+ zT(0s?+!tKtT!yRUyob%Ze!~;U&mZ7_^oIxy2iqj%B)vH4_*jK|d>Zd}rm3tEy%MI8iy7*9mIM6qY zZeFwHbb9vlFjy}8>L_epllZtz-??9P}LTZv1f2f)(FHe-GjM=TD zk&urLoWh-5tlbjgq`f=dl6NQZLni&aM|^6YusGyDK|ZjX^0dqffrrYT*7?ZwdJ|!I z2zaK68y(Yh#KpZra?D($qleDMqTyMU5gC(5y>w3x?F2JV4v~*FhSY`4 z0ak577nsGhj%uB}1@!B!DFwS@9B@uZ06^mDL}`Prhvrz{q2YI{=)=EIuB}VOTIjy) zg(d)?n{_2sOyWmfw;$@C(gK$1qoHg>6)^%(q@V5d6}nm=Zw~rTp_?-pIzKP63KJ|# znCE9^+@G}1lUXM|npb05$l`*{0bPNDqG5pLY0I#Zo z9fz4(paoucKt^B0VG=GkQu?CgFd6hd_o@av{S(1LfS$ZgD4ukL#x%+-dEeT5){T6T z+>4vc*Ll^w-g~Ya*k~9P^mVDFhkJYC*5#Gw(zDm*u-`+~wa(}CmDieAQ`am2`N(ZDZp4aCxRzr@m{Q@e`_1yQTA`f4>=NHN z+zD@gDWh()QOg9+U)c%n-Zgk}FYf9=@`k|trG6aN?tYTp%h1e) z|59*U+moQni^!>l0~m5*Hd5mv6c?o`GMc`=v*&f~?WPo1QpBmeZe!{jBX{7fc6Rjm z+TNd*^s~?Vr=MV*exA>SaBLa}Yt|W1%Hcyo?GRt|@Q{k&cyCJfh$zV23JK|i+W`k8 zH_#1(U$`-&Tq~q@x#NZqQbkw7GY7pJu3LgguAMe5E$`8j~ zTGSuv`_`wsggNH!`CQmA_RWmVg)WXwyLh~G+&ok!7(B__Ktuf-brWeX1`YCeyzN*0 z3g}5N`I~idv0@8JbA>1ZWp<5`xyqePJJPtL@VIqh_x1HG0t89SM&M^ZT>pf0`di9s zCOUgKw$?N(0p1UN3vMi*Z*7NiTc51dI!rm?*J8~Sf4pyFB=bg&TO{ga0mU$4QT@lW z91kwGTQ-hg?dw!Eqx5P?aWG}{MM|JEl9iPy93VKz(MT;VK`LWWH-keJ_!DzF7HO$`_X#6tOAp~wkm)%tvga!w`@PBk?WuVviV7HVqn)$j zcH>_*wLECH@>?Ql zT(U9k_5-1PguVmE`^)f}Yhas!777T@Npr-(2guCBH-??yRhJHc$FyL1CURY4p%$2@ zw7XT^Fdan(&rHwbqtCze5bF*Dx6UwRKJ}G?Ti?Au^UKhl5(wVFV>m!kzjk#rY1Zo@ z)_A>Sz2+z8iOv>x;Q6)P`EP}$rhlp@JJ9{`0jZEh3xn7`k}*IJf2=~cTT*W?x3f6F zk8ZnM@s23P^!-)%n1%P3-S16T^6mR|VczPZ;RNfv9hDQP{LZ?YAmvilVfG+kQrD%W z9#0maB6{sNj1T*COPjX5$VZXzD#wuoO_vSmD*F~|Iee>AK_B&8)1i7LDR3`Wd&RdB zTH8XP3j6)t@OlX=s0;LZ-A7LK6KwnAsDuNP<&z<*XG>-HkpoLu?>EdxvLQy-aQBU> z(?Vi`bsf%Qh5y~?k51fKftj!+6dKh{*1AwrM|yhG!L`VqEnS4qSVMbX`gk7F^(o4| zzK;*Jqk!dczbos2XtxHz!8R?zJtaLHKE$bxA@xvAc={j&?H3z(U)X#i?sTg~%Bu%9 z;gV*2=0K?yO)45k(zWqW8o1r6`<^G(aW8@EdWNAxRkj}JyL`OTwMzEm!K;IRy@YGy zP5|cP%K5U%iD>|R@Ta8jm=~@~+h7is$(9oh@JrR#S;S>c;=4}!`WJU1^N}gPHD60A z+BhS(imen&6y=l<^wQ#o@A|*Tvtr-}aclMbeR4p%WkbfDR#m+p>@h;|Z`8e{TZSX`O56`j(Q}Hl@!F!%@F&Q1#WT2Y;HOEp-H6Kc;7JsLSS0oD-+fXCUY#Z1W35M(C#r z)7lpYk9U(^##vbD)CW7BH`ch57pykh8!%@RNBnG$6BcG?)Ts?L+oV*w@5*+b2bUg9 zJ?V=jf71n#AyB%KWUe*8A_>XB`f z@9Y!H|LBs75Ah6{vdA|!&QtXb3oNb+p>s__T&M4`F$m<~FNsLfXFx;|78yZbcLoWX zCoVD4i1`-@?v{Vk&xDar7|n&xfB$opc@E1s7wQ4rX7*3tf9`TUUbQ`dz&-2hgS67@ zQ2x%;+q0;iFLIuD&Zr~b1VmqG-X*I5E#E6KHktU4z{WM!_TnBUEFE%@s)%mYlq_i4 z0S>)AlH<_J#y-A8nrGC3Z3)bvE^K>Vn6*a79Do4q(tSTq#U}%mX^#x(6!S`Pi9jjg zr}_2=o}Vw#J(DYIqYWBbhb~-vBX~@gDbULj>WUKTiWcgM8cI(Ap|cvh9q-!O$8?l= zrGpIpm3!QU{a!3&+HN9R*R1BWOo!OGwb2lIW3#iENG@&i8S=IHdw;#vGI zroxGx0kKf5{G)Gv))u}bv+b(S?*03ZD(xr?=I|eH)1&a0Q_|MlzQ!ca-~Aor(_2vA zk-3IHTUrM%jtSE*qILT(R7Qt@&>Ro)DZmL2{)?AylhKe(S7}$ly(vCNHaSN$7i@hS z7X%;YXab?Vbj+~+05QZOxiF0Gao#0JR3d+jJt-jp1b1zV!e_ltFv(7w=)wAe06+b_ z&Fed7@RnIDcCx}5W;!DuC>LpE2#blR4cKmD(3J;!O2V{bJo=yNd<+P=HdGn(q>M*uxK^b zps>|qx_&;LcFs-5h}`Ud+J=L&&e)X?376ZK0NC6J9CCv&XrdVbUgKt>2n`<47S>C` z%Wz@>ycqQLA_`*&WXa=z%w--@+_&oH)$PcLS2qCdOvVu7?t7#`rg}%orKZEb z*D_RDAVLvoa9m}YXu`d`vS(rgZDibyV`?)h8;q?}S{(d%%S!>~Gx(3I{6E6XdC-0i z>ZIt0Pbh{$dhyV)GSV8997>)SpcescA5I*kFA~&{Dh4(XK%p;??@1b(#vVpP+WprX zWmix$*>v+brT5J(q2V)}nq}qP#}Pe39h|8t0Ok*=o0PAwM)agIXDQ{Hm5MfJ*^Xco zdR4!WCw1kOndNelFCt0&RWKxWgE?j#BOEfCT~UXpH3Q{}8@#h!ol7$`-;`wY_H@A` zIgjCk$9pc2iQdD5=dzb;jPO`s07D;9jTsmc3?+Tx0lo!IIgBF?6#sf}q#W^))n?GN z2aZJEg<+Ix$2`btuPwAIv?#mKFj2V+&!3;K42E(e^MU2CeQ3<|YRsQ=nkl+utO|ZM z>-QJW<>K}x7?~73u@>XM2rrt`KiPH=MB2n>7I9V^BH2yMm#9`PLR~xZvJA~RC|BV` z+7xF>b97+vil#3O#~OZPE)$wjt}f)O9-2`Jj5MNF2skxVX#ob4vafUonCvkejhxQ$P@NF zmdF-J!$WA{Q^V)A9`zmf(eR$ukRf_h@IpzJ$v<8>hdqvPFcWRK&vWqtB4dTShmK(w z65TKQ<*VvAEpw0u{J4L|05-)P8E~V89n5oc$XK{7SeS`zNRD>FJ_w0k6l!k1e);Im zvx_rYZMmq#J`f1+C8O^*+{N`)O9TKjThCqh~$a$>C_cWp&z3o5k&xG`(g z^B+Oc;jxhnK~W7I1?{A_p>+_n9e@>E2Z;$NmK5vILJ|;K zy^ZXht7tXzNHZ=Tt_~0zy>+j_Oql~4@yR#RN^CnPyK}@16a4dA@VV+ zX0gfr|C}?jo1uXIygKcZb>ABo52<%*Ac!_XYLmPnnRslDC^b8iJe5fCd&HK!U9UBs z!cQ*C_UiWHgNJ%Eq70Y81mGUd)%w*yV)XCX*y!YMe>)R)omVFSZft6znt znA9XP$ER*YVdMv4VR@jq_{{xCIfVn=KiIv!*uXsGTyYFNDV>W^`e%(i8WhxHyE5@m zg7@5R>Ka5Dej&`s5E2u!-b{P#mXwJaQG`k)@FXjx$S6ZQ(q!@8f#}pfpns6eH1J_= z2S=-+pxt5nk+Cr2ZejuDzZ1Vl9{uWTg*g^7PK4`CJQMiHT4F@NJFOkmrgv0%Fi)XO zL+{8X{AI)lQ;n&Y3cbSzNll0hjO=nke1d;{uMli5tZ?<%v{wm)MBw&Luc!_hGa8a3 zUNUx5#D)5IsxcTkne5b!aKisdL5jc6&Ic-mf|g9q`I!nMA_pY5^peK^WBvg_Ix~bu z;T|zm-T8~|3mOFE>&qR~JH^WShw2Z1J7D{oaIQl3fui+ywZ1H|urVvEqmAcca-5q* ztCh%sRK;zb{JkaR|YlYV3|fH|N&h`WtzFh}+5b zAz=AJd^Utnq zTR;NuxijeR=Tzjd(TE!-N%*?#RE}+-3dK?{RD{8(@qT_juXK7dAXHq#r|f6Tuwq(3 z5-(8jS!D(ycTHzLDM*+Z+6|#!U_``Lh8ij{E_&lTiPTE})cAulE0|#_6*(A#R@%Lu zccTu`#F)j>Vmxfn7BX~HS7ktKAkcM56F#cyJ_FAx#w<%#P@-LjSxttrdSQe$I{kkF z8wBM05sb1ZXH$NR8=wsH+;X-RH5ysSo`U(d>w_y24!0Oa z)T-%*3fsf9Bn<@Pszt>96aI6b$gyxaB@=&@vByEil~q;6&p9&79GPYucU+pt#UxT4 z`6Cyzi(<^t6;yv^SmKa{*_uy2K7N!@6OS8+O3Il#;E1^uo>{qf)~Srz;gCF{B`@i(Z>p5L=kSP3`2?&vw~H? zckv*DDmuH`eZJ1FgNcs4E6LQpH_mno8-(il^~7^bwcKmw*7h_ zUcs6G5f3g~3olu)e|O?weVQ%awBHS@*?Hg&-2AX#s1m-*VI{hTqz-kAT|vo6h~@-U zr&w`2qWBHTh}%&~G1ijR-LF-RAdEFDc4dHLP1`X|vDQ&r{o=EM6L|ojM9~hD5-Uka zNqR;#DSDy0_85pF^TZh=M|8ObGv{F?V0Pa( zpIc}>7O>diJC#U4mW+U{N}3en#)d2dn}D9&z=;(#Wmoei+vtyft;S?wctosIO~_83 zVh^%5n7+F6Y&8tz%rY2JKlDD?;1UH8^}gW6*39S#50hJmZ{NqfkXX@> zGB9e?HLM4i%ChxG${|`9FtLTO52nN)6rg+TfCOV|| zjh}J1=W@D=+hz*`lWZ(~Q`+qb{pxCBFnAUDFvfYnjJDsJ4t zGGxc$MUAQ%vR#cya67pk|Lvu&HvvG67+C}@-eygteOZND3-siLo3wb!a^2xxBt*3Q?l*I%fyQjA!+5(Sjy+F;9@(EG+E4C*+B9Sivm}8#<_mvO?i=%+K!Q zsUA0F2Eue$9er{nAXJK7m|9GF71E2N6om}oaG?iVklzCePWF}$eW@2S! zVr6FGCQ+3kk+XI+ClNQZcKs?#)AOH;CxQR#35*c=zx;pcv)F&KC-}J^Vm6_8$_KIq zy0&oi2pOm~o|D`|G-d^q~8_<$b^SB`>-wSfJ`Hks+S0yljUwX++o02KqR=3FDvhD116 z>2ssqA#Sr|rFz(EYD^bSTWmJ^c`-k_VZg}dMx4~|hLcKSi`Tw$uJjz()7xI>iQmyK ztK6zTqJPBtw54N|Z4$<%9XmE0MenlHXf0Pb?)kDb=AIKhV0hX;02lnWXZ{VVx$?%E87{T`ehO z98W5JTQPbJcyMa~aa0wA`%bV){k;{Lqq)Txtq{e~zm+n$mDxE$Q_^S#LPl2yWzPkfUphZAi!iZX&mOf#+#^9W>ZcF{LiTo)l5h&&IygoYSZ37h-D z_wWXui*w!!=A4T<0$Y4n=TB8jmXb?39I|;{x9`s^O2+M@45ewLi*u}tFD}Z939X9> z%M-C%N{q2Re2V!t*0|n>CZ!$*e|EM>QJhD}?VaM6^NErhnVg`ZYCqM#?Cj6KOqSO0 zyE$9*Pu!XLEJ5lt2pDk7ydv&~vOKpf;Swil(6onEiKNgjX0m={gLaJ9u6YpAU=98?EVZ@K-5lRJ+s<`yA^q-o5h!b6%k z`{N}L2mwk6_bQ3DRe0>CQnGA(I4u?In#2O^u)UrJFYRkN8I_`lC~{5BPAal6Y1n zHPel`*e>}3Ylz{ToNv1xh(jP1JElPPTuwHWQ3ybDB~#-Jq!^AB~d9961INl&$f6OOVt> z%bmZUdC}@d++}DD^}iG1jyU zCBhmx@_TSc3SX76@CT`K14eq-0f-gXcTX2NYMKV&3I6nM7`Sr$s|m%xUjlWJ$@dAp z&NX>ko%fhP?G`IEU5AI^lI{|c&VT);42EMFiQx%>e9~@-9I{D!ag+1UA?*X4^SH>$ zS>iQ^Q6S7Z?fudPIwsV2v@g~D6UHWW+>DzH(NJ#HeK(6H_RF&n3X)}4qHBWUYS6P4 ziTrW$Efj(ME;{~*DMGR^@dM7G^bWSZ$(IqWvs7U<{e^-Yd+SJoz#nt3dX`vJ(C@q8 zhrnYj`U`owqc$C#=R%lHPR^&JM(wx!i!|SPVv(g2mzePTgsyLAH1~V&P|bl46vGYI zjO1)V*K9Rw(Zg$*f}`$93`HzxE)VrUFFluKVn>!ciuf+pRJK!Z^l1|F=|ktsjz>}b z-TSP)g}D1pB)-n8AEY_}pyl`=Z@t2NO|cOi#l|?&8zR=8-UxTRv4BaZAKrT$Ux~AI zDJtcNCHI~oHk^+4VAkQLUy30DzFIkws#5uNN3-a|N*%=ftNS$XMEhtNU z!r)yirBBmG18BkcB29LUi5AeQuS`_P4PA95Y=P_78@%* zk3(SD1Lsoa26bE)P5y$(QvhI0Tl(JoG7UX_rE<4+-MN_4*o_voTjhJ$ztGM8UMV>I z_^P_^Vn+l?l;po_80jewk$Vu`ND6OQlTPIXa>d$T1?m`3$Y+EZS~sX=Md> ztROzPZ7V{h8aZ0tUe2Q>`8=WlmFFe&?E`eV9~t+xzsg@6eOLmO1#SWy{o2SjEqdnt zgoAvWi66PF_tIVgV=T{COMmA44O)JtMEO6=Hi9(8t~)8UKB*BI&acT5m9)U-+UkF{ zYRlzhC!IYrm6NGp7>F1tvtRS0MJfg=rSah}dFlozYJg~)#k?hdSSMQQJQS7$VwQiS z+5f{Sf&PlFefBlnqAE%XKH@Vt&4z( z8PIw}-vpdSTMb7&D_cPH`BB!V8 zk8Qy>(ig*PRLJR^>w=^G_6+&_kJ8tK>&uHnI{?@g!6T2<{6Bo*g~36$Gfr#YG2;)`M2yaCtL?Vs{eZ2?r`m|i{b zLY-mYLh7+Pc9O+I;I~Qn+G)JN8+=ST;A+{eh(o{Q@+=TuxChH+go=t>i7+(9TN!lt z6E!0#i)wef(xY*shToEq(GDGGxnHVzRrU3$@y{qtp4wX~$#eA=!l1MMhIvpu>W3kf ze(*`Wxk3-_u{Ny2h&XH;Lr!|G6$38KR8<*T z@xoPWD~x?HtEPF6G15uQbNmCo^RAa+@+|FoR{_lmE7*V@Hf7Xr!JB=-|PODjxTo)H)*$*%n3NDB@GNpHSFJ(Vp;i^$!$S9nNm|Y~^ z6{hSq$t^h?6WOvjM>8g~_9qVIoin?ByU|@!QfX9A;##x>EL_fh0sLa_i}^1WzE})c zIM>`Lh0u7F!>G+X!Vs|j;g{!!hu;x0Bw{@C>g8BH)do%MyqhyXGGZZ?MHe(FFI$#Q z5~Qmrg;}|x&F#3uA-l){Rk^|wL-kX)^hT?}4KMEh3k&PkTsSApFqg#4Hc6TYaTR^( z=W}qT^^#=KqaV@Cls;_#($B}g6jWrw0`NuL7kOXQz*JiF zIEToPi$xUs&Di0U`DIpADShDNUj*_TxO|rVZe+3XcWN!20D~SGI zLF{%~#W&=dv?tEWO4J~_Aa}&5D6;D)+VGR;VEcmU3%W0;z993HXdp(utcxQtEbw|$ zDyST>>&GL=?X(l)p5)Jo8-S;(i9ynMEiO+VYYy}>zO@@*ND{`NC}Ls6rYy-+K3?D0 zYk#5Tw)p!j##UO8QJsHUe_9)p@=@OXd{=%yq>ncHgMHhsX>^|vt_2mwV$uF`m;nr* z_kEsozNg;8)a>3iMWe!B(a7A+v_qZ*zrJSaFK5}aNtV#G$a+QN%?+t#dnpHh(~x&Z zpoo_TkTQAU;nHUn=L|wqr|ND9#m4kXTrCFO*m8ex5;t4r-d;Ma8vr4=_lH z^^uZ=2g>c^b6Y}QzlHKM4#!*r({J(*G5`zr#hENcovNRArN3A2vMTUPIn4i(5hS){ z1#<2Y9DD80|8z2<6kQG{B{(m{-}3xB39!R+dc1|Pv)KFq_eWraEBWZtC5z9hFpecG z|D_JM|D#YGv1?Ygs-N8IlH;s$f(0S(J_@Tur=yb1-*9Rj(}35`b8m>oR+T+k--Iow zzbCPHl)6C$-)FA9X)$XmIinn3$pS!>f!mH)nv}6Rc4sY<`A0x@uP%IEnqeYFo9COy zMtry3#5tQMy9rq$N%{+tKB5a}Gqm1FIu1>IG=~6!Sj@RDobg0a28MlR+cD?_rQ>^F2@&h+6SY&=^q`sPL~GFWpK^N$Yhz&Ryd?&K=;lHJ!;pMn;P<8TXqWWB zri%~;32a=gHN|C<^)NgwUWH|#@!#%1w;OofXdh)r+aq{g9yS?$=YLpx0})xreJPO5 ze5u>5n&Ud~AOlhL=Emc36!0jbOp!bADlc$*FYpU5a6&Kes9Ol0TZq(sXx9U1S1Jfk zT|>MWYrnFc*{JV&r^w!hV}5aC>}EaHXqauu!mP=k{XE4W6?q zOO?)>oNooNGOA~$#GL=s6XBfe3@l$vh2x5OU#FgHRT|{3+iF}aH}G@daH+L$wgDjH zo8Ag7l>osU4IMZF&Jv*j@y5!IKVM{W?I{4Fl?z%ZEP#H;X5l-wg}vF;#>^F~Ux{Y6 zkPY6OxGEe`=IwPaLC#$jf$nX{iv%hzt(D^rBFrRg6NHgVHZ{AG=C$fhg`%z*kG1Wh zP#XI>l&vzlTd^;Zc1^*1qaBCV9+JU7!_`a`H^o=Rv363U*4PTkp30K0Zz(KY5!=R% znzbE|#k>)V@I4H?A4w+o=-4m2_&i>!za) ztvJ240H`sy&`h$K3~1QQ$XqcmG1)^zH4Fz_e;rj~!cOIByABLzn%DkjR2>5KJ42NT zn-MiSz4q}4^YyVZS*q46a{ovAwE4RPZZuM; zLCW{yv}`s@?bWj}oACX$C0Qs1L%caU+b+zS7*d*WnO_flLRd*11egAU$P> z+ov3dJ(R*pW1!EJ9LNIMiN?2b{&lTxSsLc1V0dO(pGX>>`v%WeCm}JA+ zo*|m0$~n$*L}O-{nMIVD$>>zi^yMQE^l&YEp>DCbFc1Bf8SW1_|g+y*6%jMlqQz=a*SXY zwmptUVn51vRvJfv>Wo$$O2mzwKb@&RUDmnhmxV2)iK}ckmL;>s5Q6lZ1NYx>a%Zx# z#QYB4Q}EIrj+Lok!8pO4-e6Aj!(K|wV=t4r*o(5t1>mNsB;(1oZQtIPo(WGpZ8;+q z!BK^_nLH)yc;2!=HMKdE&zb&h-`Jou5j(etJtoea#|Brrm6{*fP-Vv^nr(^`>0I_b zqG8W&5w+pn$eqA0%FF2cBE#6b;J_ZbXy5=cDFO-@wO?)v16mNP!=V3PczRNn0SYm! z5D55})ELbX*%psXv(xYbK81hxbBf@yJY7Ji95gGV8-JhK1Y1o);Ii_&~60@ znYe5diFPvKb`&IPOjC}nV`Zaeov&j>=K5#kdx>R7pK`8BN3bY#J4~RdiEu$euqo2q z@YpN-eSnqAMifac#r@o(d$Fgj2@Mz=VXI~YPw}R01w2)c(w;Ak<7yNFb{-5I#)BJE3(k+l9A+7 zlD@$>u|laxu|J?0*uX(MhwaxT4-BWz1`f38z#~d0kE`-Mh!n`}N&GA0Z|0UxE+!H~ z)3RL1fA3%>_n?9Gy`ejM4D`7Ro&Qd5|HN+OjeiJyAeJ)xeOHqJ6zm%L#5;lC-Z3W` z^J4n2AG)EC;v^-Me1R^4C3~j<`o(|nsw}=66v2{q!Jc?YZH3+0OHGTmqo2ISJBWD0 z11F`lujUfEVNWuon7#&ZAS#(3H*kxj3xb0HyrZ?HCdi!txbTPUY-PB`0ubZe%|F}DrB>t0)NuGQ21 z+yQUCBXKmt2UjGoDtQmIc~kXN!T?-WRI&?knbphq;p7{-5y9;v%^#ok19?xw1d{(e zFpjq*->_$%ve@}*4mzFqtRpyIJ5AQeV>1AxyGTE%*lNANOFRP)ka8k8cjxnl_kDQg zpcNZFO4~p1XE7K*!m>mH?LC_cD=n71nvok~@|7T8V9ya}d%3q$A|4gCy28xuYSKs~ z9_S=f5AAw#IJdcvDUuVcwd+f4?H?1Xn-`llHZF2(OL^CLS4)-}-4vRdYxEcDv^?Ep zL|aKbdH;|lWPHQm$CgMD&d~w3doQ>SXHqbz+Ul;BXt*(ETxMn(0NZTtGn)r(`=?W` z0E5EBRnvU;Yg?G_hi;|9Pu~EP@=)H$2$YKaPpyhiJ`=jIXa^k{6|r zyFX!0un2_hzizzOMF`@7a%`OLDk13-M;av-185+EmSN%o?9H$JjS36pJ=M1&AYR<+ z^e2YYJ^OBx7K6@lNzKprJVyd6|FOz(ZQMd1^#i(kWuY!GrnpRm&aDb*TAXMsCBQi) zG~Es9fD*}(W*xZzXIYH@$CZVb+eQ#t?Z$ zCOjfImug+{VJC2FSnG%~{qzpVCp!an3k|KjA@Hv;g-FEs4}(J<_e0!O+fn35 zK;lC_i0RvaSzhriWCD?Ep!=>xe*+NGPNkxzI5f7Lx_F?Y_B0Kq<%+wAs8F~-(L@qLLo zA3uI&@4KfdxUHK6)5%ZhsL7>Lqo!=sC*O|ZWkvrrab|`juWFC)Mdz@Rqz5xB%KliUYE=P=Y-o@4e51i&x(>oCeRDzM zq-jrP>mp3>U=Di&`TcscALw$DTj^|@2(Ux*57ooAIxnrnz8G**U^;NVfgH&Bk)9XW zjMn&?kgC4I^sBQJK=0=Wm=SyEADM$;L?zI46zW7s*tz(#;G)~&d{x!2t1Quh(-ROR zEM{gIJP6rI&2V8P(*q}1?>Eq5O>;y$re$&SzS7+p)!PE$^})=+glBNLmn)R(kr^xg z!d-suVFtS1SYugCP+=|{-}&O!e)+Phym&e8D~i0p7gfX?{B}hK#*ykr z-3b&KO8R~SW!LnCJ;Ce?#@vY&^$3q~e_sJI@4Ah|{Wu7jwqCUtH%z= zcvE~5c!k}E?jhnsCHa7FgpA+3GIip@x8P?!3$!eQ_5bSV9bBf;dRZpD?u6~cn$N!5 zl)DnuuLsA{AJAJNLEH~)tf#MT!CdkwQM~zjP;=tP>TDgLbm1s10zzD>SVB6BxEO^u zXAL%^$Esb40WhVP<7kiKcDCBU)kWau>gK}A;zpa9vaO|ut%t0Sq@<*+uB9%lw!HAt z0XWgqPJ>hfJ~?$so~Y~HKLchG)W-0a)18F?XR>u&+)AX!P{6Q|XZq=j%?l~DQ^i&O z)QI?VybT`_Oi`b5=LA!r_7p^=T6y^A>CUyU40PDtPazc(xAdNh3Ivgrcms21Wa#w- zh8!FMB=C7*I3KaXfVd~8)c#;1^Gl5wQm6+TeM?>SN=4Rr|B?K8$=^O=7*gs_K$8r;iCe^yw zkWhR1k*5T~zt#Zz$(r)VjM^N{tXz$1Sq0+PU4K*|Lne9=K0ttDm0V!JwIN!5=0Irv zT;arjQ$W@{9e3w?7vrj$F(!p8qR`BenoITsGorU$*mcb{fj|GL_5@d4sIb4rpRIm-$LzL)bNxHr9;jxsR5712S zb??l8l9z8|kHUh$+^uTjr@s}4lxW|MTNI?Z6Aht9=1yckJ_yywH+@@>7Uq*>yOGgL z!q_?y1Q5@+FDu%)0^Rtf=$u+az0gR8cL;CqZ_n%j5U2->H8b}-iA94qAgw-{j!D(} z$S=0uY_z7d;7dn&-soFMrS+dS?IfTOqw04BJdUTq-SX%GJJnB`0hb{>PGQ9V7z=7x zxjeJ~s#0g|H-u-B?k}NIq~*4aU@?NFvebkt(HuX4yV*0j62)e)PFA}L zeC5@$2=h&JOd9&3d<0s}Iv*R`wjk1!Gy}vUQ%!%uKd#1?vf`3p5rU=Nu4V?6ASNbr zp2enV!WU7Gr!`01&mhlAZWc-2j$}+rj8ZL-j6ygjUn(r_cq9#fT^rMYr^& z9qHqk}1SH zwIYA=PdZ;K*^gJgB<`jM_IU;Hv0>q7qyGI~C&MZpHA12qBn69BbbMz+Q8R0G1($hX zCl8gHbr+aYv+1Qy)d69kg+tm`(&;r1GJp+xv&=5@ z<|0SMl8Y^VlYVUta;Y|B;Gvn)tHkg3@>D9;$;D*iCIgU0yU2rG);mqgG93LRDvP{} zjEic|O(bD+h5n>(F@-5O;*J9A1bc7imo_3WOm)xC1x3*rq;6~*K1>iV{kHpO?s~FH zsIzL=5{o#RYLgLhV6EL(>FV`a33Rc0|8QrvJbBa)ba^_(wKVPQ&FvPs*=VFM;0-Fb)7*0I z9&jX7$yRTOFeI4ZU|QO>7o}}@GHPFuk7_|KuiQ<8TD<+K$Wd`buogc?FHq}`%CN~% zR3CYTlYnxgJ&IdkMyf*$ezjLA}UZ-ji)%!|Kl(;c7w>5z1< z{rC`Ow#3s2yn2Sh;#7Jgmwbud?pi@mfWb>{q;Nncm~Mg6+R+*0A?`%5(?)VcUhHK> zTPGIsw4J?ZJ_0*G4F2vUNU_ebw4U^<#12v73_|Mh-Q-(tQx7}i^Tih0W~FuIjZYII z{=clBznh>LyoE$P8%k=2YL1AOzaGL@-jEH#{tV%?eX)7d1N9;AOlEfC39i45g&I+mAO3lGZL{CivBec*6$wu2))Z~#eDk?Tl3f&@$CGOhr#@*WwOI@KebU$HNga|j zqWD;(*p?PVH&=-xcN7J&5-eFdZjds&7kJ!HvT8f|VH%cNI_^L4Bg@3|zKHi4{zw8{ zAf54Tpz>ev@6e9gcBeBQv1WRrD-=qXmtky&GaBts4`_TQ2Ug#&s!eo2?wntAe^u>p zL)dXg=suLwmh}q7ea=qX(6fQ^_R`2=hq4!YvvRbPcUh~p|Mj1J(z8RWQhG5RBZ_;0 zoi@T_w@a!(glA-)xTmGj^G=|XuTUlTKi;67PdvDztzgdP`P zZS-NH!|}dKP@ZZ|7{dA+q-%sp7E4fo4p&&$;-$?#WB zHcl>1a8r{h)vJ@Y+ui;P;7D70>^+E+h9%Up3|_UsE;1!Yei} zMitk@CG_jSOu}KdmAbFPhwzB-Yt%a}D%7d+9n1eX^LUHMknBnC;1L%O6Td4Z9jgsq@EoqEEB zsz)s4H?zZNcZK@-AdmDrtPNn8PFBbl%(ByN}k6U4**BP#(A$*cW)SNBsyO z;mmW<22i*=nREhqxx+j_FOnK4s^r91{f4>2r=F2LohoNn__+KiC9$!UV!`>kYH^|O zI2gg-r!wmqm&pxKaJ98PMhDUb@7Gfec{m%8Pu%j~zqN+* z@TlPD-8tW*A9D-Nxcd0Z6NDnmA2z=^_}6uoEqD;v5meVlxe^dwk!}U6xuEWxPRE7S zu@Ohu&g)rud!G{L?+uLLI$$R0RkeQ|DATm1X50wENregE)Vp4od)jRwLl7V2J0?vw z)*Lve_53^Vr}(B4D38|yfuxgZz<0YcG?*tWJ2&ntU@gXKWqsNF%g=HK$S5i5-ezITZ})Z zY|J#^bXm6It!?1;QBjicaxa7w?5^JkscUP3Wq&&PreO3fdmc85p9^PGTqMNd8 z?`{+gqso06`X%jLxyht!)}#6DX{REo-Mf0YL5L^!f8BH=$0hid(s}6 zmVRvq;^HmM-A_iMK3p$B6fU~!le9bSzzbUY41IyeORcFVUzv7K{u8Z1SwlL8P^--8 zHJ1|*b|78DbD%GZBFskl(qDC!j^YhBVNz+msoS-IZ{9HWs*KXYd$;E~!m@Z0oSBko ze``6tk*R3z-G6F+gF#-9*J2u^Lf)G(=#djW3Z%@H3VcZa^OsxYPcyfksI~Oo`tUsL zooi(RI@3zY8fKZR@C5~bPKl8HoH@cg2jTw&eS~@T9DC(CTuF|p$DyH{V)*O^C1Ce8 zJMp5m;k^HGBxpObJ0JaOKE<2}Qssi@usHYtbC@PtIBM?wXDQd*$d16~EYDN`(rSCu zLi~vJhJc&3@vlqySz9#CUJriCUzaIAC*iS$LrqnkejCro|BCInvb$B7N^P1H=N`;B zEQRQ9V2I6|By11RPGKU@Y+4d!m4rcp zUo$KYAsWWdd9UscU<>5foZ(~J>pmZgR(T4nM3KlWyY-tMm@uE)9dyj1gj1Xi z9C5O)#*lnR878+vqC08P4UME^gb>f4EC=}Dmep?o$$d)SD>n6mqEP~ONCfMr- z#4;*ouK|LcD$Eva7R7PB1^kOr1C&0B@VZ$n$gTVP0_$Zd>OP?5u|NLbinS5W<6Kx* z%^@hZ8PK8i;>RwJrwc%~<_&BEa$Y(BB{Nm(=rWDRB(qG$hBJR0>`j)$W-LA-Z5LXX>x>>AaA!b+Dl$d%hDcG}lyNl&t+(%r8<9;zSUN^jhEsQ{=-8rxmB zNsPO}ueVTXfbwh84yq3SleXY9(aTK4O%t~SgEE-w!}p15 zmir9H;;`vdg)d9i8X9zxX_7Z9DWX&oeU?blwkvrg1gYG2S5(;v02mCwOPJ66A-PMQ zYdFZmlCWv24;oVPSkL2_@xKoU$oVSK&Mo~DSg-?g25#gScUW$PQ>-+I>8O+{hJ*Zr zVMGshc@Y4bRVuV%tQoWc%%7|p0jMI=xb}7JlkmU+Lu(q5#z^Pv{lXrYcm^^0;A_b_ z@;6C@-5TnMPwH#CzZ7i@pIX9`#IT!nagaEB_{vkFcO8e8k-zn9e=mqnpr1 zv&NQ_rgswWUDoAH)<*so#Ju&_IS`?C&QJKgmO#D;7s+!I8}n8^mgq;FSC~{3wbz^b zdGpr%{Yl?2fOOJM)#cQaWskzMhwSHW^&RZx3@`XWe=?+}dJ`+3!Ia};T(<3-spWo8 zyXF(4$FXAeMc11Ci6Xcgo<9C{Sm0i#M<(yxx7@ipET>mzM9w*1?Hvf`pGlo`y2Rx|33{$0|$fNA}z#Rl;OwOH+%SxuHk3r_Y zvTH*rh~hMp<<`VQk)l`dxw5trTDGVZSD$YkCik4-vl(;c`~x4m=_%@cOw(<@3Ouq-ts_eK?2-r0Gg3 zR_nL;dnW~j)|2A4u*2NK_73ihP^F|H$KLH)_tu?0apflABJL~*OC=W&hlLqN?9~;* zN<6p4o;sy$#o!un{N4*JP8xrOr3#mL>G~!PjcA@^MjUA@#K|ki73EvzJ;zyu0V}OD z-DehG$Vpe{2o3}?P^ZrwA3U+c-|}SJhR<1CZWoTbG9gI$TwI4`STATdKJDXa?$CSO z0Y#3@LubYj^I;M4<7h(!cm&%^XETGJXKfY<$3kNtnml@uWSJE4bZWkq;tn2%mO3QD zE(Dt{aR1P5!QaHfAVG|ce$#x1g^^5^Z*FQd>-b*T$%%xU-KXhfV|#Hq)x4whuyx_^ z`PnL(2bP?BC%|E`@!{o?^q1XI42U(l)-nDq{1zDGOU>pMhSBRIr225 zp?(5qPGisMDj{QP5WeOlq*MP0oN2)p0kojK_=L`E!L9;#fVBRGEKk!>eOF8{pW-Y} z0Ic9obf-t6M^Bam7v@|<_E&clCpYgmk=~m$Us*0q$!Y`ojZn1g zA8)-(u?HGVMn#UUN?UWiJ@8J8)P5rrxINWqFJ`cF_^D|M;Muvl(Xfk{+{rONvuX9O zDja1$l#ke-%Ae1wADY;nXUFc4LuX3Cb2`TF+28b7np0+Lw~6i5{?WC%*a zvsQR5s%gKmO_8ZjlNU8SsJM&>+UJ6~Ou%@Ph^|?!%JctfQu*z78E;nR&kN79GLM#Q zg~Vy!Y(qbsDetDZ7&!B5m_j~eNbfWQr|MUbSE?mOkr_P~Y&Z{F46HwSW^fhiM26@N zwnl37e!j?~jHO&`xdza!0g|`ei{FL^lRxLbg1>bJrWxB)gY$MYxc&I*G=4v=l&3oM z3FD;Dd-BZ=;{_14@&Q=cS^Mnk@h^K>CvNC#>E~-{=v8m{gg(tNZWM@33IMC-YvJG4 zF8NFThSKdV+aJ397sLcwSgYO%z5e^a+rFLh(Q`K$r`N540(w!G>Z0|ni}DWL8r94j z_Cfey?Y*%)c_7_OxpKcx;az+`2spar=0`qzq%ZOBj`CK2)dY*{LF&$;Dy%m4v33-C z-XBqF0vP?cFBmAq@w^omQ4}5U$Gre(?crYo12fK1^VKgcwQK5PIv%jfSZ!@gy zAP%@7Y$hRpkPuh~F-@!8liw*1CL5|EeR!q7m?mLCwc+mxbk`*1U#{>O-Z40Z?pvqBS=<{~;EHPxIc* zQTx>V-mtw8V3~2AG*7I82B5yajOi&udtoNy@eb!LKV%TvW4drrtP?KeF|cLv)MfGX zVeyn?dD=C(R_JZG&rlB=y}Zbn(KM}Q%V(kv>1Jm0uIdBjLFSlO8bGfpa%=;#q+e6u zkGH^M1a$$5L7&|?zPxIk0S{>w;nO&L9^r&2(-S*xfU7~;B1I8FhO?}{xPDXP2)F(!j3_#AuTUj}Ot2Ta{s-y`3g`9R~j zedxfs6-?lq!w698Ktr56Gk=k>f796=cXB&oC6GekoTUS6%8r!E=PL8K&M|Ek3B^%+ z!RDd?5<4*dWU{tjSb{CHPxyij%OsD{0N1{4St(APkz;R&2Wja=$)ol$E`4Sh>03j8 zAlex*3hR0NawTD~9)=s5hZt#Gj0C*;<7oOcy|{%41M$JYr!maGRNX zs6BXt7$t1*t&Zn3E?sF|7ojCP*!WfatKOa=K1M_><49f0pfH|jT$S2`rw(-SrU4xX z&5D`j5R3}F%6t<7lu0AQqjQl9Q$dRp4pL8)-Nv(w^A?jb8dJBM+-aI+<7R37a}iUw zI?>!Zg6ZORKGPfmHhK<+yzoh6%&e#{87?gKp0U5uM(Sv5$v5AHf;mRb`kljv*G!*YV7$8%)KtHIF(I#FG? zd?>3|Cz3V}X|~CTUf^ww;ww8jKMg9m#`dL}fgmclk?iYTC`_AZ$PNza? zlW(YdV|kWNhl+gVo&kI$*KtM2#P9_w>0409x4h+cH$*nLynpXez?jIww0UDOML}Se z63$WUH?^H7B<@&<3agvyT@TtIO(A#g8Zj(^n7>Vk2b%xHqSC5W+6rZjl-5TMAbG0fq6lc=a^8i0lj*$ZL8YIE6fY&cBaj0Z#g7PSCiRtoffF2YwKX}cV!ZduNV~DiKz~}z^m_|JFSL!n;ls~DMXPVW+{QMvlrG88+ujnq$M_5X=&jPR@xRv& zruirfx7m_D@+=PvwRsr19X)dL2vgZJbT#qgk18|I zD@*Q+Z0r_#t17SCM}*D~E`_2<>it!|?Hzy!>(UkqNk5-eg@5}K#W@Z)uy$lMQk+}~ zk5~|rj`h0oqOXC=l5g?P53R9x4I@>?w~4~69Jk;M^tOAwx?J}X!je6<5uf^tygc(- z?#4P{b{xXUX*xF+pBEcoBlqdo*zYO{KWSB_rEj87>+a<_ z-dtOV>z0u+`-Xd1eq&vUE%4JOk6*{65a{52j^~R6lDpxV=EzIPsz@I;2{FSS(*U^* z*fr!7T0Q&kN+&pZV(Z_o^jU6*maNspUSWj}@L{d%VfJ4F`0AHpg-xKYTy>V9vFZC$ zhe+zg`G(Lt{t@j(pcBl)EIfM0I=YRFYO2IkuO}&sOvDN+pyFZd!NKj`>)u=}pzTAi zm`9uh&e)5f$)X;87t`ndaITg_ixm`~UNq@q=8$6wi-@0D7QLz~tu~1v#3vHJ)RNI> zlqMLNbOoJ5y6!B--N$JyxoZWOvStcLOq#x>Ow3q4DY**7s5?CT{@NelEYv438(19_ z9-=3AR2_l=-FD)7-ZC^|I3zJHAW^L`wGLAbFK1pTwJqPrEn_iKvRg>XNgx4{U+Irhsc8OSZJ4|)V?#4!tL%xzu z?t!vh+FzwV46^pTygnWtMn^jnb|*5sQf0e~T7k`hYB4`Q%EO?(&rwH^l4{tHfmc2S zm$CeL>i@%XbAxIXR6?0ZEeu`kIknQ((6P~+w7!19zr}y`x}lpQZ#;)%dIhL$A)q3nzq?ey)xSFYS#sML`m?NgQKfomq z8KK8E`GwmgZ|+vh?Bw-?BL|g!1fgf!Y!=p*GfZpbb+A}{3wJhdZf5~QpH~&!^Vst| zJBZF4d>w z{2RYX-3^(IpBdAP^_p^qFX}tQ@ku*=6*Iagd@vI`WEBn=7ev2S7m+{p{w7k`Ro8 zJ%4l)slIqM<0yiyk$eM%_?`u+o6%JEY#OwKKhM-|B8_CWJ)c0DA9B$D30efD`-Jn{ z>aQRxmtbHN=!#@nN?235X3t|KklM|+&J<%Hm}Swu0mhEJJj$O zfHK_k+SyT5BRZcw1@e|FgG&=GZXwKQRntusj)!GS8YsqH3kdsn}ZzJfIYM0~hy6%6uV z&(6fY`ZQmv(tbCrWTyeQcjUujG*!U=fe>l9Hr$(!y$)&k;7+fP=) zM8PbE8SMi<$|kpY<^g|*ML_;j@1}bKFOF{pYpkQN_TRjRbs@Eav@&2s=IdDxV9JZv z96;*Cgoz`BT$wU&$q}wf)s+1szH>(bNU;gDDjAL*O5w4d8|Wf$8$ID2&n2)6!y6Cn z$jU}O zSaF((mlQ3eH)Vyo1z_Wcn#Mg7#w$_7pL*qmJLo#Hmbj(qVFEAE7(OMeK$hL{A43?J z*pvz^^D!jTb?JZfzf!C|vXQtB47hcJbgd2U^Z7tPNtgjMMrcY}m~$#n?BW2?naC%K zGwy79u7s$IE?yongepPH?#+T34QDaDAgHk%=ZjDh^7Fgz3Vq@xSMY$taS!QAR-*i_ z``Ij?V!#ROvz4y0gHK)y3X|fDrZ$t^ZDbdFM*y*h9{iWh+cp%J zwxGk*q8PSrrybs;&18n-?TOiYD;pwAfAqEl~{&B%AIrC&wbM{)8X;0BmEl5K_K9U=D+nPn2q{qe85451lmJf&bW0# z)o{do&22cjErU)j`XQQ;3P|*^++{z=Y7=wcbG` zB)3o*-2^_g31vg24X-toP_`jdA$>{vl3$=_pf$QStbb{Uq|pq~co{Ang>9~MWXxJ! z2BjL6tZ?b3$>xD@UjeUGR{L}!py%t3dR7ua^uGeN)AD6jRHQZZjX zb$twNjERMYDPOU|d4s-QbXl~V(g9@#gaQFC|#M$&Yf%R-hXIRwKcm+(V?K?7#6x2aZHwBmd5Aj zOgpT&coQx|j8^UX9n~N`Y7x~6wK{u?EkF5od3GT%mMG~O<~?DE|Ha`6ETk@8M}E|u z(Co+EZy$!o_bS&BJoiO`30ipxPw`>Iyz(`Sw;E$S;G zPfsRC^9Uo=XS#hV`31J41LcJ4{sB(xhpZ8@f8*(c;AHwcyo}Pm57O=m(i)zQf8LJJ z`%CC53fL->o!%$kEzduX7B%4{iWh6gL!~ zp{S@+gsK)ead?+PA}zZ!8@`g;5|Ic*(9#Wvo{)FOGconpBY%Vrrylzsapo_B_w30o zgoGo9s+PR=`u*OA-^D53CaU$yt zTxN>N-UrXHn_0jli+O!2gf_!yce&zzU#RddeFn;hA=#@!ZLW1>%vxOv z=6D5_E`7_Di%8@X3bnNHFWrLw-Jz4L?7{lw8(uodoDSAFZdw}*FSV*fbi`n3^!hxS z1W(aEg|5vOerZc1I>N%NF`Sd`Q4kkdo_lnWw=g%NA#bl36^sxPb6-Z*>8Ec0Yb%~B= z2h;sUgpuk)-QAY_7~9b!<%H|M0Vno7)(Sbi@$^A(Fna|rqqJ*5+Ic~`h}7c2aOa;_ w&~+5BRVMca`fn@x*U_RToa4knVon7td=9M?Fo6O#pvZIh9X3A!v)Ka|0sKO}ng9R* diff --git a/CPLD/MAXV/db/RAM2GS.pre_map.hdb b/CPLD/MAXV/db/RAM2GS.pre_map.hdb index 5a435a8915a30ab47f31bb9c07bcd87036115000..5ea0562e45386cb71ef2cc8ca725bde61108d5b2 100644 GIT binary patch literal 16551 zcmZvkV{j(T7wF?`Y#SSGY}>YN+jg=W+t$X(#0RgFo1N}}xKy1D**6&>1%-O}t!Je3ji=K&|kyzNx%GQ*aor95>k&A(u znSq&+iHlf8npoD#)r?ro)XMd{tWnGVOaQt6uO$#O`2XeqrDcNulRZH%{NS?n)ZCur ztml-Au~Q_HJ%585ARnbjL17$EPfi^qdU+kA5T=}yv-H7?k;_u>E|8KMi;0PqV5F#5 zSyq=UsjI5uztCW)YR@Tu>uQNUQndMHFfiCozXGndj%!?|`7QDqW^x1#fezCbeoOja zO-uSdmwrI6tuhSQusB1WrGI=Jss_=klsQ>P%WZO>xM6Vj3G`OU)f@ooysQJ0uE9E4 zT#h^DxOMW{Xn$a#$?*bzF0wQX!JNNU2m%;ovg ztAM(UFUH!hF6=)~G9L$nO3BJWmwk3Z#-XlUG!iot*Q z;SisagCJZa3c4OrFK#2rkE^4HdAr@OKImSx57gahtwza`Q%_HOCS~7qr8l$mmtwgc z^VVy=t>?ckb+h70a-PbfSavVjUF2P;o+!w{>@%pu5-G35ub$m$HBb)5Phis8MjiF zeO)K~GgjCBE#NK-JhA3HqhV`4u3YrqG5Y*qIVAtwjliXc5K_f2kg^u>2g~V=ooDB= z#lzh7tQQRdvt4Lc)SQHC$aP4x{{r-DV6@o3`IcK48iAdG=#O5tKk^E$0(|ysY4vfr zH9SAOw%8Su<%SDyOl|K~tU{&PeezBoT|=s4BDiPp9G4n<#fcZsGQJF2^lD1@tET_4 zCLQf|pK40Hq9S$G9ptrR1%G7`;7vGIx(Su%+vdWZkh{@Qkurp*djbUlFyD6b@ikHifqHh|q5!Rq~X2IlFvMlZ{w z6hga`q}`neQ25DD-GP0T4|?Zr(|?TzanMrX9{w#%;}iEou5%g7ZHNB%Fx3Cg?DO`y zs6yfQ@-i;9(!|@@4Bj%&S>~A=h5k}R+q%rVMx7Rk<3^#DsKYwGK}mrdZQ`Wi{0x-n5n@kyw+Asz#HRtE6XA1>@dEqiZNtas zs{_lKEN>|7O`f!ZD&Z#oCEoC1FzKiGWK?^uT08%hum19c*J9}LCgHdV^Ww72*%wyP zmie~vxk2LgO|S8TgUIXNpvHz<2xN(7jS%7xaP__Hnm_US_X@wx+x$XSES`tqWqp3H z5mC)G1A4gHCbsiknAz;Ihi?rDc!$3+ff=KPad&U9^v2U!H=y@xk102z$!^jri;x43 zJXBfNZ$)C`EA@uux+L9`%0K8!)tmYD9sQ#_e{IU-BdPq-w%ML%0=n|D$2u|3>bmdW zi{UF}9DQySts)32k)QTm-0`0kuE*OWBJBzOgO^O+GIBoqEsGqua83%kr@}H!dYv1p zxqwXQNA=t*blzFRlzG}*NTv}HbK+BXx3Y#2A{|hYl{sg{#^zr!e91k%0paAXT3+oc zDQKOs<)$(_x=UaVLAV&p&gC z@s?#QpHxDAvXEa6m=B3J9Lz32hIElzWohZ}2#5f9q33g1+bz$6`}7kVr)U{&@<`Z{ zBJQu?k`cYO#84j@Pq|oy=qa-1(6I+(UoQ0I$NV^qFzWdv059ZOz%-`0 z5ahhIR}aa;zwq$$YyJdAZJF3jP6$@PQg%m)-NGQB0cNN57olKy?Xwu<16oG1T%=TM^vcMB5juNCS4o8Q9S8J5H0y; z;mXxHpiZpK zf?vosh&ln#7^jC521W{-M*4+~BEP^UkX&JqiY)vR4NupIgh#1G#@W*&VHV{XXkMF- z)Q7saiiCg)k!`^%1i+;e+GvhnEhEbej;axlm^68~Xdy9Lr35%hp`Hf@O_hV@F2Cw? zwNkSf6SMVak-wAWv?S*!+LtQGX4%fOK|L)`HOs*Q-e8TKx1^2!Sg`df#Q4kcGM3f$4lc^=9#GiuZ@zp{EZ1js{?%Bbm-s3@ zb5ao%ix3POO#s8uD${Al0w)F9sKW3UGo9}_8P%@fn}ap>7k1(o0v^N8&YMwF#%kB+ zZ+^)j{@KovUt6;h0PA)4>$MQ1(y3{Y<{op4vQP#mr8-@S(bytX3U4=O`}OJjF1|;G zTqkSurQuQVmxGP1W!tdzp~I3=!Pe;PZSQ>D zC`UdrdeyaVYr6p7e7Y)yM>zxcGX z6!G~D?E5K#_}($i zbW3u^&*r5ve^VaPCNSnl(R8|+&JO2}t=>Pb#(2QG^FVC;fyKpaq2>xBXr-)~P^Qwr z>{c9p0_U8xGQzC`fSBXekKfeua`ThdX@^f9f?g`={=P*l@0AK^ZHN2Og;Jd?+;7H3 z<>M%>vO^L2&wu|fgEOT2bxS0CW#oEVTGbWHb7aLYgvE=&4ulFJAV6x|`ARa)(dlSi zA*V(Ao%ZsPe1F?|ZA4xe&~IhXbP&Z4)*-P6iV35jQYu->vsyx9g#nM2z5LAm*BQ~g z4hJcUZB~uxsuK93h#SyVa>(32ojW0BwR2;Z*#e;%l@c7`cCqEN}5xr)8p6psg^mIZO$|X`FCb5 zBiBvOdnxvz>he@;9njERYGL>LU$}7O^qy+8j7BcmqQIrb)!B|kT7iy=BQw2(%FV5$ z)^8KjcdNxea~sa!MXOm*;x0(HDLm!gCfay?>C@tjr41<2=6C}!phaBF2+>ED9E_O~ z@j-LEGzign(MINm7yp=<2~Qne4x|$@=eIb%;rfQ>8@_J@z7fit-w+W%Nzf;1GAHU= z{4^{Uod!3buG=2Vn9e$oJ(hDWy{F)s_e#n>?Ua&l;BYeO&Ew##zgxj)L6GKnsdA5d zt#VKMChwcFZ)(43d95<I*Rfob@SWf1#%>RZ9^t;mIE!hMg#PVqM- z_nPrr;X7?u^ZTajn~!h!&c5%z={jq`eTNlr4m~?)7*?=GQ6DIVB9ymIRO7>|keYm4 z)A^PamHrYROSF%HvPbJ2U9m-5=eC61ev8vHf0)-_|Pb(7fiI#){$$ydRF9u#+ zbf})C5c3$z+8mzQu%^ar$UG+jY2m?`l9}fBwu99xL5@; zapN!xhZx2H)cyO-mK_ju_C~{XP08C8{^n+Gox9!Pye8x2<&;|O+>GMasQ#JE)E46) zcROCyQi0?WOGG7a`1*yNWD`(4WRcr(fvJVtPl#(uh3bO)!KI=tDhb+CgHzH52FU-X%H1npn}?05gLc3O)`^*R_OOby0&zbKGoa_>2t0 zV>5osbrK)e)6*9*BTrT&s3xqNOG;C=@0<+goj-zC}%Je)OV%`no+) zz_I=f%!?CVr4<6-C!gidxj@ZDSTeyfn#W``2z&eSE53oU{95t%29MLAVO#)+&WeQC z>>m4v5w;3pgp^F0J2KgmRw`?1gRPO4)SI#bYF-Ul4=|#XX}Qsn-itgh8EyFFgOxW^ zUv)wixf1yhg@PyYBV{x>#C7qS8X0Eu-W1Qnq7}!PQc@CNEy&<%*b?r(Qtd z-6WM$<1V1i3EU02!TB`)zyRiLd!nlsesos!iQ-JzkBC$V-~>Dbpk&EPhzJOXkD_B0 zA^pO^HGrtSl1W|VZ>yakezSsnIBCFrty;Z=pYRb~?Zj>Wv(hN1<+ABvLoDLJj*}V3 zIrLQdKgoZ{0dRA4qVWPC@)^@?z|OU=jgh; zKPmDVRb{=0l1~(hn|y~|&Qy#zfjHoCsgnG*3Ixwx()XWtZVQ!rMmBz%h7iLpOoeza zAf~>CC!&dY$k`u2evgAX&b<5H)di9x+EobrC7C?a=z~EHe5S1s+`FX6_@J2HmlfTB zhou-_z`}mVMJ1!gyA6_w6lNlHnS9_AX>uwJCFUTm0pbJQzL>P$dP3#pB^c`e0rY8A2^Kn`&HU3Fe$AE{PzvN%KFQSaW9y2 zHxgcYNK!AZ6iCQ2!7xe-EwQ2#{|E#Ui#PLEM88>~RD$T9o}*uW@Zh6S0XhHA-f#ki z(lPR)qybXCRwqcy16KNmnCyg^_ql0{a4jj;H7j!erGt_RsUr*7>@2x#UvbuWOXc*r zdw@ZvX)ccWPhEqgyTin1eza_iAoR-3(ckuQ;Rp)C;Ob)e3+XYQ^lT(A&8URLc4kSbu0#%Hz=Hv;l?nX?oGRY#4N7&yz1u zr1#yCn%Rgqz~M?pH=fP`tUCw>4T( zQtoXCeQ{&Oooo}aP)<(^Nz6&Z8ezN#TjkGR`Hbjnu z^UN7G{s6XE)}>qG{0ZFJ`phjP6VGa``TK)qq3P53k$E2Kh?$Qs%99x(f2O-W#jb;t zL$8~lO@*?82gF(bXkWpFxfWE5Uj}fW2qbu(mr0-Iy#MS8Jl#pZdzV-LbL;@tiNjM~ zJa@3{yc<-1+HJ(p2aX*Gx$lYK{2EC~bGf3ec$C5zOCE!8jFo>SbaTf~I?}scAWNuk zG2fX7dC7XAGBS}6fW}3%c3950{)#ke1#Wg@V%m9JRUgeK53zBK{hUHGui?$h2#hTI z)G(P}Y0cIg5B>|@iA?gMIdVHBnjxFBV&9vBafSAzc~nVc@&VoP;Zi~L?<_0}?6;q1 zQBhS@yWP8tU%EX!B=_t;kvtbfK72GNm#(~I74mbgZKmVlmsqZ2{MdWPJ>6SUTaKRN z4wd#;3&8?5QIFjgM4c-FPOhL+RZ$~rz2nzug!AU=?K=B&;8IO&svE(4H331=x=yqG zW?gmCy)pS5vb^k8MKNBO8R(osh?1Bh<#LPtI`<}1Q#T}FjiSZ9CU1+8tE=1Pyt%yD zZGC;cZ?BBSy?Y1TvM|k(RLp>}p$xC#thNih4>ylZdp4#_&sEd!vC(~b5_kocdHJPY zEsm*VnPGowd2Z{FbCG_r7Vhreb0E>XmZbdzr7aTJ|LgB8W z$3l5QWD@t^(eXa_utH{56dG$HG2X9bB*W^k?wIMNVIeK7HVk1s-XsKa=WZe(RHSnR z3Pp(c(qf!lLRkHbFs%mi(V+H=)I2ekja5BYeQIe|qWC}mHp6!KH`lkD;h1L!SyURF ztw;iilf$c7jy93)GsG@fMQ^+GV~An&EM%Ta85mfL2BY~P6rsWTji{^zIz2-#K1)~AEa34_%ClXDE zq^g9FxB+3ktaK7A2m+|#Z-z$CwSl@p9|gN-hF1A2JiMIBI8-redq{@b+IUdp6U=;A z5EG6h2ZY%#9=JO*GSEFdQ2LDnrP@2Ch#_((8k%#g(U#H)<n+|u_4{Kiqm@~xAjJ23=>W(Qii8P z-r3Tjv?B#A?JXWz!Ob;?KUq!w%ySA8;#9!LB4Lh*zn-a{@^T3byuYA4V(WTj?E+x?uScF4`+9PaJ_&lM z`TfPEls^^zHX@{uzw^Ov>r_zCH?Me(C!BT=J32c?K3{`MJB`I0aq63$)cJr;`O|tM z%P~s5VJ*T>;QZQU)hZ2`vX#{R5g?F*QVKrdcQs1{a>lRqIjVOX05Wa?!8L$nj4`K! zi_IzHt)9B?3p8X2eWwgn40^2V+(3@(srLcF4;!c}t-#$;izb|yOS#EH@uJ`ikY50^ znD|kKoiTi&IOAv-4j&#@7P1XCMe!`k|D5W3!*c1^9y1)VsScjp_-yryUfrWu#Ts|} zxI^R#bo?9x-+U-0g$X@|dio45Na6`g`%i;Uj3DxU9Tuofo{npBhWZQEuS=;3!M**| zm$c&EdGC4ducqF;nM3BI^NoU9j|lzmrG%=u)m)n@1D>KJJ4}VAqN~v}r1wPB{(qO* zIKeBs$I1F;!L?(A{w1Pc8P9{>)vQN{NUc*u_Xy&mOUmips1Ilh5ss%*m@e~2lb+K? z>suqwgFzMWY>B^$vt&x3vC!&nE_~^e3IpJZ{r)rl*(D>v3*IG^e-m*rmRawLXBe@p z%(ICRA8Q0mS^z%vjb`81dn|oVxCqknol8&nRMMicJZZ@+)SUyjL<6L3ux4<7t({*& zhr@K}&v|(n=!E`6H?FT0d+`lmBG487Fb!N9%e-6Fl{@g+aB>vW;^4dHMf$h55w(gictrRw5WFe`?$yq&HM?*A z9*v>4SMH!hdd>rNha0>G8-rE~_v}u;`>eEFegg4`jwaHwGmr9|-7QC)VBU1lkR4Uy zoXy<%T1K>xKp|XuzYYYLm{`QzFsHIgr|!SL2vkkQitzu9w>vK;)QT1PEMvJF5i~zF zxcxB}06GpGgQAr+UQ)kjX=dr-Bx7c)f>M?Z4nCw@LSvV9D997~MdWTj!nsE!!xPGe z2D_H{!LLA9v~(4|CMEdtLdw6QSPB2AJ`r+QP!ABK8;V&8e8VM$(_zUZL!4S?77knb z1!6{2s>|lak?$}aj((({0o#TfaZT`pnjdbu(j0uC>l5K>#)L>1mMo=UtsN1)Q*NR{b?%g^Mua73(Tk^!C%UG|Tt4y4E`O8Sx7!01aYM^IF@>CgIJK*9Jt* zK9zAuy}azUS&>@(s6)$Y24%SJ%1sLW!S>JFCThi`AL-aG_)SfU;WH3a1xieXQz~> z?%Uz;!BXt0vr>k!y(^G47SLxBRw5L^iY@u4;YT{Wv0h+f0(8 z?pwCqG$QpsaUbP2MY+>XvIJxMbcAyU4Bnw83dp|=c5~_fx&9X! z05Vw~p3-x(kEW?Bc7;%9^Z4s)zed{X|h%k&)vxZpD0HuRFpz~p3i1MQb>8$4{ z)3$x;JQut!^C>Qn^2cs-+tF6Cztwx{vbCg!e?3f#*0gtS1S|auUk^#A*1YC^OT`jv zD2ENI&ArdVVEB!>oRQrpy1TN7X9Gpu@XX(?{Qrt+R64xC;q_;+d9iIZ?^j0Cpl7kU zHN8@r<1a|J+U>2`I^#@ga z4~Y5tPGkN;>u0A{l2@8QgCk1*|CCsm@SZ<6*fWS?;KHn+=C}iXj^~y*UbkE&LZc|$ z&i}Z6pgV@N0VJ4?%kVVchaO21yWi|*E&Sk$3T$6h_gJ4iGD@@&eSam8*7t_|Tt;Yl zdDC^Y9>|MvHt;G0=+x|n?`XDZ<554_(?6zB-<$o=(AUEDIUGj0%2Iv?JTV!F)I>R7hbXo$QdYc`u1Uis zAW6v-NV%)F`+a#*(n%37wtz^}M8JmK{%wwwbO$B@-NzD-e)ZmxD?*Q-T23sR>B69!Rby7Wq`>rPI?fxQ5(uAJfuI8!!HMKjezg?yJauC)QjAfwcB z82HK=cY~!0>$QV??L)uuj8ynK_rIS=A+<1m{ijLP#M)K4IB)8d8T&G|N+p(rIm}vi zz<|tKho&`J?kUq9D(N>|7v>a_C-{nz*$%y zQex^V(Q^|5mEz5|X!%X~APXp!O?;m|Ugb$jW({TJ&Z5US^%O;*q`q}$i=+6C9y;pu zb*QlO`6}dvH3Ccd5kxktg-(BRK*FZAoAuNMW_9W-MviXs@QHt(fhj@qj&ec%#=M zmF;69=hB~|xVwVEJIFXz<5}HtZF?_2oZB=dhBK6LREFQ(KtRZ%ZNVdMj&8xNcsF8( z4?e^$WYIXyZe@XPku}QlXFkct&vqd#kB5QBAWtXZD%{Bn_J_Hs?aB=+7I6@Pu^o zKL|JkJe8mB$aA{I_o%2r++mPa!Ii6=FE29;MMW#%F^`Gj=h^P{9Bu+uufZ&Lzotjm zvlG5Xa9%v7M{Bvs96ZE7yIAgQrbl_Q6Fk7Iutm8C(?`O#mvd752XJ2GEDrRp5I&$S zO17QFw=SdKn_2F71WThK9ifzATvVhjJuy2b30}nosp;PqyxKYd9H8Tsn5_m{v@w`Y zWrn`_s&wk%;D{(ZPW#8OKlb_rVQ|Y4_m}pFyTMls2D{Wrh!vxgfaa3>1Fd)X3?QmJ zPS3ww_O`)zXDDyQO}+%%G;vg0{{CCJiHP6D7SjM751Sn|oO!l%a?NKgnBgXa8uZ$+ zL+%UlOwG#IeqMJm+)e=ZaYMY|`Ib_^->&diYy$1ZcYG8YAi7$#g144wg_eb96Yybu z;am!J2ThPucBBGhwU3;NcH@Y{8dS`FvC-#DriZ-7w8Mij?^{Sk;*9E+JAjRQT^ z)5ore-bHw+-<{Lv;x*&4|Lc!Wim&s2d^M}kF7gE+@J7oYan{DATOxX=0;>H&(+zrj zo5_{RJ9W;!{v6*-Rsj9BX8B^%o9Qh3?%DwHA@_}41B73xRz~;djC(;9Lx%7hcUJTidCCFjWg(Nu=*}kN8 zN(B15r(e9-5$(}GJMI%(5Qtnan<$Zrb0K;r{ko~u4ax&U4v+_boad?wNCJOr;i}6U z3+m#61~&8_aasD)Q-0oa-L@PI27r2wh-_nly6I^9jp?0MU(GUfi2OGkLv8|<9^R4b^`WPUMzXL1{x}EYg&8!QwEG*L2s;95b`3}sbsme@h{YvzjzzLH)0#sUvLUG zyN$x4lqMOaBJ&tq$%_Et)BQG^1!-X8MTZYVKJGJ9%cae*^+CqEqn)6-vG(0yif8^) z&A9wL^%mQ{f(0uuCrb{*1)p{+&${*mdh7fRF5b0)kbgjNTG*iOJNkxpM=4X@pu>G` zSa&SFY~g3dqM>e#DT$?L6~snr`#w*O#((tuQzoRjcM%ZzV7t&@Ut)hmSb&On>qHX+ zg+t#r%?maXaj$vRQ5jahGM;k2}EJR&_j!hc`F?G}NSzLbMJxQrlFlnT0!weavy%WK-& zfK6D;Excg(=^eD}HSLae03B!O$F^I`SI=fa4^(pQLpO?r-lvyKk|nE!C}8OHnrfVNTCAfq$q=Olkwm8>3B6z@MzAJ9Q z;F&HG=#Sv$Ta;p8d{8MFvJd{eY3++!P3sErC%GB3PtuBZ!WG(GGU`bm^>teJr1n@i zfDG$3OHc=lzI^xTFyxDv=sn_PM_?TKE8u0P2V#S7k8rBY7iZWd*52R>1gmUB0TMsp zwk0%4IM%|wY8%;8ivU7EY?l15Ypd{xfp}y-8~V%jkuVV`YfkL`-*_A<3TgrIJ~Z`H zsT-5~K8>Rg0ZJlYj2+wT^@n%f24}Ar;xQL77F~B;)OU!YORJPx^>H-*@a*FEm%x1n z&IQ1keiF2AAu}&}jg?!^3OonbN2Y;z+%Zw_SxlO9#JDF<8}9<8(?8j5y&qdX53nMt z&AkvcQHlD$e|96-!0u_Kmec8>?d`lP>JmO4bN#)xU-`oAT`fEy!LoRlrGG$#p2thY znugBK)ro*!g5Xk=J!{R8_S1QHaH8z^ zPGxlh?O;f|gS)5hp(1+U^SzO|>odqg`sD7tAKW+(^2jUuB+k2a7=`YkO}liM4D05_ zdX;;@^$!c)H+e9=gGEm=U*Q0s{-yG4ExGN1GD7Y) z-jQWE$QHjVH@@gRR-D&Jj<=PB_N9;I>oEWj0LulMX?Fhkx$@RfgjWH#OzpaF5i44v zA$zw0jo!`&tZ+en4QZPt8aI1+gzeh0n);w@n+gU(?SFW*YRm9ya`k2Gh`A?)2+D`}w*L zYftz)h3nndAXu?I3`FnH-yW*!IPo~sn*PSLlO32uxx)GIbQOkWBh&g(1wY=v-OWk zfT;YVg2ov^*OP4Ttp&^*=YC#EGEeizYT)tj?ESlPgAA1qm%tGN*lj**Y_}2caY_lO z3BQ!v!6;M-;~ly$uZQM780t#_*}aYe<&q@jRU*NtkfE{FIc=spl|Xr>V~AupPZ{s$ zU8otivXJW$GbA)$m5S1lf|=2>ceIzCfCNJS#6A}RDd--eT%USS`{xY8xk`N$SlFkb zUJVjcWl=eNUxYgJJm2Uzk-T(;7pa#WhEeDMlpRmx=DHF4!Ue5Q5p(1K++l`Xx%3ec}F6{{XDs1LOKTZ^bC&gYrC zzOP)rl=Z1&yag%hsT^h7_$eTU@c zUv&8<-hWz8Q_ybz5VBFt=(+JC9dG%1=na|=P>*ZoFy2F92L6E^b>r$s8d9Y$0EO2r){WojUkB<@{ifiHUiDOjYKEE7J@-FS!`w*$!F&lY` zG74iv$Ey#$AWrPg^W5z8FFG=HzdAmWV2aog7hV(BYGa8}f@NXNY{h4qz6b{&g{M{U zhv#HX7>f?bUe_)8NVlFi3umWUkg81{0p~5WtV1{fB6H>(0-`lh3-X)mZK31$QJZ(M zQvwbLDkJX_bblWq5lTS<4ux?9I0-5dBJ)N3Xca+_E`d9;hA3!UOel=|JQ;K6i0gr1 zY!v}%&c}P5_I0u7cx2;H&<-P)cz@p&67&G+=L#lJLX>L835@U9a0SK)2ZfGs*C>7D zkXZ#up*VAs0i}sIY^L%jz-8tiY>X@w$^a<=#;)TD)SJ^Z{Q^IbER`O%&jqn zDySy9amYv7c22}vNgK~C2vx(Wvdo%4%$o_Ba3@oQxaKcCcJzn}y%xBFR|MrYhN^NLSTR zr@eXkE(qjnFVcR_r8hIS1WKA~yE zPGt6tcsnz*J=ronFOG5)maFoFh1H0(lg*=+DjLj2me3sHYc_Nr9lYE#3e56{wx7(8 z!vL>ogfxsBBhSeD+3%bP0WvYQc438lq?QuF?p(C337<-* zx~w4t&Pn-lfx`wyojS8?=-Yh3&FXVk7>!uJ*8GBpBlybq{e^U@Es=h#(TMpd&OYbU z)+52J&E-$^F(ARM!45q|<`a}##=+tewUzSn_XSSM`2NXmJalX(#o(lu9gGs|CKc?^qd0A3VZ9X!mjC%H~OTo~CKx&CQ@AgBb8$yn$R;^IwPaDQX z_I)~4DYS8oSjL{zz+C+fvkYNust>eXFZC1aC?D1#Psv6T@rihbg#n>9Yed>MQm3tZ zS)z}Id>c~4K{Ium$8oZZk46!S?85-;&$L&``}gx5Xz>Fw^gz)zUHQwv3+NpWK=Zs# zgpmYy6q0@w*nCLhDffjX))TTe1{Gm;tU49HaG$qABgW7Go*Q3wq&5y217$U&R& zmaQgwiUTfXDuC3<)9+%1vp?Pag9hECmUvO=FJilxlXLD8eT7jJU`<4mYH49AE?*4A z+cDes1j7Cx`fDfsv%#^~b4HrO2k}qiPgLcEsM)k|1RhS%hT@W!`;q;jvIHvMPHdoO zJsC62Ww=<)g9LFLR;_{0i9FRU{esL`+Wh5IZqrB2f_68Kk8&|rXH?DV#SYpd=zQ1w zizp7S3cR4N44*;)peL*x;cd65pvfHqmBbTEzJ%Z?OCpiX89DApjP6LBqv#Jr>yIOw z08jh))Vnj~-mtfU&`1LCY{KH`9}x;S3qf!0z80JyFVzpsji=qSVkDL_3i~NmtDK+G zjS{o?J+OJzVuiCTisP-Yq+r5iaZ3`pmw#N{O+63V7%JAC-7W;}OOw(F=iz|<@U~t!Vw7*k4$|)8!j!b-&9uCf^!kzsMedUD6 zeqpF8OSWy#4q*m9*@$h#uvkriXsRPh0SXT(GikgzClx8H@QpgoD))L{SVFU?sVKfF z2krMRHBmbX<1iSj5uxFS0-Z8|Ult0361n;CgIqXL6L;5>6a}i9Kc*3Q!AK5xLy;sO zCZ+UDi0JATrTc;d0r~p!1oc(7u|-!y@AkXB0*5U*)FK>euF;0|t6OMpXz=iexSFhD znFk>JCM(cx8I~r32*$N}S3!WmmMfwP57q1w2h+TP7BZ>mBN9g#9uX*p!IiZwET;K8 z-vw7K^b8vLEb-#Jff1HavVF-t9a^1B(4}ym`VQLF`LDDm`M3!1;(_E;v@k5e% z#*{Khx%qm}6Y8S%&X7boN!4B)Byngfu!uw8)d586ht{5;EInC^tvV94MK`VhC?04k z7l=gWPaGlp!#Zcqt=98iv(T=bp_M)K6<&47FQo3zU5ggMALG>SC;s+Sb{p4J+jWa7 zi=5k#0toqBy^A3FJHZmiP|IMR1#pOy2wR9dV)WQ*=^`=Q3V!>>Sn!}3aJt~xX?0*A zd};!7pm;%P{jot#o%U=02&aFh23&Wk1lNy6gta6p{unn|b$p)8ZFn;xQ+Gq88fD9} zsjeXSA=4LLY@^SRi#+9$-@&l7`r#>IRn^hMlm{Vu<&9t>(g{nTpNO*{m}xHcXE+9I zymzv*JPpF$i+TDWktE^*lTdHG8$@{0-~FELX1t|t7FJb^S?=fGa@~g1dSl1S*s*&t z%OBoa#SP^xxXjS?)}qTGTBH8Ko!%CWw+bL7e~(KWs{bb3I0MON*K6adx=wb~`(u^a zHWdqaWn}1~SlF)c>HBfdJ@OsP;xp-ypb7?gEHY5+*6ZxPP>K_kZ z;0Rn3LfDHm^Q2(WRo(-oiD(kwesR(MJh(h_d7CD~Xpm9O#{nQjP1b#MtD$!S$p*mt zQI*EjS^GijGG(9JY@TuJ4DXE!oKG+zs*FZ5O=cjrKY!^kI96P4TO>2od**9JZl^!TLYecT2k9U zMLsKzQfL|dNDax+UMCDG*VXA@X94a?FQE&DNmq}A3%diAqY$&2U>iYjFJ+FPjzUsL$k$bBS@ z!a0T*_2OJllY=_?7Q+J?EzrMw4~LYP z)^RYjG`=mUz=_1&7B#7);Eh%Rxju8jnW~+K=**@{uRXhmHvx9T>HT$T-yBO}_je4R zz$WmQv#WWGx&)?5BZ-&UH`5Ru-X>2XQjgDi5JodG9Yi@!O(o$KXtX^!u0b`cUtV9Hhb1i_vPM{zYJXO|iGxNw)#u4J+1$qI zX4o9zrm|q{CdS#FH$~^e_~+0?9mx_w;fgGWkm;42{kKX-J97zw8)^f(ykMHq z#qE*z_&0@Mn{{6}G&IQpi+;Z^H~}(S3|(H(E}6O93vz5B&6PU%tx4JFABSO|m9e() zXkc_(_Hq&&#cD!y`4%<7t#%DZp92Sc_#L5ig$;6e9nF2J0Lh_*x%s>p;0~lOn4%1% zcR~pSx;<-xy`wCVH;#%{BDi~&DODm;CKewDrza~~&x`_VC)I1mD516UAI#YjRuvDh zjtn_DYtx3c^P)4Zx4U}}CUec>J+3ksDDg%0Y%Wzb6zQLHQ(|=ia~~Yx~K} ZRS`9`N<`e*ma&)PDc~ literal 16737 zcmYhA18^o$v-e|fveCxc*tV06Z6_OhW7~dW+qP}nHlEn#&3oVPR(&(|JKgi2u0B&W zr_NOOpn-sZRKkOPk02nH-zUqrRW-JEFt_N3>_7xCz%4X zQpHG2LdlK+UwX`=L;dH9SuhSN{saW68F}YS@PpE4rB#1*D6B_>IFa=yZOj;+;rklH&GcFrK7MaED|m1C~>Y9xjp z(#CFh;RxQOC_?G(PAR#=_3V5@y4GP)ih{JXiM+C@oVu}zyRwN}<+cpKa$creodo~z zl6vP%%fASzlSj=`LoiNK1iwkR=N4RZa-@4bqM!9e1o6J`X*OqZA4wNVXlJpLk&{BDB zy={}cIkUk|qCjRuQy|kc1RDWXgK1Q~3AB*cYXR$be)~;|R%99qx_c2Tp-Qa@xzD6B z!{lcws{_NY9qDt0=hEZo)iPD*b-OA&3zcJS84n5LTt{=Na|>O4abh>3<_c|P&6AYe zZH4;tt{TPtWV55=Tsob3si%nuN7BpsV_FLozq(F<6I~He@zeYyR)ikJceK;G=ZE|Q ze24F$=-zuHhfP<&?oIlWvMkOs-j5VXG^F7cNkLwiGTlW+q*H~N#SquqQ(uNmKu<8a zyO$`z2VEwxShy&v=1JfldGqHpVLrj4A0Np+$ST&#Gf5)Bt^Sbr4wXnDd3$yi!^QZD z*t8;EAdd|W#Td!H@3oQD-Mo2Vw)7+4>e&gD5)P767W;06L}jDy_)uuU8r`yD_Vsif zTKe8@*QL7HD;QE|%}#bOM&Fkf9uCa3TB$3drNz+h-acG2CH^V!#b0s`f3P2(j4R6v ziOoqTn}M#1qiUx3U?CBOfB7W5CU6K4SKl*#-?M+vKTiAF7hL?8h@5@8YaTxNDscQ~ z-WxDRD)XKop6kklQ*+v3XK;Jvi%g^#_qOz&<3Vsl))@W{(gS--{)5zu_`_j6Po$Ue zP02fZ&gIm-;NWA4K7IL5LI;WNlGrj>B+(e}(sV53ha={gg7*@0X_xi~0yEM}zI~k4 zLL+&3RD)Kd*kOa<>V*9QzCi(jY8%jCkl|0BVy@TUR3UE)ZyZSP5z{4BZFd-N z{;YdHPaSyzJ?DoRJ?d^t$f&j%=v3N$sELhK8(iczM&a<*00-70*j8b5AMpSBxDrq9cRJ`L#EqIpAdEB3Ia z+i0FcrUWI^l(Nlkgtb;fc=Y0d2?gYheol0ldnpMU5m~w|+JmzBMK5|c8>$R<_Zrs% zbqZ?buK!RSc#MMMEYz|yd3#sjV0!^^0eQ7LY>)C?rSw*=uQcbivHN5FoT0T9RfQ!P zw+Yi*FXKl};&aA|JhvIzi|ZpvXA?9Wx1t|5`Ensj?(=IXhbQ&N>;nq6AF8`5RgSA8 zyCMf>TKV>_gp4=G=e0MfRnd8`P9Fq^wHDL@Mb7eCR7%pmOjScU5_jWtpep3l^A*5%7a7;6na>9kI%rLTP zPFpgYKZ~)r;%mY0VgGV5R&<~>SA0~;4DnU~s@(_OqFxb*ehj>Ygu9TY#-j0$L#OkP zI%m=rFtY0161A;ws}s-T_Jz6Br)^Xizn7iWN3k0%;9H{VVj8Hh+<4K3%lXMCyC=22 zm`q;iDy3mPk^ECC# zFBMucc&fglM{Wx9s=oZ*GVeCqdONDkMvQ%(C5P$jP{dxV|G`8@ zSP*5mS0pz>POb7KJ$Fi_3utboZ@iNw?*%q=i^hSc)Cs6Ur2rfwGnCA=-JAhf^S}9c z?Hg@~FBYtgluxXpE_9Wrr;HhO1QC+Pm#D-|-3^^$s?K9B&tp_piFj9u%IAr0Wr@M- zI=r5$RtOgOUk{K&K$^;(rTn-vaPkD6X#$p)y_s zT5Pztru`v_6=c#zVFp_kf5P_vGl`-&vkTiRw5t&?*l&dJ3n`vaokx1;?+pXi|0hHe zsUJX$g4{7!&Y7aq7O~^?J4m7vAk@`5Ey|vi7!@o@hI=6=KZ+3^D{rhGNV4Cx`{42K z{T|5eLrXo4^iwQB4+S8Em_afQ)ZHiAB!d!p42T(pdJ)7D-Fp2AZh1n+%G>%D+`fgq zZ^89HLZ-gfnT;rYz>DJ_umHiLbykHFNa=1y8sjJGP$Gi^s+j#+wwkUysFa2=Etn9P z6?2f_B`t8C>O?)>4mxIo5$s(Vl-}4Wt+A|&_Ef%E>pC4Z%Z+V@brwqTt2dZ{JJ!&O z{zgyPrCm)v@-ti7?0Bb^r0G#E?QtMxaJhEhT)P7}c%j`{jv1i^*3Za`)<&EQ=z!w9 zq(KTf5oDrsPU-ZvA=|&H$t(m<+pfN&T zMXu3LZo~w-^7Y}>vvzI%(BzB zK?8&*m~K1SY1=Ptw2Y<{DRVMFtF_KM6cN*^cyXL!YwL`x<_6@*mKcM%$t7v6#$eT{ z3Au|5#;}8wwJwMHu&A_NHfDC?y#u!cz{>@2QqH)7newXi97fnS+2alCQt*|m}><+s$2vS9}Sf)YOq=2Ug0Zg8e5 ztKzyLM+4>?^(hqGH(2ntILB3P~`UXh1YfMI4cXo4|SmHM?XNOK5p-%nZ(~BqfPvQot=s z2B=6-o%e++p*^fK0aIvXnfO|Aa?7Kc=by#JA`9oePV7ZO5A)U`cY~G``19f^ZUpb7 zN47uv@olh=i;{k3bfHOmei*RLl+@_WmTd|8{&zV7&^q>%6Q<0M;jA<05=V*P6vXFH z!sEt)50-!CG8->2STAz%SOeq_!Gi&kYLQ9xTZ+omfn*9fc9fU>$bt<`0%=VVsi&aqu&~jl)?^iM*E0yq3wlmc_i5A-tCD zNr{L`@o1TYrI^WW;;IraiErVFI>G-McZ{?FTGuz?8Zrh(@05#t`CW(DqMhulk+1lB z)b5H8np!x$W~RiL7giAYTSI1sJtfi7Kl1t3z5|d8^cSKff9zvhrDJ|YTB{F=9uG%s~M%kj6 z@x0jj(vPNrjH~I$Lq>Z45wP@G-eO}9c*zYOje0B|jlVJZ#`GJrZ_K~3=qY=m7Auhi zwY)9(Whj%iKvMoiQ~H}Rc|IX;9wb_2TMJXNSA8!sSc>#lfP~$t@z^7^tOE#zti#v0 zefs9=8z8l;*Y@yHQ2}wZV;p*7>El%7<<1KVy3bUL=M_XD)Kq)@s@lP8rI&R5lf<&tPFgZ`=tSGx|v* zD(L?lRDmWgiFW04Yre9UQ*@~0Z}xvz@*b>|Di`#v+R1BJ_-qy?{^A@rKe;!TQtI-{ z%B?><-8a;d3i?#n%}$UnN_%?t$7brJr>3!OO&L2JU2^~=DDV)w;$mxwZ1ZO&ZA=6$ znIdcPaflh~jefRrNfhKc(50-o&n}R1qiQTRAm1NgLz+~j!@EYgBbp9@uQvmxtyt~Y zwWFbPq$59f7=umznpI2u*1D3h!4u7uQ8gT4*N9SzN%HwOIn@ z{g5M_A{<+7Xhc>V63B%GUoWC|P)cu_qTq4RQNGayJ$jkVOrHeLIicTg=D~cK2l;!@92G)Q!aIMIBKk^94vs~RQTqdJ< z;ih8LB7-=sVn|`)@BQ!DS7b^X&5H(tyUZcRX<>m;vaZ!CMeBE``XeBaV%}mx-h?wk zI-+)Pe*ibjwTVlaRh2+}%y?($v_HIEf5aD$IRp%!EnDmt$)vGB!XH5- zerB;R=YDCUW`(JdWRu$NH$>|7r@r$g>7wpTGGiw;Oli*)GamCj0OSicMn&dr>YCjM zfK<>bSiS7MOA;{vC{lB%}DqNTq5<2(%T_~O~IV{Y17>AV3$CT3ZM)a&$u(N}{_U6uqpcF{d$I&8wSxEX-oz zQxWq%L=rKqGMm-D73ZTQ4_A0&;|W(gQpmK(=1CZpnN_aJFXh@(UL{kj1A$j0=hN?F z>>uFZQ68G|&-^D=2-!{hJZa48P%``@y57UK!+1UZNKzTH^vB(v!#gjA5AQL-dMla2 zu&)3I+&ED-Js4vw`KjL8+fSqG0lBqy#q;_KHne~Sgu3Y4r_ksz=S`m#n|Z|%W$W7UHVx)g)hh`F@4yz>2mDv z7Qm@9&)yUHezceT0NH%(%ycvc6Y1b_eiuRzy}#N6`M6c~hMI9qfFs0xNj>&!#o|Te z*g9~%1FS84B|%2RVbO(}NZ6VTD?FOhjWRz}rl@}x>4AB>p*C{T7}33M4=`ZPsfXsq z{;oJ6%3r4as`sKf=jd6dn<(R1G2Kq7Gd9(reM5FQmQ>)5m-*`GI2P9wBX&XUeOp80 zprXnn;f76cc0guKvYU6$;we}#h-sQ(lY%K_Yz4n>)r$mgSHpmaIKX4e0nkc#!)&Ur z4MGW97e+g`U2IO58~F|Cq#aHR_xRqY6#C4hv+hH~`v;1PGyf0yH|w ztw^SC4_Md-QMg=(@Gxh|K98)}z+{eX-ft&Aq7ov;q&nm;EmKq3RCwE6zk$o1k3Lr# zb72K>OEgqte3+>aCue*Zk?8J;8KcUK$RqYQ31q_z&uD*2v%ozB7#dvVJ(;--MVS9-xJTz30p>4iLlQP5qMD zWtuHe|E+)%9wMT_+alY(l&u-x5W!1Z>?~4w80j?|?d+{%3jBj6CtG==xrc$ALzMkf zcYgHUAK=glh@TD(Mllw}>fCyl%A8=%uLvx+RP@tE;V%fN|DAx+Xvw*|-y-aaf?Y=i zRXAid;7|%nS(AAElSrkA*EB}}y^d0`gpgdjy=opY5Tpn$a6J?8I%j#w*`ktVgOkI1 z%=ToW3Er&~MDD&x=b2*b)-YNgyP0=I7D6BCT~RRAXVD*(;8ynsK2xsN9voHFQA!eZ)bzPeLNzP3bk;l92q)gH zt2_DOHM*Y<^oQFBy(k}UX>vzh2fXKBq&ahnPUwa&0X~w`W34de#A?W|w9p?Nj}ku2 z_!`Y{JX*2D>tAv!b4K<-EqFZS#B%#ePTP?5z&690PCT5qAiE9}POkxDGxjFugw+sS z2{@d|ZQr)H-to6kT=4BbsXQH1MXud2YvwD(0JYy=`1X7a<4PV)4_C4CP-7*AhE~)x zhS8{?Pn=NR`^hKN_&wsL=g4v&N-Jn=l;lZuAluXM$Z^q5xO&nFqYD8S?%Eo=e0I^M zU3+#*J~iw7S5LyKowiC8wMaI$35hDrVLrJ?7en$T;6J$UIe|084EhXeZ|0mLby*lD zDGo}*np2#(z}bP;J<=6#rn`J|m0{+b5$rr=*R|b zXQvLYmWg{?8@Fi@w?`3ITa(qPZlSBOtS|?oTX`G`T!c_wHf(-)j%?-SN{4xohr83; zLek?@sSIzq>5}wh#pP;)TbsjTQWaed#7WR{=P|KaUb5M5k#28i=s^C*6c<8utePSC zvYUnoM9Ye-wWi5`l;|_(JI&VUb)kI|X^YI|>&5FHY}Fczob&bO7Ea4)kB^U8simwJ zcnpd+Y_}qPWEu{b&bI8sQs-5{CbBF1!}yl;YkWSSNDyNEl$9HVb5fGr7~HnlQ88sW z(otXGiD$?)OT^on#ZPfLRucD%zuH0_y(^(LVxAKf=U!@)eM7-Ig{W_gF(gck;&r@- zlOC*K185$LChBs8?o1F!PqqSc4LT^pWnoa(rRtv7sLr1Mz?P?NyOAa?xh^n$mH#O# zMz(pDnhnSIS`Jpfy=ycbtv>b~rjdN|?AZp+sO@;s=mb6GBS@eN&%&KXZ*m$EyY3Pv zjJ)W(Qv6BaMxzB{YAmb6)%N!*H;SDrSdb^xt9pa7PxNSNR>)Npo=i5N4ekL-Cov|Z zF^Z3X#dK~rORKG^20*H*BHiC)Eo8lo&CNd-^xcIpktTf1Q>v$f`(s35( zxAiwcKJCvjlyeEWbL6{IqR->>1%3=E1k%7p}+}y;=@L;_1QT_kwEe6oeam zpRVC_-+f^qgl~v`#Xd3iwXZv-|B)yP)u$J%$TMN=LHc2&+|u}jW@@Cxc3?dz%a1M| zmES_45+=e5Pl0_mUq^XiX`qKlLaHkIjPpyzy#;NKTKcHbln#DL7V(A)z!p?f%&y^j zm?8zCO-1w1EUv%s=ksGx^^|DPkQxfE|0ApAlnA8OhWZWL$*v*{t>(LCy@4n$`UVWR zM$$>W^L4!)=3MXBZ-RFR-}GVdM6rvmXsU6M5*|2~Pq+>t3=+;X^XFIw9uR2l79MC48*M=3Qm~Zppx^9n?Ww$Z zUYaL}(i|5f;rE0ugqXqbL>{&ZHDcgCet7R$5U#Tb#n~{$=uDLmehmjEsHN#BauAVD zxpEESvuIL(yNh~@aTZa$pb?gG^^E__GvrZ<6nXzd_()jTYD2<<%WjuEk(gNq8`J1u z;p}ZET}uee1WVGj8Yuk*XMo}!@8QAzHUnsStk5jp%w_hiV@!3*y4srKbUEe+8IvwqqfM33>kgjRPbu9KojL_EJHuRYQT+;3&>WD+P z>ZHa4bkdu~9Y$tG;?1-hE0|fe&%93hD{eKW;OA#pCUP72xYy-OHrOej#^;32O*iP+ za0q8Vk|8>yJT^9$l)GwDyyv4lb>KU9puFErO@}&aXg8||2yRe+ZBd!|4r?TS|7_xQ zI?F=i^+g#5JaUs0A|xwT#!KNCDpVZP@f&uivM+qS47u}xLzgoXoi zYD(4VW~DNmZHyLS7x1w&bX=3c#zk1PM#|Asct5cxjI{^{?nZ&1@iiErmRVZL2`8#A z7;kIyNqz(5w0HEiJ@f9HTwjWRZ-Dk#cRwy?*xQ8Z9YS0u`)WuSwBT z^d8DE>YIY5s;Su}R*l*{_fzwpy5+iRD7~y7lpXhQgm}U)iN13|sr2ZXh=iXR1}7>S zwSCDQl)Q}8OpMx@Z_x#~oF_84?~%T@mKI_D z3&amGfg82sV=FDE-}cs$X$y}BpkJsx|NNeb314PrVWu%?ue!sXKQL{FN1jt>O`1ZX z@v=^9Xy(C91_QN&z$+0yyC-d((RLLkzu+i%+3c1u=?x|FjW|oQzb04CNe+bh>XhD$ zD4ocOzBaL52n0+{^lo5AeZaE}sm^}IXSGbdlNxmFGO_WSh*eL?1mgtF9 z}OBf>a-tGh!E^8-H~1} zl#wc8r{(^@jAIS^SN@HWVSlOp{l#8nXGCXzvsFI)0WbGGmWZzubzbpAQiq8{)PWsZKh7o{~zc&b4ciW>#yt zg@NW5R9nQ}U+!c?F2g3?XQ@gcB~^I}s7mNr$9N}xIdbA;vSp;%h%xkyjso{@B1%p` zr%lfnbID}h%LvV$_jCxi&~BFY0&WJ@js0AeM%Kfx5G(ix2cu$# zxwu9IQU$+iIQtJuts7p!Wqk6%^x%Zb=f&Q=fGd2+uK%Y`+({Gv{La#}rv^=&By}V3 zj@_R-py|o5jY~iHggw9yV^Xe<{+uQE*A8^>X=X0u94m=gNNmToi?j!7zd1!FWF^^u za_AbcgQ*VTe-=AD)Bai;>ZJyQ{sPa+L6i2yVb27pU z4KPYY(DQqgKV^S!tI1?HSJkreq)e@YtqPyb8{5>~yAr-Chd#Qa^4oON>9FZhG}^h^ z?4VW|C0yq^?gH1~kjQ=k=7Vkb?MB#?-2)0SKK9|+MZznuS$kK2zxy$^%0@fbe@>Y_ z1vOhRUH!-l0$=>EmSVG7-*v4jbJW>8(bqcre&w5--MD?6q_@Q2Cv}TJou2c`wtNheMJpWX!)lOx zQks8E8TKciCB zeP6-5WIoyOvc}I23Uq30%Ft9!8$UBj7ETQd{T>Q?;f%Y$cE_mUQLA;ihrD#cbzk|Q zq>=q<9R51i*$uuY?f`?#^<9-Ml=tiy!}bjmC0qH_sS|mb<)Hl$HMFp^OCsg|9F~5R zY@jO4>S0$eoQ%wl!gA#(65y3aCkIno-n5w%ZkfSAp8NJAxFn1eZMcJtMX70@#S_Qx z*EBhsc!BtJc6He`G<7Kl@Q*=wGNo&0r2rPG_7m5F!{a=UdV)2*{_2<;73^(hy(efG zEud2qI=8@u+y?PGE{#0@sXTDfs#FYVn;l&fFwS`F!j%0>Olx!H8(&8*R%UoMRTizL z%d62FvDoPTvbe8Y-^8VE(*^4ZZ-nEC%bHO;8^e5p)2;tEhf9;7%*u>oUym?`DC04v zR`z&Jp<#uckp#OAj(_wYh1|=@LfC2QqD3QL4__#?u5_mqB|c%O zUXtBh8;(K3{B|dGk`4bowSdJfWtuEXoQ!gElMR16wV=Urc6QDNJiZb8pUg6HMq+Gl znA1b-n5Y5bu)rZLw&z=q2l_nF5M2otCmFHBA!+MEs&^4A=;LR9YraW%UB&6Unhf#9 zSpX*4Nt+o6j!rQ~WyFzJBqWjl+q)+~$`z%|$U%pv-YOg}WzqA%bh=cmwr&`5UKF08TcvY9)8&9~}p#U*k zQQaX#Q%Js#I6mqcJr%vRao}3!Fg8zi9G$K#m*@@E5}YMb+R@#mUfVmHtwuV*2=Lk{ zPOwu17yW|v!WsrnVsDqHU4|#uG>*+U4$YBodMmY{06B2B)v;83nAn0tBCNUGjYU6B zel;j+O-K;@;^~aU5!P%Bm2!p;PuWonZh<94ih|ZW>+RS;Kz0}f>n6^;O~$Y6XM%3r zy!rQCogx95dHru^vrxcke%083Yky?uW$PKDi^b33m!vx=&t!;G+gh9Ksyyx*0|U=# zW?S8qp#YuEa2;GtTJ{fP{YY)%~gqNG&xxJSI9r$ z3XRY7iE{mG=7p!H)!(RaHHSSYk_2yMtc=Nnp7Gr$I9~bV)Z)%obr8LDy2WarM-ll6 z^Dj6yg)ICBRyIfZjq}f0?SeZL6hA5vNScuHRgRaJ>FWIa74XT2IHB?k=UR3Ze#%$t zsSz6vVjCCHPkPe>_RQFAd(o}k$aikjgXYXw7cSx}Fh%B2W?bsTaT%c z_D!7Erzy$qtH_RFtQT<810=tONJ!gYMOcS;QWmZVol8V7<{Y$SuhWh`of9pPLlyXq zYMP{>h!!OVPUSN6@_eF@(9D9HJ5`vy-X07VRh+@fL48kX+nP|1N+E%g6p{<;n4u86 zLrz_o<13Qg>WMHP?rWax0i37+;Y0(cm2Q#5!C)7GU_&$=ND2%g!~T!>{lS~uIVh(k zEM~${@GE~9{DJxn2$jC=Oz^iX+?8^NzuW2HZ(X=6Prx2sa4RXOZD>u>z$*$^cq##t=bpD>CX+$v(WIF18vD~tqxuCH#TVCynSE~1H| ziZKfPU|r(*HsXSHmf@86=1`RQ)=(%3EJXtNwosHl3rH3DW>F}8zO`lH@O`3_dEdQh zeJA?>=38a~_hbywGE`S#Zv#h90Yo_sS?n?&C}aTbr-REea3j~}1hEr&#*mXX3Ihkp z8*RMt6soERD!_EQU|N}T)WzrU-%wy>v&ZivMSmia*~rm7tzkVoo~I zF=te0DPTt;ckfT7JKWL+>Z%X)p;RU6!=WncRgx;~tK=E>)1vC?Ju8gGChT%$OfY{4 z=$lgyuIbA9M((z4(-Wdg;kI+g)```X&eX_NOZuCRY2mhm=oWy2OMxGYm5-{SR#8(A zw}07QHWe5AyA6Oh?hU?MGeOiv;2`?>OZ8Zx!CO&X8=Qw;)wuy9WI404CEpF@6o`1aTWRF6B)q7s^PeXrM z@jdGu1o$A@>PkL%bLDB{5nyi0m%1Sll+Y!+DL#FX7Szx=Y-}9Ey;H00=z)jw7yG#@ zA)ap^^?36^Kf-g?umgL%kym)2C+QCdd^pKJlQN#GL9Sen->7o_NtIW(Qg<%my*jxZ zTVKxDfSj@bHxLOo(?0@lv;?|B9mLKJEX)fyIQ_*cp~@`F6PftjxkGUzcNU5YH0}{y zOy4uNi_XgnyIs^?kuGOEKn|H}R66vvu~R8bn%|(OXg_nAZwWSWI)?FH<)$7#M|4i2 zL7lL5)^ApF_B)#U@m}3sjyt#=$#edpKG3-owr}QQe3z}??+~FRJ(Zy@xuoI5I-A9O>i)*?J!E^01j66!4txj#9 zZJX&rP6p(qdfPuZmJ5~IU96jX#TH#au9jLer$*>Z4}7C1KKDWUr?|v2V(0nli0|AE zBBLj~cMYjyA`@kixpVX2Na%lFmvojL+yWW^TWleELwHbeE*dz;do$-%sRqXG&dcYI zobrtlSbfLwVMvPjA(e)c=9$lDw7;a{1LF5l2LV&@5t$d6I&m6F@ep0Vq;d6adQ8E> zaX#gRtmuMdzy&-cg;C=zL(YhwBSmVtnk`K%?JidSma5~8Q`tg+(1`KqY3K)qOhNb9 zIkyuMH&mOi4^o(V?q*A7n zCCZ*du!DSC_>uJt07fKb16x?`Rk%u@TnUOIsEet`&9KTssx8UX!k*tNbZTxw!NJ46 z`6j*}wC!(p)vPeguK7YL+7-^i!x^|;IaEALB_viNZf*@DDru-u#9b?_S@$$I<8mnE zLyqy<-a4}lzV_4B{6{xE!F%z`&J!@;i-zF+>mc9@+x98cgC+Y`1aq3R&8rq%I|H8| z&-R^k8$}kr)f8m(l{GhcQ4mHELB>BDa6LPkjBH>ADe~#jI>RGaG;fEC&Llj@aya~a zouJ~5{l4OPZTzw`Jr1ph$bkc7YZd82qK4rxQKCOU>fK7PL$w6mG7 zikVl3M`o*&@F+=hlc}!sbGlAC(^*E`|4!J>Z6O<&(^YN$bp~P@F&Cm8cT?Xw1LRKG zYUr>9-MQZ=ODJBP?9urbc0^=Fg}UyYywO9CrsbdZ+q#djo;E8a;ryz zyrsH=yB9SlsFj{A#NF&cs)^}V((**jZ9Cf=i3JkSt5$^NS#@IQ*8+9~8GHpR)wcKjK@J$t+r8LO8 z`M^iEKrwYlw5n2`+{Y)G^h4Y4@gMtNS!%wD^~`r6M5xt5yxBTCDmm?P5p2Gv5-Xgb z&+|MX6Kp2BE^_|(nAJXxKCFA+=W};DU5qMtSk68W@&Pk@C*?1VJ!;^8P+|8mVv!2b zxhlT|_%C>;oyxtIF%OiYM7%4t&SXykE-k6LiMZQf={$$9KYWh{Ug1@b<83vogts&B zXpe&FO~fLcePGSf2mi%g=sEXB=(dx#KjdBLL9XqgQI9@X8>`W2yIAra>V1EG1nJg& zj&ro{*P`)u^47igKrjIRw@Tk^`yZwYQr?hyI6;f$Y9x-orcB?yR{9B+q#e4O0_gjB zoAkJkJ6vvmL}%>}>q-doO`9J$6Sflx%i;OOeCB;&1;Z&Qkc&=_RLCcbyz zkb{@=wO7k|Za{Utg*(b?q~{oaI?^Y`<8?es)>|vyJE-erA$H4=sr#R5cM%GTjbso# zKum3D8p*TdUrN3Y%_leSTLujRM*chpIE5&;wYw;y@r*X~HV@>u( z@<0w8$Oh~105%^AWtWMFUD=X1cHo)47kXV%TF*Eh1h^apxEuz!00XMWpszqT=uD<& zq@8VQg|@?6Wkb9z>F(DnW{I)Kec&1<)jJ`ZmRX*^Obb7bAnvFAhO#NQ;&-}7p!Fhy zfis_09?wuwz)RU+`T!}TwWsxuw`|D1h5P&WiK)zo2$N!$P5{DtD*8LRduu;SXjC@e zCY#gExs}&blJ$d(RyK8k%dXZYl zkWuf#_g8&2{_F#WQEcDvzdb#@=OJ6$40RQ&Poh8=lgwN?!W_*qh(+#{784pn<0R27 zcvQ*@9nU+43hy6}IOY!j;WYRRWu4l1XU-FvENT`ZdY5z^xlhropGi4k9D!A!<%1Wu?$QvY=IAi?Q?|^){^7X!~y zNMA250b_107MV#1IMFWxM5c+Dl?uE7Z9J!+YJZ5LqeNg$<_(!Th8+(C0F`(KnIC;6 znpbU^3CNZa;Jy0xkwF2g1cbhfGTRas`^3 z^o)%>LDS`=OAcZT&JVUanLEC}0A zF;pI7+#ypqdxqf+;$mZH<(x!2Sm)u;Xn8~*LrvG<6A9a#Y=Rl+W@)X(*4w}$;pN{K zNzpcapgas4G-yEn6OP!KX?D)OR-dR*?@3wT`%yb6RN34VA9dF-KpPaFa8jG-IB~yh z;pHAD|Mr_!Yyy$l?~%Iy{=>fAmWZAZqX~w)qkiZNQY;p?)IuY53i+%Aljx`xb2wL4 zoh;+svvT-AXm*icoJixrTcP~WWVZQ;a`^6*wa@YD{6UDwkhjrO+txMa4pQtqkbNn! z)()rLd3Q8Mvm+;7vrC*iDNL(eOi6~u)&@$1^H>TWqL~40*OWy2DdmfnDB}tcaPke<^|x1hnS@@KGb* zq5nhHe3iiKJ!(XGsVs?f*bv4t5_x6eoDvXR-QA=NKR-Q39~9a>D$%T$_ePd8 zzqys^1IX=NI_d}QP{fm(s7nte3JrBztk2O0x?5C7r+G8GkF|TlPciF5XKNb(H$(6t zN2NS*BO0I~tb^n{Jy*u9DW@4`mVvteM+DiD@e+7<60ibig`d9f%YgUT!=*-?2(nehZb9hhZ z0cZ_ko@*$A>u2*xaBKZJIswi!s0|^WU5EaUIdWP=W=PKs@eon;f-_yoaBH1>l`=Dr z&keGI?Zd?nFl(E97c7G9pzd#w7tS*rBg=5u+kjjzQy6T$M-e);CQXA`af!9J6Gl0uri#kd_O!fpn=x^(Qf8a#3zH^Z2860!QYwI%*D?} zcjlStG$_U;H|NHBGab?zlMy{T_*KvdGCp}55t$|Gge#Cz&%Y=|%4;^s;2$J6kcTY% zBqYUBp~!X4){&-VB2Fyla7z%!c8vFj{j|sn9cA+mh((Hz^Ks#bz3W?O-{i^5o5QV4 zrOU0*4OzWQUe3e0Ep#&o)Q_5hWGSx#w@;^QaN=8JIDtHEy5gyjbLd@{xuzMd5JNGp z2qaw^=y~C|6RvX#j3-o0R0{lzq*n?)p@q)^7xCv#a=GVY2RzS@!h-lC(PnX1IK#|>T zGdzzW{+&mD?WBB0nDw|$OE$YBhBuP_Qml=bNf!CR%>i1UQ}}QKHmSU7nS!z^m?xHfQF`!CWvn$zEv4Eo^qH6Vg2latyzH|OX z8H+~=K@d!eS1y;SC8!jk{cCnalN%}wxdXm<4)J4>codmCbU1LRYJZr+00O#E@Gg;` zhh1FC&581$&r3mQ0zf2-q$nCmOz3*r;|1NtjO))csT<71`_o+jW^8WW)mW1a(2a6| zj5chOXKGnXagUI|ROKHUGqaNaP;1(5kco$b?{*nc)})=u5u*GUi&t!~DR z>uboQDHu9w_%5Z@0D6_NqBg57%hmbx?2!jKorH2S{`YYd9l-{u4TYvfXIaN z3#YmEQ0;r$G8wkaFYihTpi%%Rp^owQ>X?i%Lp`3kSlKe8P zMeSJW*VW5U`a%K$`TBAN^;EUAQWinOmmGgn&@DXF$V$>ghifp_z%aSW-0U>I>i4)3 zQbVbRK*KOBL;@3x!u-l163i!pGY}6&w<7Tk<%huzfbhX&#U%LhB{O3{&WAw%bDdG| za(U^z-Z^UI4D@uEa=~{n(Omt>=Fj-}35}YQFOjP3?p{G9@+U{_pmVqxfi>%(VLo7OZZ-{P2S+Ll8(FyljAjtLW);ZlbTnl2Np!=aX1JPO+ByY`8n z=+cbt?{-+{{;Z0r(AV-%GjVuBO}Aja*o?g~+A%r9Nx_+89bF#GYyn+DNVW{Fp)Lg* zAKLWN*dqh(?W}LW6e-P;J;XwB*9~XO5H+HBkS{DiIQ6 z7^};`V>YG+n>bA}2eC(KM{s($EFIAUp~}HXs4qTIwP*5xJFrf6H_dvqQ_>eL4>muu z<-twH$-#7yAv)g<<8KROII|3id`HTwB7bX!#@etCJ3N>Xn4 z>paKcQVlQkF|Q~st6vrTkGI)hd<26UC*dOIjNw9}D;Fa=Zp0RVCBdV(0TZ93Q2kNt zlF|kHbgs#t5h$p{(pGc&QW5Ka#k>)gkDdmdcZ+S*Frr6^3^9#VHJ63JRf_Mov}gr6ZUwvK%>pyI~>#uA%`OWR~ zuY142gQZ8Dr(lT`D@{lSizBHOIuP0t&6a(a6vn@|F#6RKsV)hDGj7BpK0Y5O;2;GJ zQVmnI(~*bQDbt0Hu{Nz992{B9e=*wFf37Jt7^AhFmCHI4Igk*?TiW5E^=TQoXjgZVxRyf>O*}cgKoXZ*!EnP!9Fl#^-TJgsdQH4m2O6#x_*t2aE_(w#gjE>gp@+ zWK!R_F*+WA2ygT780lY+C76cAOoTRV5v&KRU4Zc`!>!m0{{U3{}Z-5ivR!s diff --git a/CPLD/MAXV/db/RAM2GS.routing.rdb b/CPLD/MAXV/db/RAM2GS.routing.rdb index d09de7bfed7fb7327ce5b2a570d4442c6e5b3514..83c925ac845d0c22a41bfb286093344c9f32c149 100644 GIT binary patch delta 1298 zcmV+t1?~Fa3yBPnPk&Ga00000000sJ0000000000000RF00000004La?3&wa6G0Tl z6A?iW(N|FkLb3HB%w>0zeQqBV^rcvQE>bm6lcEv9e_m%dn=;?8Wzy8PXgYzAOy+jx zJKwp?$=>btdJn>T`Rez3kHh!<*^l{T`g!nS^6hBw?(pRMvVWhS{r_cv)^i&Y28@9uRcv;%_A!^gI(hHh(T@P0Nw zJYHz-U_LpX{5qUZX47uonU7nI=NUA59iCe)o^Cik#dCLd{Nw91!@An;&Vt%o!uq0x zwH}tIuw%!Cvs#9~*t*_fu;O)_;4DG_ZUIlHR#k4It=DwNEkG_4J zO{c-_o=5rSx13}NGF_6@_~-48sr$vCC2K}Ad9M)|yMIQ9W=l@D$gKjJi(5d3^RjS0 zX~@~7Rl7x#S!FCQi)Cx*;da_&QUS|0naXHh7R`mBFYcxR&1~qwQdgz==lRXWZ%sML z42>~y)0d;TSs7`TBOCX6r%j#fN?F;C>Ub{pMU!IaTuN0J@ydlC$4zg2+;mpO(76z! zFjYLaRDY~WFTEJ-3zbTjf>gGYr65M>1?Ork2OKHRqp=Jw^?+$`(qiC5WhKC3BQ_N} z9G_HiWehveu!ZaksuWK2>#S(OjRj|`^fpRw?29J$B`0;M>$4O)Rx}hkkKU00>vgaz zTnxJ{g=R*H1T?I!>~yYIih3(v#Z}t$aw4m}W`CqoDX)lzZdB-b36Aayscfy_7@--_ z(2Z80p@Y4V13Eo|RUucPku9Yq^dizB0C_JO^dPW03g=b(b<&L}C&>*}imOE3a9`f2 z`+^u67OfHq`?5KfEo9I9gnY4{Ub3YE#!^$ zT7OM25UhPI+Am6R*T{mLW+TqX;B-vEU?d4x**mc#S8Gg3i;;{et`cMC@y9|lq-urs zVlGy;>6kJS7eu9$V$Ow=!Dtd3C?$!;jgfEzHiB0{0D_;yV566d_0UKrpRglJrA`(8 zIV}cG1b2)zvFEFc%a>Gc5-Aj1zGqB@#)f#!fe)c}`j?tc)gPPA`>vnN1 ze|=SAqvVHFREiE}Sy&GU=wQwS2Yxb}MpW#AQVg(C*ui!PRTUU~sMy3v;v-mevuFM9nQ%iDO`i#=r60SFRGrIs%{JH&DFA z;IyTn7b~NQjWBlun)ovU|6JUdr65e;NeSH9;oR`B2OhehLX#Ij5Gg;+A_pdU3!Y~A I1Ct{Ky&9l$@Bjb+ delta 1256 zcmV4B!ipPk$!`00000000sJ0000000000007_x00000004La?3&9ynmhF{r=1F*Q*;o&%(H{ zVm2Y|%Usrzm&6ww*?d>(5{zK~6VAX;0ulqa+azOBX_}XxOFkatW`#ziR z9knO7JD(g){_f2uvuQW(($}NL^AZ}p4$t)lPdlhC@Z6dmo&BC>SQp#fWl($PuzqM@ ztp@G_)}7g(jDP8^F#XtIY6d1?x_i8~9X$4aZSdI#Tqi&z$hz48>l?Ce&;Cp^v`xsp zc1TS?`>BT3js~N^*`3MZuX)Dy&aiE0>;0e%*nU0unW1gH>s`?HT}<1eWA2yf{NQAN zHk}5ydllvD|8kNg$aG0o?VmR~rtTCiSu>i+dyT-@34a}$4LMz#TLm=RTR?{Mrf|Ng z$?4j(YOAO-tBmDMv1|-I+)nGXKVaD;QyI;hqS+dHdp8YeWq;+N7 z8EW{Uw0{Tv@}9E#N~ z7~LSzaB`p^Ax}X&J1Zv$PFkfI)`f_LR%I#9%YpjB#eDXa7!FUN9L1fTmCjaYwnm5q zG^|>R8;MpBy;`?3qK4Hi7@f+fu~QA~R~PbX?0;Uj?^O+_W2x0pbfy}rvx8O&EYxs{ zB!IQ5l_})NpoUlSKXOY!cp^An=y3;>(1emyL-}k*vIUR< zqAk=yE8(*pOH6TS#rCl-MBP5s(5ajk_l0$V70`e)rdXdODPx^N zhp84Eik=`2g}G=lrmTvS_hVhXF2M!Cw=ZyCm`ce&G_*5(JJMPtu4y$emg}lkSeN_N zhyx#chiWY4%uC!;^!|$-K}@5ulp5&#@PF&aT5DO;}2iyR!U}fn;aU+RGxY2`? z=nOR=E~N&DgoUs{bf$<)tMWOG23vJ3H>ggAW7QSp*7#<<92#2vKy*gVz*G(89VA7| z)V`?mSQ07}j;IDoaJQ&^Eu{u>LZ+qw=Qe2Q8Npx|prMCi6xej_i)cJUmTR*At4ST|2!b;i07p$6)}OI3-fB+9c%tzdL43+q_4Vhb=U zUgC5rppiuhKL+NN*5HLaq|W+{jhp z7>zWM9$hO6DOz=5(yK4ku*!s~(p~pK?VPS7peI_}<$xM=Y zP=SDes$hYCVjv)^p9}Dls+u@DS=iYUGI7!~(K8YXxmZ{m6SA>05;Af!Ff%hSGcs`! zDoGJaTR58#iW*xu|2%8a_+JsLod35ZAa1z-(*MQo{QueRz!yHSS$Lw!&+zrMk>kX7 zQOFETdBi~;CJBPUZ7k2^ZN|D=9zi4HE(y{AB56Xz-jM?0f}gMh6A=+XPkr7_Lrtyw zs;Vll@W1fd9qTfCsHChca`*Y|Q}LE_Reg0<-Hie#{aI4_S1$XzT&YwxyV`fl2R67L zvJTI5H3$DM!|+Aol+6A42Dx8&fAFhBDqwsG=QL?r=B`fHP@Oy)$0L2jCP8g{!6x`{ z+-Ka|Rie4lES<+dbIFG;u#Mgd%OIoKDvcpVma}p`Glj;<#%ZqKkxXVh&d8b8K0$8W zG~I&0x@pdS`R^@7{z9SysMoH&wOp^*HN8>c;H&o*!o4peKjP8801HB;nDjxjz9sM% zNwh(x{43RHTYSlcgNJ4gz`Gs(&U81GLu1L&x%zz1ak8cK=o_fRVO{5KWYs~gc;0nE z=h(}V-*x%r*uu>1%|ey8JaeOdkClJ$#eyNJ)uy+3Mk}HI%=$}MDv^Pjr?A#7ar3>0 z;Hw3Ff|M!GX_vdrF|DK0+tR6pughd)D%xz(tYvCyp+n8uh_$V_Q{44(4K2xScpL0M z=Xs`D2l{Sw(iwh5dG?&?G|7wZ#2Rsx9^aY1U(80!rv9n3YJJK(^RFO3DOHKhn2-m0 z^Jlb-B9#djU96|WAPg)Z7_LbEeZG#Ve89{J@|$eht5%NhuJ&IRj(AMCqlL>-9qW;F z(ri5pr{SB3pp=zk+g>N1#bKF$D&Jq&lB~)2DJQ_RL_qkaru<9MbC0O1XERh4GPpI| z8+H@X>o02=jX^&gEu?jWnDzNT`^_TOY#Ii-dyy+)^KD7_uVj;h6z49h!y|8r z)03>W0BuV&@HIyU>+RO#8ggxwM)WRZGS^nL9ss*E^pf+pMoyE^7E)_uhI8o^4lWN3 zv%kv#t*(^5tW(RNPVh;?{Cr1Ky`kZq`^PkRV>{FCCn_(G*Opp2&TW%msjRjQ{MSc4 zSIPEjvnkISpCIJP0nX|~J;Z?OWtV@So~Y7~z&V{Z0%_&&dZ9f}Z&7S-+}Lu(yd|+S zZuG-prZ+ZmvoUW11aVB_o^M=yVuz5{X%4upQnROZwxvB8UnaPP6z9M~IW35&CW%ZG zJXr4^Ey_Yv1D88E`sqWxOS-h@Vxx7doBgy$?C66BriZxzoi-8qG{X z4IBO98R1?drVV{J_{4Bji@ElkVo3qQ ziTns2U3srtjbf?C&pT6D9G1O-8(+-yVUN<5+RRH*VWzMZ8EMU-5tM=H!X5`Y;LuS1c4>IA1t=`a;OZA&h#>U%IHSo zj;-#p5*}Jxr@1iT9Hcd`Jk;{MH@y7wM%D+=OmuL0qPIASYTs1;o;>yGM+})0VoMc7|CW3g$K5raed+vCLxzy8xp7(n<+}LdZiLduqH0W5=r7y)M*ti-dNS zMau~7%9vS}oX##ip+I3^shwafB0& zbv8;7nNKmn)!=ZA1|B=v<_QIg?LPw{cw(!90SiY(M53k7= z1lI&?62HQq5m~R1Mdj*8wC_hwWf!rfdhDAKp(d{}e{B{vGcKF9qFkFcwT=mXFD{#9 z+V!09E)93W4gR&?>rh9{1v1cj<2+o_;`T$No(_5(W`XKDWijs36TxHen$DtHp-@y8 zwu&;KF(Mi9=CcbGA3*el+vbLEutUd*B`I+RFNuxef7s;RRC`U>?7h}{?#5DCal@xD z`F^}j1WYZ%*DEH68|V2 zSl)U>%khYU)6E6m1a?-Ef4jy69&ok&1Ee8&6@6DL)Fa0;EKiE1^fBuJbtfI znV7B(HjeS>m@@h)*=WhfEvZ38-ol4f&Nq2`E}d%f@t!-=<>t7wr^ron=}eSrl~ic= z&$TxM1{U8g$j*u^FcY4vH_$AXCu6M+#e*O|8SCCvZ+|inu`zsUiOBmcBFb*RN zChZBWH@|w*URHrpORy+>Q#9IeguuPxEq2$l1fe013KSecGiG%je3C&)e4QKOlzy;2$Sg`r=40X zUrp9HkuEj0@``OGOh!#h#_88JBLKcWHAXeVNjxJc zl10z2*&}giO3_Y^`HQZ^m&i-0M{^8g(#r3B9~d24PH9PIW0*)q@?4I+&m(#pB69N5|KNdSa_J##ci`*QIm9zbJVrq}>yz7DsToD%5FpF_ZA#Y^OBbx!?BwY4#4o0@>;B5Gh6; zpOu~GlF6xt*Ia{ZsoAB}^jdmO6UjKXBN29FK4xk)kMLi=wd#$}-~xwH#!4!V)6{*c z7x$vdVWzon=lO`)4JXjT)eH!+d#KxF?$w9!My>#+>==FNQWDHbt`Kfeu?912%*icV zeZVv!XrYHHHl`Zp=D*$*Gm|3zxgFE#WMbA*A8SCVkNpqMKe+$k{ewTC)Fa53j;D*$ zYLYFW{BukqJPOows&;cMeJbNX+Dyi=q=B4sUL!Hu3l80?1NYH{j zchOei2gM(heo+2F$6}I~X zgp1cPZgZhpWi?nfIGd=QDU5XR3eyLN@a%hp4T!O_1g@9L)2!jdj42DAC1=_Fx)LYs zSk~-$_ezM;K1zG$v;5sR;0CrY2_(a)7K<>ZtpcK9cdro4{VJ>Cmr~q@Q1(}PIx1`2$^9LL^!(W18^oD zG1LymrTuEm%VFgxS1oF>4l1<5&4h?qTZtj_+QLX#q4~DPDdoK1PE2wK7tIciw2+}n4>>-6lewyVp21RvTQsyv8sHI%TDbK3?-I-Bw>WH9 z;%qzFt!tkKpYu$78z|lBuGfns5cd+zkh*86Gwf&`Ypq!3aSB?T;DyX?-DO0|h);$s z>~E4QqZ+4a@k_#?;4Dr>(I3bMaL=PhmBGqMiGM@HPwb0WavAkKq}-S2HS?yZv|Pm= zz`&c@-Vx~*<_x9Z?kv&mvuF`-3{z%u4}ScS5WdG@@2`_Qj7DqDjo?7=QHohqt|=feb=*_8d0 ztyyO-y!|JmE7~HMMX=eu#RshU6cK{A81n>-&j-Oh;#SRVH6Me=f}>oOn%+q0Ri2g6 zRUGEx+M-^i6kk~ywd4-ha=M;ii5HDt;j&j|WNUMjC6!Ss&*6-DtXvn_2ii%6LAiC3 z-306#FNH*gdttP$Yv|)ITCP(Kk5E;&x_V5fRwYMw+fCMT#8tE+Q3!;otFX|7nKdR0Rj{!yFSnRroW z?OL+s6uU+hN_wcjB6g`sk5tobs%BZqfqT|Y0nK9m-?q12MS4(n zRf6)?%m}y=qa)?sf&KNk*D(N^xn@}h-QosuAp?9c$Dfn<&x=2FiA*XJ#*Iz?iZKW* zo7gX%G}lWKa-_BP8`-gJyirfOIOAfFKAV~9O}Z)KLKt`$SWWR3xTG#=g{GjSNO*M} zxtRUZII>=VLGTaLwJ-WGzC3yt!w*dcba?SfWt?4|;UExD-jLAlYUy%x2%;`)Iadhh z%EF6-7|R4ipvt<_(K~r~QpRq0wAhS>&@S zi=10c($gGT!nE9IPwzpRn}jla@(JL{P&?XXd*t(=Ow24f-4K~~89a5&(4GMKCr7bk zgrCJC{V>35a`o^06n*;mlFg1i)ulJ*ik)X_D`ubW9Up^6;jmP9aH#YzFNW7f-beOnHOUBNA>!DUt>Nx5UX;LGckN_`IBp# z)3u%NNmG%tsz{kjR94j^w$R2WljOqpOcV?zsC8qFzn*6JO=a*VRf4Y1B-x@hu&1I%{c87ir%QA8MwydZJ`srk^B{xe&!}Eq@#s zXdJaydhFei@-2 zp05Q*4%Y%jy#SJ588iDG|G`B+g#P07_lAR*Z+YRFKngbg4+M0Y42lMl1nKB@damESxWVczjayi&kO|r^(bnFt}kTs9S!4 zu9>qaQI$qiGABmXbLK-GMA@?Bsp^0QYQCE>TZ;%!|vl6zTuLL}ev^87Guq&lIWCxCkIaR`2@( zSb0lgL5&(TtMda-A}CeH=NQxv7=F})lZ_EFuoqbpD3Bd@7^{VlP~L%W5)6^WliBb0 zF0(?h1o5qF8lSx2-d(Lc@-srM!APQo;+XkCtpuX2HZV44^n^8u*#W4>!hN}cDsr?Z zc6ct6M!9Lis|Ct2HVQ>RSJXlbL#`O;XprXc@sp$UzMnXS)l~RBCYz zjySzKN{~7w!fP@&Ja{WG!A#NA47{I~GNyf>SnW_$7Xt~bv*aGV_@E*mvYf%nE9Xmg zkOk$z)0OD>GWfwD=!boGACDM%Mpi?64Mec==?o%e2YjhE8Y+TkL*vkVhqj}KH1}tj z&%*AFMw))oi;^+gcQmAeEpWXR3OX>tJH|jUVSY61fKXFy zmD?yxXYfs0-`@P(E*U1W>R?nNbbzaINlI?A!TJ8cEXfr91FX!Q<+VHb`i^VO-nkEn zJePeox-!}1YCi) zS$Na2&E{}Za4vuy1MYCvQ)Ug}q4I<1j6rVg> zY>73NJ$~r#v=D9V+0mfp>(5>o!>YWFvo7UtW`bC~FGJsh<;DC(A&uHz50hk>u{*pg zZazl4u--X>Pa*dMvVcXCLiKz!)s$3f!1}CIDsV>ktZ15nW`kS74V<+O-UN3CS37ry znm+S0z24gD`ts^>TcwkznVI;sqY-W))M~0%YvG)DT&bEwVVWOmW+7S*0(iZ^`>HMr zFUE|I0xl(dvKT}l0n04UKNCN)Pj%(i$7c?+gE{L_F{_za$T@vVd=F>C_}jqjgwr7F z=%<$2Y0v~@8j_C-&q#b)@HI5*`vOEnC>n}TcMub4;EQlNblv)0V2tCFp>lLibr^p; z+to9GGNv_IAF#P!#Sxrx?a1VS=Ku1}6pS!5v;XTe09_XDyAjYyMexOz4VJ!%Jq+~2 zwSV{4-#6&Gu4jisD753#54DWsEu&jP)S%`yUrav%&2NZZl0WbpjX@9m99cLCZ9FQt z4D}z@Bl=ZxXkD`RF6@BvGA)1~_7*?8W^Yl(!NJ9&ibpM@ypO1_sfj^OI>F3~2R7kM zbRe4*{fxacEdkj>0-@VDU_sGe9xVM^fRL_xT_i(jPr<*A{ze6uD#H*Om(lq}#HvAL z8zflfB(c)`XI)i13IGZ)$=Z9J1s>f zns7LAx%$+p$;P4Sgg5c7@1v)o9+t)5*Qdb^8HIb;`bHc_?CFUe-qsN$!ON+BtUX4 zR+*jFtTIT+B;7YlBc21}OOlIB8ZxD0GoK%Pfmi)&qigpYB5C@PsUOh@jY%CFn@dGg zHD%x0RgXL916gRr|FO0+wGZjrumy+%=3QS#g{9XjjW?x`W|zJ9UfTYPqXc{^2T7KR zS!N|aXRkL36E#;FvImJSb4TfQ$LhXMu5Q4BjhcW>3r~J-Z$LGx##VN@%)&SK6k!vZ zvPR8515HhpLLN}UQ8f*YKcII)Ic3WZA{aH016j%2Y|g+^bvLb6ML`D|9; zmbvuG0EzBLpUR}Si{Mkt{Q%;2GUR`!>RF|T{WpdLv(Q@FLHN`2xp{l%zggyXlDUy@ z=Nj5Qgy&h#{ZMr^)6yoqeTC5V5AO|uTX}?f{xIGR#Fn4=W)G&#_)X1gz~TN%>1u{R z<8iUeN5DZU+s{-~=};K1>(hg}=#=q=g7EejIo<=#DTr?sVKIbR=Zbp)p|se&iSYs> z6j+KIPHlWql25@{+M#+D81R)vpZ`##v<8rvU>17U%ATX~-#1j-bMVp0DXgKXHym1A zLGeEq_~3kR$(H>u@)DJ;Y`*A(aVqY+$wEHypM6Uz_ zuQv=lRxZT=1>BmLN~-EA*-HAoBq#GS^}kC+SM|6jp|#(jQ=e%;1SY4UGp164-1XbX z?lqj7enR{|@{_l*qH}-{$n}>iwmc%#x8sNP@gkg98 zvhY)#!F7{v_soe>wC#PXX*VP9Tee&|x~TQU{VbgTre1I&1*g*8(@a#oSIsu`Ew4vWd?b}wNJb-u#z7k{K* zONXJggJFi({!H?F}ovU>MsEkP|b?oC_G`xQ~+XzOV0T%RZ`vX*2U=&fv; zP{}}BT z_5W#^{?;)A?a7T)D_t5v0Bo=Y`7E;^*?4@h{dMYk0tJ%X?L5@=BkQp^YexUAmE9%M z*1p{Lu^@*qu^$n=M)OxSNLrVQ+e?3COtUYgA0H-f*X#V^Sp6$q0%@W7YIoo3A0#=O z4{z6c^KPv)u||RhDD%HItSvG&_~dP3gLZBC2gQjuJ>{*FTW0=BG`@`EhvUjFwTdI~ zec@77e5%;mN}4M9|BeTAJWLGsf@wxt?WA^pZ-)j@k^b^Th>>NhN$Uan=wzfrMf?r_ zn}>(k!MjqCYFTYj%0zAwxcJ$A z@$R@(XSGH-JGT(9*?@iux0La`DKGNj5l!{uSp1lSxfXXZAJmAhk{-9FmeSuod=n79 zG#Pq1=@HB1TPd5r1!TF*94Zxv)%4L(%AM2SSf$<5AxJA@NiA-@lBrmHS zz~({Yicvlx=CvxozjKjb^@581dJ|R?-^XS}1bnU_^bhxrLa~Pss#R3>3-2Ujj|OMf zffqjp29U!v{ZV|_X(6C?Mu9Y(`!$|a1)W39Bx%7@;hZ>J43wBej+nFRyx*5ADU}%d zYCRWboG`cHvVWT`Db)c2N_WY|p;58BP=dS@$84Oqbiqn4uGFu-KUScM{ zu)V~XHq?4rB+p@u_tKWxb_(356gdDzPS>ui72= z>Vd~f2}2WkMrbuYRkg?k<$QKXWD|NY)eC3ucbvTGMM`$4;zJJ^PpshJq__4tIutoG zERxyGl6R1~X4le+b5I*6fDGj#k{wn!#l1^Qe3>*-WdwtUW_d;dNagGxe5j0O5s=1h zFtmYqpr#>v=wk9JCxS%8QG42WBAV5H8xZA=yv78$QfPUtR%!pWeglvN^eg1N5_{J_ zP-a=H9NRd#y{Y8(Q$mu{{>87gvd?o@`y~;=N`V7~Tjez8JP-$S&a_sL6O=JTQIZjf zUl|Hn7Dx7Y*JXGlgoz7R$V_C+mMvvebd*y*ln`)xjw{vMq*GlDC296d8TPm^_=af* z@|_!-uFb894#qZhl!Y8h-s1+`>$^;auFXcqVh$ysWPUe8vkKP0Tt7-)wn7$NoEd6q zpqG;^AT>9Wi3aojDg>SiL9e=yy~0~n%BancDLv(N|-|4k4ytn@^C}(VG3EBZafhH#%X7eNS>?# zaHPH^1pDjjG=o7N2T0Xpg4lVMrvu=T!@~1t)n#IKFgZ2)eHitDXm+5M72m=^@;#6B zs$zCfH8r~K50EClH2k-}acwmf?YoKf3U79Bd4=~`;#9cpDz>#7^%28z*C9~y0B#SV z2wg`-2EZNCy+rVV&qGb+b=E!EI7p-mZ%Y*k|9RQ`)rQ3xCumfia5gamdg zQ3@8lDCS`0fVmB9nVZ$TLlGE4KePU#gC) z(pJG_b{9Tw_e3-mXgqjk<)-(*-NCyIP&~~=0yC(wBlD{-&^;wHPxB?yNq;*5)XM_l zLhHv$0eyeMU77H=nK`~ zFmV1Gv%_{0_FQMg7nXN*_Zzw%m*O&)FuD|@xDVPbd22HzMrRd4rP?x*`r0Bg>AAU3 zSgqy9qpnGG{C%DH5Y#5#5x$56KYn0yNz=`83&(4V zT{!DxC-;}<8yIWF^B6>BJ`~#Qg4kcU@ zB#uOcHt`7Y*x|+Pcu+4L#SiTU_wQjktDoFd$~J80zPw&l(v1+clB_1}($!U_&e8r2YPZmnFnIIeo!<3fS}+-~m0S!si` zt=K?64uX$0@0-|zKtBM%NA7)J%>?API^W9(@Nu#7bt%dC;%(n-xzwcBivVKVzx|MJ zl(>Gb&%xM1_d|}I$Ld}`2XJ5HpY4#$g4|*6mlncB&vCCW7vxh2?#eV!=5gNZYSzp2 z;ox=}%D2Z39-@yP(1MM{qv*4konxr)7~YzFs4td$X_^y@Kfvw@Ww-|Dm3BC0bZB8t z!od+NRuf)TO_j#P`@t2>meM1B`i6lXb8F8w>RZ`oyj%0F#H(=IF?0)`Vgv$je{1z>#%Uve{pSXDfnDI< zz}Y-S;9bV^%;A3U7TBzOV15?U1E%CRJm2nQ95jeU#fZ{N@3zT79Dm^awZY|G$Z~f- zHOc^V%3;uRg3v|P)U6L!#BQMPUefL}5%Tuitr~VAJiFDwhh;}QRrY$LjuoD7!<*v9 zHgNqg>cUkFf!g?q01?yG)@cx{O^}G2C0Bfk()bCMK+C_V#u<7W8nubUBgS9+(uFM5 zt;pqx&gI2q!+_8uwJDq)!Dfv~snU9-(P(~2Lq1xPM(8>*98nQJrpjpuTIc1Q2K{$p z1^Ea?M7GWd`p+p_fVDI}@e~muIrA&(Yr$3`A{~GnQ*LSz`C==k*c~L}wpi>Ty@gdCno4P`A z{6KB=60y+#_b~vWQVP*0h-%GNBzg>3EWLNP%mB6I8c612DQ68@Bl>Lb$NvOa~9|)D|4}JX!#PJHF|V=cIhy1AZaPw?U_L6Wh;ETVrVv;9*Y((Q+-FrgsK6+* zX2I;?-`R`Q2~{9y3Wlf}+$`&IWZEIBjZ_5#qDL@pRNS2OE6>{3emlX3=Zdm@oT?9G z5Pz@30%Hz zd@pkMeSRs3U-#ZO-a008Ob127xzHS60ZMc>>%Z^(V-+Jp zH&|`fN78o53-z8#Pi{Y`E4SRK+=>EP5@|?G!V^u_uC#Bq%GEFn>OraPb&?*WXm54Y zm3~dvOY5Ar|AIUY-Ma&PBZnTnYrXZL+CNcxj1N)uP+@pB1fJ1N!kU4|PS6d2S_R-z zlEZJHFM6we*f~)2O^__91C3oaSbJqPZ_u!S$=GI zN9U#@`ug&{Ox*S9IJX2f`Fdgbzs57;+) zAa)0jkVJZN@xLEO?Z#Hj!5y>;OUAa#z*0)cio7qsee=FyKM3q$BFNJ7IDmnEW|4a7 z3a+1ZCnR6Jma&PuJz^Vm|BYj;y#|40XA>CJA_!6af&LNOkPbm^A-}Z+K}H`4$3VGE zO{Vl-M0R=;ll9`(zVoh?(GBZ7q(<}LSjzhR?wG%g2>9B|U65d2gH)ccBEXtt)?Y3w@#-h+%3XMXRGG*6 zP>vM+%GW+YH2aw;*gb6A?cns@q^xiF1L2RQO8K$F7H!Zz!mf>B@VR3#=$~J-GZyF_ z4()zZ;0$7y!xw9j-G0C)sHN%-<9Fw!B?$UqH*<~J z@Ysv_!slNKt>WP`7M89*-+Qr7j5|?(UI-jc(Jqa zF$ad@i`JH)^h5Bk!$>y)oICzBCy(AetP|TeVCOcq-xU$dbQ8({ZY+LRs*Nk%UBS># zy0h!+SC@^wvDD{t{EIK3d~UY<0Woi=Z*S$oe3S8R-(MEV2_zcUUEK4<7h>YEG>|`F z0-yZlOj##ZI5(^2_WZ7oKLy{nvCpwb7P1F2g?ku?{X;qyQ>7*h0>*8m7o*Qa(Om}D z8@d)X*E=d!m>{|4w(!0$HyQB@xA&IN+Q6nMO7HGB&uz^4H`6P?oqoq6z~{#a^k|R( zvh#h1VKSI+D-2}a^~gt+lJCy(dj?P>v71RKD{1;)Js9!f_3N0Wg zgJPHs?V~{daIRP=4wvzbdIE5I6g$yn+4FD;vHHP_@b&I}(=~I8Tg6Yt z>piaDwti`^ZVFuU?!}-=uSSFh$+q2>Q*w40SZzwUam(QTomM5^0(W0HCH zDTRuE`4-pJsp4h;Tos}=k&@GJYF&P@I$eLmgcn*H)#($WR-URAn_W+VpkC>x>=0l`TOhK~%wyiy1BrwjU5 zC&t`LbEv3nCIkoZFGvvhblQg*M)5)X_^H(nKS%X4oyTGWs2&D9dEU879dnUKsDn{^ zny80ArX;^Q@V(_&HN=XNNh;&Q@Gl8(YLR9Db8RjLF|GisR09Iejwn}qk%wMnGIJ&` znkZ{v60hs=(Kfr(Sp)<-4Z_SOaY%@F|Fg@P0YNeDkiPuY9?dXbZ5|39$M|ymQ*F{c zG2D=mH-GMxPZDAPvH`It$1mcqUBUr`aFI)>gSpy2t;8_u*0j>K75MSHygNh`j>eb2 zurAC(G9Rm7B(UPUIey^=7!BfGJlV_B8pb{vc!>nv;ZiU%D^bQr_ylXqRGCiSM5rIN&meHjl!1|A}>jgz`@-hB3yI zqt8Z}&Gq^!LM`Qk%u|-e#bm$?)>lj0~ z`^P9r!(~-!%>jDdh~Ytl+qMC%&*18kq~8!}h#0}>I+A_p33<}fihGr_Cb_JHF+r8L zJ|U*;%dk);7JZU$Viak1KsN77lj**7gI0PJb~HFz2=Th|7Q0V@RNSzkQu-(iPC4jT zoqCXw90e_^m~Z>6p=17uWvpmirFf6I^&tdP^Hbcu#wT-ryW5jzbzEIYl{Os*&!}l1 z66bC-oQd(4ShbEjvoTH1{Fo}AFfV#UrXyrsdf5IJPz2kI884KrpLzeZWb2*5BN}#+ z-$SF7u1+rnop(&&$o6dk&@Nr+rd!c-pq1uu!PPQ8(oXBsq#6&Ez{`Hq^eKb(k55#? ztJQT|r+uNhiN9x*{=H}T2f4Bs=M|8OlXn^}MWD+0?k3F%8dy!h#oGnikkD?3eS>TM zM@ok6(8Mw{FW(EsNu9cV(ipM=E%Ck~(SA>q57sZCF4G$53SUsM@eW_uDWyEfT#wzI z&1fX>vESZtp^aW(HgyG?H0Ivw;oi^CYeJ$OdNT+LjgVlM0-|2>Gf$A0Lgw~#mxXg! zClHVao`RVm(LLL4p?NM;-Q3_d5n6dDV`qhqI)dW^s>=|#1u z&E2RqQ}Z_S&AS3zI|{N1&0DC}!UJ4k&6UjE1ZHd@q7%J~^KQFf&fMzrZdsA$#K59! zCgW658s(_glJazF%uAuyTq0aU@@~P2$F(TTy;CC^qXS%7&D{)m*kIR^BkWXXs(~)q zZfsBh-NWwm2iZS8Hhm8vh!Y|wIxqtiR;hn?mq`@xmq`bj{qVd2_1=+;t2iyQcPec} zgbDPQ6Zkl)9Cl0$cq(NZf#(%oBXH>k3gI(cl3k)>YCs;hNlZ6Sqd5XY52X9*r7qd? zQKnZnMVfgiXtH**c!rrCB=-ynK@kw?_@SdEi}5yWM`CmQ(i$Z9 zoVxdoAyV2^qO_W!c&5AmOoqVij&qpMW-j#50M5E6AyO0L0(u~@4tPbQDm%g;0!>;> z-sTEL6?0mq6CkBG|Dho2=tfmZaoEHFD{>%6g67Ez#7)ygBq#$K_h@pfzd?QnRsgHR z4xPH=TYc|lc%{xMh$%bR><@?-U%0Y({@Ur$;HZZucWK0=q}{WAzPeJ&cHoi7$raOG z&VFk=%hzV%+w}m#JO+8AQ68~Rc&qXO@dLP1zBud9<>z z0>5pJ;Lk^s`ey_$d){ECJOi1YK*RLvxI)Wa^hzS}($8DiO0_7uhVPP!ow zvIEyQdWq_V8Dj9#8j?iNjt)n%XPO7Sr9Dv0s5vAN^2m!; z!Jk!o4~`r$F81#YUHgZ1CVB*B;{82BBm4vxN)nV;2R^th6PF+F6O>fT(D+M?4U#@4 z$A7LT+6Qmq#?Tl@*s~GqH?3m;hR4czr1jx9 zC=k&1w>z+RikCI2C}wXd!`6&Yek0FB;YyCm?|j6V`?apFwvOQSO(!=kPa+30Wh<-7 z2SLKJx?#V+M1qADs6%nTXjUYEV7~;t!QsFD#HPKxAg+VKld~x(qD2i|zuTH!S1)go zC$?q2PCjSYb*D2<7~EqTU3V|<2gdkhOsv41ko5UijnIlNTZ=ZdA|)8-Ovv_24}C@M zbIurPfxt`3D7ImNlE!u+2-|tTYy-&>)sG5F(-$^+FF}Etb>s5`;{i|L_RgA0ilu3R zS0ab7Uvar()wSGVYNdrt8nE7c&sY(7`=TV}%Zu(^7ZN@gIy172T!%FrYRI54INvPJ5{AM1&HlL@JoNZMQ!Ak2eJ_GNglRK2A z$8sFpn%w`rn#wq9!Qb_YEpkX>egd9BoL+*wq+G2rp-vU#I&$!e>Agn?&E_E4rW504fTY9WaYHLOAt z_Q`!Gp1NYR>!?WnMNK4&_s;7!8n1CQs@#UXBi6ow&*B&D6s1od*w>3w>i)-;vlkEsYdt>XX!gzD^36CNJ^ubi+%>megt|HXrT z2AzTJKTvEK*w~>4g8J}YSYMl-W*@tpGlfuY6>!24C=Dse1FbJ{f@nUUm%4U(es*SV zBV5cbC&}~TLGZ*<^UugiZoHxv_QtL1Gv@-hWM_@p;%f*eeeRrA5F*~K)+m7bo!;&# zUA+jpMXH`poE6R;Pup&OT4m_%!!YD^2}SV^ikduQQW1xMN~OwHJQa`-3}|Gam0Vs&YAuUL2nm|1lAja z*{IAFF|uDxGS*_9q{Ca<1>)zFIPCD9;oza{R{#lCi zFYvAIpv&=hJ~u%9`{q!0Q@hzSFUh`z9IX;=x!Rj0t}7rcHc&3JS^;82Bhx~V`}xNv zqz;*QCd1jUVnHMN-FiHi2{hjftC?)rj4yT9n%m?o=_Zry7<-JZ9=w|!-fUum6Kq>> zDwInJW9Vd*01UF5UALJ`#mw6w-4FvaS$;N^%Y_T6#wivA-$gbs;K{sO81GlgsK)eX zPzUssY}Sk7_LoK8h-}~3gcnjjd5m^k3pKNyaj3yX=C-YN0KH6H2XuJaRbE=kc58IH z6b7cH@?`C$rL@1UBk$L_)2A9zw literal 16396 zcmZXbV~{AZwzg;X*tTuk_8!}|ZQHi(nLV~`+qUg*&OP6cTXnnZNmkxUr&H-wm8?_; z82|vF8Vc|?1pu)8y_tV=6=MfSb6XpH1`b*VT6%mzXLBnfd{#Dke0mN#Mn*bDdIk=B zMM?a>=1#`=B1Yy;zjfQ%{@a8E=l`?>;0^uX{68r)|9@>az)NqaEF6*K7ilAP5hDi5 zSn@kWAWFeBRZHiT(wV|G9=xP!LeG@h)jA%gVy4 z>H{JoFi}wAZ(izpL{eo73jz{uer`6FUYuN=Rh^%*`Ss4(c$U1+KV`EyozIn?9(e2I z$i09!^h2)H36@Bo0J%jwLb=lNpt@ z<=gJl7<19$X(rlabI55f>Js|2uBb5NGwLmq=)z?lv9$Zy1hAm#8zWAwk?DbXkL^# zt;M#KyK9>bZJ&H|Jf2H(O_mbd$7rZ@?u7ov9UI1O5H|-02YS6XL%$L1(%59K+AS{{ z*&CRc?0246Z!_~KU#dNONlH*&$xvNg{-wE+q`Hz!?yTa0>8Ki^Iui6YIX~ixfiqD++2S zfjYuiJypG<_Dbho)6eiWI^cc0x$5{gNvcve zIID@lv>>V^;!xZS3FJ*9aRTL}NrFNQr1R0dsc=Fa=ZqWTDT+94waPts#^Wc`NOy)b zzfBC#v|kDss)-=ou$dq-cxOaq&?W8QnR>UC1|d^IB`Nilkab^))|lK!V&QJgp~-gN z(0yBasrd2l1WK)R&13zZGIy2c)rCWfBJD()l(vN82&5G55LU6sS;Z!6>E&rki1dMR zI&7ve8Qp}_QG^MlDq|XxwtpO3ZJp+8doI%lD=TR34T%-LC((nmZ1&9&-yp-$XAp?b z{^-&3t?f^<*t{}_V~Xb!Q4%?BjD2!|1H4ijr6F#Tp_nS}{+K3M(Ahb-lUrk|Y2#d? z88_b*lXXyc!<-nikX~SvixQHz_%QVu#_211GG4v@u+J`~NbyK}HZIH6#HyIAJWdd| zEf)DWv7w3^WAochc~I`(ci!a*b5KRB7v~(+gDQ#YCY|Bou%dO^6$RBdQ}qbxyIVE3 zm3ZC}A*#u>QvKlDAC(W#%?IM6nZ1k*ANHTye(8wNrw1Ye^ zyKlOd(@04|yvfJ!dHN9MY{po}u__;%%(go8roM|eisuzIVv<`uccsTH2=nO)ZtAGo zP_v=rFZ6t3sH?_&mtt?~(?wP-pW!CB_hLuHXJwYMDmdjW7O~^yf%EAf^4?+bE^30L z#K8hghUl>Zx7askk!9GpVo!$HuWd7BPVG;zaA1~$fJtX=;BW1*hRsOG%H+@=0V8pVbd-V3-A_=`7`z>+ zby2?#!=UP1Zdm)b%J=6Jd_@1e7|~hrK=j<2GIl*|WCzXV_zM*W?r7WtSC-qCzo)X< zvBsCX=_~&HB~-)6^m$3kw+=1SamHs=^*hQ``}k7m0H0)NQ7rREPW72!X62BB2W3VYKi$oP#;w;NX@N2)Q#h*hZ@N;4hX8X^WUI>U>ghs7 zyN6~`LnUvNz@xDG^&b@=^b z0DLvyxZ!~qtAiWDni3irB2ugj9#-brJNdeb_K%o*)jDKcIZo1t+5X&GGAH9)DI^&R zWGSOqg>ji5X5)P{zYC-)p& z+=xDQY+g6eQQa6;ANsnfv;(*5`)ISSFV4D0k#1z4b?!Hr^1;?8+!PzN$FlS6I%LNA zJ+jafg5g0uqCs^5-|M%WpymO`@n?i){W_^MoMSQ(bqYuwi(j}?E&b_snyDHF^|LN_}Oa&+G_+8 z^+N3pLMf#}huNZ>M(!8WIQi4vv_ht?mYkU~V)^PGT)jr8*8qw6JwSg$VBzR7Jh*>_ z`(I(^SCBC^g;N@@m|1FlazGI#j+bFnLqzbmEaHNZDJTd+ZuAXkkaJTpy`#s-9vU!m zLWN75Q|JCG`o9E2J5&t(Gd)}r5f7y~1CMzI?oy?10WvIg?W=#!k{%Y-MXM+F=Dq+~ zGH=<^HRmQiAG-TYv|8B8Y21q0404c`AO$=F$kq6ux+%@~PmK6Rv9Dp!-8V#xZr!n? zyDz+ifh!+j(7gWZiYN4pslE8p2ZX^bN(;n+ zd-;7uX%Qe%!yRf59uN$sw-?jW&$Q`Y3RKlp6k3g_6CYvHXeJ=ar+HmQcMc>2ofL zqvkTRWRXQP$1Hf<+2x*4m0n=A4$OagRHks*gyXrsbZICiPLO++CB252mOF@^(?_MZ z*nHSO*I4N~SgudSNaK}NRBl`t3ujmhQ}%kZ{;2c4IXu1m3}^mdBNYhaS4ljITOZgC zaiwj>Hm(-BUi>A{kY~^g*4wRy_rNmE#w|kg8BXV<2t5*rFkN^&?Fvr2(*tq?PZ`a2f;hUzWIG^&ZC$_lRjNvUpCJ^>cO(!*U{Ydx9Y) zHcck;B}@wr7N$DyapD9+i;HI%Qr2bY=JGQLB(fQzmMJUmx5+_NtmSl^4XY|2dL!#} zcGraxPQH&8pNC}_)27V9hny*nOp}sxdA+Uabtt4eR?LTn!}oQX$*H*#uv%aDiY~v0 z`TSQr>*wG?@1wmthTMUPqCl$m2dCM+?m0vG#X1B5d9*?}UXjNd00`j3LJch2B5YKY9&bq+R384{^zTdPdTbSf&cjOu6<&E=re z9{>4LKcNBTlJdqXxRcld{<0|MfArtw0fy@oZ=LF{*f)_*otL)5MP3w0%z}Gbi`p`P zagtB)I0BG_>lmJOIMesWjAu5rzTlAdE)$!=S6cI{DV#6H+*@p1+ps#LeSF3kJyIU$ zViwmh*QVKA-uD*4Si=yv3u0KyK^Qi&6h%+8#`qPCg66EmJQ7~A!qyT1E7wwf$@nGbmx5nP zeyISg+^)gSrv#}Q6QCK+z+NP#str?n9MF|4C7KaLl@4?xG|lGH-4^m)OU8h*r>YW}62@jC0s03N0VK2WorB(>qxMMi`y{NGMw6#I!nd=}ok9 zhRe^jwU2zYKb@zwSwOxhPelPQP=QiaQVJ1Q78w?MQ`T;B)Twts5-LC#9~IxXW13SI zOEPco!+)R9%XUxj-Ai;u!w_|5yx>7<)8r|Z!mSxTzV~8jj z7+7m$WCgBex;P(6Z6+PUuxwpOOeh5!KanU*$!XX(cFRfBI!KNNfFpOs{+Fc@U zD4fSp9RfOnFp~MyW;UP@jv$PY+0LppeoC&C?>k{+>|yYAOc88a^FX;x#h-<@-QC9x zknzkIf+K_Xbydi7=sVY$lvLptsTS(Qv7PSJOn(w?9ioVfqCp*Dj4} zbSxpxs+j@l47+jbuydM3bRHZR;y@Cj!1C}elZ&fjg}3kpy(pz9^P{jj#h{kyy2;gW z*fE?Jm9ANYbd;h6uVp=^p>X07d&F5(4w(iY;Zg7s><7b+KK4V_A4%5lDVJo6!rE0M zADS1W(l!nnpbj>WXlDol%C8Z@bZ#{}sf2>M4s_PKKFPBMdNViolQ1v(`A^(!# zb|w|W$S8m2OJWG&$VpSUs`Mxomc%(Frc@m-@MSIMUh}F@=7v|+)?fMNf;4+_66u?r%E1`!(>@Z0zo<2pRMzXN$f9MF<8{}B=F+G%m{Ylbw;93>7VBVQ z_mkZlWt{)wjvtnoQLfA>Vc1*jkgC=LKq-Lne)lm92=i^K4o_$0*xY$d+c>&7s)Jo# z_zbwapdD*A^H8W1kc&$ppyeLpwXI&r_}ZLg2u}g}+g)M+_WiHixj4E!S6FRa&&y}8?P0ynB)6>%)DT>k<34WF_!=XPR5YTT4y72;3} zaTM9lbV!RX~hD>v+OcXA*3)_cOFOM=UCbH5BF z@8>?eF(7q;YtN;w8@%t;t^%=(gydp5UGt|jwx-KEx4NezjyhW4xfNg-fel{uLE8e9I~h~8GA#!2FL z;M8+tWYiVV?#m2^WO1)2ez$pYinya=jU5sh6YS(YFuU>R1<*~S*#15iGd8!`vzmr- z@TFmaH6VcD4z>q6{6t+bTjdMyFT;a?0U*2FuPGGeMM>4U_r>=-uoHIkuRP~ULdE-o zLZnM0sKZMVkM5*CK}vA542A$9;?<^{41LjX5D$MRzL{%Z z$u9N1O}G$n8dsn=%kdNH+CzYE64cQN--WlFva6o4!>u6LW07R3#kcpuC&i<%dch zoTV!sV{575*KygQQC>@Fs3Ol}c7!vU20r*?=QPOA5z#RrS0%^KeVXu57(^x7;?sjf z%Vh!jb9pAaWh;?2J$pK3MG9qA`~elOzCu)^arx z+31Mp&Ak1^;T}I7`{W6p5KcA-7p|tdMl*ieZC`JCUaTn6zBK1(qOzbdz0`DDe5mB) z87Xjcut;7(TBB4b!za_~s9Asw0w{3- zZ{fn(EoyN(yK9=)8>yH(0F^4G=E+QjyrrggvCB^25j5X)(dU8;(*7p}@%&$1V81(CqtYKj1T+o}o%qh73mSz8CN5rW?bl z?q47*C#z@B*W=0uF9?~)1_YXy4cNW z(hWI&tI^PZI9BVIg+vfr>Z$;XSM$SiIwR~ud*3JCc9{IZ)eH8FpY7Mx;{S|w2viW& zoXo!PeYC5KFz3I*Yi|2aG?C(O%H&|==@UgGO{yBE+2=@o&&;d*Y<3A2bfs7DTdOt0$tbu;FXG3Mczf?JE+3|!KBF3RlgN^n1D4pv#?>2xd@G?YhUAO>-+&`J z%=VwWcpG#jK8)q#ZYqfBE1poYtG?ZA>0`fHr}-iETWrZ2gakotr8YyW-H@3f#Pra{*OKsuPl%#~RVqu(8E?l8Xw5^(2fWq^B3|@D5 zBGs9oJNGuMlytr3*Tb4m*SjIo{^y1aGyxK{0Hlh~g)K6AcPLDb@Z>`;A6_0;t}%+C zzN(HpJbMF}de8x(OqM!%#}US{E(!AjNvhm~2`6A{zd0PnR9YI9%*2Fr+H?C@dO>k0 z#yF}(hmf>YruXSz3;Tk8yAV3uq_26}^fWx_KMBebsvrnjpd$VD{&tX;(Ruobb)hHv z0uKt51*HCR2}2JEZ~bn&u$A334cJ<(@SpV}?7w;3-7EV& zM3027rx%;~wl1MMf5(g#fosAUqR(FkX5T%+3Z7*Iv9{4Y!M?@+lw^kPT6)^A- zXcl)#Uqbm84UWV6#^+1?PO#@Fln);CsUTD%V4(eB$MWEw28q*^ZCZr8_uBT>iP+mv z{caQIS#|u4n%xloGHFh?wLntG8@~D?L8rOhCv%_@&vVokCv|bfm8c#3zGz;;R zG9ugYfH8eRbXcGgu&0zh@yTm|_<#@pB*DR~fJy-keeds6!LPuEMq}A`dkvBA|7~%kEDg|Rw!)HjCS!O@#zGBF*03r>>X?fD~UdtUoi@n zPepJ*T9D4o_BIS}qc=sZo28;cb>j6b1wRF=W438~i_<#JI}iVxf9ScLeGzlBN23}h zB^p?z&{E%B-~Ot2n?Uk#;vL19&&~=JasKY@=Dyj~Zo3d%ss_9d+;AxDcc9lT-XEE} zF$9w8d%XNvW~KSt4lgg?@|5;fg98e&l3c&u-QC?gK9HpSd8{e>PVohO*FPEFwC!-x zvl1WaJJ16dRC`M5CAp?5#ACs}`lUfO25l2K?^66*fk(BQRNr{~Z{l=BpZF>WU&a3Z zip9o9J_%FTmcenlLf};NG7}FhF|TY5AieUdc$O6zpgIGm43jlMx^OcKeQ#VHpzNBW z>_el>CLtaXKuksQUYoE!Ko-k=E60Jg6JUHp(4}i-UW-=F{1Q^IniAa}CECU9cit&n zPGotVI(_;oTIx6hHLN7&-mJH$--4R<9v!IQ28hqtdQ){G?&&tkzBRg@>x^-)MaJLm zsBRXunkTt%)ZbdPhB~{j-pO2#g081Se!LLY)yk4UOY*>mm%rn$+B4U6&DJKga|zou zi1Uu>daAjVZ|fMUa}Mj>!S#r9J?q*oMCTaVJprv;C+X^bxaF5=3aX<;koxz>riR^o zQ~Lz=D_vf9X?=^gbn(rGD1#EO!2GJVDz4r9mX3dahJ?@&)-i~82wFL0Ui+GB5xTV4 zt%?2|Efi3a3tDA-$)4A$Oj@F54wU&ji#FeBjiUOZ$QUEPYf7{nrPn^3>aM7nc4lrF zMZIp{;u4~_ex<$^R5uNVqUw#V3sO@Zx|_lg3)#Qj<=qPseTIM?e|G8lV4wxy`GKS%*S&K{RWr&L|Nxbq2+>X zV*VATjRkONHQ`yo3npHO@X2kzo)>Q|-@87^nT){qD(Vv^PW@e# zTkyWe@4gGbZTVc%yoy}|M?+Cd6-QHhkm975zfP%I!gK6Pjw|TPm8-=N+b5|QM=-OA zw~^+l3&rzn4n&T^% z;LxzIcy8{zg1`k9HURl5K&pSh^L0cjaBj^F8C873Duc)b;7i2UZ-%V{CsMYxQD8p%+%S@DD#&`K*0ze5YTlQCLg&~?^$=Kmg6%x;dS=$I`mmx0o3byhV@uV|H2Yot zTj9!j$Q7ja>Q=`^4?A&Z2h;>>L<>O}xVyODEUJZd-aDrjb|dT>QgCg-d+JM9S_W;V z%!0^A9o{;JU??*rsp%De^L7ek{da0%F0vY;gKOkdieQ7Gu)Ons4wbkoAuy)u<~m&; zD0W+jt4HbKR`a^uD(rp!uYT*EV-CD8Ei|t3d9OFktDh!WH3W8d3{KHKg8!{U zuL)Dx`|R~65{kS#G=ltfETjf^^IA&iRMYqZTRnOg1ubxTQA(h~o=iR}m zz;!emNG&XwK=J5V{%0us4XkhP<6S|VssJf?r&yGEX`#hNaK#ER{X2GWviO_Il9B2O zD-^i?w|V~O-VCR;3mO{SFkak(uI<6trdpZQDKtrI=xwCcASw(JFMRD+jOPBp-hTUa zc$=(HEA3)3HIF&oZo97!O@`&=1Uz^fNC|mgJqO zUXPZ#=2h*Yy^vj%LS<~y`%03EGWmmuovMyHy64z90`7&v=0~R zyh1?Ar@j1lGxLA-h_#j~=g}c@y{zbyc4FaH<5gk?J$Dh7-d<*2$m`28gw9cw%rh3Kt@L7oEd_}d8;&&psGhNTMEH~4Is_G>-_E_z;7i>i1-T<%AE z&O*pzBOkY^M|GSz`?a)7<6i?rrGiWGd(Nlyts+Orr?CVKa`#DJq>~x)_m21+v&}jf zXXsZpl~K!g4x_j2Arix4U$c&uE@xVkti^0WDI@l9&PJ`QDlgBNM6*mjatqdLmiy#Z z%SAU6w#H}^ks4p6f+P8m+C`Sqn?l_%SNNr806d| zL3em>b%q|_)CQIMhl_!3vWi_l2zD(5g1zAfR^^%8)tApdRi%lpE`rfX&D+a>u%t`T zsvnL+H5aJ9W>=XKj+3eEuVwkb8x2@DcT}86m*sFzz7gX zWKB0*3?g}umMfA<2%J1zW=lw724H0iBt|#^cZLW^c4|+p1xW}Rqp?atfxtA*&?U}- zmH~I+M0>?;V{NZz{j>Ye^?aN$7O;&O=OsDN z78r#T(O}!)m1x>110?`)@0{ zGP?^%DLUyI%1Ot@G_ot>a}@=uF>-07EQgh81}>9@X$BEf#Da9vO1QD3sC@}QwtN}yK7$vawy%(qRi3J6lG~^zXr59DqKp4op~s7^5KbJ z@1eJddh~MXE_w^(yM13PO2OjO)x2KQojQ`mlA5JxHx0V7WKAIY<)`J~7m(%o>pEsl zv`6YPIc>d`9#vt#Uit22Zhwf_a7EzKf*px(tIA7FJd%ihqnI62)F89G)#&MJd2~x) z*fC*tY8F?vzMnT`CuGmOHXQ}CWJS8?l5;YVo-vF!7SqG2>Cqo2QJ*c0 zHyG1Hn%{zhsLuk1dnMC7D?Ur0cpLfy@ykbwWAToQsLu$7dxxh`&xO|6OLn{~JCW~h z)F(k)N;kl?93=s(x?rLFCCl~f${$JlHK7xc>*Q`&Ii057uWayX{YYI_;q?48rXdH%sk0g zO-H?*6d+G?*vpMyD<0@$8hdrZ&w6avQ?(Mft3x?pdx26QRcKZK8`2BP(YiQn1ixZ0 z5#Xq4GEsEElOTAmoHJ-6PiT82<_$ld_9sP;Ow$Czl>gI#R7=a^8Z=XFmemt3J`T^h!-?b$ z6!AACReXW8(uf4>yD7k$&>$nlw^>e*udd7|3dh|ISdMlRcf&E>sQLq#zw6~Wm3MK2 z?%Q{qXR)d|RrL13p;;l1@jHFot7ChkhcoP@m>&=NQ%u#BmF)#N>`Fv(-}Cb^8BkHo zrtJM(tRU=8c0U~_lZgH~JH&UL*RAD!A72jKcevNB?-eNSM0%uBVQBq z3|Cvd*cl3^lsds({=o-CK|OKFw$lFRX9?xi7GQtIJWnST=mU@AU+$Fumlo{h)2{`_ zI#cu(ob^g~Jh&5w^h2_XQ`$`jbl%+f9^qNc)-Kd@4%;<`^UlJbZ7@9rFyFZ*i{1lx z=!n5(fTk!VG;~M6ZLy@QtzMmUH@BhOnhz{vEg4bJ(u#G^^T}$gOXI!LHGk74bOVqS z8?Jm8VsCC%m8N}z$KLW9Iz5Wl#^#pF^*A#9{yo?=pZn*WxxIP6PGF?9WeVqA()Bco z%ZV$`#^2-jYjJJmn|27z*oWpom1QPRJJvq_;eSKe*gXzrMGQs1=13Mt#wYNIozlC?s_?1#~QU{a`|rLMgo z*tbW-(EMw~-CUi4=Sba9OmmFP81+jca5wZ{Y<;v{CcvSlA7TR5)P7RHJlXzD{ovqf;9iFI>|})Xjm0aiOA~O!eKP3j z2{rSE>HfFtSt!6GD-P%D=4vLc^Tdnb)mfW_iB3$d7!x0!ys8frtn=5)!RBl(L6nF< zxvg^;;-nrBtlSCfybCNg>zs93z5K1XsLag3x9r`0yTios%HP27mU-9A*a~`PEGp!7 zAD>uqHdbteuJ7(N;~c!FUIC-x){#%B@|?Y(6O%g3o?B4O^BNq2q=K@?V$3{dGBq7hnQyOR<)jf7s03=u$K=Yv6_88Z=~xzv)>!YUkD*vp~k=(fpPAHLBU zjr^HI&-`WTgbDxz8C_J(C7x{s;FDNWkJj=Z81$SlLF@N#sLag5s4-pjJGE3V~az6Pd3ySP96+w>hf*J zeV=bSoR2H-YwdmCk1g-3E`aMxE7*fFFWdo#Sj&v7U*y6;4;Z%ZSWD1?R!nI+wJw5( zI!+M&&@A!m8310k7Y0Fyirk^>bTBWx879s{Sscc?bmgZUUQWHFY#?3=TsJ-5XZqW2 zB!KC`X8%LOQ}P59s(A|4`Ck3O{H~aVb4#^Xov!=%NZbOYFYN9s6dcP&d+H$|-Vqh9 zz3N7L?A(B}XwN0x-P`AvTGySzwH$~onQF*5BH4?1M?+tSR5iV@K95_}IMj3ZgsH9eC#?Cyg$|R?! z#FUbO4u}1ntAHD8i+7#fa@~-jO0|G4I<9tg=RHWgt=%S~UP>1~5KbXE_vKB=cR|7svQ+OCt^ZKeE%B~^;=j_$zv9HRe zw~8dFZ(@%5d?`3v8&#hfm#3tyxAvBgJQ+wAGwS)V!{%+U-rg>aVKBKfF{oGHe`Z-D zw}`iTP6N=oT!dV%L^gV$8;2-V67F@!blT{*qTeWgEO=kx9L~OlLdQjFzZL;$+po}I z?et&&zn`|iv1$GMy>HtAmfxT)*uZBY0 z{Q$B-sz|t%eXL}2(n9={@Odh+bdz`ma@fzkti)^cq8Sc8QI%N2OKW&9eO=!9$2HuB!n{UuJ!XR5Oc~!Qy^c|1TN>R zMP&(DzU;K>8`(o2pD`SthGE;zdf$!zQOsN~3*Q2f$I^xfNN@+@ZsaZ_s!k%X?jP!* z(wRGn)53dYA1B^d$A`XLICk71nySi_J1L)XvTcbgKGIxJI)F{mRWfB?E16IG-VWFA zd9BlfrqffL>!Oqv!04XF8J=R8jAyUg7_ZxDuiF~0TjRIgEx(Po$*~N*7f!0j=rCu_ z#|y{&9ldDWd9!b+JnP|enAxt9z8}8I^;%i}A5^o?^iLj$?-8%tH#4`G^;`=$-O9Sn zD-(8Vrr4FQF0{(HpJe;4bU|b3`j!(FR=A6iekxa)$;;I??}d^O@lG>@(dA^Y;8pjc z38LqFm91d+Mob+V&G&KXjiUOGuXGwIN^854RM2_B<(wy-h-OB)fenZ=K}cE*H@?V6 zXy05s1vT<#cMCz>`5%ExzM&mcj+?54(4K=yH26hc>B?s~)DJHX$+7)Pf?? z939>6V05_5G;RzS&_o@zn40tN<1O-_dbEq1i?FdPdzOb{2#q`u^Q2{6G>ncE*-RU- ztAT3^T;nqkG(;dR2?ZiJ#)D;?j8j{T5ulC645KQD8xk!5Za8Pa*apINz#mzLt(*3d zY1Fuhh)qJUj0EZvw{N~GI(BvZxZ`UK4UM)bCS0jFON~D!QF3DHgd$Mh$ma5 zu|-#B@z#OnZW;cxxezUA2uM<*R#j+#4ZEe6b22G7Wyn`@Rt3)u#Bk|B`O$@bKCY(O zc@k-|Rcun+RM$KyN#iMYYFJL=Ibu*Wsu`<@E~P{>S(5AI5Js7&Hqy;L>-QA{KY`U9-n_28k zIkjo^S!xmYVb0{JHCh8Ww7UroDsl)pk|U~(W*a_}uHtFgrQTkL#$H;VxY)yr1V{19 zSR)gcroy`>g{UMno&PJ@;N7Q9JuMC`4hkz6cU%2nDWFh1X3;`DZ5rXC44uHF4t=Cs zMvXk`le&87P;hPuHxgg{$wyvu;;F!PieRMS-B{51=JLskz!1i=O~KABjtNBUGKg(C zxy~M|!)0$QuE|Y?%<_%)d^;oEEK!4oPsNRHQY%;~s= z_co^=rXYn^A@rYnwXhEny;9H@FcuV_qSUE$;HHHs5dfGWR>^K-*aTotj45>kK~IzQ8IoX^MQu!l50A%qhY!@WVjftoyZ*^m zFfPcLlviA6qbIO+oot8d?CU?ow`-)vVBRYq0j|N)E7V31-YY->F7U?4ygru3*xYG; zrd5I!$aRg`*AH)3aE;KJ7OB~k_eL&|EA(8K)Vw_ z94&AHU%}=s)0nkY|%>XwS3K(87 z5wC<;cjmRO&eOhFkU?sceQ#s}j;4c`-}VqV=uj%MMsB1M=s^0iFUiy1dys}&Kg!zz z?Zyx|u#7Sl+FlD7e&Mb~YZQ1@;)Z_mAN@m0*MmV=hPi-Zr`XLa#c=XbKr^%n?lR0x z8gUkwHc=;gSETPk-6}i=H0xkH51Qp5>$l1D&o?uv0~vUU-23rpv4Fkv6L;6Rp~Jha zke_HdddR|<-Ea8%TnM1oegG0{pbcI2~{JOUq`SN1*OP)GHyK7YE z4ZF={l0Ctf9zOwENz6xyw#D2`lAP z#YoNL2!1STrEd2PXp#|9Me$*z`LntvM}_1R4_N0ud<@sClse?<4_in;c)=fb$pKQtGehB)`d!!2xUYXGHex@S~f$ z(-In!9Lc!7RuX(*nsTgwK~P!=L(B-G{;b)8nx$-{pg|X2;Gh?^O8}bZ>IGHR(GL&+ zz|W5xpjV2e6|yq2pRMj_0}Zm|L<4t(b+rbh_rFDJQ`4Jk*vrW(riNmwa3b@j=3z-Z z-~dd^Pem9Abm>C;kRY`_(LZVza0Q}syKr8EgCl%qNX%(r@(L)6`y8ex)7LH=HV%#( zZ966gG&NzL7SIe<(t zTIk{y3FA}X`0AG|uaECwKTijUgittxhm4XFCQr9}kE#bF`hC1ij%p~MJwHn?ydAKTa ztkvP@2;+Tk+LWW(#sd9263Bxb)LFf}EMC&P=;O;c!5|%`jy05;fb=O=;~J+9`sf-} z+G;CX3cD`mniFDbcx`bh(pK*vk3)=5*z1Xz%KTZU5|E>@-jw{)+Y;%(ZOj=>9me8> zo@H<~C%gTEVL#5IQwb<_`}yf+k;j;m^GY11H2Nd(8#hR&Q3{CAjpe6Ha9NCCEtbM+ z0M&bI1hu<3_P>zwkRLW3no(E~b;fZ+pHip>D#dVYI3~-K7hq6gLd_*G!H2ac!oH{; zJxfRH>^KOJekh4$a6VWZXXDi`N0r*n4@4^#k1i3^x>}p5ZF7XsI2$nr9@35Y5su(g z&O$}01LCt%3?f{f)|MSxBbG>H@-XIMA~*kib)zJ8rU(gr^&{CQRI3F6{Y%h#Eq6TC zgzuZhXNDaJ=%sW4{_c2NejO;o6KO4Hgr*RkvXTxNv>f7VhD4CNu|oPYiK?0c1S z893~9uNj7ZG@#3q(*rZXs{HT!Mn_IINX^H4hmc@$SS+>zA(r^L_{yM2QdE$co?Lna zO2a_JOk7kNPCT+9k-GP7aXmv&UCo1j-jYv@v#l7*5M}Z5$Cy}YtVtiCBr**XtvwP1 z1Rn1X4)t6+d4V5dNYFj_%BNN$ip{n(g9x-Q`S=yt9r<2N1miPI6|`2{l|ZC}9(ZNe zD3Nl`vMeT`@WR4JxTi2Tu6p#U+>**-R!OZ=T!HDhC0rmmqwJ=TgIcPq!SS{Jo8I&8 zQ7la(ZlsozzqaHmom9w<3W+qNLm}f-FKJ#Wo1KNo)au)Hbj$k9j~baEkwLbZlFMgF zM{JW{w^WBr@__JkmD8h;ZxW2n-6D_=A5`Bv91x2uFkOtTBwiU|%kxerlbBwCd9mK& zBwK@zpCl9;&MS-wbQ-(hDizYXG{>NFc}9V6qroG(Uy_SJrNZDc5CrZ+TQKeY^jPBc zofb$3edoelbCp%`?3Nk#PGm|U17RD&7=ZsRYPr2}gk-LEiMrI(sgdzW>{ZMpN(_R4 zP;802ni#1U`{xYtGGzw-H4!;o__pxfh$IhBn1hVgYBW5o{o!Y)di)!!3qZH`*F=+i zngVc}MA*op5Cct{3lvo{EyrS6hQP)WI#^5MMO|+0MaQA|;4YEb9jYbeR-DR46ThtP zhJB=I)hQS`>FX{c1KO9xc%UUzr&#AAA7lGR{T$w=-xOZ8Qr>`?B>$c$(Hdy(S!mtB zpX5WoEozL2!@v|_G#Flv4Ds!g5v-h%gP^K12n+29)Y)QY)fOPuu1bk3b5UP!C(`N8 z*Gp?FY&VY~5gA)Fw<6KICjD`9k5XT{d_x1p{<_7Ngj^e?8KECk!)N*0Rt7>l2FLcd z5Kl@q91eGPcXu!DdbqoO{Qlp(GrLbR znVr0w%#+=0aG{`}%2A*{Bovh8$M^L^tDF3GFt@cK=ip=IU}Yy4b27IwCgE|SlS4tHc2Za7o+Q|BvIXCJzztZ;PxfGJ9I5L zLwGrW*oY_j^!F`TPjc)qsR?ObvMMoLPhK-n8Z}s`p_yQ%Z*cd%v@oOM z=;+A1_1VjeK!4qR&ela^?)tsBu%xuK)u*bk5Q13E;nlYyAM~non*LHGq#yXo2HCBZ z$2oq!ARBa3KBJQUOwIj6Ky7Na7Fo-(>J_K;@DXDROf=d23TSw5`s5?u0<0T0#t#3d z7yL>b)*zy#yBYvWd=UXV%wCg;lk&#lW@ecL z@s5^GUnyU4qKp00Tvz|D*ue5SnyNGZ7PQ0hav+YH=!fI7%pu+!Fo%rac9bKoX zA6U@y;41Fg88IlUbkC8xtF%?8A7RF_Bb=vm=ZRy`{EAT*cD#6XQu?U#QzIJWK{j|s z>jb(;b!k9m+v)N`c52cf@Ol4o70!d3)`z6B+p1k~OX&4g>0Wz4`i8UNID}Z3%_J(^ zb&fN?7pb5w{7$_$~ zor6BM&I=~wA6l;Sa+^RaEblCdha~NQcgOIH&PB?XdAu!=S;`kPoq%^;uZQ$VA)rP7 zJF2I``!nLjmfr0euv;fjfA%rKU13AxBDJD&lUoSbZOPy9$HV)5n)=VMsQ#)4NFg`^UM(+l37CEg%Q&!{5@%#rDpUVgXk83xZh;4bju zW3%99lJ$AV@dM&{X={~@mJ4(fAqm057xcJ4m0O$)4__4j13Vuf>Oa8G;5z9>{XGQn zLS*u7haR-H5CrIoSDnAxfU`$f9N0v6BB7*5QG8dw_>ytW;F~6?5)MeIo8tcEF~#jU z?_aT1P3nl|bDL%9T8gOGt0DAjQYGb>E@P0@Nbn#v_lM6K#PAwiHP*%8L?(G$$@zUVsyFB##$l0&qH#5c@SqJ1Xv$H)FU4=@fQ%r66 z+WJ*+y*yO}+MGP)FYq~#7c)bkc_i9fGi1M=jG%rW=niw~nju9>o4qm#>rrMlY$zm6$QSy^MG0SKnQCBMCcddz8Lnt77Oe4bp- z*MDRSIDIT!9GDIvaP9=WJn>i|Mk}`6cVHTwRn9L${iWs~3m&A~$iW_Zm1=j^PW0$G zgyZ;pcV3OP(PsuEdwQ8diu%q5kj8dcWH{cVFQmGvQ{mZw>_GgYAz!Da7K8yecPK)` z5r|ZihPJRo;XM>@N}~xjv0FVo8 z-z{B2S?Z3WLUt>UIfW>M!|Ilzb(!b>g71b_zc?xtiM@OlE<>pRqqOr}-mcm~hH@3p zew;0cDusayG!RHW=S%!`V5jvs{x9b!6_<_-8-g69H~f0WFUl2R`kox0BV&O%3OMbE zin4t}4JDz2-tMgeBvzrB3P*JNF3Hnn&0~* zfKKM^=k_<*0Z>yN4}SB-*GZN5JU}hmR%!ZO8@cK<Cr4Z&IWUyLG$}`sOZz^BT{Eqi9qH5=28)0gA8D22_I|5qJ@AJ4Oi9%^Q zdz{^+SeBLg7PwKzgPt9i>J%F%2!4y z`{07t4y;gSG5}Pc9XBF5R$0MG_N&A?L$YD5BuXBwKxW(R%o{)$pibDzXtD0n2$E!e zJ?|y@+&c>RA?2ZeUl+^6e)_H0f$MX%lN%+Rn?X{5n-3SVe=m7;kjSnpA!M?&U-e9E z)T^L*?`28@mrEu%dv9umjPz;1BU4ns$fzPSjNR^tW)2gX9;qer=lHPKFJ zv-~HIFeyM&pUMz%_~Kc5Do@PS3$LWLu_-ruIVxm85} zVc;e|ne|!3sC|`i$UUti;H=DjmcgA|r1h0Eszu7SLLz7Wrf>~irsehnXP%k2 z2-$L;;9meY3W`Nf>Kq)m;W+l6)GE_8s8bo&AXZw^U@wleQ`=r);ylt+&+F61s-(x$ znBSydui>9ArjcRCI~k{}2!d%hp6f5~E&bviwgQ}Tio|rYbPQkZEX&AJ1aH=3wg0Ht z4sLi{5A|hhZ#ss%&_(+=U11hV(D04hbFyuiXhw@SRb#Bj9ow4%48V)m$(_}tZX$wi z1eWnyvw4i0yXZMOLl$`q_q)&Mv}HKR8pG_DcZ8Emz^C4Yn!$ilu1U#M-Cyh&Po7!f z;i%~CuWyJu=fvPH>sfy`FXtI%L8L2mqqW{v`b<}#jZEyz|1(Ldng-Q{1X7M}bE(=t z@e2;UlKJnkL+trTi3RwYU;DmP5mU&(6+_GS(0cz1Dxa+Di%Jbp*e!yVvzA#cf*z}` zi~Qoty3+rG{Nf(y#9_RqbknU_XVmWO!lCv{F3+miMg9YYHa3S(W0u~dUEfT&r?3X~ zeiqq-uGP;AFNPs?=1?;L>7jxSrKZhGUaX`|T0cJl^&9_CUN;G;U2<5R6F)so=OQjS zM6`Roj5n^bq-L5bu%!=N9!hKwNz=+3*H-V``c0m%Tun@0W>9Usq-21|cyXT7-cSs9 z18-X)lW_=m?=%B)g_(4rtu;YkHrG})YmWIq5-Dw!Mt_gaA8;jyS6tqq2?#dmj@CMk z6_KuFDY#ls(gN4gH-xG^rd!Q*%@@)!RWZt(5F7jX^w23oD4k#lATk!F!p^rp$qv>f zChk4fB?^oP-Ny8GC+p7#K5xYLSK+qW&$TBcxc)lN*AiaJes`Q%JYnEu(++&CR7Io~MR(Nl*#p`9{vdVe`^vRxN# zRZiaJ6y>-2R&2wZ2#Dje$6Z)!b4?!lsl-Wj90{@SRu9G)CX%i!#InqR2;+bJaV{Rr z?NSd7mKbhBSE*>RaB|v8F~_lu!aZ(2h*ZQwtMACij$)w_^j4$T(74c?mZO7 zM%Ue>vRw9sPb(si|AuPs;bzj_^ZD615g2VQ`m3aHi*mP4jW?I+nsj>t|K5xJZesd= zL*#c;K`r)ZlwP^PR?WTo-mp2(CX39tc4bK(w;}nc(Q%kbsTMS&p~o*hf@Rt>8WT2$ znb{O?*M0XN?IuGRqEdTq9#=WMq~4^1hld^5lb2AmGHp{B$boRKXDwwl(P{BWWj=`b zGJ{lC62EEF6ZL+6r_$-W*b_qQSm-~#fotGHn3o2Tdu??qa4l5JXrV#Lpoq&~#@25Eh&A6n@HUL-k+5eUmjOjveON66`MBxx9_qD21v(p?0p^+XJV|?Vf+)3}noF0OJH~=Gt)n!nl>%)~DDmbBP!>k?1jcT~9`U)&8 zb!ZI{V%~5@;-%B1*L6jCgkRQK^|YU5kF5s~%|^reu*_3ikP)FKgVW+wkt%a%>~E3C zSL|5^m9{XW&0!PMZlbK`l}yaqks*UTAqM+bE?@6nmw(!FbVRqN*U`^K?UF1AeY4eUwM|5}=_-{!ADPMTRo3pCqrO&U*zDjVd}xtoIyFSW$5y* zv2JR(7k_80T)T+d5PDAYT^yOH6^T=#tO4oA60cEdF>=0N_%z$q@og^JbjEg7aPe)8 zB#A|?|9;{DE%V`kL+ZIR0(jh059 zaQk$p9Vz-LK9ND%Z-x_|;fMA%xddI^^0hGcR;x?va*fpRGjl(-%9XHTbzgkjq#pCf zyk-gHi=4KiN$KM|F9cN7y$e_pF0)+ zp?W;^qjS-qgE1!Nwj-w7HLgsf)?ZjkVwQyb0|E1#bz2|e>-K->Kk;?vKlCB6d3WO{ z*?JB5V=Y62XWNLzn|&VDcV>Xw&o>tqB{Z4JKU!1nd)QRUIO`G_)CsjmaZ6FlO~TZI z<~jQr#Vu2e60+<2sx6q7Ytzl0&QHJT|6S(BbcHA2!w5*3lsPXh2vGEZ9MfYnxE-t+ zwtlB14GUOOyN<+@5{*v$Gjl~B%~_0F>?I(veLKIdp--ZTa7vSxsPE;7Or<7k>n`^C zO2P(8Ys<>y?eNhI- znsE|ubKLT~jxTQ|JIxEG)lp-%>1bio_o)gg%~TV2<4?teWD!McCb~cVQ~!?*u^S%jL>aQ<4Ova*HE2_VK?t(#&0Sa% zDBNY;k-YD_CfJ#B@M%01S0wbeHom`^#k<$H51eb{B{ zPaBy=2pdW-z)u$|TG}EeWMZ(`1(X<@lo3tdV=Cx>x{_&)IRr7Z3ZwvWiC!(E*vv+J zub5(bg|B){uI|~*=Z#J$bzC%##Zm%AyfPAE*XEN=>C0P#ecj%JWe@V5N=sL!&c<{1 zyEH!Zc3FM3Ols>Ymyjt+d@pfDue)vpX5g5qc+!T8=1pl8cU4Tz9!=0*o!!5Pq}w7% zsqu(CSz+P}72in9zOV3xIfe2_?}Ldrhk3F>LMjut@B9n5#l#BZxc#A$j3dko6^0dQ z)*S8?&1D4Rc|*KOM}E(yn%=}t+SBl-0|v%POAAx9@#gqP3qH&R-01YMPCxFu!5h{3 zDQ6@wqJUESiMP*tv}hKKH|O40iK^+rm!hk92B(rB4)ofjbn1mFi4^i;wt^@Z%R zmR}QvWI5Vsn0mo~2+c=q0*)lxY+Zc^R_p`7J69^2b5v{3(ns#f;Rc9Sdc z?5KI*zz;|54!iA)>$pw!m_Ya!6u;!!G54wWF)$ZXyj72gKJH(ceGf&1#{yZbej~vV zFt_Tx0R0FdITQ9o+09AdEX;0fV&9UqToSIwkS7o;5Nhkh>;!DbA393^J!lE`cLs5* zl?6UU`f*i898Cb&d76^+lj)g;1jEl8ac09gwVMK#q3!bEw#Woz?gB&v3xSC%^5n{d z=r~2}PB+fbPG)>6n#=ld6#Zkf=B&|J4`*Y~NHcnhnw1iisDWBtD{Ts$nCE&$@LE@Q0RE|1@p3$Tt-1Z&h9O$orev#XnhsnvqslEzX@Y{;rzy{Dqd6q zVO#~3exDm-hpj1Z=p&5p-Mwb-M>rAJzvaY|@QW`;tB_*p_==CVTNCGvgilWANa{p+ zX1}b?8X|JMntfWM8lL)1eP;;;c}CURthzQ{I}4%iYdAO2(~w#w;&E>@LylTmJyx2n zIm?)GsAb4FkS%3Jl!gh#$&Rd6$NpsR>sQ#1&>I4haF(;AXX`TIjz1lek%0ZOf{_oR zWHV>nJB`T~v&zFBoKWt0cpAM@WCM-Y1vO}C*0U>8wUxe?keQd)s6IhuRqgtRwnZHJ zU8+81&NC*`lu|4kLZuC`&l`*=n@ivgbiXcovi9yU&&}tU&D$`>RZvdMSnB9#rLo#|IoB^(Jr!Ur&=v z-)k05VI4ep*pjBOSAZFFN;cBv=UWO!022hyo9(s+W;0(N!uT+0(Lp(ri3E?4ln3hM zdyq8HOp53G8ZLjQa?bo*Q@I^cSa@l|OA2;PjJl=rZtb{qKTCJw!85cG25)8Gtjix} z7RGd6ot{5j@E!&>$Q|(v*q#~Qixo{YL*UTGeg`fIEF78xn0iifJ1)D$v>Ul8T055Y z?)hM~9ef}8E174N_Xy!9d#hFijE{TAQN(-C%gA=1=g#K3P$VyU$7Mphxb8$)4m(k^ z=o6ZO8J<>JKiVw=rQ{PzoUIZy)8m3}PeiW0{tT)Ll@oR#A^g~$YW+VM+ydz2(qfC^ zIQlW0E?p%xx%BUb2+$5(YAhdvmJkT;Mp^R}|5}abuOmQl6%rOm9#Ma)C1h7Y^^mUV zXyzLOFlOLl&PsI+pJ=%kHt$-K{L6jU-2Y=aR;YJ{o@OjBGCj|@CG-3N?yY&U{1G(D;u36QPJX$ z7B!2^SIL8&m4abA^LM_$4qF|s{ZDCG95l#@Ly)UkzKuGIhB?aut$hRF%lU1GzJd0{<*xvr6aD*}lh z)rYmkkq6PzQ^)&)j122UR=RjywqIP;vs$Im?%qeT9`1I-`3tlKWK*2my*aZ&Hmp(D zLS6G!Ru0STlGABnMuBydS9Az}7zzpKuZ?h1o*Q4pbKWXnK4*vza??-dZ~Ro?8qAM& z<=6TquB!g{DL|0l2h=SiW!6a|DNZLY|COdKz2C_`6Fw$vUnJiHukzBh6;&&q*SIl( zw;2I%{cJN5)=ciA07e;c)^&6W&e6=3r%KxbYiSL`_3EpgQ28~LYBY7zC1(nk)&{%wR2biyUWhqG^21bA8o|Cf?Z(q z98NMrE@r?nYvr1-Ck3fYyh zD=VBzy6E#+BOZQf=~WuHUsivnUA~#gl=Pz8$@L}^Kk+rA{YKcBK+~g&_#%ZlxMyXT`2Zla18HE=Xo? z#1tRtdf*ZAdz?X%A1IKDRFueIVwZ)JT#R_BwV7*}3dCx4yq&*I=ePE|+@xH0)+|VW z6Id}tc0jOqZA^z_Y&pNGK&&N&wa?#)#BqSdJG|hj??EbAJ^C=dTGCVYkqDa@#Pge5 zNtLx47mawFTD`}Gh6>AzIA(bzx|A2FG4m|M#YSx;;ofS6Q3qhylb&~|kW%z-!Y-bh$D0I4Br&4lTCQ=0u$hfA1i(Yrg+ju0Rs4TM zyn(SV|IPTe(I8hZ1&#+j*A>};=<2{N79Caj1Mk%X8&A5+#}C>%&~ic6%S8(H@Fcla0;7BsbP}T$D^dkJyhdg&o!ZHI5-$BK zDsEHrQ@u>(UcGTBKtR}1wnjL3j`rtKve7y6*pK9RW=Y!y+tKUrZS5=g6dTLoP6t~ z#kWJti*0(fY!YNLj${a@EVn2B&fSPY<$mY1X4AgK$rh@R6J{$KMH-#W#DUnwvUY_)&*(fs!oYba>5GjkjL;r%cR^VGH?B;sL{$e$DQxSJ@5wb>Fq0!?(o2L&KI(ZV zaddBGLvZnhEj%M*q3-DgmLgY4w3FZSyT3D1Mf1~Z+LCS82>yPhKI4gF8LD)bwi>IpyX~lA$U8#;BaijmhT=Al)At2oonp`x z4{)a7Q`0|oaJw00dSp|pmL%y$0UA`I-{l~MiPL8E<4Dhg_Yb^*d;c2tw~t~Ps?(Ti ze}S+q6@Vev5{O9DS=$6KO}hA0#xUOJFXFwue8^+F2UGJ?gX7ct(>rpSf*W4d?Fvk@ z1y3O1w{h|@y-F6`HNShq-$n`fC(av}yD1kRIhH?1E-Wwuwy5dvE|x&Z`Kq6w$ywL4 zdn@EHYp9?^bUFAc>5|tcF^@T7l4#J!5(1UCWrK>Et{Pl@sZ zYH$Ht1?+z-!Imsb&(>)>>jKW~JC}*jH<{A9(SuF#QG+_EAuf^~JTSRE(m#U&hTk$X z&|Upa8vDhGdG7Fzd>0}=QDxcl$ESt5l2Hs~){G6aqUSaTH2XF(6ba6}rzn?;{i8KU zFdS*%BP*~JM7-T-{|ZR z7I`d^%tjE6p=W2u!)bp%mouk=UBBD)^JzF>%YwF`#(gKOba%Z0(Hy;9WIB4$5zjW9 z&%ljI&Wym3C-1v-I(2-9pSxi8xmBQ;;__e0ji2%Xd?>DgSU*_mLrxSOlD_PV%SAid z(I{qb%KoY@n5v;NF6CD=`Qj#zXJ z{q#oKM)Aa6!W9 z&|O7dVFxcQTcXP=;}1C3ghr{NZX*-$j)q_t)#;U_@=#<4LLlFdG}#7=IrSQWahfr~ z6CH$sOdlqcok^AWz~Ww=Qg*bl+4yN_QcT|JQ1`S)yk+7fJIdQMpWZ+Q-om?cCCqqN z>16YB{R2IJIljh((=(bh1%h=DVeKR53wI!4m#w=aZMJK|VA}P>#s2J8&T5I{{N|rB z#&o~Gj>e=?Hvw?OX3*I_S@PnkUd0oB= zo6)n6LeY&V8D25v?0@>6?@t|2f6-ZMO}~%*&>@%mvni5#bKKu>X>RltFb5soj`sJPCPCHXh&|Sm32=w8DU!L36B%$9%dXw5j8Q49n!6S^dpjz2mAoPN;KKJn~5)T2ghC^mZ+{->VpUsVtW zqT4aN8;EV+0K0;CXNlQ6(T}W2Z5g>3>>M4jN_V32>x)3nHlL96zVAL|5foHcbMB;2 z=p5F6yBDqSIV<3CEMKn)$+`g(?lN|FU<0vx=Z{DMB~ZG_{v3^oT1l{QHHKS89{rd9QQu7}N zrL*dHpkSfkj-9YqhUh`*t!GFE>HCuzbflsillDS!vNQzwX8l>Fo8eKL(JffGcZtU0 z3&z#eigRUDp0M@cNe=!uFtCnw;;<0 z^;e0|9Y&jTvBXL|<9_NXl5$ITh)2`QwxRutVNJ;Alafov1t#~@Ld6%X?>RJwCkz6e zy$2(;S3+qf*oX9)wInpzo|LSkw2rQ$lq#m^@^U84a^~%;{dTmK%yLQ=18#?9oE2p{ z(qEjl%^VaB0kTQ<@bns)atV%0u*aDv+~Q46?AI(LM(}_I7(z205Wt*@_m9G?KzM5& zOz*p6imi@0XkxP92NB8w9!D3nXAT@!4gkJORQ~<4wOyH(`T!>>B_R(=aq8Y`Af~rL zMrv(jvz^?F!w$Rys7XgFTVEYn4R?6fwk1#wNp6U zxC#k*P|d#tkJy%nicNs^uXmsKy359trMSRG$PTs&)&jkt0dCN06g<@Xn)F_o*O+9K zLlONL3>3qJe{VAxfR3CVf+z;w;kGBG@8VO(foMWcz;fG1;cu=2aUj(&;9PF|tH*Ic zB5sRqFmo55G7cmZ2Ha}7Eog3kNB1UEnTWs-4YoYkl-|CcA+<`x-2^VDUq7N8q-QP; zkUR<6E2Deo^I1tD_Yi~c{o~lk4?M9m@I(PCSADS;U3&Rw81j3gB4!dVeKG9Lnn(+P{dKoWZ*K=M^Oz*lTqG(5< zRK&>SL-wG!>q_lt9J<%r@{`5ycIjv^gWzvpDreD;IP1#kzv0dQ-PTrP#@QrTo(|aw zIer{O!zflXU|T2Tj>l;tq54hz-aEhtu70WcA-Yrp0F7_K#no2^Ck|li9~32R21I99 zISl+V7oUNi_#-Hyf;j_Pa*IzNW5~^>a~NUGInh)k6yXp_RB`@n^6W73Fzo7WBfL9{ zLmX`Wm~qRw9E~END?2(U0q;`H{r4LcH!>usc8m&4p3}+vEtr52c|WY&qJJgzP?+9h)}UX_X4!ud3s zOP!g|>~M1?nsoASpFJl&>+C=;W=<0BC^pLmeVp9sQ@6VS@ueWY9P&JR;lIB=$UW#2 zY;lW6BedPjBH{K3yxsvyTQsDIJ2%?3<#De@l4em#4WH?PXSpAB!#GO-(d({9oZRCm zY`uE2NzI<5LXkC;&!3m{0MX9@@zan>182{0!ajC37BcHT{=XSEgx010BQZ)>2QLic zg}=$-whoi(O?5`RB!46mWpT6v2-EeEASWYVm>t^2L{r*gw#RkI=nMhALjGz0{vts< zFN8OfI}z}&C{{!EX1j;6ULESKeO^vxeV&B0wHOQCj9JZ?h}*eM!{jc8`eTT3AVuv% zO~@N>cSi2A5KiM2gV^9pcWA+gthhy}4DIlRD5Wjg_jHnN>)9ny3gZn_MT#RHJ&fV3&#_uYE1a(Z=Pjzul+4BwBlW}&EJ9$C5Bwj72j(LR#{}CtKmuU z3Mrc4TH;I{zqmN8JnU^StfJyKwSGPW`w2I&M_Mb8h_cnIOQMF%i>xA5AD`{me+L)Z|IZ-FtZBHn?7L z#Jv;tmDq%wX9oSb$^3YM*;aUISlbAZMErMk`;C3U(3Bl$(gMI|Gj}|KStu|432lq( z498HuBdQu%By+%C8!)|cQQ=Us*@gh#y@petmPWPjaD?VC#@*AfZ)Z3ix?G|=xfkqy z%~Z6KQWtE^#o%A6HTkR3zUI88-Z7!8Yh-1z9IaMrC^$<%$-zNVn^9k*voG;=&ZG%d zG)aZcp+IKfF@6v8vWAhzXwA?Hhd@bp5cZbi@k^61BV!!?nX#J|8ki|NLb*A9e|GQs zV2K`O&_BApgM%33YJG}tZ0=6HMUem{JW`izoo-^i7T#ex`_<~Fl0Uv%hINY1+-wSP zz*We6& z9?U~(F+8un^hJJI5dWs^;d|2LUbtDsD~)2Xza4Y^5{RBy&U$B?t4v2A`q|?2i`-+|*XX@d z5;A-#4@4Qc0b&z^qLs8)zwWLJ`c?6Xc7 z4@-oT7cWQ5oRbDy`Y}#L`^q2$2RMwz`yTifkHPD2ZuEIp5fFi-yfSb|nrJ5oU7r8@3IoHT~t? zxfZ_$-v4Mwb(3DjfnLAx9%Ql1yw#K>UO%6z7uw}+3$9t&-VOHZ@xU2Dyx(dMCCV}r zKJ%}^gjDr6^K>)t=X&1c&N&x5M0{}U*=S77LvL39BT`R5&7@mfpPe#<1H8gA(Tc1n z&N|B@zGU{T5}&irt|XF2%D58|ke&4uuW}Uld$L=>2+-&^>2{o(eR7XtH>1SUbN)Q_ zCPsA2K(!bQIES&}>JWA!a}@W=K_)C%dJ_Y~5`zDVKEB`{UGvyYOMz-^Z=uA6k);T= zXa*qeyMuN%qnq&&rk)dc7sK3;)Bq(u%OHmWC`cA%U&p5j9e6-6irrs)7If?H9+t$& z=Moh`D)eBoIEH6>BH-T{`Sl=?`YN0Qg@Q{Gi1zKO3?RLHsZ@$~ zKDPNslMlv2?2OiL-@Z%$MW)$X`MSoV8qQSyC;LvGMUIh8feG7|s>&TIB3*|48EKniyOoUAi}V)&T0U7|0mIbx13M2FV0qBbJWQGnJw!cI z)Ne1;s2Nh6u8QeJd)%&D0M5Q)S4Z#A z^UO4I+|mFPxOdDnScpHa&$vjqX5WV4x4!s@y;Cc0gB;N9pI~!il(umvRGs-i9!)S{ zw>LLLew8Lh02}`1?)UGcisKOBcrUK2tA{oXUlsi->SS?n(x(UTr{*F&z%onvjDNXJibYl_z6Iq?t({%P^556%EUtxthnzqb=c}*(P;A#*8zkHN zR;-ckUk+8M&z@3jA0gQ!ERAdVYc&cJSqu|P_Uemo4?$Cd$%6Wp6>7SzPS#qd|LU>0 zr>z^0Fjhq{K8T<*k^pGaC(*;OO%7OkzXY+ZObg5KwqYD!W3MlmrkV1sw6C%`1Dy1opq=2% zz)2Sw4EES$_(s9^S?1#TOJF5c$ty#n`}$foCMUa(NSGp%7=L$-jrKgX z_B@ru%wLOQpQ;1bAQlpbaj?78j$~G;L9pEh#4!UxD^_R??Zh3^*<`)Y-esRi924$C z_g-lVe>ueQYarlW2TbKEO#d=8`@IH%_c=S)yDryh zL)_z$i+<2^P-iz6)!SWN#IcWu&mWRxN&#}UhMvaf-Sp=vokk2Yu}U&~|80Lso!RxN zg6Pq8K}Zn2h5|+kTuVX5T8EEKqNu)4t8W90&M<>K5Q1s&Bs8K34bYbd*HpLNj)@;FWzuaq%a`ZOT$=3*S z&Jn|lxePZ+!HIaIy{-i{_qojfAlAEjGM6%_oWQDbC^`_*U^mCo>*HgLlK<*kpeNq= zT3pme&BBOm!dYzbhx4+c%244G?5MbK_)W9ge@6%1t&A|}X?IaYB}C=A8RHTBu{FnG z%W@{Q!e^(RBf{Y$1lrC7f`5yij;K@llgSlC7!qz(WEp%hp`sPc+bTNNdXb43Pt=pr zqC&JmFoBiP61)qzlz*6g|0*<|;8n|sm2mYlNarEEyZR%I(b5wpX?HtV&i|Sjg=g8s zxli`3QzRbwFEs3v)^&|Cl(eV*yfHUUF)Auo1hh4CcJ+@$-z;hqN%@#At<8D31b&8l*4~_g10@9ZW5}TWf<{m(2 z8rgGq_+w^O?y+xkFQeBgl5wH!tdhXbJ4^UikKPe)G>5G}GkUdE;u@Ax>loJ~x-i-!^*#!yv=u8nsWS_LhygH9S0{zuZ zAoYwZKd~~E8|rE%KM$=hY}HKS4Xtl%)i{*r7feBTU7AiBKN)Y#Y}FjQW;9@&3I~c# zEBEtX)|*~xqv8zA?{g?u1=|^d2ltdXNl{IFke2wOoIz=T2}Uol!PI*p(=-1y2g4Rk z{418RQuHyu++96<&Gm9soC#2c{27NypnR>uKHW9yO++uV(*S}p6#3A0(GTeMuz9td zUU$o0pK${B4nMZ6B*U&mHM53lVcYaH)e-Ns$T@fTuLjnL1e_cK$f8@vL3-MEH_3O0 z!`{{3?xaXqpB?>9qLY3&U&1xq7n6U!_TFw=G)3^g}>*9yTOV23A5X3Tmt-B7GrE{mIlXm!; zjmDadUMEk>ot6Z`osCjXhoRcLtBD!aCEzu~c(@X17#{KP3F&R3KAK`}BumiSerIHM!4J_yk&eLr+RSUzoxj@y_Zs=~N|u z)(jr~@24*R>sOn`eR`=zPkI&eJMk2m7OXH@6=EnRo}d#cz2;GYuTgStI)Qx5R=|&c z=?wD8SY&CdCX*%RRrSM0!M8gu^}Bjc-{SmddnSkV{qKG!5DEd%6WVI$!S^NGZzcN7 zQ^LNsU9#`2BUxj*W}f#-m+}Wn2jGMVIR5n4Hg>ILcljI<7zhCH4#7}~mY6r$k&3S(^h~r2`sra>>nTxdqJ;U^kQbm+=-3?9a5q%Oe_vJ^0#YQS|e)dpNq#9 z2X4>JPMa!Jo}1#}Ych}RmTE!TW-d|YkgfZ4i~C`iR;7=n!GW&<9@s5C3f2_Z=?>mw zIMqx>;}3aP_nMF~#k?wixFPGCa|eD_oR_zc--!ml?%g!ZQssx&B4L&u*Lj~_hJ;>H znq?2M_)P?rs(IdVJEr|yBm?euOn*9KoQLRk?W)mDsM!QFG;Z=cDSUSp-mv>T>C?(l z4v;Ba^qLwGjz$Jgoi&m_r=ur&Esl5#Q9dgSz2*6v6pGA3CZ&uat6n1OQZvQEB1R@; z;X~deHN5^!@^~=YCb=M?mS$++q6&q*agP7=LbB)u&3nQ> z_p#$o;ziS?@0M)nGm z72W=oXKS;rJDVA79o6^Yw#39=mN*`kF-bpj(NK+X_S<@zoMUpvF4CO^le&#nZ^ub6 z?^cC6+IUQEA(JpruR>+?`iJ2+&r<}nsz5d7L!H?`ukOPD-e0>YGGF2C?mr#ee(qXh z^F3zq-4U4o8t7?LXUFVg-0_=<+dO!VRQCbBPc(j`-vcnYqi4A#fH~PK{F*$PGEi^* zpx>{+4e~-0Fe^F1_MbT21nGUih=)qe$*xFc0&f3IoMq-tEq&@IA9+b9Cwsii^rJVq;;de=fEgZ)M zVVqclaUiLW050qwX5oXF8ZzbKH&Px!G3!uv$KZB$P+f0ExhoR};(BSWGh;inmAgPQ zj@aevg*eZ9ZAISsMv27c+l90_598TZTnBGP`4haasT1QFW5_zZlYY)fpSBTweh^!% zY#r)vLD}sbxUDF2?XNZ4R`&_Rlxfej9n&cI@na-$t~Dk4=VYfZ&A>PN5$mMXl@X5Z zopmIYFQd-xe8PvTs~5o$*Guy<^3HinrgfOx6}>oKw&GCV6Cb|ge?4NsZM={75rmOA z;ouktHb6PNFDh|D)JHz&y!IEyiCMrfRv3mN-?gCLVr8@+Z4oOYFX=MJr$uIWw4dWM zTP8ViT{p)`U2j3Pb2)r69%~BYQlFqV#bI0;KwM%0zdO*0ap?l%Qk&Z!{h>~~Z3cHU z$F@aq#;D0(I))_K=HS^V3&#`NtxgWW!Nc){FJCyGSZf;^hOU|KH|$1-MA#8*RdC*b zFI}_?SXIA0dX%0*-nKaWalmmycK$e4C2z!qaaUg?%G^mmBGYWs;}=^iPpJ9wP+> z_0kJ`Tq`wfK+}HR=K+f^IejW6?n8ZY9qoxf!nhoM7Rr7?P?&x`wEGtL(kbvWli(C8 zWMjtft9o#Q(Ij1it;@cS!8jFPq1trFaxGU+mW2m zU$)tN?u5^BIB5gIkf+c_4Hno`1t;%yb4=l67hcGxp9H6qkK;(%?<^{X`s8&7{m9`I zOvK4p$2Fs~s1w?#$Un(ro-Yl0jD|S-L630r5k5KRk%x1*0Otm@hdi8DFdvzRb9VvG zImE0yoD0wu%eDeOv^&R1nyT3S0`L(IAv@FFClw*~Rd90tGwn-)h;~1b!6_MRE63mu z?8k25IP|4{D|^hBn0MRC5!zj_%YTTIaj73gF`SGA>ru{l9^$+XJ{50>6GgfHc~XI} z4{>tML7v?&75L|=0-USi^R&fX)Cu`KTY&RS0ZzUnJ`d+qfzO{Uz{zi)=i%i13H3to z(C5!(aH292eaTNEyNg+q79VXN;=JGiR`K}>;LP*+=?qTEAfF>}7|I^=d5)#>;wSq{ zO;z|DFW~c%BE&xQ&l||g^Uo`gfn^&}C)DTV0-Q7O`8>N%7x?Fu0-RjOkcabB0nV!h zIOhs{{#=32Un{_Qw!r7-3Vi;00nP!~;TG(02e`xb&hb3c6r3)!5q(cnXm`!$KE%mu zfIOd{@c^s%=k@}evo&#UE5JFI!6_L~Qx9VSeJQ3-j$NqBn9tuXz z4fJ9-?-by?VQ~&e?0y0``*4jE!+Ey=C%?~2*{33Wo`KKb$>EdZD@~y_bWOF6H(Wk4=FW^{4RKegX6A8z3W7C_^uF z$@giqERtq%fqW_(Q+Vngfzc0zO&itq2uE|RNSmt<1vduv=IH^tl^i%lVu{&Oc@Lta} z?)9AfF8bV0wR*2dI3Ov0hiG5{{jH%(8ASCS8$ z_@3-AWrw$S%6EkSKL7v#|NnRaY?R+i6j2n%kFJ*HZ{7T{nk_-U#A+oap+%aRotYh( znY+%+s*BK6WUB;Hlv0pUFH!j7qc8PZi7y%Tkl0K42jo)^LV-fP6zG!?LFZg&9H(~S zaCYzc-0wa2oO8DwiXZ_1=Vvc(6`?OiYeSP#qHC*yBfFL?G1GRetmL?|=~%g9h$HZJ z{r;Z_`VzEOw89%SQ(+M(!}#<0n@Mz>uOMC(TqgF*l2Zc1_`BoO(nr#xB%&1GO(3nw zmS9QgTq*Qo;C1Vd1#}#2@W5Tf6$M+~2VUYHr5f$ut;81GTFxs#C(?&* zOA?b4x5o1mqgUhO6SogQGp$5=s1KYtj6O(1Vn#-AG*PGCsFtm$YXS zWotrvD4j}}#kD8GVt)?3e{!I!u_}0gk*U7K^l6U>tKi9EH9W@HWcRdAT_u$|v_M77 zDvE5?@O<(!*XKTX6cs6;jO=hNe8;7cU)vQlb0idQJ6)5RIB|76tJJrn@2_}ZSSzI{ z_3#2iDN*ulr)_go(#v*u=#cr<}mhbeq)UgvL>`3`=Okz z4dqlfYYrL*d3Il-cQ*^yLu06@G{OZ`SkRa5eJTf7MXL=dYS=`h3C3`7d3JlF1xpe` z3qy>cW;lV+o6(auV(97Rkq>gCvbh;%d5^UP(ij#7U#(t4pZ^c1%Iv{oypYYGHx`jB zP$2K-t^7$xj-37JWBw5Mr7>{e+IUCV(!v?PjE#s>s(UF{Gcx)ij@He*Tk{3GJXDoU wSJYJe>&Y6fq6;d2Rlb0zIW`YN@-+F-S1H9W19r~%3jhHB|DYf72mk;8 literal 19108 zcmX6j1yo#3uecNn1&X_C(E^JtrAUF|R@@hNUmS`ScU!b*vEp9b-Q8tzTU;OC|IR%p zlbM@j=HyOhlH7cRgM%x_fPaH|-BE zAmh32e{xN{+|ze)7ik+kaxcYQb$+@6zKNEwAU3pfw{zEQKOW7j!X9B80WYo^*}J8* zi4%xvcHwHoa#MzJD=U4DlbWO3LX7*{6B;hD6CUNn^p%e?%-+T%gKnXdFFHJCLC&|` z^YGwLMa5}D%es5W=5G0qXK48!=&P!t`i~#(e&&jbML z$r;BrlR7@xGkG3dTwZEGYIa^XMfsl~D39ZCd(K$v@&#&_Cq$UDneq4Q(qxy!-63d#fxSinH7c1PTA}^o*RO^LtlxZ7gg}97DZY{n~(#ynL3c&Sp4Vb4GQzlf?D< z3sq<;-wr3Mh9XO|4Sgd^lAOY1x00saLcB^Ql1&m=3ewJ2{sy}$21S11Flq@5!`Uuj zsto(_NmVdXSGHxp_&UPFEO9wn9=sd~^XeFX&8S*!fVKe`_4e$$ZUp%XTLwtGtID#2 zuV=EsGoQ-3U)dsdAHi3Cy-;X_(`kcfFWyw~^l9Rc-d@@UO){ls^=O>{Yf?Ujtz)}c z*K?FBjat3emM1{T3A3B-*9;>elV6K7w*u>;Gvl8*G-B?$E{Wck|2PbOn-rNrj z-yVI;gw~-h9f?x;R(79l7l9l`;5SmLF76^0QvV_9AQF?!{(HG(MH~^Q(G=W;e`SGe zm=r%ORWva8ZQfVXeF1H1aRm6~O5Oz!Y>KYZJeg|;y)F`LqUr?sRY~5B*Q32QJ)F0Y zKK`5e^-6q~{&Kr|zA19OYS5{ZuRndCu7%wk((gIJ`!xHrVzZpW<-Ngmj))?tBKuC%4QE?yo-A0~Yo6>U$&b}*433#r zBo&A8<)LT^UnCN)-vNDg{2v_Kell?=+BOnbv9)y*-Y{6PmpV(K-TULnuz2?q(x{== z#FLHJgmMM1-D0=7df(YS+G);vx(@_-i3QV z{7?^Dh_zmO2T-dsBKnv(d};l>0ZM6-@rBlS;d$%aTIesir}gDCcF+fBzmh(bSh}iU z9~bXKTb6(oznG)|{2?brfI24rO=T6%`i{B5^5cEmZvbA~2A#}^QBhgSZ%8!Llu{aZ zc1$)3@TDl=#`mPV`%HK}VZ>u%;9Ki^8#av4h3sV3RhsS^Xfz20K+!~;1%VO#$7eo^ zgI9Cj>f8S1>#w7&U~fHu@6Z9lZJ_of@^y#pEk+i*Dcvxi@;a*J_*r)d0c>bThw@ke z2u|GR4!@41%t|&|_;uu)6M5P>V`=2tdw=gj>^pSdwO+r6@mZ+9q{U!5q*G(3C{IID zd`Nu~gCjqW>+*Z8W&A~lOgPb^2JgiRwm94T-~LIggwVj=k3~?Iqb!@5YU|gTq5v#v z9_jq60n_0beI6;l_ii*&Beh>tgKkuLEau9w<$Fo!$zO}vFW5bPxXz)LM%O$sd{=zZ zw;?ORB+v3*&UTT|i0`^lc311kWufgnr9QaEL%0cYdCCfNeRk+}&VC(1gYtlAn&?9i zTUI}azN&HvV)a_aOc_1veTxK3yPhZriaJRZUc91#_`= zL{cN7JJ2rxMZD?u`%0c_enKPqlL6V#>q-lpOyoG*#e6Iacqh(wox30)L>ZdyzEW8@auTP0%2=9$K>TocFw zHNuO4m&w!Zvt!YR=%@?z#W0$Zt&NzksEnxUP11EA5E2k?9MjXU-H(^F_scC64$2+Z zm#kD|U<;AL+c3Q2lMgMPeJxg1cQDzE0y-aEcs@nIj`@!~iHnlfgB5n(# z{ag<@izMjnTT$W&p#ds#lYjKF^)!ff<}KWi$DNKmG|qVRqD| zafK_Yl&vX$73g&7yL*<+pT=KQ#Ep_@HxEZ@;ur`?*aCo*`&%?WyU)DOH+xz_8620d zCW=IbKm-&>;LyLPn<9ydzElnQ(l04iHgGbyqkl?q?hufaD^_M%4yo@_+10J{q)k&* zkiJi2u#p(Q*Nl#(3!H&tFv1hH>Yg*#HvT5x#o#pKUrYtwFm0pXm*~bvDr+J$kVfW^*>`Q+wj;UTX zc_IoY=3;!n(DZu zj!bzusiAZHF{gysnnC*AO~ky6DdE;RHUEJxyNe0@c)AXUxcz9_w(P!&E~d|HYL?Ki&eR&?{A~b zlR&!}tyKkyIo)S#HCnu*(T)4&X##f3lJYwIuui4;bo6y*WhxvZ#!=IM{(_1VMzsQI zDd3s&`64gnnIYXTH5`|!JcNep#LfO`LH5(>U=W@g= zti@pAb@Q&p-sik?l9o3!Nz?7b5*Z_y-6^EG9NyB)~)>l@NE! zZ{C#`d>oWCjIvB!lZzd5uM6d5g=qu{p^Rs7T!3`q~pzH3mwTSXwu9jP>6*2cdQ>= zRqm`srK`5u6y|$-t%@?AT`74UphuDtU9|M{jQj$+D6O5!*uTj4k);M}^BX#q-Ugoz zEFwo(H>oKDOWkzmpT#50e_WbbnC9b}gk}$o`Qs^gX&qj0*1)#n*`QMpXjSc3SnW7M2&KAwiWvVSZEWfn?rV{BCD{F!Vui%fO`f+>c+` z&No`cN2w4R-fk(&9pKO#OW?&E33+eJKWU3Q#*@5nk|g~uDIWhX%p*WfC-g`$3or8vgOO(U5}1{R4&ycNLX2=p#XLPFwk(u3k>3d{7k7fN&^ z73mxqfOnqtxQQCyz=<*i(&Wp`xRnk1e)mZM>J$23t#)U>rEsbgTow8ix7)+G>j$k* zz}t^MTynXh6fzb>QY>a+q z((&i#=&*rbYxS-{_JD0EcD18rJlGa51{PJq6}D`k%PRH1xwXY#TBJ=B#pil2^o4cw zOS4NK)^GmB`?7a7;7<;3JM2wjF9$A8)gm9pDGi+~ZtEJHn^Wu(F#@CnU^t02B4 zUFK<{4bac-qd$_W*&nIdh!+vX<8)vhb$W(B`eLS}EVrE@x*v#dY5B@DNby5W+^Xa* z;!WE1>P~AxlxcZE(vk|pz1WV=sjkq#4uFCFcUri&oSqz0BJf!cxH$yn8F+%FwY1RrD$qz-3=AF@XEAKb|aoV8cL;Cljb(#3^jLYpI!oxo*zNSHY_CZPUm>-B&rq^ z5uAU8qXV9U3e9}_&X$eT%&zQlKL30*_;f2!>94}N%I->ce#*5`t+63=IVEU1^8Rtv zN<#@#y{x0u95@WE$g++M6Ho?mbRGd$M@ws?H-Dn!m8M>it&h?Rd%1n1EBoe<^}%QPE$GZlUo`PZ*sSo{B^ZnzngI`wsr;KDX7m z>mZT|zdr);#vj7iPo1$ODGe1YgPNjK7wOf8g(h>}mTDy8+H0WEx4IZhG6%$FW^%$v zm9;HfJnb`nRj z5!y)aWUcZT6cEdX9oHWQ&J$^|yHTZ3bZ3xJqyDp7F2I`y<23>}#9?=Qj6{3ou8-q7SymKzImyb!W_5RDPDfK#sF2IYS_`hVyzwuQjI-&M!Hop-c4zVAdUnBR z=2e#CPFO0L9j|V8np$L3=GxLrg!6W~`2;52^VT1cEJgU{{c83IZ2s|`^T(#v-Tm>! zBB(2zlcnJ?4pBP2wW@L(G}_egmq*PhUG@F~{NTgtbZVknmED80^ZrNppEM&B)1UP{ z#EIQIFiuI1XzEJOw6MG{LvtweCIklQ5hzZJGNS$%v3P0dOq7ctPsv~2ncm&Q~K->*|>(?*DpA`Frnl?-~nE8B&2qz?>Tq}#X`2u^%Ar(8q+ zXQftMJt0WQ+eT#hOHaE2URa3vbjC_k^s-w?M;vjLjnPf;M_sx%ZBkH<) z7`{{>H{-4C?)npaF+U>@ zrpv|gc%1J;i>@$^W#SOXm0jZEKad-FSZ_!Jn}0t5k3I?_ko&!k{!S<~k-=A?8f|Uick2O9=lND+Gnprk>Z(OZomrYsQzpzu$wn6aqcQzZKO^S?R@OF!1L# zHfp1r$PRqfE|-ZL=cC1MK+?%O^Rm!dX~k7sN3X6ec0sU1#~Ax~9Nt8Kr&1_=4t_9d z*-EBzW-$ISKKfBoE-?pVX2`!|v}4mk1#tz04s7VCuT9?uc>WS%|-+fm~7Pftf)_fv)+gBJ(hPgNoa)eJ0oGP$LP#oe(Ra?<$) zw(a4XAw)TA@W{2zWFx6Kqw}Do3XC$LPs5EA{NkkbZ&JZAL*qO)(UX0aspd_&Jcb*| zGfO2Y5miow?^uym|Nb1_WrH~Kxtk)sY|RdfC*T(&a?ceG$fgaYQl_u!5BJf74}1O>=9bE!eO;snM-1A;^yxJ5nY8|&WMv$68QlVgO`_kl!Qc5 zF1t!jEBah<3fnQsDGfS;-b7T`dq;B=L0TQK=tFy5?F7{A>CIFbqaeIbuHF}N&N4+9 zs`{wrWh5mHCi@URDt#{NiJel7(QMP(c=KsGJjQlaL)DMOt@#P^j)HnbQ9dNr;-kIS zd`6|}lh^##3*VMO_=4GgIx}Rof)xlE$nnI-9SaWP6{blEhq=@*$b&kDs(ulY%Bk<4 z{|YR57YUxJp&7}ZjV+p8SbhzySSQHl_HyN*ZD{|EWhSO(@++~obll@|LIY&@5%0jo zNJ9fvw?pPpdH%f(=(zhqPW_nngI;Rgp9c5x0E=lH8_-kEtXs1sYsJYYJq4!T2Z7AG zhg4`0K@XY3Ao43Ogh+Ibd#gKs$;Xa88h5p)4@}9RK-$OTsj6HSt0D2y^M!NwBO*vY zlE%4xlpOc^b)zyl#mn*i(CgG$abeo_l)IN;Q_Po=vP)!au*=I_U_$hmuTW%&LP)BG ztcOs<(H0Pc3V#$>;6>n<(!We*s=OAvsEt?w1= zsdF~S6LEA9@;pBNb+?nqWdY|5-{ueEjU|}#pAT9QCqR-L!ul8=EHXdMQ}qJc-+|ZEPDG;~ z6#BkB18)T3ure1#R=r2PGGw*m6GP+_5s%;;$b@K8P z5b^9KiVfgQs?4xaPzb}9Pzt2j(N*PAzlBkQ481c>d%P`?7)+)O_yqC6dq#<9avC2p zx|`LPzY&+#(cNFASz)MArQNW2zM3-9>5b#+h0}nS<{HPs_yG}*hZV*UF+qQp!xM>m1 z%Ha#X@Y-q#8n;+o-rX>N@1MVhNBhx--Q1k~xT5}SJJ|!QIzVC5Ppy9KEt;w6F>#Gy zk!k(sFW1NVX*l9HP;Rk~88||QMpi5h#Wi%Hkh!yF$A-p9u}o>fjVMW`N_HGG!NW-F zv6gQTAiB#4)pg^SE-|vwEc8Z0eSg?T5+*aaQdhxz*EOR}_Steq#uqMwR-I2g+Yz|p zPe9FmRzl0QE6V4lvKTibHAGxg4O#o1$CuFUP@t#v;XX_@KBO5_eEo0V7*7Q@#CtKM zqZO~9Bk=PPF_81y7{e_5i;KG9-0K7amz@)>5rcGD6<>F?Sr8{eNx`M!ZTfOBj>u!P zUBj9~n)$=Gsnp&=zU%#uH`!z`DMO@{$#_W;@Nm$<~7 ziXyyzX0z|8buhP!X_mNmKNN0bN#WVX`E=c6^yA)Db}2jz&oIRCW}+pu{bf!k6bSLS zowyU~U-y0t7XMawmmhKXQ&8{nN*qJDeL&^kJS0Xo)tvF>GuFxCbsswrpnQn)u0lIH z0ZPkbWfqT~X`9*9IsUyl=x&1W_SKvD59CXjYHtk_aB@OtKJD9YuDZRj)8+P-kMbRK z3T3h&3OsUX%Sh?C%Fv3antYn~79;!I-H%aP>3ng|e8rzElpn#FgPT|R(@>~^sG4>W zoZLCH{vKD{sV7g;mCV!k^E1+=_6Dwc>;2ibP`8uC>4g@**WA9f@f25bnwOLnYUNa( zg+xwd?I%c8emb5&yoD-5S7IiPhqjsI(3rFBfGV_bXL z*~ED2pS$I3+9l=@Gr6Kmd`r2t%$<>9YF^Tail02G?7hnfKk%!tz;FL;$m`+Oj-5iH z%Z&~9;JRZwd!$Y)jOaO560n}5NQZwJ{#zfCOZBxmw5O0Ow4F~=SJRg^ANc)KH6Jrv zjooPIL5zIu6nrz7n_x|O$coxG@L{?9^T@3y-)e|+UCFueJDzv*ku1#>h^qZSgBoAm zVE)-h?Vx+tVKQ(F+#=15@=d~TN^vy8fWfbgzj=8enqK&7HZY~NV{wg!zz60RiHbzCHeCB4h2R4uW<>%_baP! zc})B1Q8+fl?HKW)!wyPVQt-ud4qN0ID#+-`QCf@WFty~Dyw4iSMNwph4>{T6&)r?e zmY^So@|Fw~E8yd$11$Spglgp0+*R5)p$9-&`>%UZ_%NwC6@t`>`TVrt7&#FWW zP<&3|6sTf(@9+0LOE`goyu^aj%w=QP`2+rZys$CqMW#+{(FnPRfxWvh`Nu^yd>K8} z7w(t6@%Y5x3-I<`B__F_y5!;!TegDM`o%8ucT^g>XI(Au(^1nWDs3s$f5PACuM0ga zr>i+#_XpmwT}&#MJ!JPnIrbITc=28frzpFK)5O*Yaz5U73i7X9+)T=^(VEedCql)>_7CA@-;qEt^H$Wh1H+ILdev4eo!A$!X!OwjCxtIBVP& zU)H|Tal$-aC*6cadUm4X=(?A`d*h@Yec?1Rl6Yvu@=mqHmYV1@dMc{5hufoWcZ&&% z#T3}$^o?vsPc<6O_|j+Oy~dsrR24xPRdMErVGmcGpc}}l(C;_phUJ6)gP2R^1Q0n+ z`r|A8Eks1x`S3(SbPnhdF)TK$qb1m#Go4|~c+Hr5^?qiX@?n{Z2I77YCMch%3^49oFug871 z&Rucb*cG+6$4%SqB?n{MGor4TzfK4&gq}U|YV2B<1%uDBB=2&Ver0o>8WLS)Wtdxs z_y5D`y5vSqx!c&pVsHK4Y=R}Tc@1rEXaH7?>WshL;MHgdO78e`ZA0%hp*xj!zII48 zPobTTU2|_Iu=eINIv{U_WfJ~YOuT479*Kx@Hbz6w#d2bn8k}z1i&w~YU&o{>Fs#pY z)~Pn}>ntEj3MAuAagl=ieZ!mwV+}#Iw8!37tY%#bN;Qkcs#H!?NRP;G7C7hvoE7Ia zRBH0#7E&5eGX1vp)3+%J@zKCAL)}vx8u)36g2z+*Q-36sZDFw37phgb@@I(bg{U)- zF-Ty0RjAe0p@cvE&)_K!Uk0a1ss&L4J(`YmAt&OUfry~0QtQz6sHC|E?7#uXT3v8_ zv#XLNGa%l@)K~JV4~kFYQl_!8`DXYl=N<_pQlMZ#A~DG|XVc3)ckbpJXc+%9{6FK1 z1O!CB08s^KyGN_u`SDMiU>v%&wT5x7oXl#FRWJ2cp1FTe$+Eh&(q^|+xNRx8}!E~ z^K;-aK13; z41a+-Xi`jzXelmV8mgh2^)(|tIvOhBSu@TQNLgw|L+-b7bg-28*n+G$Z?gX#@FO$t={e*}GoVYjZZ1 zNiVr+fh%VH50*ZQFmRL}3Hnadm3X^{!Y4T<-ZBpNWC}Lh;fFjs60dn@I6Y~j^w}4A z=QLtQt}7@$`3ew;-i&!yli9xjb_fWXlQ-q}WP1uxzw3{SsWVJs4=_GbG1wn-5U)`f zGjdH*vYHHpdDk_f`m4&B({a8mNLuw8=~pogEUU;o7H6TU@`V_JHM>YFYtZ`XbbG-G zBze}Cg`Dw}p%?u-tpv*Yj_IZRx(xKKlfZYX68#E_>LlF{E<^R%f-XM^)=P6bIv~5^mGHsJkutBSzx`$L9#z49jb!vQ+zT_q;Re^0FXBat z%w?V0rOUXdFyjbZ;A&oQ+$8|>3vMd8zp~zUUy{A^2uN?9g3l>)rD4;vF%sXSwk6__tRB;?WD!X)=o> z;?FTZ>v#7v7zSB~7v9y;13!T((~?&cBoK!3WNPUGLN6~K!?Xz!&JNfTq>FC84^wSb z+k6e3EDVf}=s9I`XV!B=?JjKPhO(B$g&bW~6T4*GCWK@rKZmGlN^$7I56wbV=-(He z!Gq4o4q*bR_vu)>2zoGyh$kc>8_mW~UKVc>n7|YG$#DL2OU}HhyHy}YdtrXaf3UfW+ zzi`m1bU;6bgThRYfU>`HE5n!xG5~w7XAsH)vvJ8`7%M@5nD9DgF?B2!hr{xF7qjme z->hf~uj8JKoJ_`Bf9dCFJi>9oszA1A%-VgG?Mm)A9^>xDd_uQdBGpJIm=Hkx+%U*A zsAdk!MjivUz8;Xx@gBOzYDxo%GgA5d3lp38+5~ui86vrJ6XlpfX+#~@%gh(+4BS+RAbcJ;9#(R^@K`7vf$;Og4!mebfgmf+D2}bE^^c8 z0?&M1*H1Zmw&|%Q8d{UR!>niNb=QA^5XijTdA9&to-an-;(zcw$j_XXGVmJ7X`L7z zjkd{*cM>z@9JRqf2ouMcX7K_WWT)pqAT%QwFWTE0d=KH$PA3!`(~5;c5x;t}{pM2K zOVsxkwd;CR#Saf$SEKz;>d)(NZJ~85Z!q~>ddP+i$mA*M? zgs2%h2fI$@R?V!{%#kRyQ~|T+{R#EDADT(QwePT?XF=ismv~x zd5&9Vj#H-CfiwQQCeiT3LH5()_YcW1&MX-lSJ1X$a&~EBG^rfT&vV=^87)W_hZot{ zWCG8ss=2~VQ{)&KnHmGWeDXB!LAeC6I@?T>;&g_bUSZ*|2l1&&^T;mjSSAsFv2x(F z3mj*A088P*5Vb(z(z>^xor_CM_Ng1T&>>d~aifYE%bxOPx#c3x^47AhFIX{03T~Pt znT|-9JP39et0?Q_?jL^7lt8G$!*=PN#YxtIMaQp!2K7E?-$1KECcLCxDc()k^(B6W z#bw5m1aiDQt`{a1IHiBvbE@x}T(&4|j18tE6n9d9bl=eYM7*;9R8-``E>^D}Asji6 z-6_r?7_Q$FG`Cf^t=c%%RwLeOD=5SiM3&JhQ~sf7Uv((`0LFcl_gUOWALTq)R9}BB z{GF4d)|k}q33G}u){a5oy!_P13uaKFJraO&BQNnUb@q<{XFL`G$nG5(ih_bvtZbMw zJU{?m9iQbK_79<+OV8uERz6Qhc+g;(VEpIW7$<-aUwlbJWfskRfmLD!+265 zA>~8RoL;a%APmJ}ts=m=V+#_q(TWanx7t{-a~zX$SVI`|;&rgA0hrSO>=B_qNdWh0 zd=FDDNKjk{Ai~(E)J-&#RiceTN<++F)tqyye@~v-x~v#`njNY^n9ZI+UYN9v(wLyo zA-U!lpoD44s|~iV6_DM4)KULIZ8Nx9PyCfXG`z`(R;l@HWB5x&X9~e4kCDOguztOq z>w%%nI2ATQ3%gM#Bi=ANiY1h}6IKCF)Eyv-HQ)pjUi{bR8?49IdH1N6XZQ+`*PJh=zsHN-@*cui*aoTF^fY%b5O8K~AYOCbn>qTZ zsWEUI3|>ybjnyehmFUXC-i+$TB{6ur^Lou&3_!8dMm;urBi^h)gb@*?OF2ep%Oh{d zE?ArW>2Pr*LU_D>qywdl_{&xwCfj;s5gNzFvNm-#2J%&+ z;?{fHVPW}P+lJ11RIUXQxInkXAS%Li8q9H_vk2N6Z^o=2RW1BTn{C<98THx~)B+OhYzp|6j_@j;->$cQ6(5R8 z2R7b7u1m=>ot6&%cWI!2i>lFSL3_cUTr+%;?<+{Entl8peUya9ow<&Ft=_CHHoH}Y zI^Xg;M0KRd%R*xMW9=xZ{RgDFoRTBF8Hstu8A=bEJ-mt-SZ;c^jA-A>8Qeqr zQDLRJCl*v>+1}|?$3YO_YvYRMJac&rfA8X;>kN%g2)Jr&+8MIA1z(-$CUo(#{=*EK zyoTw%9>KmgVr;;u_ij)VxHp3x$Ou2sknwzo9$#;i(1&=}Y#mKHWeYu$XtsvBouqs2ON-(RA*Bv}(Dt_Iv2 zWuLDFU3Qvy*l&?Mb$YL$f~fyHMnaH^_9HJ>kybogW(4{e!7jHTX{{%t(BAtGT|w3B zmmcAp`C}#k)K6o}=nCTOcm`h=?fusX20nC`@GTL1<1Ta5#xTzHUCH$}N6dyo*na{^?!8$o%f1iXCFrcThI^@VQwr ztv}Y~O0a5V?HeaK?ruxaoj~OuEdBT0PDMYjU;?1wW9tme;Ln&fkP1tkZ>2oLM3v0M z+p1Z|c|RNV|0GsbOwo?UuD$1&y9Wiq9#PvP-?oNwiku~BIeeSTHgOrZxy|numyxNe zjKsp>oh3#rXbSJ#1wGjl_xH=Mu_968Uhmci&Hq*#-78d&wa#)}OJP$jJzGuxh`3WJ z%`(>qluj1+&! zeep}UAZ?!X5%^Y0sr^*PS{x}605G1b9cz{Se0`YQ7Nz%i%hyf)0~!d@8}E0F-!ff) zSq;63CisWUc8o1L2m9R-Up@Jf%iwE{fPiy<&}CxsU<&t_9who`$q5(au<3tS9qi@b zyczs~`%r?=Uq-HV8)XkF^gMtF(b}UKEasA-aw#DE{9&VLu4HFn9GRVBO_su^;7sJ9gq+D zp-7bT-94Rxf9l6A2x>O?1&kr6+W0orI|nBk8tkGy7|~_Zdob90jk$xFLlbv|dTbE^ zn8FR{&AA|=p{XiczidLE-Obb9uxN0R#jbA#{RybhFAkp=@9J!_`W`(VIHpUw47Y33 zkWiGX?*Ut@<2|HbvlDMLSInkyoFDfd7R5NRs5@alNw$s0emUyCHhSESP@pinykn?D zACZt~SU;|pf2(#CJ+hs?_RHYnv)!QCOl``NIYJhH-WAXtj)W%aeO(NIRI@U*SU$|! zwaa85)wkO{dO20~UuRr(t6I!Mt2d5sqdb532Td04t}=7iAL4neNh&>@vKy(Cf{em%{ErzEP)ouO@?I zheMJ0$6{Ilxh_G`j*{CJ9gw{T>rBjAz?vVLP$!W+WPbe5?FLkUr7&hnxwgF9NOk7R z1)f(6R$NQr-+m7licyH4O}40U_z+|m_Nb+tRSe`rTq_T+sf1&kXWO|L zi~;CL-Xla)XG>ZOB*S%IV^z6HWH&}St^GuIR6vx{dJlqnMhQcn-qMpa7m}&eV`&JG zhIbB^&6!6bc9ITb1RFaKgqJb`UG*}Q-jRd@VUY**P3YLuy1qLpGQ54?#%jj77Sa-J zSsCk?Gyxb+ogx+`#TOT3L*xo*ztq~=FhbZN`(ihir1$jWeVXk@c&j%XUOo*XN~DSn ziqb!-mbm}E>Rdf6bNU`$BVLn6quv`YAbVpxend&zZ1lh84K|6g91*q9O1hxxXL?7t zwPFKm3=~v%SWB^6%z5v4gJ8b4Ab-EXm6%CsIQemFMGX(yjQ}CVaNu*O%w892|5*n| zoedip-*!eEy4!4CAab7BY9;RkH^D)jnKDK@1&kdpsbR6>rO1H!-;tClVHW~6j+gL{ zAE0#zkf))GT{4JytRDr?4doYxqyG7mVC|z%;zc^>qeHHrU42xR$?^v%^Um(#K5!$f zh9l4!<;r7Jap42>O^vty3F4cnz+L3;J(}+s+sh#H(Pko<>YFeHc!e>acPX=Xx-1=F zlp|2Z-hP7h5pS?t`+G?1sp~`HkgqO%*#Nr@V!n9`qj*@t?U&0d@a#{FYZ<}xuMk=S zsEJ&95G7bJsjPFIMhm5dd{FwIr+7BZIJML5J8*Hhj?^*9-g# zRe1mjY|(BQqn40=N>g#SP6%ckuspZ$>i{n!zM0LDdaDCm0tB8K3O+lyixF1 zPAiUWne1{;ZSSR|oj*2Im_TVEqS}$xTpQS>7bs57#*`76IEgAyiN{JiR>MTf|4Vw> zB+`UZIf?Kvayx`bBhhs4{3lVn#k*%1 z!#hB`3rhc=e-e-x@P77=c}RV6Si*}F`ZC zt{sC;hRa^pPbcGx&$%b11FB4v{axvQHt5*9sdZ9kkENSC$W2g!8LCMg~hrcg(#>UZrN149XCEZ>RlasKpj zL_OyU4G=}{{-j6x4*605tAHYCoXsa5Jmcz0{8i{f!uK)XTz%8XEmP=1x&!JfjgWnJ z%H#D5@y^+B-`p_4#n1MkXyv|7v{hUS5%Y z`9?FyGhrQ;z=5_JX?|@C=x5881d!rDZUYi1HDfky5<31};)|3BP_Tz>q~exw^DpZ|rEC?NH?t=@Klwbrv3Mcj=DoMHj8pDKt?C3 zizdMqMBHl~so#RiEcijqU@4maB?!=fm-cqjA376pV{Ko{P%GT5C#0fAELwc|Ph>t2 z=AgpZv*@oFqOKbH1UjP9eVw$sR&Q2w~1Z8HgEMn4;+nzH1h z{s#gtEC=(1fzy3kac@)McI=jjV->C*t=(?fwh0iWjRIu!QLR7XlBwTJFaky#Enb1{ zsVb1@BV^i+UPAnRiCL&JE(|6!Ja%o8{&f*mP}l7~Hd&f-2)M9WeFO-a3awV8?Q^Xj zr&J1!8Su^R2F+KwkDT(nc>=|)TCMtvfbk<^UY~s!HkD96@ku01*80=Hze(>NX#hIN z4{cGP?^JD(|Kq?*aW}}X;>%6HhMT8kOCR5sQL|t5Nham%1Rap$6=2sEW4#bX%E{P+ zG0Zo{p|=_q<8Npu)#r+9*X4L=6$!nE=w}^cQ+YM}#q_W4ZG(#x$I1)(YZVpTe0M|? z-PX2`Oc$XTrhc`7)e-*|*Rmn2_V@$7h}A`px6MEUx@>FgLq7!$N6*p9*DXM|^+G_P zX8$d3!Q(A}V|4j(DbdF(;J86h_r?~vdi~U4@e#umx)i5y1FmqK{1|QPQCXA#T34ZZ z)MI@#i?r1toi88N>^J9mJMh=m4DBe-4$dSNYhbl`=+Tv-R9=ja-fmfQgTR%| zYICS%<}ocf`(skz4RC3*+JHT_ZSt*QiS;S#2Hj?dqdztxzP;8BSjBd0Jgz~mj&W~1 zCd4q!-gj8M*86-s+kNBvM%k`pcsq!dl6m&FrX`<0-5^l|Dxr1BnxN7j?xG4Tp|?0$ zbN9{8$ko}$4vXUi1HS)}s8T%=vp#;HgjgQB!2@IUqtHqr<(tyjL-@I1 zzVj31a9AGZ(1>eQ#wpF*bJ&=cOw0sxRrIOwuR2q6nrfKu48y`o@BLVO=6Hooz;Oy~ zwezYQ<8ZX4CSI6bN1S*zbm>7MIrBTq{vg+4m2lo~5Y#plxu-^6G^V9np%x+30vg)XBnqyOY;&Y$T6jGoMNNMutp!xpFj-PF}2!cp1DuVj>D*T|2 z6va+ME%v{u@Wf#en_vL=#!AT)>+`Q0M9CWatP(oB#uWQpo%DQ6j%hQh3o?&_GS4B( zDadb-qi*`79Wk~1gceoiwBkWo+`AIJ{r1Mfj=leFB4v@f&vS3lWjc}bn}W`w27KSY zO=P$jT7G=X1;&4PLNNWWdoX@=-y3!eX=z{Ytd7xMd{dx7z;VXKKZt_u*?ZPUHHn+y zSfApbAdZ?j3$)EPyvIgMg{8%hea)zi20Wqg9Rec;?$O6IY`y=G+bN#$(uxQcK@qN@x{S)7erFWk5$(p^%s;w~3+YUl^^TQg)aQ!oGUsFAe{T2g@-_YMl77h8L zRIhfrJ_x&fctanEx}&rY3!EPSmeZe`{6y{Az<87d^H;~jf>&>qNhJ1UOSirJ`mbB0 zZz0$ziKixtGG(dBKyJgcK?P|9SB#{wqLed_e%biZoKU0WrS$QlwXw zzB8}w_KeZLV{e5|01OM}m?$j6pZZRDu8@fI&n@{g{oN7Lv-h5%!Kj`~ICx-7YWu z@Pv)c7G(1;ijyH1VVpG^3D!u0)D-t;z+6B54k3#Q=aq2lU*|rkXp_ULewdIoJ093Lm)Hh`BQ@Ny>MMKCa)kn5}WT7(&m2{&xUb*$@kw6a}i_*tmXFgV*DL?S;XAf4I_QpM)dh1Y_YP9 zsJ|U$H*??yQRdoTYqqWK6NV|%p8KqA$H0#tBZ+f^RI__0JN0q{zIg(%P6}Na;n?0; zM^gDR>g?<%e7L%L5gc*7G%q9XJFH$dVy;~D;&>UvX}^7caQLqGKE#5}7-wCAFcK#m z9OD4L70LUJ5+_7`lkr$n7?;#{SsuovA;cx-^BtI-7?;k&Ukwu$PLY(~W19kb3Wx=V$n?}RU%0zZo=3-x)$ z@2h$+II@ZI-M2d7b$p0(4C7DQ?+WULI2XNnjgZfKfH{vXX7>${?*_^)MsW6e3J-DO zv5j1u+pIi1gnV+nFX@e=EW|kilhDs%eDQh<~1#mvKb7rJ8E zAmBs0bDX59irp^&AK`fU7vh{!gg92gISpKCUlK&L`-u!r$zWTVfnxz=N0g&cte~h%Jt8a3WQ^b^AY;gJiAX9 z_~)qtoSWeDw8d@I3Hdxxfb&cNPJT})59gTzpFdlG^D=xs59bYQcY=rhc`kz!m67O6 zeiGSTOrC;HSWerAI4^jBReXLDIP-jdCWBKl$mbXwhO)OyEAY?x0-W~?a84HByi|a5sh}O5Eb#fu1vt+a z`21pl&tECPxdV2%2K%xP3ER8QCr`uaLZ7GaX$tMG`8fb)7y zoI46|-pJsT45+Dxv4H*=(3H5nXP?&wF&&$?7X~$5XXW*ZgK`(~$RsqiI z7Uyup?i0Y-hijx5&f5hzuUem%Wy;N-ig zV>rhFvONbU+xlz{&PyoM_gjbdW30Od8yzz`P1}KXIv*)xK5=prDbjFb$mDzgQ)s_= z*qwTL_{psO1VZ+quGtsUuUQ(}?>ul00OxZ*Sqw`d@8DCRIO`-j^pg!j{22dg7 z^A7Uv=J2^5`DnUEh7jiluRVk~X`1IjIJ|B98A)gxy_}p9|yZQrBhd7@rz{xSKrjSqi zig_*ed$u{AM1`of$sM*=W(?Jcd{y>q?w2p(v40?sqtx{pcV1w%~f; zZ&0aC&#CpI?`PU*_UZU(vXULk94|?>IcWwbwdtRJ3cq===v4^s^~~d5&t-f=c@eR- zwR=6n0ZH*2Hz)6-zcO?wgQ(tP!?L^bvS*)9bbK}-`yvd)Iic2MFOtvEvqIa$%FBMK z;Je{tkbMc>-l?+B7s!5~!(}h}Ui?8TdvEfM+IZ}FTHcxY{{a91|NnRaY?Mz(6mb;C zpRShX|3B%rnk_;9#AqcYp=D`ic4l^DW`66;thxwIMWzx+QA$BZU83;f(Mw$`@sd%8 z#4hEz=+r?daG@?0c`_pCy`M9VQ@ikZyTAAOeSh!w-urC_lt2OiPS2g+C_!I}){Z8n zMb}mZM|Le)Vy5j_ImvNl)3NfU5J%wU`t3gv^krymXvH^Zrotjnf$_%+SCi;CUq!qs zxJ>MqC8rFA@ptF(<+r3qNiJTZt{YwUSqWPNWarmL#SouT6|ij$VvUOkUpuQCf-gQXe>R0DX{# z#Eh)qXrfNNQ87i?va8?*GQWj$PifB&l&uTxp>(QY4%Z$COZ|ED{>g!^#%kaWMy6AV znJ$kAtKi9EE!@Z0RL_h~T_u$Uv_M77DT-{>@qET+FVDa6C@NAw8M)zl_=-y-zc#CA z=13^qcDg1rapD?yR_QN?USIIQuvS`88sQ0sR!2^i#XZEIjIEBdERK-FtfuX>C}}z~ zJQ z>s=+ld$jtHqJ~X0T45X)SLQYgtyq#MS{PykMd2tyFGi1DiJ_;LM?T1n%I0R7kpg))Z{troa`5DLAM=O6FO7i%*Umf2mKRU> zWo$v5Qr$_pnvvD_bF^Xh)tWEZ?V+k{x}v7yUr)|(6ciw+U!@$s4A^<&F8}}l|NmF$Nn-#2 diff --git a/CPLD/MAXV/db/RAM2GS.rtlv_sg_swap.cdb b/CPLD/MAXV/db/RAM2GS.rtlv_sg_swap.cdb index 9706cf798be0edf5931faf9979e5b5b94244e709..d8244d71a0df75f2cc061da386397358ac3aa415 100644 GIT binary patch delta 525 zcmV+o0`mRH2FeDIPk&JY00000004yn0000000000002q@00000004La>{UBY!cZ73 zifRj1N4g?MK@SHN+4G;+Gum zz2|%PyQNu8)5d^RU>bPL1Zx(1kwq9hwcG7w5DP+L1?3A|G=D-wJ%7Q95T3D#z&ql_ z{{sqwbrF*xzQGX^N9^Ch5#t~T_Cct5LHY6qTSDrPz9jA^bcCG6Pd!WgSr||!@m}Fe zQSGI#IoQVgdV%e4ea*u@-q$ni*~T7H|zPe(5x~ z59l?^^|TB-C4al|2f!sEoE&dkoE${utZ^G))kfWGRU5ixTNQn0&+$7^IK6B)n$TNb zP{<(LF>4l?gk}na;kb8Izn+9@0;-#q`#>K`N6C$un#Xj~@<3y^ z!oFkSSc2KR1ZJu@hFifJ<>!Hy$#%=!QaZIU82E~1B!6fgcmtY_ed`#Fo;t^CrndY4 zSO@-CIRg>Am+j67g~Ymfz}Jf(k>evhcX+AiOT|K|s2B1VMZI`cIN^URR4NTNMU?-p z(27~oWmrDFogfkt72p7@~(cJdo#jCP}`>z;em PakLwq-U5FBlS=~q)_e2D delta 522 zcmV+l0`>jM2FM1GPk&DW00000004yn0000000000002k>00000004La>{ZE5!cY_~ zinFdX(S?aFbmdML5?yK3#05eOB)YMw6qE=pX<^}i`X$C8#sSZ1dpkU-A%?IZ-sJG! zJ9qAVEm=*|#(*_o8hFU~YZiNv91I@2-R=^I1tGD5@&qm#A%CKtKVd}(&)9_T9r5D- z4h6xwh{*t7;0TE$_HW>baS#OiAk@5|Jb8sJA$3S!688f-LeAo+o+bV)45*WMFYu+P z_R`lJY~y`B!}hnn=3yW2>k0Pp=LxkZ(idmMS~$13%;2!v2k5)507q>LxB?ize3IV- z^t$D?wG2BYyMOTqz$GD^9B*5k97N@;aT{ROMx))SHFe9js`^gO@j6jBy<#_8&|7W4 zkU_S`3vD=+#vMcR5Q?uc>lT@WW(tJixVJU0o`h-ws_Tw>PajIC)Shps_na z-?4Bk!R%cEGgTeKtzwPx^T5kwyA^IJVQmZszG@i>ntuo0fRs6 z1AnZXfe7BqZa6|Av2O13^;!Xr4)y%Og$%l zS<+=#KE0km@-Ogbjl-&~pFGAct(JjcTBm1;VJ-R^h4p*lAC~UfkV^OE3NX@3|lDp7SJ= zNiy$bGTH3DAj??Gcu9cm`sYvoK3J^(RiEr2{#XAiW7+;EdwxFidrBi1btVc+OWm3) z5}QkO*BYd}ZjEP-?MBx5xv|n~f=w1cIAuhu?fnuKn%f7A6b$qGgd_S0b7nby(<`Z6 zAit_}Qom2k@RV%lO1t^sH}*iglDa1eIL$DSG_x?<*HjnKXG>Z~_*Subnh{rC`OHd2 zPEKAk(vr>NdLaGW9ozk?t;=`I`0P>0b%gj39BaHR^y$_F{KM-F)ucFnD9vSh{vuTa2C zw9HNWTA`hZCvChlr^iXWjfMh+RxjOybsLP+40+(D!uq?6*t-a0gGY}R{}^z`L3EoX z-cCDt;t+)F9>>oe4NtEgGL;ShvQ5~th$cY2RR%(tP%T4+f!=X9VwXq`u|u=(5eVC7 z^IgU*LTkI5KC}9Xa!$-d=Rf-c=?YQJ3%SzoF#Dg)4^3klw#`^i?)$78vCm@L8${T% zPm=%WIZDw$%Cln6zCohR6#;PMEVzVl-Aj5`j-~c3@M2-mQ?4(pT9@VlLCic0Dw}iK z@YO2sVHB$kd<|Ji7p)$@tb=3UoH1DLzufV@jSO2*6s%Az<2^tp_%SPZr|tuj3^u&| z$y@7F5@<*S-HbzEVBQS4I#+%|JWpqE;}6wpgkcBybRF9#3KZl0X=LWs+-B#-=sHsN ziW!0juogTJPDm9ucDEJ)mc%Q@&CtH~ZJ%i@=S&SzoMwevx=(2n9ufKuUiAg#B{Ewk zM>}86>oIqYy8Z6p$%-3FQbDo1D~x9qigQSKPKR(xZ;*2)l4cr~!F(vNUN&_{EU|P{ zEP+WvHth5~H4?(WWVmZ}Np3If9gIjWc1t};E%V%z5<Ge&MqNxyJe)WnKOeN)%(B0-fdr0BIA^78Vu0+fB zURlSSnm(Idqi`^Rft+l1nSUtXVVgb4Oa$u;Ha4Q{joKaj9lu@ zeU~cBJ^)-747&IN{+Nk{BImWBs4Mv9ggxGnbmp-`q#eJJW zm`4?K%sw(JtxCy9v;JwP>=L$q=)Wdvaz%G=U8z>R`Je1S?Ul^O~a%2i?%Db=MQ>|San;-EFWIpnA08A z1s-_+ytQ}rWXs%$hNWZgbcSBJcnG5a!Er=ke@ja3iFdHQj`2ZvxO~djH5-2PXlzmR zhv=7YH_j^ppx#TmW$3cqfe^qp9**dS;6xTzp9b2EWw^lv(*md4YS;Md}mnC2ygCr9nMc*;z&9ZWv#UjDH@ylZM4$@$}3FE=LL zZ5CyK;lPJIS=0BWjqjJ8f~*!lJ4N&zWr{*TB&|KogoqLzWrxWLC9+;OMR^Kla0Xs6 z1XX?rA_k|3Wtyz8%@%$x**zsKk{Wd69-7#P4o*(UnEMf$!)6y{ZfOU9BYww)=U=8sbs&DQ*`_yusOoNqV=-F(2VyY(t`m1Y6(Ye1z8k(B zbB0UKSSE$Oq-iW6J_DqHwD15Wu+w0)aCX51<*4}vKL|f7iw`NeggTtF}A-T)yXM5lo;~9U8-*Fq^q@epm$S!WR$^YCzPlf8Y> zt>O?VSu#%Q%p!>MZnxhB_yW!XCXY?wTtj;8-t`hR}C8DgK`SX;TfLuGyES zL28W1s@Zq)LjkUVQK!uePQH3YtR&T`no9&1C7}?f(nC6``>rpA?&t}5j*tJetuI{{ z)|bl?_v*0Q9xWo}BxSY6?;0V}MD zxiZw)z3ZsJo_000z&;<(`6LP;d>Js!!nael9Z%^tfI0L#j(d}VcSkLZzd*?oJ`@(7 zfrd`h7y;f@s}WYbkfz~lsG-v1m;YN_jXZxT%Dx(%MfJd@`pVV9^}|TU7fx1)edKp_ zYkN)u(^RyMT?NIY+9Dw92>hLJFv}!T$e}N!TtqBxwf9uqlac|zfGu6KI*J2IbZ;Hj z!}@~aS~0YAl}Shr{Ikk_X$~YkNbSXUG+#}siz?9&dvj<{(?WIUMPyq1j=3>Ueky=z z|FXN*jBT!&oHLK>`CD&Z)DPi~0i4!3SwMzCM$-r^h5hNy6&Zyr7!8wY;0m2NS6I6N z!xP1_Y+{nd{>L3qYNo^#p{2)RX9a~9EMJB3M^IS365W?DxxncezNE(Kc?1s&Gap4H z|BJXd&Fa=tKNmx#qp=^tsjk*0SNB~pYuL1Mms7XpPWq{ERN14YkOXZmzRH9-eCZ0Z zqXdEcEp1eT^!75h@4PDSnbq=noCOAHjHy<6L>k76wSG9DJ7)f5Car%~O`y#`NwmEz zKIcFkW85t`5emc3Zn5NOiGVnB=MM{fC`WON>*Hkq7S&)S(fY2_bW1-e7XK4xW#{oQRsY%9I}XxY_E`_o zU~ph8{{lmdpy}2f6&4f97cDTNC&QtjxwBNUNIR(giYP}^zuSTyx|Fm6!f!<^t6Imn z#jdn*X;6vZ1bEvx$bJyI?wzxiA}Obznx5m8`6%zU%fkyJPuwUbIW;OxQ>+r{Lpgu7 z!59k2=eq6%G!!icOY)_0K1t#8GTB|C;fl)1q7FPMWx^m&*>`)oxA^RWaVOuyy$H@(p*EtIS?1Em61lw44EA%ez@%pRx(jG4w6#t2fLP4p=JHXqf2O zo^LLsa+tYLlR=}jggOls$W_WUHsxG|zYmhoz@c&N!X=|}M@pY!H3T0}k@$Pcrl=4a zvw8{@^1T9=m8kax#2+RDDbTwcWQTE%`2vY%RfjDYyk*l*8N$fvcDp zJBkOsZLQ3{{ZxfmZ1k#e#+ZU0Z>c*&_d0~M6|4+Z)Vs;GaDR{B`4MyTQZ&YtLyTtK zdO#%XNIyFFtrF85x$GKUmJK z87XysTto_{^m|0Hd4-7O?I!tIEF5<*G450;?5h`3)@gIZs?2p0>DMd!T$0p&M%n~( zCNMHq=812k9v#==I!x z)lxYo+wbAVn(1s0kZyZQ@RR1FL6SJQHdPFv5yNA{uu}7OCCXwN5_CDR(uS0@0(;F# zzCl~{nH#2qu(_#d1aU{eyxVA1w+TK`!5BqwfWl%iThODDZf5tqNKrG%PdiW0J!b;;Aq`*7 z$#?OzD^kD21v&jhlKl!u?x}G8!r9Qtd30Wxqi1o=2HH9z6JcCbc4$P)sMoQCPiUP? zJ3$v*;TW8Rk)6wLRT)dVoSt@TcWc{{XOQ3AD*$kBVomp`YFW=YsU-HvU~9D3&W&m` z)y_5JPTFP8g|*hsu>&<8=k|~@>T>(iE;eX=t@EbL%P&>6j{5*I!|Rj+dWJEcXFK_g z(LPCo5t1xB$}yhVP5~u2*TA}56TN2xv4%qaEiS-FH%^+MjRjda+*CplPTB@?l=JZ) zW?$ptUYWlNC#l({#{V760(_}W+3#F>D zczAw8@mqQL16jZw+}O{Sj5!{vOiEslZVXTV@3GR@SkH_+U~PIsc!bF$_2!`6=Uf6t zmpF=0Y(EvI=ZxQrV^~1#RiJe)`>3VQZSgbIeo5_2qmVMR_~e);GW``ig1**~$y?3| zt)Rl`B|HMdDfwhyTwk~ZHoRqjvVYHZsyl|D+xB;l7iEA;*>ho?XnXBUXsDnI!gu5R zJF(SQMhY3Jl0u2f<^BSY^`w$J%b0SEOL~szMO@C3Il=R(b#@rnpMkZJQigZvcAD>- z*Z0-PnMG3uETi2U=1p99A&s=zyX3`rLvRpXO>71YvM*m2EHVc+$Tk)wo}MHQVY3+4TwHRC zo!AVez7}G-l~KO0ymstQV}@joIo{M>Y5J|3m?7D8{Uxhx#_?_wgcNz`U2ZWm`JYh? zVhJID`m?{}guY<{%nq9F*|OJc8>U(%Se7lrsLbx@#OVVkiPn2wK99^Zn%pP%;fMS- z5CFrTR@5s^$+|5ViXc?nrZi$!X3JqHmE_l2EExnL6&5V_Fwdy?8=NECcyiZB)Zd)z zeybsjDo;FQilGq~-_TGoA^kk*pNB0lMuT?%hYqnm7@?=Tvz~MK&I3fxwH*$OP$>#! zDs(W@Q{EUh9V>J&`%{B9cP34G$54EmgnH-lg#o+4E8%S>8OsE85a%U@EIqdqxIb2E zBwmakm`6INX9t=KI|QaAopQ>Vgo?hCJ38uB2=)|OEBuH|bf^6!&V6);d}kh4<7vMO z@PWK{I_h`MkSl*wKilM}RzKap>=4P<tDb#7eHYVL|BTP{5JoyYpa0XJ zl;=87riiIK`2Ado+vfp~PjszI+AV>gw)@AxB>od76Jmdd@lUer2SlIOsH*Eye0eGi z^f1_Gr@j5thF7md_qW8@`v1LwZ?o)H!pKbP=3vR?<&8Oy<_Q%1? z{8J|R$0m%lN!E%)`nFut(1@V4Z&a=*Gs7T6I$jRhu&8P^!IEP)(Ii9#9H@O2z#xSg z%PEm`CDOzSViZ@2;h>_O`0mEYm0#-7`0kNvgVizz?+~)n>Itz!v5Gfd4N!&T038(Uu$z;bHhZOM-BfjEQi_9Vf*@e`EPQi_qCfGB8j{g*D0 z$9K^p+OeJtM}F&@lCqLE)RMttqfCurOia=h`o2n`oh2*@+0{2SI=HtHCrKz?6 zECzCKe|nj$<0lXXGNWq$*VKT`#gCnXGsRHg~h0YUvx$@<( zOf%$D9z5Fh9Vh4B*i$}Rz;D*FMNw^=oG9JGI|XV7K^1JY%94u`Mv{gT&J_7#>ueIN z3-97N#uPq|9GJbIu5BB%3#$x%n#DEEYsCtadfNnLkR9$2cfw6zhYU`Y*(#OdMc?zk zPEqL5qqI(w;tgOcTn{GqK;=Z2su@VcUHlc8J%}i2^L9NO4T3ydK$qT$n+*#((sYal z8%s>QrokYv9{U1+PM` zD#lrpfjSE~J38A9kOkssJ(ZkIM2;v@v?KMn5PR6MP3yBR_4(d@Q{m!l`yy7t0Z1_E zCXAgTAskM=O#F=X#R#{VQ0KE|MNu8-ogaP8P$ue^R;J``d0%<5j=ZWPw|g*FtivdQf>? zWR~oJUv}~@d!oPPiP^7D3h^juUphjb8CAa7E}YMEalqXrD4m$k!&VfeBkOwk88Lms zZT`)9Rv5qZ*0)#=M>-wIzyPPQ(5Fx~&%E+TuR}gzIE|-%jFVibEI_ZOpf>%SEN0)r zN_nQ8Lx-7s1t3tHUj5K4#GwA2Q)!Hx#4NKLzw_rS<^gsmA8P@--DvR}S6Jj(bU+V2 ztfH7P+vBqANL3-u>5wN&+ z;jYnSZk?2?@Ecw@dudsVmia4QxJ4f~c#xaqqca|=DymmK)$G z${sDYlRUayDB`D6^cyJ1pn_GZ{O#L3vNyxL24Pc+@)XqDFBOr~IYV*r8(qb$9dumr zj|jBnQi<5^NE_(>ccmUAf z-VxAbE)3O}4R^9crheKc{H8+zWv?7gr|R?8POqNMosMv_q%R#9b^gYR1Jl#oLME${ zLe?Z>U)KeDvUIQU>%YMJBmw1Fke1OOXbpD0#(b{;AEj%+Aa*N8ivc-WtWA|n^HIPh z;wDN0ohX}D+68tyTvqkUV^i9nl1^tDm9iX!v7;0 zs@M&d+*N9^oaCj3*NX#Zgor1aov^Fl+|5{F1z>u1s(yXNIKL^)a`+PTjOnN?F_P?g z_)kJ>+~B-G$P~%ju}{^hM_P8$N%O*kx})8&D1+;Flh;ABO(h^HRlKGGh~QrvVA^TM zwQ$aBd%khrcxNx%%c0T4R8M>nFfbfKKe~Qq9sXkPE+-&t9SBGx0pZ_=rVpF zht{S%khSWqN+~K=q&P=C3JlWsu&Dg|^Rr_&SToI99@Gz|h6hk9+C=g#6iyf;->@0h z4-v_hvHgSotISZmToip7B(E)z)$ufU!z(Ws+wnu>LVT|fqa*rqZWEs-f|o)6U)^Y z)Om-Pb}X}sT}%|w=o%%%s;xTj{o$#}*3r}i95cmUam2y|rwot~SXn~kGBhNN(DUd+L-k5k9B?#~jdIL=OJQ)9TRly>aW zZ>@@#@2CqfNhy=n!$Gj|j@APC>t^s)3OUTy7O*_h81!J3LHp4_q*V19emej7MzGnc zWiCZ!igSPDK;k%N%V4#Ss0cGZX&F-!*JUWpknGbq@B@w?(UYVMyOu`zBti||gB>$K z!h_sfN-FbAC2+el@lBk|;j2=Cxdq7T)Jwf;a^!%`_7VIy`^MmsyB7T7FuQG3t>gCX z)(lIy?@Lwc<+4@1VMC7UO@xTsYTj5Q*6p?g9$`NXZRutjNE8M!hn(4_vt@Ac6m07? zK26pb9_Eul@<6Pzp7TCie5C3N1CW&@x+=?1Ho`T&N<}`^qVp5hc8+jPH)wGcB!F&U z9sf`hVM{w%P4W#0;hw=ME^D3y*$;Y%C& zN3s+v#DHkLvq5;`qMFCJ%qUt>QVfi$(Bgnwr4&|)m(zJ6awS|0_G}Fb6@;MYvpJMf z_TLb6sC+^#jovaqw1kNuJP*W*m_t_i$B+~Ys#42y`JgD*unWg_ImP{V4a$PBkrrd< zRaB1k1YSxy4Lm;NaL68O&A)qsq=@i+VL#wM(bHSb3VcThh5lT|e~{0BZyM`6UcU#x zBUH{i|LRP)&ha@#*o<3zciw|U3D>R`XyNp?!HCEgDV(*GFL;J-_A?G1qDb9CSaF0} zF01|MM2{04;X)qtzw=q)0FD2x6gOjFh{2vnLDgJmXNg`(N1c|OWCUM@MybdpQnQl` z!Db96HrOy}GiWH58idYUCF!M3-w>(J*(7$`$ps0_GSi?-=| zMlv|Df1RmNQh$qT`J4m`MP~|O1^4!BftVgkrlbuQaKDXqH96*zsgCT9R=QR?7v-Aq z-jC`RA|5MF_w${z2-2av{L7niEpo0b2OQnYgEIyKAtf?|0!~D#omB5dmSIgX<9Bni zt#THW(q4Z=G5tjzt(j&}Qpk`&35lgWG2`k~)E08@NA77eE=XSbllRDIFU z8EzV^EGxh}t04ii#2u@^0!>*?rNLt(^yoG0UpLNp7C1x|*M^I}89m1k9uwNo4Uy`) zO`buTw1oT0XSfCLJUykYQU!7q_7mk|VsFv@%Jx!U2W@!_Z#IRhuGfLzqDQHber& zl!o*DpA0PE$6F^x2Y0|wr2j7ZAIC1ytXH!yJV*vFgX)&;M>3NuHoPlhBt_igaM0*Q zw`5gQP63fVK$K1YJ$K=Q3MVydQSF~X*2JXF2Z`k9v!8JhYI)=Jv0y_mgfc(L#3Nmp z>S2MjTcQ_hsS`KCqR1Vuw<5iMB0f!!NuMo|T*}|SW`Tcn5yb(nid&v?;hPSK+%#!d zenG-!ZnXQs&)^|?^Gqrft0grf?RP-ye^zq`nLJUcef!>dr}AB*;>n>{EsaBX`Q&l1 z5i$#}ft)XT6FHkSG@CS~*Rr5Mo%SeserAEDuLbuP;wN-kF%cUUi`Y*45`MS_V+&#> z`*=}|A{XN^2_6N2ULgzVZZ4TjJHKg_$V4S?CJH0VDr+@Y@S?RyD=y#T*M#dsND`Y6 z5jq&F92qdT6ka1k5;E*=gP66Qo`g8myqg=!e8~YC=v)7MI$=i1>!4Qs9|YHc9WlBz zr(P;mqM`+H=K__FyFL3MWR+qBI#!fh2MlbGn6&z2qx=pS!ED26`s~9Kj(8%C%XWRj zTD+DRYl_!MsyeAvWWYn|HCEXU-L!U&3XOn+fJ*BxU+@*(EO;s=gsjQ*HsZLUn;BFm z7^aB(clb!k;1uB$k$E+Bkf|mJk93Vjp~&UPAsFijqEsOJV*Jxd#(Y@Fca!TmBB^7( z{GF|@csF4HunC7vBJvT&vED><+gcjBk%n34h3q(n145`SrV@J~jSU91N*lN|=M$#K zSNa&sb^DHYtt5U}(=(PIHJ0m5^Y=O)r)d3Ozj$}XbHZh%kyy`&_8k#Ldi|D)Yevq? zAETWJTZY?XTQ|=w22UNsUpQ{?oX$#s60UC@q ziuXH#da6WpS-CA-7Tiu9n2i>svZ{;8-nu)KUeR^Prv0yu$!}eny@3tqlRJ+Sx_|&>;3ZJa`Bo z*vC4#sW_nyx{v=lc}y_#N+|vl_~a8s{kiGIp%(SQj^NCgQ>XI>1)7L}CN56o9^{D} zqBo{5#k4xKgvLRTcQxy$G3t0cg<1V;JrHxVC~#++y!4ROzMV)gI5U?#Q)Id-Mu0W8 z$%C=(_Yf9a)y3O_KAQ1MX zZEU&K4-brF(*tiYyIQo3-s zHpZ!+{#+G&$ZJa79w%|9XFXR6Iw(lGY}3kO-Lv(Y6uZ-_rd5MW2-rVa5p6V+kn)_A zxU(a3De!Ja#YzF_wn{q6_uPl`IGXd)_E+u>P!0=K%%}G_7XQ6oweg=>xf|YZ%U#}0 zU%uCj)x3u*&!`mz=dpP{=OL?E!ZF`wMtaBOi1B#I12e7J_U>@_np_HayP{z#si(j+TDPq0CDc0{A4Jz{n_+-V6OxBn6;uI{siC=onk9d> z7l&*U%15`9YXProS4v{+c3kQ3GE)|0%Hq*D8Fs2uF*p&$H^MuntG7+*{JfVI>%~*J12MFYDN9 z&GlBI3n&-k0ZXOj*vQE~o9oD)>WPPW2thOJ3bVjh=k#-Wk%HFni}lr6J-Z=kmICHt z9Aw>scUd!+HH16(6dBgP`RP&^bkMvN?M%W%-88|JW@~lxD4|1uax`4T4yNOq2Z)Pm zaT0dW6s=T(C{S=;b_c|w(68EX;{8cOX{7f10LfKv(5eLlucW_TQGqC5%J|bUtpmP- zLV}*Y0ZINFlDw=7t;$a))@vmc5v0Z_r!53xv8{Kypj(FMN#~Sm>P_~9j)>GFx0Kr7 zZlJQn!Ik3~+=IV*;#c|@PBhVeMmCc!AKCie5Bx4g3a{eDY`-Vo%l<|r)|(kj1CZM9 zx3i672Q~TAtjQXfuVI;%u&kkZVSnfN?>Y;gZf-P!R+SsT&gW0~@78L^Z=+#MsC4ZU z&irTYiCJDU7@aS@=2PCkW=Zcr>*ha!x;NR~4rlf2$K^+dZ2@xsj7t!LKOL1%$O ziwOS(57hA`^D)^oba`C-e+vL(I;_Unq3$;*>7srq(@RGq$UsL2r5m1E{;k!Vh+4Bi z2Yrp%<`z^L!Bz2ktZc>Sg;Q;pi*V_@?Lvbzgi8!EAKi*csQRj$fA|hisFnE`*7oQo z8Apr28Wn9i$mBg(+5Tpi2}(#m7W$$SjNuZdMkH8aa@J^PA1}Xm)@~@c{zSc_x;W;K zp>(yUx%ah<-*?gM3VcP|(NydIddlY@&0_V1jo!|O|4|Blx{wd-efekI47 zOr_Fhb{hAfjAb@~BMAWc#ZiN0+;LInd>73piHULp{`b676C*^Bn`B*eCqg66zbv3d z1R3FZV6*fY*GwpGPq_m5q> zV_0Gf(|(DK@3uJR4Pba+OFq8ddu4q%l{beyfHpv2bS@lan4|=TlCF1u2@;dRQgSr> z9Q!XpjaEZxGp9i@#XG-wnz#PTmRdjgYx!>2?57la1ivKE1fXEUpU5TPW3vGtyWJ_) z9A?^h$+qW=(2=9*4GO24@v@mKBl}b&I-yv8<5vP&+$C}}*CPo~2KMYVL``_YJ zTh^~&2AV_8#4zmSl{2OG6RQAjN+F7Dd9e&2Lq4#AN#-%uSpRccR#eD>XqZ-W4pUHJZKN3aHxBWIKgw|HC8@B$g;ya-;AmAgbXmA?+1kX{v zbV(4NjPSTxz4+b};>>4w0BP=6H^MWcIACN^$_DqP)44a6Q2%0`Mk(?Qq;GBh5DRw# zL(u+bmdZ^^v@PFq%auRyFv3PoDj8}|I7>=ryqNE~{Pa_@8)7J#d4D}9gt9R$yV|L&)m!{i9e9ZuK2I6k zB&9~JLZ?zHy(Ll~BR*f$DBqjR?$G@J?Zi-Qn-V*kX#)mgm@#{|K- zuTvp>hpD9(*q<$>!mg99nHUlZnr$N~z!jzE->{Tbs-%32OV24nTBio7cCSm%f5$n- zO2W)~dILrVr8$GT`DWdI0BO{Bm|~uNGQF|iI_54VUyKb~-auuC78BW1yRW{)`hM2S zgoxDh&dc}`K0LWk2VgeMFqj7GS`Iu#M4YuBD?%sl@Au?H?tXDCO6}#`&ceR3Cx}c_ zAnhXyPT~Bl&0gi{_N&+U%28(kfKa~&HSVF17Vri{#tzwac3$Xk_C&d^VzcDFo?_3Q zijm{4+uf#+^1okkGRfWNG-G4LC^+g@u z0X~Ys51v05`rzP$#SiX3nEK%2gAMpP=7A9xKf4&wI=xE#Yi?+1*EX%+gzoJt?SE7R zN`zpGpPdUz583CsTrc%Yglc7KH+w#4k*Outp8R0P(-fn>t{>Q@81=Nv)Ea70E*pNBL*LS4 z)V}-sK*#fPrygXy=|5^RF!h$$TkFwd#(cKHg!}d7K^D3n>HR|${!g{TgnLFJhbiYt)w7T3-uD`M{3$BkhE>==qNt*HhFPeDRw+Vi_ zUrdb-&1u>Wm@ReM~ThyVa#AaOK!*; z)szMIR6OQC<$KU6<~N__?(~>D6?%)F?lD$+D$}lH+#i=|Xa1&t{YQNDh6e;c&+>1LDit9~3-`{iZ zUpLS5nw`v?J$v4>vzg4yCfGo1zRCfU*51DP_hIMy@9@SE?tjOBDTMofdEd7uLHEg| z!(QZ(h8J&iXBBB^_3DeZvQXG)l)>lW%|yb&pIvnkYI})TXqDRaBUtVF! z)8F8Sd)bHkgb6hXyO+)V_+IhQ`^{HzX>k`YMbGX|(*ef`GQaGMF@K@uo{fdY@#+4A zQ&M6gaIm9Sc;4i2GnCTI3Jp*3iX<0j#Oa6W1%L6!9&n-Rq zePc^Xme_(bAwEOm{n!Bi^7^q&DF-!i0Nm>)0C3_dw7)`X=%o5g;LX`BK%q(pc4p6! zMegn{6(*7HEt^m{zmELEHKhQdY6D6uXI&+Q51-7JfX{dY#L3E*mBAOLg-q*YnD6|7 zSvU|#*0FG#VmEL3#@EjQ!7knoP_o-Z0hd}Yfkdi-&Z5|vnm}_|mMoR9TsIf&es(&& zNp1?y`3hl*6*S}3;UPS1vNp~hFgUn|Wc^6)?enf;&O6-2jHvXmfR015Ezw=#D<19^ zolPDA{MG~YfcOD4AXnjKqBV_%zOf^4{unan#D$8q>FO#W+)m-0Cfn`zdp$ELJqLg@0F4ghqIh$Vs&AjwJFFRk4CKnW5~}A{`)ghk zuNW|;wj&-@ziU}{!*r3E3BZwzh~%sOxbnRIb&;n)^+H40QrSe_#Nj4B0Qcy2t@-HK1jkV~HYTnVci5wB3o*Da!s0SxIv$`XeZfvDD zIhY0(1}_Hj;$t~;dPDf+exPQq4J){4lqdgQ8gO{!i^;yCH5~$yFNWO#XneOT4!SV$ z%@)2^ZEdz+s=LG|40(3ZlwE8iEBl2Y^1;ptvdWH$KC3#Ux_M_6@S!6Izx_xpO~zW(lHWhi<=>mS$|C`N*>Ja?WQ$bjy5Igl6WDbVNLqy zW=&d#OFZ7?JL|CabrNW)CHBeK!;Sz@_dKLhOZK#ywR-LM0XfH| ztR0mHVn>cl_grQ^1${Z(7%~z@ctN+1-|+#)HM7%0M*%3Fy7!pf-`5dR- z^jfGE6yn^+GM03#=Qp9+Um|>RW2sdHqp@rWht7J||Nhj?+nCvz0VMf1gH}je%-8N5%K3KKe0po2vHukL>TZ*RvRuQ4PY&O~07Ui&7C^)nc{gsYl8n-VQ*Q)u69W z7Bt`F63^cJ6}k`G2?>$cXufMG7+apdJ{r7HOtu4lei$=#AL3FhPDTUP>VvEP6D z-D~i3WkKv^^G@YqANgSL>!(lJ-g)1RoB8ujm}}nC*e6N_Z`@K2^_qGJLE)=^2fPFO zo?J`JZEiAm?*Sf5Qr!g?XD!^_M@?C(P9lgIeon+?Cvw-tUnFIR&a`r=i`~`IQ$Dvo zE|iu7$2Xaef7c>qj+$M2sbV#-33N%qp zGT`M&b%#lzp~)~fN}6HCkry1`S)~^IK}YKX{a-?^*A?;wS4t^7~=FQnWIZI59 z>2VZNrZAqa$v$b^Ur1Vu?ssRVW0xGe!?MJ}qA%M?B;1m`4BtKp`*xw67ZslZsSb^V ziDtCw-`%FH6uvR9whu%+U*g12JXiU#_jai49JDx{ve-EF+K{m(|2qH-NTuJO^i;xwra*B5)CaHab8;JX*(DebWq{j7O zi1`2Y6f1T&{znD<*x>U<&jbGaZIw$;X;|?LMX0=f<*6CUihQuY=J|+w%3*b{Wbw ztszxSyne2=LYB9PB-z)D54?j>5P_h0DKnKaPpmFK($&I@IdA~!*}bYj(HLoMN{`!m zk$=`23!2Y{Pdu#qwst?6qmDd;vah5Jzc6-sF4=fQy^0G*O{fbvJoa8-tjQaBFUKzT z8%#nuU(qrbPJmhk+aM#u)+qMpCR=qD-d{1wwY#~mCNzIX{GCc&!9;ba4Lu2L6#HL~ zQ)2I0^N`sB1e~Z?I`d!VLIrLQWZ~O1DXC}6>6ZnYjTJ4{XQP}0t@mOwWJg)-O(cx$ zq&Q0%)t>b7xb^B(twNPI3hVWU_M`FL{et12B~^UghJd>9C$3L_GYlVW{9@smXoG6r zo|~I*0ygksXzcg1d$8?M?gT=kyJ9+}?feur5_B0>6v-$DQxjW2_|PmZ66A0+uT*1r5IBcMVK-p zZ*LeeI)E|nUar3zsF9xQ_$vQ zv}MKDDauIp=)#~pyv0fmH`m3y<)sj&!vn016cD-REPR`awNyHsZR2G`lKby_Cog_I z(Atxz7y3B5bYvUjx!uFMp*+{7%grG%^|K1d=4!S{FHRBFBh{Rt5CU$_!Mt&~K7jrr z21bPcaN%%0A`k(~Gn%yA{b7V#;?<=oUA(5z*if9z(R6Yn^e0<-F<=-}lJi`{ZYMT8 zoTinG>h=IX<`-eG*!_*ILtw*7qZq9BIhr7t50vK4FWuoDKYGFOI3=9BRnRtR6Q_Zi zUxd<(lB+a(-@7C68d`~J{7}q@Z(g;k*j z!z;7=_XQ_k_py!7Xe+bn!^TgJ=tymW`ao~G0%C=Cdw0gLZ> zbh4^97R9%eLT=8>ltRdVbr21lhz3TWwhaE*LO43YSkbZmMO);$VJ}~I_UAXc>K?Gy zJ22Z>TXUf(RPbAHX3d&b&_|OZNO{xJE}MMS%Fwv;(^^5BY9bp0k=mSR^bq766P2o@lLHia(p7B|mLLM{)E z+Lue_GIp*$(2gC}lu{bsgUY78ZiL4>zVryJVO#pTTRo679ky~B9e?_PLtcjF0dKHN ztkA*RrpEu681^TGO$j5Vs{-hT$k))(TfEqHHsgBr^&`P3gO9#yv3VJHg8b~> z=rv>hPS)OD9POcoq22EA?H;|*THER$S)~~h_ZjgVm$-eP=4ZR_uJ6D1C=%$j)k2+X z^gkcAkc^*XK_gpgp*#gTchH@8nXP#}>1Un#cTln1(b>h4T4+S7Y9vs}zpXRlVsTK( zjv$FHjws1|0Gx3lu#KR`xh?4-+TVWF5v?QV*W>b%62!?K1Rasa!p)&pf@KNu{z4D! z?s^o%Q(sPbSbFF9J4%^5w{)wSg9aq>9^dl82NS7rj}?0K!E?iCOE4nY=VY99;aOww*8Vv%I2QP z5$Fitf`gXBQx5kHXSYZh)|srbBl9$H#x4ha#0jpj%ZSL;0#pQ(;y@wso4U!9*A)QLnY?X8 z!KGK#V6G7qG;(ChgK`KE7?e}&N|8kpa4;W~l`|3lW8~(11o4@%Hd{*&R#wd0`^Lr?xM+A5SMHg@Q z(UVH8^A+oF;af%_%I%ltn1^Ty7p!22G(W5dr0D5~xDa&pTCSX2jT zY?VkXJRF*xg_Q&J0CcOQ#zZSu9Yn+2X*e6%qQvjtD;3IJOzf) z7jYo8+h!4dJ6L|_$zsj&l#yG+~zB_5Y-$E@;%2iYF~EwGRy zXKr}#)U6#jCmrLge!SjI_q5$vt2&-bIz8mE`6;#3h8IFbdi5~)z&WVf-g&+DHUKDa zwb`Ofr>lYY=#y|f=YJpItZ|U)M3*dP2r8TM5(2K}mzFS@ZqKcA?Dz^@O(TBCr6^T? z*bF$BB#&tLkzYsMxRNcMKK%CBL2fl=NTyu`<2+I{wr_)f#|=k#Tb(~knSl<2_MrD$ zy2}{Sk1rmi7jh*EZV;hTybD`w?{nZ)BqZmDdLG~NRFuJQf8Q?t3{1Fkc$pP(z@#>c z)u*e-V5zR;*9=huAF!J*AtE>0SxrOWhi6JuQxYYL32G~PKf?AAla+p2#IB^gE|E=h zMm7WG%8}|j|4>9KG~-0h%&-tKw{=jV2e(b>q2eyOQ0|0nc{fq`$KfHzd1~NyY0`}P zY&;iLf_|41>FxEQsh~Wl?%=eI^>nR+WxUC6$Q-+C?8@g7!ry2Sp6Ja!1 zA)eAL!F^mHRUd#r+a2ZT85VNzj9&{Kds(fE(0IRyTHTTS5}oFA^ztGJ0-0>ZqVkMQrnUz7T9@>^D|{99l@}n}O}M z%PNsl*iC2O($Ud$oAD#1@NLX;5IVLD4~lxFv@m=7=O9Ot*wc~l5CveZf`8=)#pFI3 zgm+8dbA$)0JiV~jby4TS&t!4i_~Z~>l{+&Q5>^RS5>$2znN9I#)Xcdnrr(ofOk@T3 zurq7^?i_>E7_)1y#E4G#(rg`cR*m}=Yc&{8`VX9QZ?E3A>X(PlnYe1m@XspT)a8}5 zLdmcQ30B+desl*VAF%^ds^(i;#FtMzzhlu{|DqJT4mx`Ky`mE`Z|4=C{p)0{Qmdj^ zanP4go?^kh!N{IJVWsGDVPssR!m3TO`deFl_6t<51Hjs3FhDR9ovP8W?7uylvpE)6 zziddLm|FVh6zNJq)D$nhE>*T{Ez(}^-B-0(w4>IFNcm*vrV3Ob4*qn!4&8f9O)oBQ zyszLVt70vj7Xd%PLz&oevK!%2o(G0A9+o<6Qi3 z&SD1pcc1jsH)y`rGXy|2(#Y#2TSgvLoTudF(toYC3f7a?#sO8LMjEoh$QB%!;%r!yUTdfZ+z_O`chJs;cL5BS$a(#LD1pQb>kMnzRiK>qbf! zEeQ+UFA+xrJ4C~(RHhHqbp|o0kV=&JDnG7jyGs8hd8Ga76#d<0i$y}}@_@^3ycsJ- zfb3;sf;y|$2!yk_fD>C_jZl@B#c+-=RRg>>Yw5~bQLGQ_r)k2jB_^RB!5K+TGBG@Q zBa}NRjdJpGQA2}K@XGXy(i!~*%?n?dvu18c4Oo^8O8Q`5mgT3*+aI{7R1xWvxlUHL zc7=|UU*e0o9nt&TJD|SjU@69X! zorKK7>ZKk?xBPTdF0rfe(|V=;zSj5y1G-MTV9|U99F8Mmj;^^oU!^YMNSISr0i4FM zUg)w_IMw%629gA+&y#4g<--0e3?vN^wRs;(wfPy!XUq{h4o8=SAiB{W_ zuiP6x{pF!c0Hy1q>6c}Ou9@f;xRnm;hZT5Ym6~jS{hvePs4Oto1p-Uh11}bvt=_+Y zh@oS2SfP>wXM zmWx%_^4@&BNmBvFg2c2VB~Mk`jqG_6KAO=7cU#b_RsgSW+9;~lC*M9#DM~ujTfM>T zG*Qgv60||Eg9%a81eyPFE9|vdkaa>q>M|;~3V%3RhJv);KEEdn>`Iv@Q``@ED4s=R z{V|TQ{0_djbDn)&vRH#;Boc?Z!$Ts-+ldHNSYrFF+&JOW`1DMD2vtDPO^LLf7$E=I z4ykmk8u+nT>~V$<6Z6#X$-9ijKQ`RF%RiRwzz_gCfLOCU`OeT_jj|7@BEl{%!LS!{ zL%2Q`)G}k4DP;8>SQ>blt;y3FxgiaXYi`W6VkFhM!=r(W89!#BA8CH&lCWZ?^xhI% z`v8|Z%tS3e?g+>~QzUMr1TDrq7B}t!gph%=?8R)hDhx8*2kFqrPlvEukkpGSr%BMLF>J_kV~1o=tlvw{)U4<^ul6&vlq*=W;>|Kkf7~bAfM-P) zL~Gr>u!q!jywX~N>sj`IQLF$GR&K6A)zy*_Y+oP7P(D+yk7BD|d z8pM@Nm0}(KfLFw0+hI=1ef6r!Z{OfOb;&Wl%r`!)QwHVu7zq^wm5?;$5^M3HgWdj= zagA#V+@x8#q5R~7-5P0EJO(oKZ+x=bzjdv)=3-zS zr#v2=*afqPPjpTGX@}1#?Wi6AG-b$z_iy{=i!~(&>}@rV_Ub%JauDx*axSJb`<`rb znEZyGyGYZ0pVa^=_>2&ecZ;U)@*Bao!O?Lj?v2L>4M*FmZEZV^s;cYQaL-stozZ(E zE~70CLL`1mvGbBpoh}UT{lCOphR=i{4_3858FbVA;7_hQF|>d6qQ%`C0Kts3+{iso zPJi6Qj6j<%RaR|ic)x85^l*?h4Ns`vChCqNYUy|eBSk;r*MO`9c4ct`-Q(Zh2hbwM z%6)p@Z>4B-uX6E2y>7wBF0#f7T3XSC^@UoFGc~Hqia?9+>PbE1qKv0e3MmL)U7!1V z#P=($*e+B#H6_N-Wo0+X9+Uf@N1QJ96GW}ODvTi zI|6EsQwDMQ#6TZUjaM2mJ<<`F25F7M`L3Be>gcJRnC9QAy!UotsL9Z;ENOIK`-M7_ zIQ9Y>DN=diVw!Qc1`4Und;^myA0KLX*FqbkKJr|%H8?SJ+Ra+Y1N%>d5tzX6S9*EK z7rT)L12%RkLR?GLmN9hB{bElz*rt<>VL_$G`1(aOr%gNJz)?| zpSv((Ex`m=J-xRZj){*Dvui`Fnr%i5sgconGb!xJ-&xZY-R@q~JO$+Z!25Vz-Y~_y z@=~k9iT5@Z3*wIY-tp04I**Q8Uf}habcm~$Wm9U_j-*%=m_nuHMpBjj@a9ZZ0qNj{u95*x>b#t(a_Xan)IBCd>_9BM4;?07y0zG*gl@RqYKg9b+!!J_ zGDZ;fnFE zjc;uZ;NI+lKl|*T_jtn1iV-W!ynRTnl~ohP1j;hCuY+@-tF$mRD0&jLiaxH4^rxQ{2kbCn6_8C$oHeHENm%g_~aiOT`2d5Jo zYLRIt0Dt_UE)z>Hiq;a~mnc${$jgU&bR|cT6YbU_uZr2eAiQ9;alqs|x@@jJu~pHF zJXj2PY>HrYtB=rG>U%{F?UrY*$J6v9jGsm;)waTYr9c9loMR(t-b+lsvMM#g<-`QB zM}Lpf@fvV(vus}o(dlZg)n-42YFF^t?M}160k_`3BckiYmKkD1E|I}~(52WndnW!( z*YbeC6jkRo1^ZQooM7SJj6AHINAX=(@VI595ze-}$Y)P3A!ijN6BQB8j)^^Sf@W); zonn9Yfn+^!2KN6XFgA_DJGG>=D&)AxA`_+}X!>bEP(6|{?62^(2RyQSHXYznWr$DO zfHP;kTxTvKZ|#>GjX3d9C7-jNB0X2(nEt*&IZE%q%s>VWtwCE0u3$sBZ)q}L#Bd1n#V46f2Gv9VNU=mI~SHsZn@l7^(JHisS$k4iBr5r3m;Kx-uspsqfrzK%!jLrya zAnnYi_W(i4Fi_r#)*e5GtV=K>f8yZI+m~sKX--(9ratb}!ph z;z%@i^-lgc|0cmcDVX7X^BplT`e9!LJfed!Q|**#EBUo?EAFY9gU#k;VVN*4f_4$gj$5&KH*4vF`BA1LW+ zPWZzy5+mti5`Jx8t7pFub$QBstEY@naG_El!SfQ$YJHBsgxn;1b=wJ0=9oaY!cH4E zl%xN25Bq?oDlFb|>3Hf!wX3BKlpV z{D5$)QQ8#AtH>~u`FRE%Sil)fGW1cAtmM5$?)(I(QC3cD{DvgAl`(txE1)aG$>1br ziHrG)2N20vgrP~~Da19&YA@nCp`1m(Z6HFM$S4haQpeg@I6U@~}uiTH5YY(auJz%!4{`OO{{ir+yza!J+? zvep(<^$`i)LQ{KA>u{DNE4-sd6#G3w9NgPHYBY#y!jgRa)4OCsdWsZtSg2Ttv{F`( z1cyaXfVj$J7znu7juKuL2&!Kl1?^15MpD@ZI}IZ)*J?NFm5-zXYUtaGUqu@D#UwzC z38xfc{?;y|d?9#}pXllVzG?)J-ntW2DB4T`YHqk+8v_|ubh5J9fX5%gg#m{+zQc9R z3c2mM$iy@XgzM!(1brDmW~OXJ_l-@D2)oKsoBwt84|_*_lc8jKplr(;U^=l#P{x$hHHlQ zylfsUL@SB@cQR5JQwXul5O*9VgJ;z~fvUK3K4PICkpzV@GL2 zX?n)Ups`>~0b`ZYd(0Z$0O>THLP7f}$O*j+^+BqJzHIupnztVm)zw5biK7tbKo^JJ zcr=qBw>Mgt%ikmo zl4J)lGF+;J4w9D60nAy2vL+o5kF9nj#CIvg=BD|ifKevpJ$E!HZ;6B%QlPaMH_*); zuzgPj&ol$FMG`%>BdvLs22A=Nh0K5HfE6_S2#2nGr8z3oU0Gr4=e*+$AgLb*!jm;T z{oNN7a)V19k05TO#bvf7ZAW`=VqnJF_cubBb*%f^#T+Zs&Vw?pes;F-XbWzAcfjwR52REf>o)98^v zJFi5&pLplsg)koE*@iqg1}6qQpyKrJfM)p%Rs-cjL%?K;5a@%Rc)#(0!@@kI0ejw& z#)yqMd(0SF@^W>wahK(bwTnuQ6AxA%qtnWSca$}B+!8hqoBQ3c^CsZ9o|OpJW`S_2 zyoBTHf*@neU}CK;o{z=GPVAv0G47x2_)2wGvf&bv$_g4zwXNhitqekekVe{MU6|yo zZdJxk=#4a>#+n0@DQfv!N8UA0Cu9-8v@(kWi*|s3D<9=ZPt6Fxc_&|HBbvaz#0Q%+ z_|I!1H&V-G)TUola$Pu`X2IqOdD?VHRvUFv%rfqdXmWr&mG^z~^s})Hn1%lHvuQlw zf+nitlISBnZG-w?pg&i+jggmn1(&>xz9=&@0tn=t8-Ao`pjk@QD^PU7((Cl-N;|EO zt3_(;D|^~fS||vc&5y@8efzeVWs3##n3D(=^Z8Qo5IQv#-ME&=LukKQhbsz?cV`qx17D2#Rcy{H#5Rb)a!Pmi+gesMs z_$)txQyZ`GF&AT7mF8p*uc}W`g6f;DYMKL0^2d__a=g7Zy=H<+5v3&pb*V`@p=Me_ zM${xXIM;Y`Tkas}fK8|%4s85z7l5A|qxo1>BH)>ktmDuVCct9$1N#~endNT*TKs11 zD%BU52cU8m?i^GXGFK`5@rV&?Vo#cvLz#IATW>*f*5nV9%C$zI;%D%{W;o0}a-qkR zeQvb1mKe~YxryE9VPy%8|MlvJtP@L8mdwd;ZIXM`XN5@nMQnsA!Y=&|m@`_V$(q555d zMiI{jVmay=pRe@hP+XZ34RUF!L-VW1l$ah}Js8P8gdt-jVyV_Y@F>Yr>6`)%4`u>{ z+037uHpSZ|(wk#b|96s^eut>p2$4JnTg<=Fg-v7j$NuCIDIYqR=Q+4QwTJi12!jt(j zi9?L4V8`pDgzi(bjX{o?3ed(t2d@hyBSXsBJT}gacuK+D^JB#)aM93d5**#Xk*t+N z-Fbz}BE0*7GXi$us2IB;YCM zB5F!CYzZI+@cq|t0c;7r%o*Z{p5|!k9A0$F+qY)F{x6EKjfHQx)Z)Om|J9|w!?lvX z;SfP2{!f>fvIBX>vL=TKywE?C4&(Z-r`ug_Xhw1+Eu|=r z%W?gBybqok8e^{(xey8Tn?S|^F#FC)4Y`3bU2{8nX5Tl$!csC|AS%$c@6aQ0I%ZU6 zoSFH9z*ZopKcAzd@~+(^WOcA$rGe5$$nELguPfu`n`)t^RJJ+#18F*riiSFPw&T*x(=LN|mH0I~W2D~zN9*5L=HGg{mN;@8<4>m!Q+Xy|@H8UJL_EDY z*qnaOPxo&t$Cwx$M!ORVS?QskR++4O_q~0u%q={^qfKLO)!K+Xx@aG<7$8 zMysOY_5eM(mSl?nx}68wx*uC;%tri)j$%z(tD-GT5AZaj8@Pl0&@Wi*MoUZ!XLHVu zoNcy772HW}9gLGXcIo^{f#%1B+Jkrvqi@~5e__Nd^%F*(DXGaMnAv}FGFI+-Ruo%* zm{TLrd_o{yd7PUpKc)Y=YHjgwD*o5Z+$DB7vzi^%B2ye(>8kHr$z4DqwP`sTKj#~ zqjUSX<%$2G7q~WA^ZZ_1AvqvYs)cEyibLn}M7s{nk3`beKloYNzlCW&*ekclXzjrW z_yB#d#R^F>>s0ZOAsZSoFJ;?N@K*;uR%ms6X*&GVpde#-XZd4+fewecr>v;Yq`O|iU%M*?`l&px;Ty~4rUjo@(CWFVLP73og1Flj`^cPao6X_2g9Si zXSM>FiM{I_LPHl5{Ke~jZoWL(NW$QY*^oM?bQ#jeR~O+;?UjAsuuE+#TAcSAz#OK{ z;w2vRHOEuUgT2?^MLtfx!3uj+C$Ad{lmBhXDZWlcVSe3pbNjR^A{KjB;ju69cbz9^ z0z*xpVRutNA^5h{)qH(d%u?76PjPyX(?^v{?rje3Vc$#{;@wkEdo?q%(89veV6NpE zoO#teV&5<+qH9-XG!yN?U$zI}5;-Q)^i<oM8(Z*=?7$F_}xM2M(;t5UZuscZFc#dOEV9A*EgPe_>vKjaz;rssf+ z97pH&buV+obuZU2#$lYoScCBlqZh_DjCB|cZV-v#Ix)_nYj~~J}?}GbH1%CZ2`U5Na1bq4sF50TM{TjtC)HR({THRt3z zi_sDG(_|T7>jId-(#c+PPH#VQ{J6T&zqOy^jq;-U%}*{x`Yx93gWix!sCbp>3;J{ p0zQ~yh7N<)Eq}X17F)T>YFybe&xNz_EkygMX)J zDf@fb7kuQu>)xkq)qnkG`&Swce-zT)-a$#;gdF3VFgUi>b5;3UUES65=d32=>%gAQ zlYjuuwR4Jb({daqmUgJC`Tc_dwO^!xfGOJQ8U?$h5!&03+e15ldC9H8QH4L+XLJbR zRTN=9`iYZp&dxnk_uNQG^I=}g2NAjpn^kW;s5SovpO-s@6~hO<9JCBt(aToxTTbx| zUhZ*Bm}v3fF75D_9>3u+n^SF2kMCRS6AJz2avgYk<-Y8MbiA+W*wvIym7DKHXWa6Bq)f{y6(HNWC(eU^+%$C3D>Z&-Vt6zTk1KKIQ=d@s4B}j?Gy5;F1hN>2cH*1y*x$w z(rGy9v=XVbhde#QJUuErJ%5h7+=!rhMo{e{sG$*5od~L91l24;p;7Hv>%4v_vi^9k zX@o*xgu?X*s(Xx$9y_OLwAt6cx5`RH30+~+gBOAJT;=I8;pyo)>@v$t9dE9~JEcWE zP1RQJ3Fk4vq+L9gfIt2jA2(!qe$=(Yg$U!jk03h>#+17Wx-`frK&!ltJd*()X&JV` zeuBT+XoqEGDK*rbkBcTU@0@L0!nhm$goz&4Ii)2aX^E}ts4ah(_Q^TzxrS9j&4ik?_iCzUIoscXNP^NB;kPXVMd6(GfIRgElo!}+ zhAlk!aP#_uZ5*(j`yPC`QrsSsxBnY;dYHvy)5hWX5FD@PEqw#LcEWxR9o+j8*2Yoo zmEZE;Y^bcjeMss@@&s60L=H`sHIW>hmPJ7Uh^TILhxuakbR8#=heJ2GI%^hdqs{H}& z11cYE+HGiJoO9?a2`84E$u;G@*8a-pz{VS%xmXR!UGQ0tv}1(M2>V&vdVfCL<0HBvr|}`|CuUq)Rng?n zpO`mjpBG)n6ThLkXXRshPJZV}@kxVkJo$b+#wYEA)6llOs6R0r%_sTnF^uJ`fe7T! zMf9Bc>hl8LX#r}m)LD=etDy*9)8c5=$M=q`7nI!hr7iDQxVduL^utIT2cLq;eF>3N zBOcWoZq*aa!jWk13wG69O9_eJ*zMz=G$bK=qL{Am(Y9^lxbnDKFy0*!gjp3&&}8&?KU#e_sJEXyd>}?c`Tsr`yC*wP!M#Ij-1GLA;BCorr1n}L z?Tl#du}N6wWRja(zzhU8D&_m)N`g=IFZrGi*Ni1b=w_`$^%;AoPBo}Bkpnd|bEt@x z61UY1+wT(i-C$3Wj=0MFYTFg_PPJWl^EZQbT$y$o;T zU_&HVia?tX-fS0j{Cn#ZcD-aiZY#T_H>6a{CrQx7P%G646tjz={42pq`n|Yl?ySFH zdfgQF678c+`1los?#~ZCf-z^&+)rd2MXBUn!B=QLoahPMp0#df53LG;OUU+;CkB-y z9R(jM`!+E> zuW7P~1dq14A;0(CG$dCz@0ztHK z#Pjb7?+-{Hy=@#cRZ~&37m;loAX$hANKU6w9^3*&|6c>8ErPgxeb2yRbj-CuD+HTt zAvkUi_}~&w#6xrOJm4qT_KXy4E+w1b=I0DTV)s-C&N|n(x96vQ@_T0b=MOjKA=m|R z-A8_9UWw-3Yg${@*V|y%7kp*+cKio4QXGhtD$rC8#Q5Y}vo_9)9!v1yGcyad`n#FE zAwP%0&*%Q~ft5SUUlnjR0h)9YmMmt%&F!;6wOwBz-vU7ok`PEbr(0i32+}-2LiyXM zp4>5Ef0^;|mFcRcv0j)cyv?V~y`-jk__#bM5gNV|WYs+Z{lp;Z%@;u_jmnw)fSUYB z#&RY3ya4aD0P8ZZJ;45~^?9*%$GD6f`Iq>Hy!SlPo>M}Z@0|qX8t^0}gZg_*NvM2b ze#tq~Qhm>M?mMVSTwp$kc@f|0Bo5-hUtw}a_sb4{K!U<^(R>POC@!$46XrAnk!;sM z#P8j!0Rt zr&FJR=>4w+Da~Bk_m>SpLQE@5d9n$bB)GplVSl)FD*C^1X03dt2EVx7?KCzP2#?HcW!p(fm?L)+L7M_ezLk0s0R4} zb0_e-wfuHa0GkP^@26vZY(KjlA%=H>Fg3Dv4fso+BPoS>iJ)14h6-ZkK+l{p?0CnX z30-%$6AlJpc6#`q2YKJ_Ts};MB{-YFNDn{*wKL$yiN001|352fl+dsARevED^D+T$ zq15qS^?veng{vy2(^^#Eku>PqZnz2NioYFJrYZPGfetnq^e^Cc1j{w*doJ#Ds#TZ8aFT;jc91)2h=mj^7j5+0Ag{_?TiTvZwp&@Hi|FY}`h*<@UFSgPPYVvyEKXOdRa_ED>!1SJ!C8uR>{{&(AU$dI{ ztC8fuIf+)E&ky!|VBfhmKG|WOa35{+q_37BTtG;=blh6`Pm6{Y|JVG?cgiA}5Xl>O z^Qfl3&M|OQ0tI5@e=>b)40}5KKcwX1&$^ykR=wWo^kV2159k<&{=?0=zK5Ev9mo8x z_xi}s%G`^$669R%2_8P*^z6FuSzP=VA8X&nrt;uLA$@t51>_fTGg)OHG0-9_8hhg_ z#6gou{wLKbe9t2E60XeD546OC7#UhJmLDsrpZM>_)mZ*F|L_0$d0;;%C+gy!t(m1b zecp28*57l{k}4fH$yE|Ddq)fNdKdp;(r^|0^>G54e_>rBMjp5y;hXOL^SMHyf!^Rt zX?cW&Q*lXqh*|vi%nJ(j7knLcAKlPh`0}Q!h9@~yTApy<$t$lt1QB1xfa!#VeQlpG z|4A#mKsDpm_k=bMA1Av1e=%a~he_dcS*4`YX~^pnGa$y#pLa>E*9+|`exjbtF;jmJ zZ=D;JeN$y4P0pPUH}f^ z>AI!8GcvQ)4$d}kXL3nCZWtBI=6MNDZv*=4L&-JLd-g)Pw`VU?MSJ#AZ}FEfuzL(c zy{@~*t=V4+-vGJ^AIja*K2_P9dEXIMFo`Q83&vzCSGgQeB%h423*fLu zfMV<{mQ&^P(eiv3zvZ0@)2=SC+CSQ}rQRdfK0@1h?h&x78e^X0|3PCK?NKgH_V*v} zcx+qWC#G;FO)?esyS(m2+J~SLA zOK2516VO`8ul52abUG{GeEyXcbjWnbvd=`?Ck+8y$E7o!oyKp7i?}{!O*hxCJx|$U zY+bH(hg%=MV%h52aa8^I@oIYyw-epg2UDJWb|#IbebD-pECGM`XmjwJ*f4VO97oUd3 zIIW!gMr^10?2$RB96p){iSY7+5JlAl?zA%TIPUxT;y2_w+#B7nO#RX1i;~%+BOn;~ z|GllvsbaEtXISlwY&IC9I<45gYfe4_qKQu(OU$|*TTb-F@rkG#H&}$I43c)d_w1%J-_8BB;x}E6JU!ezJ!iGH zpZDLLDZD$=b$h1Ja%O}oxj!)HP1pG;;hGrpt#Y2^>f*OWMygsbl@+j`mOY6o*rYK zm{rY#8}mHLhSF)RQbR{AoL2H)KG*Qpb#FZGQhXe@cAFDsao=MUE#ySEc(PFZgnl39 zfA;i~m3+%-Tk|rng0~`$0aZt0ic}8ZV!RHJUL7xAEqc+l%!9!cKGw9G(YQY|!Y?8M zw}}rdZVGH{DofP)QKlI-J<_QD#Dl^It1da2MwY6+n!k5f>Cp;-QSZrDAA_MBY~FZU zRr8FRJKH39+9^w?jwNw*+wvXa`y8P7&)})rFDIQupNw-_RV$x(3$ddo$rRl2PLo60 zd1gbmYpk{pL;AU%pu|f!B|x{ZB3ssI3IvX zUUn0RW<)xV=EI#wHA5Gh&q&z|Y3H-nKC%3>Sv_A^4x35my|6x2H;XTq^rJ|*EJP;D z@m@Xu*4%DG3fo7-(@*ATI8^OiXznTaAgWG1j8}p!+VXARI_~Q;Bs*seI5Z1?xw|96 zhNQ0}1OfFHI4`u(fC-hd511vS?|MtJt6szPl9nDZ^Jvn80O=xI<+YF3Z0w!&T5iA} z4IJeIq~+L-euFK{k$x8H)GR6%;v&w5@RX>p1IgP!+BPO;I~LziJIbCE0xGzG$QGEw z-r|!{fU&u0fqyA#=Djw0s!RLpDTKaC-E6b!E^qei;1UHrS^IRt+e(>(F-=kZd1mjs z+y!hXknH^O=Lm!e(Yzy>>-H;Sk$`3gE#ekkIaoz_)S=MygKzMi+;o?$01eeC3=_Bb z<3^a@1mpNB9KL!wyC$}{m*TpJ31<`fV)2^X^n_0;XQ;igU2rH}b3|#$JH&|Dc^YtR z5zc0Dt)s%j1n99@V4s^#%!aFKosx`!u#_^fQn^M1r+-v<$#vjCud?p}_MA-KpO)aR zcuG#em9(&8jpLRtOS*mTqTdV)G2I~moSos!?W3)x*GfLc&YP~`(mA>c$(}_X1w8)X z4iGv2fJ$4N7Up1|eGqam41`c5W*MHHjH3IT{Ih<@XY4Jl;1pJcoEjrdIW0z`_3JacuL~>EmRL_E`Oey`{DOYrh%j%b zzdgz?Z(=SJb($glAX_@WR*jKYd!LUNId3(jLV)V|rT)f0^tt0}9#!CM zwwZWL$@Uk-l^3zHr}fc2NqumkhR{(QrkxGf6TZ2KHNrylfQ;jChkNz4OjCVqp)}T~ zu15t?#+fQ*vLkFCi+UskL*{K;5Wc>=*)5##yU`+{xmz^`jrZmz4-0irjxgYuq@#peY- zV;fgdF05EcI)$>h$z+I7&sbq`sGt1<)!E>WyAFyr+1)+G($KRoDU1ycVrn(9iIiQHV}QdZtA$xEA2i=6*SDV-jhmAT-S~Omjo7}lp?fsPblwDh#)=Z14>L7T|iR$ev&TO+Tl{E_9VpNv_ zA@5l?(HWSJh~n$simT&qx1;g#QQPS+>qB05b*-Qr{f5K$4mh}3U|{7K+Nma`XKI8d zECDS=of=p-?cjjXgy?hwd_XWbGWF~?Ut$o#GUJO zAN1992$mBMHy1y;6GwoAUWq5H#SoPA_<|EzK&a(nK#2+op zZ*q)?@jiqA3$%-3l(9bctF(oJ)>u0wdrn2K#$*$-mO;3cj!KKz!X?i=772WPXMK6r zFr9E7vmF?#oR%0UqSso`Y?_W4z?>28W_29(MLk7t=Xejzn5M(nuxL83rOs7tK`7nW zfhBj2Mc3(>>Fo++Lnad&5hO$asYG@WRZ_lWkO&cqhiPeipdPjH38Nn$T7uHevw&j# zI@w>eB9bbWCz~wMHoP#6dCp>$3TMBJD!RG{kG9-U@2uFOUgnJl4JC$zWuHU;!QDp4o8lJvqG+CuZG#88Om#kD9Q>kNn2N%y!7A*M zR{)g0fPEI05=A3wIE*B38KbA-N3aBK+qd$17#C*SVs{jAnAMI#EW}YhA{_iOTG&KC zI%1`9e;sD}OAFIaF|4>^1E(>XwLcC8iqtvCUI?V=MyVH1jYL=cQ$ZyF(4ppZHWfl9?LDZeP=1` zb&HXHgTamlF)6^ss;i?J6bWDb1Qf=g0%j2CwT~Z#heaBxcmf9t(ohpgG+c%IKfs0@ zX8B6fUC>%X%`63E$l&DG&c20i+{f%B(H@AM6qK#v1XQ19)Fh2yTNkaPST=}-=wYko zo@TnTUhmCCggyXEVpp7CN$PRl+{E-l(sHw~ymU}`le@-S7+*d@S>WUXBY}&J3}Aj4 z3LySw_v6`^el}E1*8xz1G+f~mwvg9y@at&VU5sYAmH~%h(0mu|3bkO?twtmM0Rm+Vs&e35$f(QeZa)^2I6rOm`n9PCinwoyoO_(*Pb^Byyf}mXPAO*_j*((%}t&{>n!|3(EYZAqb8b zr7Ufk>I>oQ4zg_2w#~a!l>$V-W2I#g!NmYLAt>K+An?(WDE+UrA3kRy>+@Rr5SC~~T%CS2p^H)b+(N0bvv=l@>x7U8{5Jtg$h!cR!( zWhjvGFU!i6xU-0Z)_OFt`$sVG>k9AJxwAyLOpDZ9nxPE`YPAB7-pp~tyU=rP80Z4*oq--vr@W3g>I7XXB_*Ev`j zUYG$k$)c@Q4BboN!$4CufGc!Tcgwf)fv@p-+${A$t&3bV)|RnuM5m~?P)|3{>=1B^|3oo#YE!ZE-0ao1 zU7L4Xw1h$(2S0oZEjrhgpgcs1m5;?O4k0^oi|baCQV{1w8c7iBTWFZ0-`yd5vY@WR}y6~fyVKiDrvV(~?M>PWV*0mCD*OB`JS36+I5 zE;xjZyxVSg2eQ>cE@Cir(~*4Frlj$GDPfWZ5|Q6O3;@4E4E7OrL&q4UAp&3S@`}M_ z6EF}vg)^8bK`nJU<&$u>BFZ!HBUO1^A=0*M>-(M=h$Lc`I(mKPruVJ1?1hSXM2zn3 zn8~EaJJQ7+-}0p0Z)3$AcMW1dYIl5UliQn}X{Fnf&bEU>xNInokvl$uMwj&4kQj5(u7zPX9hf$IfcG4xzoW9OYq`!zuK)akKdM?gbF ziRyY-LqSYh%10FY7ky#hhOZzfntpDasnCi=qcfm(nK2A$fcmfzJNnVx{KSABZrtMY zmfck^#^;_`)Q_+L-Pz#hyAGT0T4)umuVt&fDz}`L>BF&eIcvMSRX4)!(n2*dQ38w{ zGfUKp@p%Wo)o^yoib~kbZqR|DpU|<4MXZ3tk-ky%TkdZF3LazTu=%!y4oGeC2OWJr zr68OsDHh|sZB$55cA7*9u#2M8A$DqID3jI_pWv&j6(?4?vs1;!T<(v#oL%it-HyW7 zaIgAm(crIkPhDt)2&J?GJd0ZwDA~!c9XEGc7<@zG*no#9HxJ)^V!U*zDc6-kFpS_`|bj>>2rDUpsWMTNX!5xCmHtPM%eV?|B{f8%Gw z){UZC7LP|UR8HlD`u%flSk=LA;s)EqU?8>y3tuEU`lMe1E&eSww*>Qf2v>22&^+g& zy1||8D>fvXM-~Rgdnr2)ZHE#YxLF7WrnWp-8@1EId}ieURJm#cP2pkg7uZRQh@I2T z`C#z34L7!%7a7Ewn2ZcFIviPJbVgkiJ3Re_CG(t=na+z||3)_d1ifG-!@dZRAtnE{-ol5EV&*t(}|7$_3M>&wi^w}MGw z&0GDKVc65zM9G-gU^+_}$33B12^3eN&>0^V;nrBY=j@opJ*uLmij;+^J|~VftA^2! z;!OVrX{NoDJkn8%2O&d=y01~%3%eN0qiu_ST4*^h`QXEHVVfDxA*+cHcCw+FA%BC`USyQiG86)XeM0S7Fg=fqFnyO4 zn@pfXv3r`|&7)rA?#HVCDdPbg{eHL5QkPr-7uW1oP=0<9H`pjqY%Wx9=d+OG=Bt+7 z0VWDYItKjQ>W3J!0H=TwOwIygG5~&&<$j4Jtp}t*5X4MiKMA)f_z3Ow&Jw$XlJxo% zs`433252`J+kRmZSt!mnZ1lRU9>xd_tatPV&$*b-qVdsOG`{xfy-R!v=RlBN-m9=#oy1a8WZo5rUb=193;x^u-gwGSRn z3sqUcM1w(QvDcqFJCqEBor-XqQh1)Fe#>H26qy3Y149e^bDp-ixA;JAa%vSmIGXHD zeVWFdO%*T33h6LfGstD6&@Uz{7~ut$cfmB!uzMyuR$>Sx`MV7pe^Jv#I6M5l&8cRr z-6A3=_8R)US-~WWA#65`sbz%WFt4Szv60)T*R@|PcxOGj3WO5~VPLo-Y*I;i)zvGT zg_Wa&@t-+)1%n3uhK`LZglRB>srP zzvQM3Gx}>Do>G^7K_A;+x6A$lFd= z#y6re?MaWlAB40l8iMtLDNF+|4X>`Z1xG;DJhNEmTCt_n7|N)p#Jo9<#(wP`5%9{-j^fLzouE){+r!%*F4W|E>u4I02`#-TP|DZG_@YMsOd3 zhXqNO!G}d=sYv>E*?>hmHIXvZ_$&X|^qNX)vby*fpgT+81x#CFA(J9!P;Oxu`7=Nl zI`(3z4TJ0m2qB&%@AP*npS73a2x(>*je!zoi?@$w@dF8W&<@ws* z6`PdSB?45M}16eC$PX$%QzPfNG;cD;1C{`L_urywE@^BC6k53grdK!$-*FY zWjl9A8Tmotbj+GNoQDzo5Il$JCN|j>+uMgZ|2NZT-DO|{R8hPP!f6IPUYu)pG9A7g zVqv#TpB)wyuD=1;zGm}fU*sakqN;!zA^;O4FcZL-T`C5i|2gx_8c?{;X?Z`31lDDnmK+f?F{uWwG20GA`?|;^f z$3*ok$TQ5`wjEJ4VZ>KuTchWG)Ov$7$D7F{?;SGX1B4(T-{|dlvZQ-=FVPtj83cHp zLYari#2iau++wa~y_QKdWq{@CT>%gh*}kX1R1KGNhG zq$L)NnCW55w0JqZvL$tP^fauyy3zIN!p z0W_wpW1v8_Tx{#&*B0jBB$0gaH6ZG-2vgFNz132(gQ~gM-$MtXWGIN5h+t736B|Lj zMv4!)?BugbQR>@{m8P@1_7usHNaf$ic8Fbx*VD}6L}FxK)VlQ0I+R}1vfi(}SkeV; z3Khh)E|zn!tX>CSQcZ~`mVye&#PZWGE}@8cu$EVJgUxWP$<%rihW3hwVCjUBl2W)5 z$XSYbfYT+^Uxoo3Og`%1m)HUb6dO*Ve&C|kFX}~#(J2jGsJcE}PY&qz)>~+Ak*e^j zGxXtXFiH@M0feXNb!^9lt8{NL7aWc-BNq%Wzt8IjOa~J?m!;rk zZ+#qm09!`}WiFudJFJY%@83Y2Z(;UNg2@Dd%8SOk@}?mI9Eoy-6knr0kW`N)l; zTBHEDM`&gbSlY?vCX(FS2%GI8ufou5g?Kp28!5Z+8ovTM=zKnaRI~Ldz)b5 zzy|+;0dWKMaMKYC{!qj5J0roOE1_qCNQQR2@b_D|`-Sl82dp#MU@SAUvl}W&CGmG* zph3P4tW;~%;^YY=8_4a2cMcuapZvZe9Fxr{{DXNu8za8W$=l!9z=z3Rl!T7GdrYw7 z6Xt~;@&AOuEgsw}6YOOfs_N^lYtl80Zhi6DU<4^TnYiY1{tf6h8K#xYRWEFtj!gCQG_!V#QF_m@39voynCV8t9qcDC~aZqfWsDs zrz$B$yEUi4x@8=y3`LOhZrv`Z#tLP?w3e2b-3DwEQB-gO7K~=FPfQs#++kof?I}0a z5WP0pOf}30kUO-9lH>_?2UGJDH2}TL8bQvBNICW*$EX=pu?5Q7ny?v;mt2P8YvO;n zKSsg9Z>@#B#Jg=+^QnZ`1rh2RE4vEMV>Fxf>R&NsE3DK!fa}4HRtQOw?ASg^Y#3@Jy~{>^V%Ncwh&U0x^1-Nb9=c)W;lCT11RGdeo{izxR0Lt@J*|5i0dk%b$ zbC8Q>Sk!Aq@n$76(_>;$;0v&Z#EGGKY~2n#X9@#7NTl+$0hm7upa(<)KqEZ6c#A(e zBMke#m^_g9P*BD57yp`dk8jK3Y7{Xk4}y~P4JqX$!t`Q|(-6Ul000O$i)D6~E}1`4&0naB z*1d>9&SNRK{7#eX_#nV`nPr)`o*sm1R)|_prudNDSbbZrW%eM=NKVyGN3_72d1$pL-Q?r7JPlD%>foS zT_m26Zv~92D22g7inlp2xB=B}rDH9tPT_Vg_hQ1GJI> zpm{PG%&pn+kEit9LKEnyP7>EDN_D;Hnq){E@jYZx3yShrVGry=-j%{FE@(|6?j;fL z0ZVJN*ex>JYzGq-66c1^uowOeR>v8xGR@3g2-OkY69*yWei9C*rKZcbhF^NNIC^R0< zMe;WP0j#P5zEBd%!LKtsY?3g<(k=rH99hlTOs{{bC6K(6*X4J2)0*WI5-g`4Z^lCM5 zcKlnlZ4!2Xk;;(RP(klH_Ii#}Cpg90^aF+?^T-t{zu`Nrk=)4`$Iba0!15Zy)7%-m zeVT!rUvhy4SvrJ;B!pF|(_O%~paqf}b@J=DDQP!~fSnA!5%F;6dNHN&8ax_b2i9d+ zY{E2Un9nV(SEe8zbrH(CK5K>jqN30UpZq9T6s=4ub~W&SKpqC)l`RYM-iX z2RMdlq|%^ZQdEgDC1AdZhgS3eXDYo{8jQ9ZzeJGV=LILx%-p{QTS?*;=cCvPA6Ysp zjofv@^GP=V)Q4>={$w0jMI%Y9l*F*lbwMrgF`&eYhjONkw_{KAv30=ES5p+vE_i@) zUqQsMAWGw?na=AiUm1Kc;w0&c$u()*EF)g4r+ArO3aABe(}E)NtHpCnNCt2hDIelyxkY?qL)U+AO-HdMXg)Qa%8%d-T0US7K&J2 zcu|`+7+qGYh4M273g4D^X$&k4&L@c9ws#23BiA8AvwC`)-RL2~(XvSD1G~E6heO>+ zWM}|0MGK+wh5XdBSEgm`;cerd<*{vwQV=q<^r{PzRqItaK(iW7T3#8XS@9AmO1@5Z z>H|ed%OQC-yaU~$$i{*Bl|Mk|E;|<*e8DC9maBI`X{}R>GvAu-(%q`NnR&>X!Ip#AT!f&MkMPllJaT9>#s`S!)GnE5vE|-$! z_f-Df;(haGwlVRQua2>Hscn3mUb>>ygMcey?*W)-1on99#+|9nt3N7K5t+|ryq9Cf zHbQ)^l--VtdtdX}T*W8lfQ-d^oy#|G1KJ@-gPTR!SM*QU%X=KV^{u)-@3ZIlytwFP zSNA7uC~yJMVwn=VYWU^B_Y2pHYAX$%oY7))ai4uBh_jwCn<>35_CTxZ-;LWGy6xZ^ zmk)DQ8{c|s#&~S-bNNk-YdbEni}!MT07*07 z13UDOAJq!SztA0T0Vyu00{8~^Pbw?@GlGeZ%4?|e%$NQ0DmE%_672Dq!NHyo*gI^7 z1$&;go^EGZNRL;p&YK3`)9uWZidH$g^Jf_jXs7f z95?*|tCfxzQ7j@q3Btb4IVxyTd9?r3PZ>x%_Y5fzCP47}&9s zF@C}Mg?{#9yJ~}*3%QO0ujYEhDr(qGL+=uB88`i ztrl0ZWCTYHt{>U>@$FEmfx);%hzbz#F*|?OK{?ahXR+mdXx98jONkMl2QSzK6ma&2k) zW%ny$K6T501M%h$cY1hET(VJE_OGwn!_NCy&h?jH=U(Bhzjfz!;ax`wVU=I& z{;!h_`qf^`_P_6&%c!>f^Fpoi-}Bx6SnY|IoGhWNWZAy=W;W+<{^5u-zNuF^ANrGC z8h#`xe0S;1A)~J{`>xq<{7UT`=y*B&Aepa((lmZLB)PPx{zUoNdB#Xj`t`(G(T5Pk zIVw9!QUtFNIRF0Z?DSocr|&RU|6aYKBNs44>a@+#NHNN|kosvz=keOR(~i*o4=3`L z!u?h9sqe!de9!ta%E!Sm>Tlc8+w{3AlOyVvk$B0i`>J-N#J;#*!;JIpTKL3&$E6l9 zS@nE}47-mU`?Z<)XYGZm35T8hfzEF4g~Q?}pUg;Zc%=;89!U`9D!mjKVX}P~z5Pzw z9K#5aXmS|wH|WstP%)OeKYvmtVzXHM26`^%@`+bpaeY^E+d2@42% zy9eknO2Z%3YdAEVxz=>|_hf_0`w;0DcXBR#_#`;w`D{4p(8KRp10(F4+{<=Tg=bGF zawMac6K>V&E;m)yKV$x{P+$ zu|JTxAtn`9|2~w-t!OpbHJ(%APp4Fe ziHnLp?(EhuiFhM=?6!^l_s?#Z%rEEE-wd^VayZ&M;(5sL52(!!q)yJEs`*6i+o6Z8 zS&J^pRNG1o?B&cr0fXB%7BB97zaZ-R{;=fhGTBvTSl@E2WYizBT_9 zCf(M#oqfhtby)R+sC$1D&Gi~22KC6ESue_C7kK6C5k=9^q$-WW^NQ~y`T}$hyii00 zToMgDZA8FGXK9HUvX)}*b3b1@*Yttx$5WH*{an}Bk`}I+I+3)qo?#GJWYCpXe zUG@AB^0TMit<9z4L%{VM+U0v|Ip|a^^zkD5h^s$0@JevIJ9Tb54zGO^`*u^31b@<# zIo`E36|QgC-#ush(#y?t{XzGM1xY!${pEm&xVF4B<;S7J)#sbCg4fIZ4DMVu`}F-p zwduQZHVJP#T_7;0tpZoa{7m?*b64i@rMK^Tb2-yrDAuU;*X7wXe!i4m-=1~l-_Bc9 z`m>lHEpAZV%S(3tPk&YqU;B7wN=vTiN{;1+A5TaEQ@US0mM)%^Im0#Uvemx+&&To& zv2pqIvxh#o%m_(!@l3ioea-K^Gf#b%-enkU<#sen_48cC^MPly-(HWt(liF@o(k1l z+&W(V>0Mp<{feqNERBOx8H4Eq#=Fx5MLKsZ9Chx8kDtwo5XfkCsU9e;;uqE8wQVv7L=~x!RK* zcQf@*p6qyrpZj2wXL$Fq zC2T6WGhly&Sy14`oLxGR(YUx|nBkUGBHt31Q0Rfg6= zm~zISO{8g+`W<{TT1qW5f8*7~=wOY(W*I4Owcp={`^lQX6@Z~-y0zhvSR>>*L0O8< z0Ac{v^IVlcb{)H>L4)+D7u8FxBHa7lN+krq1vrC)3P`AF6nu8cGo$37ed0M&i*>&v zrbw~;EJwSm7y4c=d*!BxD72fo_ZaTca z`BZZ18@?Z|(a_N^*)YMw-f_;bUs^iR$ksLGr4F#u!Y%?fE<^XU{64S8=URWy8`0sh z_A8iJxk*GKN{CX4N}7Ym?R7gtd4x2+hRl&={on#>R=M)Ov3QdzW*ni4YKS(VpH(P_%-H!@V z!{kzf=$~<@vHo0*LD8sR6)}n#)XhLs%=$e^kNcGpw`nlILs4s85w1ARNMi4}WGpDi z5o{E>&4L2e23Q^;%S)t;n0d0xx_{d`7PnPdlY1~Ev;Zg)iurYjy>n&Fg=lW-O&vJD zTiXmY_V?FmtP-~vfy^i-B}y~BrN1%5WO>Oc!(?r#)*d(#tDZeFEcq4q}M)5MF>1)Ay2RM`!z?Di&?DT%sOJMpFbd2Y~0JLmHh0vCJdUB=GSs# zV>e(ww5J=x`1|IYiiB}$us9IBe|6$=?S4aNQB$gL=eE*%2&quWFYlzA;uvdlC|*{# zUi!NmHL{~>0k&|f0v(oYYkB&;b0r(uI;Xa=X4{r}`d{V^o2!qX76l4gpN}ev;Osq4 zBKVdydFgMftrytqzE#}m);gGB5^_`7-l(S)DqOzx6i}e0)<0=tlH2_jjA;G8jh)r1 z|758qxOc~jiKimObQXLYcM`S#j?XB|T;7>!Es?NTJ*tM`88GUZH_a`+r*h}?AA(WO z=wRMao{TfSr##&R5(>r!i;PSRF%qPe<$;WEp_}-7M#UF{kEjkr__Guo67#KiVZ>om0mu3m^VHO^%$jn#QA<>=V1a`%(z$y4wV{x231YMCIZGADyB1qwBh*6dh_1TOKc$Y zpffd~xVL^`=##eX^_J~7@t~;T%@vjPqhe+s+`D`E$g$Mf)<3rFA*M& zwU{Bk_jJ#%gMWp$%bmEl_YDcl+X>|MVwrhL<^kLx@YL927ymnT5l#eUO^)dZvM6(V z%UdxX54g^MoL&lyH*AxO5o!~OdHaK(yAHMAuzcOY=bL4K;K4eegt~*Mf7)poT)7bH zZA2%as5_KIY;)Ta+vQzda2)GLbZ2(k1KVZs5l4C9#i&yqZH5(-j%`1R*R+MX>w@HN zl&}Bxs3G&NCEM!zKd)f~pY5NsrjiWB)cqCDCHMwviAr6JH7>q5-aB3to2`U0+MD%R z&zgbbgB@?j#}=k_;K5T?Gvtr>@0{1E3wo@#H{nhtw3JbjGVBx};!~-AungAN+m>t3 zSzcY?pZ6PzrxzL_)jzwoF=DQVRC$^Et#E1B z?bga?`U@mS^>NLj+j8HZ5X|nh@=kiJgr(XVPVGD(h169C>t#AUJ=NRPcd_`T)#eKR zaK@G|Er%r8^Jd!f;K!b*WwqzvtyTKhQBPM}6Skkpy@0@v2> zu=l+TxH{?zcHyy`PQ|!7Vt6#roQA~scA-*iw?cC5zwq7|ANTJ;)yy@n{JzKg`7G`* ztyiWWS&xyyJI6EYMv<&*vG^q2l`(x)S%#w-%gsUd+i3$roMNy7La<)oH*qj$*BRS=;Jfy9*mI)fWhUJkhu1q`TSZvhfx(tQSZgnRz> zzV?|L9^B_8dX8yUFvp1ohvNie++0yz*0SeYh`-^koiXyPoEbsz4_A5J89L4IO`U~Q-@5pr^LrWECb3Pir2G=GJ+ZqX z5Sf|rezxE|EX(=B1+foXlk!+=zW19S=IP$u6gMH`++4C}WrgjrU$o7HSQ41a@alR58`>&!OlUAB!p9fQ`vb~i^pIYPp#z1viUR%}XD zrGYa}$!NCA*3h?FPnsQI?{E@;UJ8F0@#a1xY$H@2aV;uOVOEchMvoHf3@AyXVDWM? zE57=ib)R|Ay`_|21tOLNyr+BmPEP8-Scj%&d1ed2q?D7ly;EisW;;%th@#FN?~|%8 zFm66quwEbbOcA}~Sok{(LRN+4l2UF3E{VV$Y&&^}M3sTm|H;7mIY>IUrnQcArE|te ztGW6K9FHJT#a~Z0?<21iC~s@EJnw`{x{I{Ha;#v{Uw1hiN%!_$dsVW+^Gr3=HpX1( z?I_B0JfchmYShkP;+tR35Hg@!>OFJ2Ev-1QsKF?mSnj7R$+u7mwTw4oW|wcU2WT!T zUAp+I+Q+qpvKKyD4-pwUlHI6oQ8|kU!@1+&PP^|pv{{12H}#v5xw-xmCSNxD=Qj5n zO+{zWzw_J2UtxKxAuGhyKkxby2d+-;%+blOtQ}o*oV^7%iVG)Gd(Oz$T#k>-KXKr` zJv%n+E6s)xc!sOfRj2228gCu}WA*&ghs5m6YK|hRx>5TxzI@Kg!|Yt=KaEJ;w6jBK z8WOlif||1O==Kp}_Z*iyr{lXl#>!o1?Vck4N@5oZYRB>rJ4vqC6O zRabLFI^Rr2?;}sKIP(?Te@q-&99`y1a_Ffar&aVeIia%bjJXI-*?!Z01zmQ=)|Dm) z1tg@IQVJPs?z@f|uqYO>wDRD-@h1PN*?oY*dTg0$~mrwF4(8`98l=9TkTmk&wM}Qyc5X38T6{pE<&iS+rZFt^{ckXINIkc zs^}4SPBzp_{|`bjHi<;pKEu$fZmDgm)$6!E_piITor-+WCj*$DhX>xAd@vo0wV^!z{ed32}GY*DH7f z#yJ)e5qFjS!PL!mZMTtAICkquw&6SeS8bOP*l8U3y!%X5YAh_IjA@@!GLvbFo|=B@ zAH?tEs8-nf6g(9S;@9=UK+R4}-{tp-__3DI_Eo|=t1B)`O*O+c4ga|EftcWv&8!5?a_IzmFU=)UDCy^cdHb$h7yg9gvjUbV`<0T@o4cP(pppFptd1ec|s0@x~(Fw{l_oDx!DnUk|LKcX@|FI zeqBD->Uk2{{NJSYB*`Wpm>N%>9LFn;eLpoT1Ua;=)jdf8E$nt{R8}y5lOod=#9!oE z^PeuD^pKXQG2Rs%T)5H=I9o;Z4$9Mg2aP2FSv_qY>n#fqrQIR>pQj zxHt>IsXnjqcmqREAZlK8j7O+|!01AQU#o>Ku%EWeM|FfZ~mtu38~ z6dxUE=4QfVrUej!ZfdNKS_prj=;KratSIb9&S~4oQ1y*W56X*IyyQO4<$I6?~BxLVS3-K zrCQ}L-$CAZY`*BX*PK1VIn>l`F*!H6VESm)lKr6)d=aw@y-j#Fa^=j%u%mjO=lACQ zB{g|Y=$%Irp2Nbr7yuCow_KNo)VGM(-%4Ts!9pa~LUPc^^HG`&A3B1ukbH}L^1sF5 z-erG%0gmlG(B=I9las78@Z>wO2$Da;%a}X2$#Hl%*xewYZe@&c^lUB+?)N7;r+Q|7 zG;TO`1VNO|lJPe$4$}?6lEVUDcsIQ7NcQWr(dX%<=Yh9=s`uG0 zq2J3?6kY>YH6`_Wj9j}PmB@bknnb7!QqeNMQ(~YisdTT(_+LbBvXrv8}dooeH=sih}#r5il~H4u)c z|J1?w<>kW9n0;;0H`MQE2(tOxHi@b*^7qr+GbP&BePs3w-Rvc@5SShc z%7lAhHdULMa$oY^--sG!G{@7I2 zTBR$>7uu4dcxgTKN~2WUxb3Kfmo^7 zRE3>3zN~VKJ2*2D)hOZ?7O0u0k;D}u&U{xEQftTPs+e)P>+1=l!$gPEk%lTQWyKQs zF~xbBcp^LLHA%lUVsy7-UgWiZ z=-6lE(u*;^S^67N6X{?{caAf28~d^Iq4&;b1ONAr0F3v)>f6~|40X1S*Y}bT_yuId!^BGQcubJW+?5k4Vqi(6#mYH>1N&6{j&PM@NUN3QejF zP)VbTLL)w1^Ku@EK|Qa*&GZM=JxMP}E6qT6n{HP%edj9oPGw278`iQa)M#^onAGdh zZa3Uf7u+TA4A}xU)cB;fVka&4{&WB|aX|9aH^G`=CoT3Qbj$w$N#mJF$fC_S&QGD$ zDc2zc*OddfmI3j9J^6<7Iq6O>N#p}y>cV?aDx$e*zWzbf zU&&~ZujtLl(jUd?7()WRD^*JJNZDP#3!1rXpTPfuomZRwdQ=@R6YsNqqrjgjWE1$c zT*O*~HL@t=e;FaWse7!+_#~7t=!bgFxfrr)$(zzW0d0goAcXL2RdBV+Fyk2Reyzs( zNS%;XZ=dbl!WhE%e0-9-O39UON?F>woxa4zT36xkwR(H`yo5)-Kz~KqEos=Z z=DjEL_jc^YT4U8mg~?>s@_G6kl^1)+WR`hXle`+jy=?z_@*I5C-+FP`{ISP;(4i(w zGyLjTr~TPd9}};$?u#(pSTd{--ep9>BuUH#zFqU|jF#m!)_q}S5bKuTzgns0tdT2^ zBVH~=;ir0IE$vx1Ji`8E%T*h>z>nm?b^2mQn2|K@v;ODh>u}#LGw|qp6^5_hc0*t( zTf2UT7xXuWj^cXO%vAF|?ZdzCx6E_3_wII_e4^xVpjFPoa4JVMq2rnH6iQG zO7sk&!KG(vRtk+unmMp)Q3uK6+Hk;|7m_)zuM&1g4A{84g}b{XPJ#ixDiU=gzoTOA zRk$j&u-dnkc&KTiG##R+aW>&CjhsCDw&7sPI0V+bTcW7BubsL2Op!ApsFBq>O#;{} zQjK_o-(cE7vU0HQuQIB(eBB-eO zsjR2=eH6;2zatPd8X!NB$3sN1nX}}czXLEgJau=5Vs=)uf;3??U1=c(zzT&WDhP+aKV~&}jCPQXuVvqMSbpnEYidW3Q zd;Imcc?XG+F@@pRsr<5i1?%R!TQK5Hawh#lhfEl$r!W%G*J0;J{QOL@2dfY76Xbpe z#7xf@MGcH2OTXYmWh0Ap`3#9YmcYrP45B6P>QyoR$1s>p)pFk-=-o)Z?a%WI08{q| z!?AB83e#pP0UzEKhDH*rwPm#_7#LHs%b6(oGa&-RMmJCpz#zzwkijSwf`I??A zCMtJ17trf;wHon(BJO9d&UvP)mi`Oe5(61L9{H|wVK=|@tpv1Wk|NtxaIN58uo?6R zP;Kk<)>}cOSg!u+_HM0Evr(^X1?KRj8!NEX-k-uL>7s(V8A4hJgn8<8_B-jF3794K zPiCS^8v~XLCJ(-Vk9Yo64cH>_JhQDtG}r0pa-eIpcox~*VApzWfg<`5`t%N3v#+GE zQe&sCfNvV3GNM8@+(dCtrGQ(5I+e9wsMrE2!x^==${>QqO@NDgzF?M(X`d@+|ggSx+9xF$um(LEdSg3pab2qL{PTe`6^3ppxQ_uX9Z@wa%b%xdv zPfEQYk2$AX4KALos?N~RfV>i>{>-L=;*?QH#IG}m05%hqa4frS{C(m1%;1@sWr-v{l z@Atp4#z|wJe1dk%d$uyBff@v`%(xY|HnXQwxVXC^RGvs{A9IaXYIXbixNui)PUEM^ zC6VaD7}~P^JK$-*reXJmtu)e0H z9T~aaKlT<_X@D;3Ncsx%fA`?PuJVBKaCvFXpTE+_mm$r!UJtrUpiT}7!lFj$SFYv( zG?>jtx%-#a(ShS$_)QNPID-ge78qGJC`0}2xmE!2-tiRkNe{w{S%gAuWy!5h{gjK7 zYwcZ!VbP}}uZreBFWC{!ShA~QfA>a%n*>edomZw8h^EKL?xQ)17*zPC?8#7$d3o9} z`@m{NLl(S$x!(o8%in+CHTX^@uFcLM!Hzi*4nW3dl((Sn?wz?Xeik^aAmaRf+nJr} zS5|?y`jdMQ*^)npp;3FrPK!FG_f+HBa`#btCNq;eOHK&t+R~TY>cRo(pi`;Zo9|Wn z8k~%b7CkdI-I&x#<>*;%V|vekCl|KACv1j*WuZnObwjpyjXLY``-APG`gWal(1Z`{BrZh!9G9pdZ|#I zl=>G>u~`-AU_!=&W3sooy8@l(7*@C1>tB^m1)7PF)h5ByyjF#I=f#jKO&5~IrkZU? zp=+@f0|ODB+@(ko4is&^IId*#(skH{-$&46A@o>+X~8%IU%|9p z!81T}K>-qVJ3+N=zAZ4b=dngEkq#t(B{$r+a{vfN&&}~&sLrFyU?QhMX1IwrG2>T zy?LwA+aMVgpg_`ASJgsKtH^gg3#ftbVP1U1*M{;-?Lbk-zD2)Z`$erthSL0KjW(IY=I34WHR?j z7%0?paNBbJxb<}~Ar!^YlA&EZgvrU&EpisCGmt!A84G3voyK_C66(Oj)CYN;*O#6m zirFuDHG&!g$+MMxKve5NjclMM%5%6BI{2a?S}?G(**w$@SA;Ctx5{TMWPy3v_dWt6 zm5r0>aAIzUYcV`^P+cg@$4|BB2EqK+!ihDzT>JaXmtoJ4G-NDZGmgLZ;f(kk`$y<& zdv8~cooehxbI>PG08biEt*kj5D(uG;$hAsY(&WnXo}+fFLWg<2T-E;en}bV@yg2xJ zXb8i6cIh>^-IaGaKfYm4Hpz%MYbeq^2D>frx9{3FMvQi!=x7`rg0XHNnE?)dv{FHP zBlTedpJL>&Qg?CA1*55kyfAlvp#Ev*O;;h$X2QanNB0n zhjD6vyL!sx8xH`X7u<;SfwY#@cNXX}R`SsRyO2dnWy6Q&mwj$KQyn=>jg9zT&C z>Xu19VURFTs0Qli)QDkP-Rem#Cv(;i_82V zI?9Z27*`V08I59{ii}4&DKf5uR0tNE3(XINgR5Q#z7m=p; z*4!fzg_+HDzX7JnnOQX(kud2uDv)8&Q8?2XH(i_`Q^@~%stWFd8?N@gEL0L|vdPiR zU+>>X7GmAs>+cmXli6=sZVLoVvk=par6ghw(?w)fLL>G4KK0$-WkWx`$uapm6VQ}E z-bqrXE4}EtSZ*4CTzvbQZEGty8FbT;Xn<)mx=>!eF-r#*^@J?xEg658+kCf0ZMZ9H z|71v1?Cuflx*SNg=dOPJ3R3LdJ`89?9JaX`p70_}huvd$8xXsAAK%LT6Lfu1Z$&Tj ztWU1O4k9MWUHR;y1J0ghR4qJZvEKV9@V?V0DOOxd`cg|VRgDIohx;j47`o_6hDX|5 zTl$9cdEP5K3MThxNLQ5!PKfC{rT&ce3EJ+`5rR)C~Ti8_dbp;h|~q>>pC5-4tSQ1|&n($2>BO5gB6{MNb0s>aVrsNdQRixI1zb2z6K8%UB(&7Tfo z8h0!nmS4e0T$(zD#XD3H()Esnx{mcc5nq=|T z@ksXWF3!tQc`*$2`jX&zFS|?0oY=hss^sDK6*weTm3G0KsUCUzlx`nOxIPf+mY|$J z4c+TFEWBg&XF6m@W78A=VW&A77pj(CHfO`Ur&Zg($s-f_t;XNNbakmA?v3^1vc+UE z&5NP3AMKLVG-JVFr;H_WGuf;2_RS}tM%)u)h~_@kY;kjQR`9ZiL3Q*?k^T?2T-?lA zYwADGy=5F!sz#iUB9};Q^OBz`?$iSs@#B_a@+LK*yMg<3zH#|1YlfiGwJoz^L(>aZ z7?%f}Xm|YN&*vqE_MM+_u_`#Mn$L&XiSO^>Zm}gR1`^c~=Gm&dZ0z2G!$zRn`?4Wo z#4|JjViajy2Ik3XT>(}EmLB>nMvO_1-o?P|M%8-i^ghr^+|fUZpB7&V{d`4l65PJ= zu6()5PR^Pqa2VAC_CiHVOTN&Tb5agCqhcGu%~Cx>8=uMn)Y=& z=ZHzO@$Zc1CD;3JYNFjsykN<%r@RiBum39MSb3U+nFQhR7A#y%UgFixvh%Au_bvz} zO!L{iVNSFHHFXcW?(vEgbWknWiYz5(iN+Zb8+(VcM!3_f3 z|KqaiOkF?0_?$6uSlfK}nBEs_fp@ZS(RKt2&bThIWbC|US?MJ-Lw2}s=hf!|%x$EtVTP`t-b?%vK z>{54ZEmWL;9{Y};i{iZv9fAw%Q0sIazrtOFs@QTp-66vEJJL0rU#*lkG;Jzc8xkqS*F@*0(#=9C5(~r`90$ z_eEuq!sLjtyJT?HcEidiLHX+0>gvsdxzwyYV@iW?XnSV!y|Mf5LG3dN1u38It>F%E zD@|{=22p*rQ_)c`sB2f?%cRjH15|9qrY)?3bc#rx>&TGzZ=|%&brMB$fB5(Z8HRC1 z=C{8*`n_ZK^{kA&TFP71+1{=CM_wP~vn@irr{DTF7JOGq(mfAVXuBPjYv=csvapk} zTn;czE~}iu{tQF!B0d05l9pG7n11e<<{16+gQl0g!QMiCa7hcs3Xup@!$MhfLSFUm*z9yKcn94ssX3xy%b#_zx16guE|5b z@mBsE&X9qiCcYnLEsf{S>5kpC^_u|BM}AmLyCGfX(-^_iF$@#f;1eACKDhebsp@G| zR@+3EpfHDf>7veU-d^*^@_%^^`ObLgCr&Pm1#nCmHYoGZ$a!5rL)ol~`4<5!NP?Za zy4-4rm@4%vT7@eoaiIgLp96LvNZL48X`Il3Z^&mI!HwG!(q$jj9Ian`S>o(qtMF7m zL)B<1J8y`yRJ8g*OfMSPk6^m@{kWU{5wxmLZeA|)RWll8EI(l>3dk4i=5gvyWtdFB zha&_oyyld6?B4i2gh{^_nz4KL`+@!o2t&KZzWVoaEb&pR?w)w?ME<1!!erXRv=$!R z#-6m86EV!oS-SEAWQSM?C*3RQH|5?BsS8uSIu@sd@rtuy{`Xe-7!AKGQcM1~wl=Vo zbC(f#$m0*^NY#W%TZwOshb7_7trE`-o%Kt$Kd&{`dyfA(AGaPtJZb#$9a1XG+INbs zfi=Gw+G@Y}iO-FRkf)f11~imkkk`iVubR4aAZll-KZ@Et;KDn(L<2?|3b?& z9h1-};lviN(4Ihh?AK-GNjsmPch)^+-Re+V8RPeh5|S-XBRsrJ-dde*@94`g7;`$D z6qR9>!F12Kn#W7vs)!f*fR&f(TIX}?e`IboCWJ}NEAEzk1QpTD+;b}_D5|ad!EP+I z)#r#$he2o9`KZjclC)2*VPX#KLKKwNasU0!FZv)`&0LrmM?X*Y3=xfzZ9$72HN{t( z3sXi|6~dGO*T;KGlv9S)Fh^9gQAL%LPw?=6PR5K(FY}4J&R^hD-A0i%TJ;XJ;up^Mg^^f0{s^% z4ZA@Htof7MFFGtv>4DtGMGD%3U;zSGFCQV_iCqhyUUa5YQIV+$kvj{oMn{_C?>`W% zhjL{eG{AO`MVv_bbNK>nP1mNiU^{DwE6WgDR$g*)hA!L19$Nsf^>m=JKw51jY(Te( zREjjkFUy(b%R=`psk5#f=9iC!Fsl9;O^X%$c|>TIyap?;NS`rd+{dkZt=5RDAq0W>f5-BU*vL1?A`JJ48waSY)2od(323%Y<6Xi1G_Y`&Y zu-WFjGo}&mK-xO{?e;eQ&&HEjAfFHTt>BA6{w{&#sD zi*bhqH0KI}&neveqgyW|oi;TAtM4Ck9H6lZhCky;8k)zV!p!M^&d5bKLN0M-)Q)k= z?3^~X61b`RdGfx_(bZhi2kHa+6~EKXh4X0L{;icq_&jsH5M9AjNTP**g;K(QhNde zMg@dLU}AwChJx@OV|?z2sH}*!6UYGlk|bQ^&6MBP&I%DKnKC-Yei8o9(%oCtRVT76 zwWCU627~s)Kl^|+6L=5g%uIKOg9dNDw;*)%LJFpgUvG(NgRQcIty-DKE=2MBc7KH{ z51t3UmfuC477{M4F<{p06FY`0qThh^02|h(7pAu(r+uhgjlDL!;Ul^)~buKglH+CKPTmClk?Udcp@;^K{aCK#y{B~-vF2S$Z>1;Yu8#uN16$;mI z(iyFN0qC=DN0gjpqNV4GQl^qYt;>G|aBK1JJnp&gU<^Mcw1)Gj%5`wcPkoUi&7CbX zoeuV%)WP_~ww&!pY*o`Y!RG^xAq%Ut8>MN1=hBmBHx)ljrCUU%PG}W)z7a0n2M@ zRH!>T8zrs;Ss2t7SP%+Zi{Cc=^&unlAmcH8%mnZu$!>`5t<+**d@d@mQ6nG+jK*W{ zy(DHZrhdE_4DgE#P9Uhu<2)lbF2ynLYZ6;ZR#rS&QQgcKIc!mD-k$r^!rQ;9X;W|bjalEA=5|7p*jB>1)mR{=_iC9D%Ak(>LdN?>YO)VwI}-ulM$g*aguE{h&wGrnBke() z3^?ZJiZPUL0)33JVHE3R(y}Xn4`=cgBF?mxaQn~p*92`J2V*XREP-lMX`6?bhKVm2 zC2V>E)dp=BXtp0y>^&!g6-*2IrCR*ju%4xsPc!}&63ma#=Qea83NOLWN)Zl;`4Rju zgMRe|(Om+Iq{vUd$L&pIxl$X`Yj-*%FAs@Ob-;gFsd!93R5xdyps8?Oqwl;D94BTvOthi1*Dxq4%a&Z^YTq z2avAjg+9kBGh6hp$JtyQSovWOm~focoH1oLAKdiu6j(~rg>TTNGGWWwuL4#+FbdZP zLGcHUDvAWhyhA#r!TwdsKQxNi!L?<=G@lZR2DmPXW(7SZKgh^CR~hGVXS@bFgEj-k zqM=Xi>o`0)?CkFn9;@SX?|8`P_o?jj`k!s+e`MchG=2eh^LoNF3R|C$^7fc5JUG4* z_9KQ!%B+&$3rzj#jbAA6yH7$W;SLlY-DgGOhYV;d!N2GUDAE$1$Ne{J#U$)^XZrLp zr?otKX>F#D+COtO;)v;)p(9ZH>BPp|mKZ5iHHO9Jb*b7UZVJ?uH z!uI6aZvQR`ZOmH;rXXZQh_aO)xbgi+-E6&e!PA`@)lofXd#b^AbvGkglS zp35!+4n=tHJ>ihu9n9BkQ&HCObM8C^Zp_?9$eC?^40IDdvk+nEI%BcG+yrj>o=`gE zaY#pjiTJXuR#~Ry=w*KcF&=7H{3d$r;?H7@QT5Fupk@r)|9wadDSI?2W6EhavM+1y zbGhu{Ur)*mZ9XD~u}=lpiA<3~mXb2XQ94I(ir=NAM0UQl0UAC6AnV_oz2x$hO%0I?0N zd~TEmkm$;wj{`y5(6?G+zr*p}T2Vc1A^QeDzi*bDyR;py(iXPcnWsepa;oB`$Zdl* zw1#uM7C%pG!RRO!trZpjqe!nIU29=n(k$^=lad$ZE+h1KdhmrqK8+jitQMaRdml3aViLnErUftq|j@k>8gJI8?Ste+Y4V~ir zz4s}*&WF@(C2l{0+&^7}JVLN-O1$FsA!9ylOeQ*y5@X{wIrh~3Plv1D(*eT3Z}t`0 zA0@zf&=PY#nmwKy*J_laZla$XO7(IsL{;D!KF2h_El?-efq{K4yn`oZ4FebFoni_X5XoI)=7skI5nXB;}6ous0I}Z1{KQR{m)A#UjlvX z*98hKzn%MC|HMeluMXVr+xNAdJp+|D439j)1YR(S+=9(lHk16KLa57E0!Blr0b37y zX$4oG=*`BipMJllHkDep`pP}D!9U5wcv*0mj6RAQ@mWEmHdVi0L+5J{<*+>uwi!>i z->u)?+U-#mYq}R(ryVs8vCBe#S`w|qw1rv3+3>tSo4f&LS@k26X4$vS6NB{eXt>3*TZg-*#&QXASdYo^ zw_d8h)P*prQ8dpDzZZ0cVmkmbQQFX#<5C~{`|Uxb1zU9+K>gxuxPcO{Yz!76nx_q1 zw)7s4?@kK7fOL&>4_r8lHAJq=?(?S~So8h!IhAj><`p#%>8_SP{8{oJ_%XhKTFQz_k~gxxhj7`I6s#!Y zW0WVaJn{Xav9q7TRG#w>w1+L;Gzbfd3LG~I$We~A0vFm*#tE4VuPB>J<4T}P(5KSj;zh?jx#T(s?nm>R@DhWwh}TVyUHjE}dKgmr%< zMVw1l$H4nH{b4MaspeCCQtJK1>a%jlvQGl;nb8ecZGLI`su$#$=ow4ypmIw0JVpYk zpY@V>NGUlR^B9PAM4DH`2!c9kmN+V z(^ACH@Ik~lHyme%l%x~@FYZJQVbgz zlcxBGa#*j{uhAh^z!5b%FFCKdjY(8OPcAUyC@RDYPyPcZ<2QGXMfJ3lT^-7SKjN6Pp-AihLtfkRqyl`{;bcnh$FU2 z9~GVgk1HtwSQ-Z(V_Rg_$0I)oGWpl};YP)!EB1{e5_)dV_E-6iv>Ol(|2h{}zuLgt z3ihj2qej61oV-lD_3SD$_IAW`3T2OV8%Lk=G3kuoV)ViI=Z>1LcY6Y&G{ka)U&O8B z)`i)T|Dy&SR{8~kmu9X!2Elci-vH2GdaMhkj`x344ff)UDk|HzS*_ zrICedoB*CEnEUd4hI!zLiBNCq?i+t=okK6LjM1UwYQ0ZSv43-RJ6~L#=;woADcTJM zG2MS(bTR`PWLmw!b;d`;QMY5bTyZAT*fn%ty##@h_nTKn1EmUUJGK~rj%brQYhH8h z8I4j|HL+IlK`=u&r$EG;kSv9jN{m=0NiidRU+ov;I|LWSRT#+D029w$6Mgqbo*^gsFy_Z5edoT~$yLRGWP<`a8^3H$!p|tO*Op%d4$R@c|NoJek1Z?mW9v_8Ne4=B<}9I z9V1ud{{QpEFwd}@Z*RSUJi8bukv7?<|NN@Shh8Mxo>p9b4QCHHB#81l9fyj5EAOtk z`PaSE@`0;iPq&*7_fG6O`M9ltcWD^=lrY>f@i!~5dp66s2nURMHVf=DM4ga!j}W<$ zhZF+{2db2>uz9FN8ep`I3EY8Sf1y5^m^C%X|NMA8v%s@KoO1GK77p-=1fJC=iI5Cz z3+A|QD8=2vzE`L+pNsc~FlsUsCOip`GQ;vdS_Os^<>C8!?_3DwpX6|C+0mC^PH?H%Ksna__w>I+NKPA8;*r)Niht0g~)3zO>@`exe zXp!V9i&3ajuEj#MDDOdK_D=Z!6b~|3TQMsB<4Pz#C@jtfAA}W;zk{YZ>a>;6fHxd{ zDnfofw$tkeS?PSnzf?>D4{8D6Pe$6|jX??WHS8kGB za_bIP_e|uD{w^898Yx%q8x=mE0&4vF(TE3ilKjC9xIr0pp$j`SLM=nvE0k|RZ8aeEop|#N1 zbOM(C;$8Nee>0F?s?%JhqwUP-EoD%0<`mEJ2Bqexw4V&0?Ny&-mXG)u%$Cc8{b8G= zPhmvN>ikurf}jPCT2YBYceaO=ZxL$buuhY9+iMltgStU+{&E{fK+*K_p;^U*k<|EI z-My&;_KPknVGsSL%ZH))1saShb5iy^H-Uj;Xd5eWR)) zORhC(7o}DXYqetExq8g)3*B;@J1kDgWi2?kbXNS~=w_8_N7=QMge=_O&OjdDb#?YE z4Bu7~VnLK&ICf`XXHCiK-}d#};*dgSUlP`AX@3}2LtY+>*hADqt_b#B>hc!kR1;cZ z2Pi}UdpbR83_Rsw7o#YP?bePmLP*p$7y~-#xhUr2jbH_oAWXsIkM5bM^v4@VAXf$_ z-f1mt8Ash^cW=+DVnxntXR>S>&wP7Lv1hH=Pe`6iBTNY{NhjW2U6A{4s^i^%Y2@o> z4{sm}UcCIgDMxLkOb!^2nEhfU-@s1mD@94Q6-Ih=;#tuWH&%E62mHhLLAY7$|d?ega!mVZ;51D`)UNYwL zvtGCo+O6-dj@4$YJr4V~{}%(jR%q7W7(f04I%KAv4Yq$g3wAB}GCl8=$B%59sab@- zL7-^UKQ==Rzl9D8I7eB%Pp*iz0Z0hm!-#Lbq+H3T)1sjZYcn-cDPzf6Gdz5{w7o@#a%m3#=E%Aw~i|}Cfl(BU5 zDmQv9TKI8(bH`q(iF<55O(1Nyz|%m zpy|gFJ3AWsJ#6n>qAO+wxXuOiQYWzM@-A&_Kzw|Ue{N2b*lJOe1Q;_#hvmB$(J%iW zfwSwRG?0nD>p{;L8d1)INv)5Q=udt2AjbRp^|AkOH+DI#dc8c<|0g#9cEm@%KiXdG z$p9clv)zjKYe&hyu;pPbRJQjNptH=nSoyneFnCfURtq_KUen?f5o~mb(v?P;k7SBg zl+?o`PwZ&NztDG~hBrN6EX4z>!?lQ2n?~|}=PQ8KKCzvz?(6+-3qSPZbX&uUqex3p zD6Qv`bAw+Ot-A@~F_G;5Ki>}7D|;Tl=X5l+xu;~NF!^`UDs1|*S*3*Pn%Hr@VpQ41 zLq{JPM>|_jZ?)H`FOr`W{@|{G5bBx`XAx`v6d^V2KDBVzftp_`{2*B zg_h14n$?>#TUEx4lv#U6C29}e@kbMvzcU6*4~}m>4~mr(;)dd#hp3yuBM7)-Yjm(M1YCrShjjlNIDQ1iM%4^i2KhTR~n^M z1&2+l@qcSa;UC#|UhI$i#Gwb)c3yRbi6X|QTq-TEHT^Jb?oV}Ud0;Fqrl&}mzTS+T zHccDsEY4!?2buwxXYlCoN`BGQi<&jJux;pmj1e|SPrQQiN0KwUFlA>vu`6`!wY>qr zX#=GkyyJ6YtUA$mZGm7wPnB1lo6G#$O6qjy`_bWWJ4=0${y&l=r){Iq5c1HNW-ZJT zw7gqeI_hb>)0E@I(cu3zvm0mzB$9gooyTF zEFrA2j2h%J z|4GGF=4T)DiE@U80CvgBXN-u9MkBO5PoB}>e-}&YA1+lC>`REL3;nJG zko4hZW!2VU#oR}*z81%=PwPiz%aLe@Mm3-(Uro*0kw~A;0FDVMeAnqEvAY?K4Gl4pT;J_kQs6d|6xT=2uQ6%Zaz0_9-) zqRJ%h5$_Q`=yIG*r*fnIcFCH^U>zXyUtC&n2|B%SOc~d2xjCxd+ZH+>h_Y!kJ8|PL z5)XtaL&^gcNu!g=S4jsIbql~4%>V1FTZBX8b| z{=+-mFAC@sPs?8`*YJG5`OHV}&-K_qzM^Rqc%L$Op3uS{p6su?i&6;xl+O-K$msb(=HZ)ed1UQ?n>Q#%taERC#Gq>hFMPG4JZ+Jh zsP2fsVhf zffmI3XNoJ|%Oz~VBARH1Mr|cLH;zfl#!c{pJLmbs;epXihD(gY;cg39^iP01pqTvX z4I;(sWqNYOKqVy3-)oV6)XU9Bc`v@l_5U^Y-SKR8;oluktAnblz1l}n)Sj*2=|HV& z?Y;M`S|LGOEo#=@Nn0XDNv&9w6h+O{CQ6DD#0nybki6;decylHzutfH$$cm1J~=t( zT;uz_&UIZG*_}^9XusLXBrLX$O;~3-V9(p8M2ka(dPAMhK}Rg4 znJ}LbOXF@US)QE;hRIqXHswls)S8u_Z%Tr8i~0O7&CP9L`L)E_Fu^Ax74{hb=wxDeCCGRGSdE7VnOd@OoKSHe#@YbB2&XZP^%>g5i#%l`e zE6ZkDTCc$s9`A7FA?hplL~SWR_VZNs-+jQ(JO<-#>B!+TQKwGLNCuH>9PA-LHu+ zOBKOUCJS-9^T|q}NXWb*c<0q9h=cU`apj=T?d7p)z}2CL&aq1WStSvC4_-&+Z{m(f z!&kSbmzK8E^KoRJ9ETM4z9z~m_U!w!z=gb;ICc#rWpvc~sw|98Qlr-mGrTJkC*q5f zp)@PaRCoIS?3$izIak^R(Y1fc695eVi_+IzagiCm6tm2YN?QVTbnKS=zMFe0!t}CJ ztMR;W8mDBQ@p@SQ5`H8{Fmc{^&3W#1&Tx%lIOv<;%lQWDJolj6<32``xIyOw|J*Uv zUH|WmOemkcy%A})_~aE{uw03WJ{~eDx0~ahOqR=-xPI4|nA6r+=1vdi#(kXJFXzX@ z2whD1{)_UvH?@>F*-H)k>o5j97Wp?YishB7Yrv0l%TZSEWcUFQeWuYv4 ztvfon3;$_>AH;V2Q-p7x38OiO3!9%r2b4QC@3x+Vm#pLnk;sGjbn8yFa!#`YvdW?@ z;w>h1If@MX0F!l6pv=wY=hCZz-pqAGh%`T#g!$pX?qGs%@k<5SpQuN2o!i))8>C54ssGRpjH%AJ^CN$V%+>%{l9cKNl{ zzZ(L|LuG~=A6#~dB?jDUGh`Zddk-Ga!d1olDu%oT-`9fv_u#ZcPImsg5FluMiz)V+ z(vNUj>T`qc3aEnPqBHO&y}R6lJd+ORwR&{#5P=_f?HFcrRpxcUm46y|r5FCs>XrZ< zq2B-BQ61s-v|^+>L`D{6!Xr`1itDswIlBuZkZ*oqp&p`C=RWO8%^jdOftW!j8FApyt14ctE3p%%o#N zErQ#XpCB|yCsN#0rwo1;P9+?2l8TFAF3z58#qrCu`R~@4)Qb`VhA*jp@jI6W;D_Lb zxYlb!CQ4wxZ*afkrL5Y?Zvdvlr@1l?{OfrHD|t}D<94_(5)NM1f`XYe{DBI|xYS1z z8Y(2PH>`?((kxeF0SFViD_5 z%I=ooEGxvT@Gk?{@dp#9`KI19FI%brH4=}~t|XuGVXhMLYv!)|o7kaauD|z{KJyCH zQPH>W{`tQmg?<*8+BMYe>pjF*TRg@YyLXFesP_xw3NX1?5mYmCz@2rR$avj9CZ%C} zOc*|GDViogRYzaMEKwMN@j)jVLeU19Ws`H|kWnY%on zr|i~`lxMCZo9BAQdm>pH=b|(MnX|p;w7gyS9jGQw{cv!NLw`NoYF=EedZOc96!(TYlym(ZEer@Q(I-r&GC2jV3N$O}K zG+vs7A$HQ;PW|nvzbD9U$?GKKz}YONV;;&wQZN6$s1wk=k)p#GW@0P+HM`Oqwm-b-h)yWx1tOCi4GV<1tp2m)|-d&rsE&0pmv-QJi zD%!72+G4KDn_tEkgblRe6*Bldq)z>W;8t3ZA^Y3sw zWg(d2j(%x%$lJ1EdR9up{kT* zKV0fp-JCRid)>=*3R)vhrs74Mw0bmW9^!#N>-6Z%Ke90Nv#HbJcgjUPDHnoAp&ktv z4=zga#?qfJ=<7u+`IFH zlW4Zh7ooj*iePv*+-neK+!pv@f9Ppv1QefD>+qBO;2f?CV$znDb}UP?a56jECNtHi z0NA5mJwm0-WP1Pe=50z$;b`-nQw|urA9?F-Jx)qTOm<;mZAz5A%%#q=)w)nM}|XMAM(;NX5Nq>q~3nGqH*@YN)hc zuIG{$l?4C|uCYv#>XEbZmEmC^kq4N2W*->8b5{NhjLN&rG)OObLRfLWFO{#nn+Vk` zT$En0y6nUftn9GwL9t9QF=i-$%L$9w2sk=Y?EWay0^^t4R$+ZhByX`)Exb(7N}vt% zX=25tv8X+Uu-$CU(e;XKlihOea?Q4Y5ZdBYY2))`k*_B2U(DlO^ye~YrrN-f#V0+y z(6?D^0eUgHi!>Q#4p9r&s=A++#WatzUle-z6J4RYO1!bL{1geio z#9vIrFY!eSJoP+!Gu}kkP&^t*K9D(|UQ1$fbO$vW;}JZ7D3QTkR-FFsEbxZIp%%AK z;z>zrzBi!7^QCNp6cZtVcdnss%!|6f480Cg-#N_ll+hey<}*j9^*(N29y_TujM}XK znAX#Zs3dG|$X)))OuY$EW5!yQIGn89pBgmHle|rxKurmck$jQS8c9rkLdz|mA8qA5 z+DDGDQ4{Yzw6!e&x7F$}b@(tO;&=P?=)rTs)hrix+UEN*{TGO1v&f;jgioZMK&^)=&DaZV3XBAJX?3M*n~DoW)R)-E zbi+Xs5-)>92k8G;_7z%rQiMy<;0w5?17(Cj%20AWgXvt~3VA@uF7u<^UJ$FTRuI%; zm;NGgb*D-3XccDO;zX;jS!`D>-FZgI9)bI_eUa#Hei9#K)^cYkFYZJp7_HtN!EN7S zN4f2Q{>V1XG+1J=F}L-nk!$Vp-EhjN%4H^i!4b`L!R*>eDr)Zi;X-A8E6z&2VJahU zrDG1s{9gzx{{3nalQGxM*^*;R@ILCtOjPt?N8*IHE^0GjG^;8@NtEAsLrfBUWOlfg^T;LUqQ`~vH2vA%Vlex=vL8Q||DFU$Sz6*?}M zkC)w!KP6em_4kgm{rTSR-1Ez>|8EmIgDdZ*=7`1pG zsJn(rv8yACQn8J~5dr#eaF3flz^v}Zkk#(#iX9BI>10|$>M)Bey*d?|EQ zl(tnjxd82jGO_F?lXp+vF%RgFH20&I_)&!DV)lvM=#M)EJDd}{CvISLY5Wcr5(Awg z6PZoaV;wd#wXkRx1^^#p1Zp451 zA3T-ME?o>c734-Jxn#GmgA`9NBmaWMXlBk}zogd4N%_lMogIsijra7|%$~uvq}JU0 z$Dl4rrD5jh;kau>k)R4wnKb1gySuDG0RvzU+}etb=C@X&{0#p#3icw3&Caf|4i*7u zZh(O-Oe$Uc2&%}?AXg2$wxGT5{79sqn<1{n$BSU&FXYrcl@{PHW7(a%FdAvZW{1^E ztqAgW?4Bx^xmOimGe0+jt%kSc7DsJ;$tfQfN_6>odffAE$ZV0gUY2lJ~ebUU~1As=?zD~RzIjsodkk2R4 z?KY=Q6ugXq^FjJVg*yxl@gAm{Az4;4OJsSVGSt;TxYr0%aP*|TmQTx0Qyo*9B~|lweUypr_C{yhC}m9&KVZuUFn!GXC=i>hn`k5bNs+Tjk&P!~^!5Yg1eGHA9*C{)rh>SZUfD@xl0fwf!E0_meDj?|L|)!B zm5uM3BsmnS#Y@0#1j{3D?;oi~f0mds3a+CMzxTPk%%K~u3{x<-aTxBhB>rR9QH#FJ z<7YV!H2NJHsv55Al?`7dHxopJ+Jz%Jf% zy11LBB@<-LA7-db)E1oDD3g|WU_NUay<8cWVR9GkKV)8<*Hzo%I&2%Uq>j_Q`z0f( z!JqW)re>uKwM?I|E_5twDClPNtK8P4sivhsy_dKC(iNh&rbo_?-r46zHJshZe8=XR z$0v#!I-t6apQJOx@U1}glZ8|T7gMz54aDz7GG0A*xpidUPlIyy)L6;h46tG=?~ob- znAQVCu>_?__+S3LUbQ>eYjoSU$A&nb-K)p1BH0v>%%7hoOdcMekRwGlz+xzh_EAm` z1iS6ECqPbatdnOj5m@#*nnUmN2iOh=R_#aQpv0u*tmMTqf1KM8!+*KaNUxnPz1s+=klc3MZ3|Fl`?*V3Te zWTlnJtAPy`FdO@Lmo>h{;G{GYa^=s4FZj{m&K;?PHzC2h=G3MSc)kp$@U8OFgysAs zy8pBXvvJ5^l$_Zw?%>#7caG&*UaN851qPezZOsJpwYtMh$jQ%;lM5qfAE#qodxkVU zKL$OG;SczTOg?d~YmpemhE^vbTQv6wzb`?0ek(%GN&z?RVVhIyZq=_f&N^2`j{cZZ z@t!*a^0D)dR?tjJFTY;8cV>JL^eaEFd?MFD!&0O{t6&e{gZzE>T&7_9wnZ=!C}*Gp zeo(yl4737_`WI3o4UE@|3a!=ZYk2g1Kf4be!=@zsCBSWM>xbn4=g2;J50!lhZOvs5 z;=ngcA75*3nr`6&n6m+#%y)nAEEgbOvlM>Yw>_7xen~hc&-I?NjY+?+ywRH&^%Uxf zBB8R!2^cNC8d}~cBCA_4d+)5KogyrlUQWoX)ksuoYI@{uI4$%>KcJsy+zcLcC%q<2 zG3Kp;pn#eyVJyW-HU6y6=L>zle8K|VZ%1iuh>=}z7SRTfFIhf8e%r5xtCknhAy#&s zx~TBE>#-hFC_0W`QF_K^H7j7wJ@pHKFy@Byza;eH^b?Di^4oa$EZDSWP1iD9!- zr4M>?nS8b()aU9o#`rjJi>EGrxgF2htt4hPP#Ue9oQ`5+c$Q=OXs5!K%-$BL)LXng zy>xK!g6)D!7p!nO*b(=2fLa^1YLz3?uEy$JgREG3b`p*>U%0Yw3~UVgdj55pWNP}B zgg1^-m4xCrWwebt~nD9sBB-vMkWwqqIP&IN|@aQ zzWTVHvIg|>Wxbe(ttUiby_kqfnS=v+iI8J~tgxhfIvi^qFP6~=GzF0?X2wD^$3B?% zbP7@*t+fJI); z+WTG@22Dg|yx+U?5Vaw-9>W>36WDPo4}j=2tKLlrDvq-pQ|GVkO(Ed4zi14`>V`Z^`2ai~vPvyt&b zNZ}shzD-TM3_+qs+gQ_UIah$LIK3dehG3h-bDQ!;nXZ$^&Y>p1WsE9!7U{R;l@w%N zf5`6JCVprko{8Fqv%>%)cC4W4KIjX;XW>}X`r)UMsArSq%Zn!M;S%m2gMQmSB-3ET zS&5~)7mj!G$0&mQ#5>8}v&NC(X~002p{wF6qtPW>%4WmV$w3Xi0t`tZ!0oc|RI(Ys z%a<_t!_uO-XZ{JhGD)RdO=0{QuhDXYojc*II{8ORwVn>rkx0pw2pnGrXpuAz{mhBx zJx__rZWW+4GtJL0&bq2!9+ZstJqtwkIVW8ttC05Q{Rd3M<9)zq*rS&p4K(-f{==%2 zY)W^FF%uRFDR3fp?T70s&34K~H(20QpG3a=XXxob^T66Wx7(0$-(A2Pl(LqdF4Lay zx}R&NNsKy@4SxY3M%m`+X_ZB}3jeuIJ1x1o|G2^OaJ0a5n5fl%)$(PmNAGS>n~9=$ zc-h@1uALz8-D@;~r|tA{!uGRlMrF;0}TL^4q9P@up zzlI6r0<5+J8|4c9#=juWrDMh5UXptjRy3Jri``!v`{y_l%FP=FE(tqnJupIyGZ#p= z)WJlZ2XTGP<@jSz8HxarZOTwqQwTltaxj1CaKK^N`f}|=gb+*q4wDsg;dT|S=dYIM z(yVL%U>MRBSADQn_?C(i z-mg~bL0bENy-tnc*pG^lZ&DFbU!HwOU9ou_>6ijc^KzX2Y9>6Voi|^lK3Dfr-Xcau zzhJ$x3&6pAId$;_eo0iw)3EP#p-D7OqifCjY5qinrk^VSyRbn6Nn#v1Fp|5QUDLZ`^;d8?CyEcQGKbCd-d~lI(fU6h*NStJQDVEI+s>1|!`=h6ha z+30rczJfWkG{I=0(H7R>M1|zghYnj7)hywPT1Y%NJ}X0*`dPXkR|%2)Dm;61|80$$ zsGXAwo=3%hKD$oEgAIpXSJ5Z~S4VFqWUTTOOds9|jNle{!?Y$m-5uxRlt;pjq;6gY zgdInn8EdlPU@md`;;+!{K}EOMTM2$c$6~$iax3?reAHY1TI(^Gz(EaDd8wipwQ2os zgDrY)Yaj)3kGA-i?26E?5iy5V+DbTF#EBEB2-9{mDB#J4c*}p(O&ZLnZS~HQmo?CYjXAxTYNV83gnY+SMCnVfp!b zyd{?n6^?qddh`mzo~SZsTb}*DG9WCb=DG`ac}=59?%uIvQUg#q9=V)>T0FxYLr=Ew;WN?#xX)XrKcW6siV_}T>eBA*{ z1Yz(l+P(Bk&yfdhEQe`FTmz?hWhQzdk|(izM^ZcZgN7q!N6jny@?wj4eSF|v zO5F#0DdNBF!J91>_*4nROtU27BzT@TQ;_}Uog)Y^(BmWvsjOAE3Vrx#r7_*c&TOKk z{Wbnh!#Q1BWf<~@uK+YC>6ysSZ0wN);Q>xD{-DQrITQXSu(zIJ3HG-R^wAL4nmsP~K& zTFS1Kv!1X#{e^^2gr`C7a)1HQT z0T6hG0}5CF=Hn+mMwFW?*}U>HchR)~Sr8w?sajRa(~G0Q&}}`VIdfa1^pRO`?}5Yj z*0fbAqtC6E;_NyR%%8()C!`5z*175-m)1}_YrIJM>+B2$f1{Wrx*2U3&aV}0RXT*c zs#Qlm)i#_X6nS%}AN7{L6JF^9d@i2_S+T-#8Sg@bgo%b(W6c4Es@U12Wu>YE^Hc#` zd`-sa=MdqoB{PZmFnJto2M4S94GJPr+n&q3JYf@CH=HJa>o%HG?a5W2#4HgLdR{~` zw6G7*BQ6+>`8tosy@Zq7cflHSgU1TgNB9q@!I)U(F9%xuZbq%Sp$_UhsH|gdsVkbg(t&hrzNb^|p-S5$*X^>|tR$Q2hF{K}+!ipOsHWp1*zn~IgYe}FsS&R{6FNfYc zQ%ViB`w)=ovT@LTFJEey-%z(nCbgqYPJ-kRK9t+*D1<3PoxPZUFD7~}-+Di`NTzAM zqQ%NGrl~z5Fhm}ESQb!)M5=0L?fr`WQstFD?7LgMLiE8UM!H*>CGo9nO%fIcKk>p) zEOy1XpUhBywD#mf%fxi`g)`GvvNX8UmOqCFO*__$MQ<_noVSAzNBSWsg#5gBs|k>A z&$vkTdln-a{^CU97x|oLD?dQ3GizUZ^%-l3+x6MASn28Q)64@9_rHp62<&O_K)-dT zY)W07I!%ZmL8`WSFq(6xGLfE=_p-ZyrY+)RvGdEYaPtZmy{lk zEUOeMpP!MIsn6NCS>1y$FhXeLeL_A~sB;?ogg2b?sa>AFu$+>5FZ!XaxNFsfQGqf8 zjtRO^3X>V*jaQG$*$G?P4{$|cqC#bLmW!8|l3>$5>DXxQ^=IT33EFk6=5K}?L=kcR zgm(YSTMRL63+WtNHwZ_Nm|0Xg0|F+^!&dLiq$D!Q0EnVvJb8^Z^4BT|z%sN%mA6 z(^5vGGUj3zZYQOuhKcSuV7{zE+)BigOoSjiqPj%8{E^IFeC6%Z!hjpj8#4nAJWoiC zbCyY^LYRM?Uz~V-ysagLaap2?Rbi#KF&4}04`aQ@rG(cT539PqM}NLjJ|L%AiW}j6 zkK|1~+uNYLUpS_Phv> z#R^>h7A{Ln047br0uao))%LLJu5kBco-!=o>)&C1s~2vAhkxu?EwtR?@h=ng3Y*Y{ zSXnkKo{1inGM+BI>z=t2E`s=4d3QPie z09e!?*A`ByXSJ_pDq6|(?fN_5AKDqCN(iUu@IASYl0DxG;UB<9thzMzavMR~sJf&H z78+}~4Cn0`0$#`eLW162Fq@2B@-uCZo6g3L0o{<{9`rA)tMRwB+H!A+m_nHHME;&y z%Q@-oL|~crNx6W{+vxE-c3X#PZ$^>6kC0_}o`3^y|KgliQY)jXQwKxez)#XQ1w2hw zo0-B%JXt^!=$m zfZ<-cqxf*2&$T1#uK;yz-dCL$SaqG{&%|-?rhIe$=e(%=|NpI)3&r{WEZsx{CS)np zmAcTIY6cQCs`jJE!sr<}Xx4<<%!ZZ|?ncUZp;j#U7DI~t-p%t$j6^4`=B|-R)G?)O zp)`j2H7RPEvbUVctX8tJFTHWnpC?i@0s1B9^z_+|ty7GV>CQ#;abHqY?BR-@1PO95 z$YVLtK9Uy;nP~s99AvV$vpX0ePK}_hL}VT(Yhv2<>0(-pj#~^0(HO=?ORchV9q)wGH+VdsGn#>d|)An8dckF}fEY%m3on(046H!!2|-K7Bp5 zhQbpQnQ|-E01XJwL^nD?ZudJ)$))G~kz3AV1fDun@&+HY0g7*}{CgK6j6~yGTOFIYCmOWyr!XOgmp?i7h6qm)A`cv}u@ag) z(n4#IfwYLn75lFd5%2MQhe3O5?XL}3K#;|rF*IXmr7RW?v3NJ@^<>wn_w5jRC6AqW zl)2RFi=uzjr!wmV74_E>zdp-g4Bm<@c8~0FkA%6;Qntv-MMN}Qd=M@%C?^IE5*s`} zO^$l8-gJvWa=&YZ*Ulijd?HM`w;GsP8QWW&v`TNpnr1L`bm&rC)P3_m7>f*C-XDgh z4PDXZ$;pwP4%O=7?w&g}$$gd^)4<071=b%wb=}!^kMw>W)Jz$7ZwL6)`;&N#Hmzfh zG{Q_7X=Mwt{lJVVlRP@Jn(<6v{$b{xPo{cw$sK{60WPW~%C~3_leI_7T4B2un?T!3%>*~((MK{UBtju+ zn-s1}q=c9*xl@nbTj!VJAh8XFQKqOB@QE^`Kk4|UK7FtM#lUzVwf>*yKJ@_OYveMD zos)e<`N>7!C8J%;wXY~YbBT3Oa+s-?vAs}j5NAUddNwxq@iE~^u3pY6JKZ?i;?RkB zPOr(vNn5BVy!rqG zc+3+@(3D{$R>mZ6X7=MS#S6@X9ePY3DW1XoTc_PBha12<9k6Yke4obkPNOLTQw-#9 zFUzY?i;SSzhRE2deR^@gxqukF(bBK;S_4U53^^wRNY}z%cMQ{-6{BrZ4+yIFpN4I< z)j6;r32oseQ?>2bF!OfVsDvPwc9hRFc{2*)fNmg!g-4In_RW&i+996Pn9a5V2e}4< z`#MRX9pW)vx*7G}fm}z>2zThK#mtbn+O=G#)i#gbI5>SFoDGkOZ6QyORL@aEG=c*0 zHGRw&K76Is-e9;ec0_wF(n|yCi`UuuT3*uExSt!YH98`SrFQRstz|~XJ!Sae37f|S zC5XaqLfyVuxZ}Wx_AHf0W5*S5y!kazh&&hEA%uo5Z()OLg<`S6Fd-9c@JAtbZ1C$X z6D+-SOAbqiZtcv{OSUkx^nxwuOw7vwC;zX_!E?RF0ulko4Z*97)2B``7{Jrn*~X8# zo?Y)lcvj{(gcDCks_LD@+TJZd;usHU(C?NLwsCx?$`{?r0>JO z{@cq7sE5gyO_raL)l|#u@fWybU%^-K^5XMee&-v`_gX*1*;Qo~nZEI<=itWNarS-@ zz6Y9qaD%7Qo1UPPV`CKWrp6FW`D@54>FM#VZT4I_B!2qujBYY zQs>Ay4Oe`=2qEw5JTwrm*ox0N5pO(G@sa)t)qSElum9A3#IkeXzj2{+oMJUsJPr77 zF^er-5qtYWjQynN7NWGBhuz3qZ0HL2x82tIklLm+QJEI-CLin;ueEef4 zOiaD_td#+_jwCJoUTDPCMPFdZo_dM~-N{tpQsk z8pv4T9A1~6@jNp4o0XOr3U$P>ArykJ8X~D=N`Zm@+Ggq^1@t8 zNR?%`ZMhn_vA4;ZQyK{LE;oFSJ8WITTqC7f+X!*<9=aMk&Ib zp3rF2V}A6PTm8BV}(+p-kgrQR~AS|KUl_9*dpD}Vf_5+-%&kFG&a z=*~#J!9SNdAW~YWJsvU~4?m$_S3^(z-D>{}e@b!* literal 46377 zcmX_n3p`W*|9|(=O;Nd%+=|c$Lo5m*RBjQLTNIMqa@&gJHj_J%S5{v()+``&*L+_!xAv)(S;uA9pM2eYgbwT!_IgoRFRjz$3!xW0y9|KK6(p`oED z_FVouyAq2pb@bw>EGM7zGaGe5IJpSrmZ3uPvn?CZeUNVkXV zFz@WBJMt^tCZ04VjmnN>`aRSfyMHGy(cmin$*q!{Q;Ms#CSo%mh)qG%eCzidD^>))XXo-N}pd)6x9hJ6jY) z@vxq#<+H9!BG^yY2fekg?erhZkM&d2ti7K1C^03{E~2KB7CSb$ywd~q!=|J*v97X6 z8JOh#6n>{_!>eb%k|{-Rknh!3v(M;$vA?S-M6S(d*&|b|7Lh}EKv}Q0=bNqI;5`aQ z>V;Ib!%HTH;vMpkQ51kVXjaRpYIN)gI8V{1X&d-FShc>FLbgGn&<(y+2VZbAK{g6JTWhWLrke})3O4hhaKd~vdtaa`5e8nYFy6T6;bfczy2e< zcI&3KKvQbJ0EI3<=@Oun2vDd3lso}1n*@emf_h^stGt!f(#m?(%BpN-6}9F%@filt zua|3PL#?x*1DR0k4CuIh@va%Tc)_Q!u0XcexMQxc*E*`ezzySUj&U}_Ahf*}7V{>W zn)*F3MQVA5nrJ&ntz&9It+9-W62F$E!b*pwxxSb}$IoA$;^^1+#PM(Mk%Rs;T|%7Y z+|f60hko`VLvI-(Ucb@EtCxJ9EI96o!RFQ-LkT*%cJwxSpae@vOJ%wuMqi=5Sv4rZ z=S@i#0g{R-rPL=?a$J~dQ;r#y3SQ?qU~p#;GN#)FZapibl3`Rbl! z_=+fus#(5YdtCp=Zk=W+#4lqzN z$y>wG74!I`F&}GZA0JOx;iMQSB$_H=)crgL6|e&x5Uot!#Xq7LwoycZdT>7^Tgc6{ z8=;T>-ld!#z}tsUF3Y*#1++ zMVEnkrITVr>5{!=&aXnZM8Jaz^z7IUZdz)r@hfM`nvWyBOxvLbchS;D1?XEMCE zEw>f=S&IDBPFP{X9dmoqS6f`9r4}^&3dyrDfbAttPp&pe5UgtR4es&q@VUb5$sY^u z*s|-2+NK-&gnAjuhs35Fx`RfD2^C#Azo|d2H%L=by z`fi-F1Z6Tjf3U$<@AA|pU&n#0@!H4rk{}>Uw`_5c&vf1A78U++PdGPvRq2>Gdt zrlkltm+x+I@>9B}}P>}{*ub965xPR83i8b3|hV}quTeOJ6A_&0eQmY-( zpaX#d`oX{WXnSyT3NzxYgF5)?uC|Y*^|c4owL)gTtB|3}x%2-+@hj&o2+w?~%z75@ zH#Z@%_J^X=}jk3^o6oB~@$7;V(+w`tJnjk6R@~t`~X+PH)McZA}NY5J>LFOy2%bi_N(Kwt{si?G*|DYEf{Z+z^|L_oxg6#7RbOHs5s(%fH{DpvP_Z z$`?TY^8rV_c+^B_9x5qEXEsRy6szh#J}X>-dZNFkDyQ@5d1J5--^=eKuO2CQB3Kow z=dT1h#z{tPHXkULclOpahDt4dpRR*E`ryA|9D#WW1{84Aei+$LwI#1!dsMX{tWXoR zv*I{N7aj=Tza}8f{RN?cgMYM(FAe}L{_p7%O0^&nZqe0*8OSC75N-LM=L3@4*2XFI zU=;hN_Ia2l2htNrmQZkfotMs(9K(YoF0F6n8C;k392F4Voh~Or-j#dc<5gk&Kl48F z#z^MYffJsDEv14K7u~H60^$G>YA`#Nw)JzL>J}w_-@oPjc#}e9Dv0}F5*}Rk)n1U* zs$-QOfy}T={NKRHYY+W@^FLNM*ol7Ou?2>g8m=)}2w??pFlON1ZG}}&OqJbxeXG0Y zLDLC?1LVC~+w_3uv!M!SSib*w-!6MAHiLpWe*W5E4o0g_kgXx2P!qJahu;&Va3a(b zb8f%NWox+(VTF!^!KY#)xsD);N zCh_3?_6+y10nyfR)j6>`z>r^5VZ#^m>vxUh);_mJu>bpVssFSI9tSc(HEo^to2k|U zSv9^-*ER6{)+eGVOy4ja+zIHv8H z`>Xn{HZI9gTJ(+*I9Uyd=C@ksHwvn)+W!}DKp_3nsJd4K`m0+n_e=O!a1ZTUju5{veFzGM-T&FJ`ttt(w&fh!DO)kk z<3v{8F$J03|6ms7iZNApHUQ~3*vMZGwBx_6n{9y*9A&{#N?YL)>1YNr<<=;9q&yPB zJ-3xjY^_jzZzuZa|C#lZL&4MkBaX+_A1rrV7dLrno&hxv2R*J^4T@+PJgrj2W@7YD zqh++o=e;6phnI6g#XCpy*DcKpv3+lnwosq9fjtb0uZHw_o(is=8z|=6$v$vM{9jHt zaI!#z(zXW;28Ej5`_EH{&Hr~{=%h?pwipzoo9{Z+2F8vR=O6q_5RGF{H7fNyUB;djd);EXiSRPPASQYK2Aa<1rFARIdcxvbRv8VtMg!ZcL1Kqrxp>0X>mw?*j?_TE7B9Ano*;9Hb9es`F?{8 z6}R;tKUr{4%ksGhpMBf!xdEs_`!E+RgW(^w!3?)XaxTKo7*I8cf7L*%?^iptBrr}r zHzx7f^bEAN<{{Ko?k|A=GVTn$vN)cMP{ zn|)$6$813>CyP}X6?B5@mG6b3*@NY-yL$15hGPftPt=vgoAi&?w1AqQx_4dY9$7y-< zjg^1fE24WU7<0d4RN~6^F?9aBH}8&Ls`6hBa}8bk2~G0QSRtZe`R{7(2f?fHsQj&r zUU_S~BDz)*j;@rRm_FPi@npC4?&<&x%FsPDe0N!j`rSNJFeBYnR(?;sE#R1KljJ<~ z$BQNd<7QtIc}ruLF^KV}u$EVZr|$MHF~cSgY@M3UkBAUE_kCI3|4_WaEp!2t^MS26 zdILlq&Qm~M2Bg4TRkJPqOujJ-5a+&E`iz#5+GBcBHJ!1f2>AR)nSop^Sgz73Ozb=@ zYOmRG+6{bmBm;D-2Ya+SI>6oJ@`;6C<4#$lt)0v@S{6U_2Q+@EWOz%9hMc89SH{o- zpi_j1PeVoFA)4C1MsvqrdQyfZOgch(J_Te1TWf7x;KT5b**Mj`8;4q&_tcSt|c%YBrvWdxUUDt@~U(ZJG^{NeTr|KA65V zSP5j92L|oSw-1On@vAkMAHZ4KL>>(ZSiU5(Bxt;PY4V7QOuh#tB0qrMOXFF==bt}(LukO%T zsjn-1f6el@Z5`X1Bj#n6&`RI-EHdF6EiUkA&&#O$Yk|!!uUvFJ+l$8?mzMk&)`}(; z)@bsc8p5xvd!5RFS)nzyA#8|RQQ4YwonCz8#uD(1scOo>;kgj@#T~FAUO#-8N=Pmn zQ7Dvh3#ulSa0!_qKr}M_Hym~N>T2Hv+OM%U2ioS*Bs(fi%fxoIv&f3N z=CaDEUPYo3?PH%W5b*N^i-f+ORs~}dG=(Ro?vuG*J6^pCRKbR+GKKGk+-yE7KDFV{ zT*pUq^&6k={36UnkJ|jkuhdtQL;1!5H9w~eCh^@PTi)Aoxqo1DPD6yUoYm8iE!VP~pO~xKagNoiQL^0!aA*l>8v__X7|` z7q4-ko)oXl`QWMjpSc!8h*PH|JHA1=k6=uhcy6J)QyB&f5qUrH7D$gOe$qy2=nzsGIH_cP+* znShoXux$~S$Q7PE>k3%RrfB5CmOXj#{Vbx*1ZgiCvEE z#}W4;TT+ngkoJ+=n_G(djGIdd=UzC+j*%*u4&DP?Z8uT(^OmvP(!pP1XrxXO?i;SD zljht(OX{QvJL{&BkS1{Am-NK3_r7y4uC!A- z8Gb*UnZov&`DNHm2IngO#+WGIYqk1` zsnX$eL7J>&xL1A?zKzQfi78RqfqH(}?l!#!^W#~mdU zubiRS?+G|Kn$2*6u+LG+id?phD3;T_`*7VCBy2MYq+C zR$l16VYx}DzDN(<6lJO3*vKt-4-?eX_X4B}kX9&KvbO$stgv%8TMdN8J>cw2ux)NM zFDl9XBkNB5Kt2?3c#FJmD;HeEv$wP{cr%+~6;CZ`z3nCEBcO8M@j?Z_A<;Sf*X}pB~OaTslqO;^Jv?UB3CTpx^i6PA|ZWJv<483i)_ge2u zf8QcvDi)!ZvW0E^LZ%fVIzln<@AjVu1q-Haf5Uqa^~D!1A@Y+`B3utbv5*eSdZ<{t}95S}HX$S`i{gASe*rSKZKh#nTgGbe1gH zKV%#@x)k4kpaf-Iuf<6b`3snDru2IXu*Syd+G-G_b1VDrWj5sqTfrDsxMXYbF@-yA zzvzzl;vO%+eP=3ilj*d>zNIFS?lp3iNV7Hnd%WB@7FnG6JK~2GL`N3F!@BbFbHz7N z!QLnp+)ZR~9nzY-?#T}J-_$(-xCL>aBICY?X?=&~4ZtA93@ux3lRSONJaX?*k}y~C zD5;m}&%X$d=Q>wP6?_$73Z1&Yp7zy84dK381`FH7wy{^Pj@R=qCG}Sba&;4#lNOxe z!YD|1#5B7@9z}AUF_Bu4F}9cNBZnSlub&zxr7($eH3{UAog#!uZ?ZR29nuILwPj6? z(eqy5`d%XY+T)tN;V87(Y6TVojpk`*fhLO)ptBNKQKHifWqLw?mjDYVN+?>Z#1`Zn z1qh!2VQ0lkeQef7bN6Qb=`hIxlEU=J7|)`oF9HH1L?e@a!BJD#zgD5q*ej8TrS&1~ z0Jo^R-QiI=JL7(vpjvV}Qfz=iCSgDwQE`e;F9VwB$x|+oPEgQ>rmY2{%F}X4#86lDP!#+1937202+P&*91Cka~eMX$Af+&d2Ew|0X?wj|c zdCK4pI0;mRBA&$(66Xr&s4oF1CeeFgb5Xmr0^t#*El2GV&cAb^qT&XJR3c2U|E}*$ zMD@c3IaU%vWqtayA?>cH@_Z-(qD(Em>2%gb>BqV)*`AVJD6_Xkz84a1?1tEU9_D_I z+EhlDF_yAK5hyu0uU4MWXbKooH*ql=wwb+Hl35w-#A_}-HHM>Z2~w{jaD(gx`Ac&{ zReXh_uhSLj^Q7XcgH*;XlOd`Y{bdlU{5cd*bD!S)eg~DJGV}N5?7VQk76fqa{Qy4% zNPZ#+N9hMd$!j3rvg@lU*=t@C5vgCj(n%1uky~UBYDyAS5hwzOgd4g=DqC&(%k%Q4 zO;Gyj@xX>8tg9L>dXcl|M++D$6+!fSIjkV}xj%4k_u2~8I2{5(myuHbdtL{QDlDh< zqzU8vzay>tk+APbkf$>NBy$t0%NS{E^%4SU>6ZL(Hbsz>_Z<*pgO_bN)>QS$k>bGk zfdPKV3mw7-4YsZ4p-qzHrqbsvm8>Gmir*~DEcW$?uc$B{pz5B(Y>!x7*A`<-6-X5b z$@ZqKdLYJgA>n*vE#q5)i~+TcP$lh;M_CdDeLyE;pmTNNbvH?MpjTM$Oh0n{=*UeM zhZg1B9H9O!vi=V0pnQtk>cSvffJ-Pq?q);6FP~~jQA1GNlIfDv6c9TQ0F%h8m?6r} zn4l~%H*w_#KmFZN{v8`B{ksL}dAT3QcLIHFfUut25VBP@gp@wXpV_Fd(?TK1{H-W< z$`>Z&PZID68m=wkf}yG{yGmg zd>**1qAmkv+j!w~q$daz(|pDRzNwGpX`?`I{!9*wMA6pPBfE9^AEp@jFB9VXWds?0 zMT@xQH^>pWt!O*6VO|DPaYg80Sxe_;>xKO+X6l!vejep^fpotv!0UO&yWia>bObMK zkx$Bh{E;Kfsdj}KD_j|+EpA*bC*&aOhy*3Ns&Tzp>$(X#1uv&x5O0NA&g{SquBFxr zFyNt!yibq~8}Yrwx&3^cb@g&25lHxy09tHCOM?JxLkzL-6M}T-K)z(wEk3DfMh|F>@X#;Kue6y%Gqdr_ef&w1WW@s zxChvG4!s*@%x|_zy3_hclkI(JmIz|;8{spmulV6k%HI1F$=(vNb(>0QL0wXkk682`gNst_2JszqK5_Gd5JwJy0^*0K4To?ukcRB@-UL!-=c(J1? z{k6r>=97?_wm+k!?Ho}3$1mRlSUZ=*_83e3bzjYD-F&}0{L)SMg7%Yt`yOP~4_#mG z*?9CE+UjjJeJd8ZKa(mwARy*`pOi)JAl|$#KfavXjjCAXOshIk9>iD zXL@0W-vA+QD3@;+ME{*whGP?1$enKIGB#T0ToN`VNQ@XPGEze7gzRBRxI%;d5Kpk^ zW4!o+38HN-E`gzy-^}}tTjRQx9!*iOa$Ci>(kH8HI4gj z6A%A__ik<}v{t&7H^fjtdhwc~Sz8JC7po;4=@d|SQWbd$=Ds?t_+Y_O0qJr(nXrrc zOPH$(frW+u*axb)QjN&-b@!{+obGW$_E7V7-~9UVGh+-AZZge#;gUd)I7^Ruyw-WI z6jbqKD_c4knY`Rkl<2Am-0B4KJL%?N0pe$|DAic061f(L#m(yFK*rap_W&I+m>%QW z5bw|3kvHNyQ(W^U3UuXHZc(fb8_&w3)whZtPSINbwH1ngH%%*50TO=kI5arFCuMLm zSU{6!DyHy;3wiN~dw0ofwppX2pYs8B*4WA%L%Nk7P%T9?!B?YE{mBAUPHsQ#i@5Fy z7&v3`5fEuS1E&_xNUNB95UBgXe6aZ%x_P8^Dl{#e&{>3{jRspaNwUo{0|(1K*C_@L zURs2^1?4?$nIaT>J-3u6xChO*vV+u_=J3FSx)O{aluG6tAz~gk8hoG$3$b?NIUnMV z1}ZTxbd}bWDei)$2~mCl*bS+IO9HG)4Z5y~*xsWA;pSK0Kw&0fcrehAtCFKU)JF(z ztDII=wG9G^8zqF)MHMmNz&y2JEP>RvC===mJ5dlS&!nlQUYhJA_;(P%lqMAk&LH2*75Lyr1YxEV})#Pf4V@?R@PgY}xG>fPKBtPG~#SN5#v zuofizkz3T@7|+z1a3PPyIEYqddP=7BR|t$KtX9B?Kc30NTcad1!!396=WDZGv^Mi?pctt$YO8l}>=agvqcWjYvbF zt#uotQr(6?EkFN6IuCh*DGz(X8%qaibdc`9z@9)P zY(nFB5{4Yjt#r}xbyPTwae5VR1T!A7!~pONp6-9CeUu<&o$?l`f03}44Pl2EBKORi zG{@Wod`}cGfjbhx?p~CNxH+I$EhCtg+YRTOL$=LLC6Ec!?=Y0c6uld^6~eiL^v|^z z_&9d6Ic+n!KU9#HpDIiee8SPw4*^3TNW}m>iYpzA@Uhh*FgTt8X z`=pIg6~RNsUwpDkCeWJ!v!06cWoj^_1%_bOrn<&+<-n@Z4`q)NfMux?PDM3`SRue@ z;>me*3Pj8^VmWifggp_6=cgzs|#>Vp|CgWSBTy|Zos)`8yL$-ri?x$ybC9etIkDI zJkiL!3#fiuLEijEkt#&I6#+F~i!`$3g(%S0Wm@opBw;<}O5o;Ej@A!CUOxe%bE+Rk z)&)XjTPivFi-_k@0(8CoTtw@yQ!8M3SGr#%n7vLrx|%ZeRiFSTyI5fq>E2g6%246o z?4&y<(!<2LNGRB#v6pXOm*}RMe}5*_0g}L(qc~hAt$GgEJdYD@&gGRT;$Px{lPy_b zA$duVmqOcIT4eBl_5L_jNxu+wte|M)ePj{N1eimvx~ zw%cpun$*gGAfX~24&r8asv{tg{BW^3n%QD7Wc%Ac|0V#B2dsbar0yb7A*QQeAJIKj%aWY2l6Y?OU2@Ef zDQbahY3nJ8E|F~KDT4kHEVu-#-;ZhRMdQFgwOw=dU@lfYsozSFVa~sbb_X6n$)}C? z%Fd2R&MbM&^?{u#{nYo!J={P|c6eVnSk-nD@ahDxIz*ZRMDO~i+=}-V5f@=#=ZfF5 zJ0J^Fze7}F( zslXlFBLy&pS<)a^thoW^EzH5|FM4eSWuSeX)gR}Lv<*xDu-wjL?O;|z8bjQGkMdl` zVXitq6w-M0R7*9P#5X6Eh}de1`Y-SbJxfHbCbPP&qUZrf!bA)FufuJ1IKZ2zTwwJu z@9TT10v-K#iVCM|T1}v@uZF6^S+t2g2-?xYY70r7w z2T(KCyFbTtR14=fg8?|DpI7jg?hRJxeu7K5I3{tb%acYOztGR)Z8Y!De3mW+8CQZJ zeNjN@OM)a=I$53~Fz@i1B)Dt+Dc2>e?PCAR&SsA`wh!wLC@e~uajXiGIRj{6=L&H0 z(8owo{bei3*11?d4&^~)Qi#1B|T5 zoRW>e-3WAxdMM6t;~%~)^G)>G}>>foZmmvl~JrVREW8 zRnmhiR!{^`CeRyYu{=GndMQreD4=Eh_q>K}>6+g%iU5^YRK--n@0<3F9RVV zymu?k!9|?CXgSr005siU{AfYmW*!GA!3+Hg^n;mLQi>N~%~;>ot2!?_@NE(A9&~Mb zllgh|ck-P7GX%=z>(6VMJM+~1f1-Kpxvd5}yJ!vG8>eu!rXhlaTI_{B68F|9T~h_!WW{IYOA+#81{WhV#;i zp$i-L53S5PZ{oxN_aKM%5Zg$dCf>p#-I*U<5iUSZ1slB9)FfqXB=4A?6Pl%DOfkd2 z;K|hVO2*+3eYd>J0O51gnWO;z4fC1nC`8^PWbXlSLhDqXJ8i(U8HUeXqn#X%k8;@C zK1VC{D`5kHtCBOq*G8n>R+n2h8G6*-`RA+`2vonlAk(XW8yL9BQ~~RS{Br>aLsp5G zQ;55SR6!%Yq?pTw7db!u+1E@2ket54DwV-i~14}z~Jn)Oo&N#Bu^ z^ML;xAZ)=!(gtQovooYaGh0o(QNlcL@@}d~tQ17Y^AxZ;g=Po?+|B~wC{+m0rASnK zaLodP6V3Q;RmQ*PJ>pTuM%mR(H=911UGCf>Tc?&cmV^k4r8n;bHEvB5dXX*V8Cl-F zf$AR)CUCk3opwgh@noRpRokDo(Bnn2z4cqpzJHN9ebQqL^kV+91c|u<0V) z>l4r0H^@DbIQP7DV=93ck;2PM;wANS1UYd;VcbM2&OQ|f3Ab{K#3dmXTdp+0AFi}+ zEE6|cqUqewmD9#BfM1HVQ;^6%4kHe0)J>U|`wMGTuCI)8!j~;)NP6O-tFM4K@C{5O z@evkxgGjLY-XbL;Wv!;~7WATZ<56BPTxgZ}8hlk@+e0X#(&EUZ<=Ys3@bySW4pN5- zS47Crl{72HBDLgGrSjKQgCp4^A{!)EENn7^V&%S?+seR$r-umUf`o}tm~RJ9+88d} zM>k)i4R6#dLmFR@tA+JW1w?ALkV8eu?g!e5Ya1d3ds8U%)Nh0l?UwwQji@V|Zb5(O z;)FqVsTMU)hwgI<0bwIfA@ZYOBQG$pxg@Ru7&w&$SuiDPUd4BuBv)7>^)E7Tm|_)( z`goAjDV(oRseji1DKvahs2Z2lza+?Rq%GR4@?QjNfwJ+iYxP>xf^0hSI+)MAN?7Y} zxIHKGl?%o}xa=zK*9Y3p;qQ7kz1rp`64r)XQKVu@Jx5`9JwFO*=+#G>GzR>QrASv` z?Q==1R<^8!4>*fWCb&hN^8viV>UH9qDV&-rwK}dN#g?vaOtGr5qGS}01Eh7l4G~{W zv+AdZ+BRV5p$Gf+N_HX?jY(GBgmB=dud_duTicPZ$jMH#Z%UV;1V$bK%`lIjqLl+F z+G0{V;e@XE%e;l$--;Zq-{2g_IP15O%Htvh=5mYG7v9wXz&bs%v86i5mU4}_{8p`H zt(DaTv`Cfdd@5S!$EL<3??u)_T6%9sE_H#;wciQ!Oi>arvTg;;(};N~Jmhm8%>ty_ zK41y>#!_BGv_vKN4eg^XvM=}f*=IVGC>BjTUV7b9O{EUf*Xg5#6yXX3 zUi(}dAD|PlS$Bd{zw{n?oar8v)JmuRE(I(NfQzf{tK+R3d2zf5VXiIMaN8}wE?Vmo z|7Dj6_NMP`zK<;HChRQ66f6f%3v%Fr90H?HkZ!s}0u#p@9HFd{OuEDARAdpO#N-HW zvZLYvn1bs;TnW{z)VV3gdr|5yc!X^LNY}#6di{Wsn!Y#7BSbc0s!aHt9SfETob zEp1FP#}MXw*j}<~dbxYuf?~n?Z9Szq`vdQRO5B|WYDCkI^-JB#|N`AU&KT9Oy{s5Np z^GrXX2rwBxl}gHQCMd2U@_ae~nfU_u9Kdb0r*-q~CMi|Z$rxyzt4rAUXwFl)!fUaV zZ1WC0rSGK%VA?jTwHQ_?M0yT#l{YEY0rYf&de=!M6tTRTTX_`og*DVT8gx;PAi2Jp z+GT_2wsJwqJ#+rhD^`#<9Wiudkn=ga;E)vr^r5r_uFnFC#}%a3_qGtcELK(|m|&@B zi(1HSD`rxWyKi{|GBq&cY^xgJ#4N5DP_W<<7P%-Id9L7VXNBHu-Q*1-CnH+vm&1a>O^({DOUTzS+IDu;N#kG za2Ddo1=e`+x<7#FAn5(oWfF3j$XuAhCcqcv95eNJGv&INt}fm4MUOUTC%S0D_FG=hoyf8Phoq5@eTtkYgC(onZhHYGFqlp2! z0Y=WAz4oou#r`F3lM63|dfqB_ZwH@iu*nnz4<&PP#gRoX6pF{MX~6A!q-}F->6n+N zvWpjOdv*)j%$VE4Z2m;rw%GIt+G;}rVsW+&5s^lR?UD%vN)L;^^%XO1|J=`js1ciikFK`0)FuPt1|C_OfrT zD_F>PqGO+~NGZITt!OKJbgX`5ZB}@4tn~D= z8_&Pr+2;&Da)ajG^?g^xKC$Q><;qmWyAvU{23O3+?_rRgE$^h==As)_M1@7cMLJB= zeA9oWMJ@^m9!nD^I-=8b&mQB;u|jVD^Lt0)^IA{(jeUvRvwr*-)VI6#o_zswi1j9# zbwM`m!~&F6CtQeC&G{B37>&p|qby)XXG`V2;Quf}Y#U6?*VNcsI3*=yy*G4x@L&$x z*Xiog#9eD=$lB6}oCOm2?c7?|hn(?-fx`300f({ONuMdqR-11*TOVY32wQg~`aqU~#71!{(hSAoH=rhWnvXc&& z*)*Jb8#{V+^w_TVDzN)1GYtd3tj(Ng-zMZ-vE<%T=H+>2PFncG>#DL!dK-`GPv(SX ziTX_5mr#fm+1Pmb@+7v-H>Dh4edkYVf8o7Ac%obr6mD15OgVfd_od~#%dsAg4jUS2 zO~<=uubl9g&b`~uJ1H3*0;`Zc>GvYO>UzPR7iq_?I(!UeK}&BRvXjp{a}TC0Ef#)b zW^BClcN5+Hwyx0`ul&|aZn@X`UzA@s?2UgJY+QKqz>@P~oS~0yYg zP?_zzvHQS1u@CouwF#_?AImy@TKL**lc7R-`>`RMnX<#R$A1!^O0{Jj^NkQ3$U67X zNbZu0#IaY$`)|2+-@ItoTz7SDPi?fDx2XEqhwG?%qwcFOhJQ!qzI`meUgH^UZ}Vy? zvG7_Ap?Y^FulUZa@C&!`bc_At%X`KmGmiQ>sN6hkent0~;51%I;M}X%+qOA$Dym+z zR^U&3vc@hC<9^nHU*UUt>hd=FvXDl;hd8Bgn{ ziGt-P+5%q(`+TYI379U6FG{7AKCHqcx>S)%`md=r1y!c6G+S0r++9b zQ1v&zZcPbGKOC`;KOJXJA!kLOJZnEF!`LuByH{el=bIr~UYg-A@)WDMF?)OC?EW(W zI5gjxfJ1z_k-k3uXXW&IU2|o$pWKleRyrW_hSMzf=2?H?x$;8}MQiuAo1Szy!+xh_ zH8+1+-Airkr~8$T^Bbq%h8{3$NLew8TUUJcE-FcM*73=^?={D*^7#yI-I;YNvOZ|= zc)ZFizx8^h*%?oxx;4yevzi-S1KysRQNL5Qs2L!FWUpi@6}m<{uH;%h zG?0tQc*$3kAn$R}A)R(|P|+k@L|aYo^4<#Xw~lJc&wA>-YdT+?OSF6u{hAy4 zQuT3Vp!D(9KAjt8bz*5ZDudbBrDrq75v#Ao?kMZtzN`AqjIOz3DH5?L5P0c?rtw9@ zSe-86xC+7wX@*MPx$V5=9T)9g>(TOiB}dk4Dr%6o@R44Pgm_@PRJk{U&oWIY6f-M zOm77sJ({1F?JE;oeD@n#Bl!yU`km;f6I!p<(QA*p@7gQ>o<5kq{%TWKY`gSm2y*+G zb*tzu8CS#C)Z@EY^NG7g{NjZ5%9KAhAE~iMvbjbFuZvXO|GbLXE!}cQZsL&H`#C9z zG-B_DL(B2qBWHJw#x!i&FTPpZHX*yKJ6u|*>Q9WptI`X3t@rQT6)qfr)nU4ykR^vYv?y4)b7Z z>obVanI1~)%rnjW${fBa{ml;_j<^+n8TXepykk`Jf!6js@6NFzx435G*t758cCCh$ z@Lg$qKK-}LYX~-%Gj(6g4flSmiG2rqCvp9+!^MS-hcqt6rux zR2h8EY`Xn|Fy|q7Up@#Q`sZQJgwWHcuhVktQ0E!1g({aGKYo>%%__FMi*yP`hZ^Y( zR^+E0t6sH9JfVEdB-LZ^!`uU^MRJ4m=hA&;qY;KK8uF*{7T1WJXR?9gI;c+#3b@w;;rZ&ve{z^O3>6Iwhl5ldk!8N4`9?Q=?>_dA8aY{ha3wk;M_J~t2bnN=D2d6&FpHBw3QcQ z)xawIZ_p*HijaG?(%5mmSM_WXQ?l4+lU8y;VsSoDk`iW>y|%jBN{bOBXk`-K%zuGf zLa~}F3eiw>y!h_pEGs>1oM`Q=cDwSXio7wIJh#{p4z zd}vs4X4$u6E^r|kn->@IzcHG^b!zdG7xLQ&?&>Wq&j(@e&jj2LcwZ}`2ZRHhJy!m! ztMXRCa##P{F}WAWA&sy}BVok{Mid>pikyC5Su(Q{Vyq=aG(N?5g%vX#6qVQ{rLe|w zO8o|@?!*T_qc@kC!OPk7T_KJ?d|^t18et_hqMs*V65VwBL8z+GQGd z`= z%Y)no-jOL9sdz8vCy*DJtDz;asHl~pD(a;eSQ(X8KQZ&7TG!(I)cM{!#Y1+o}c-q;pN4X6;lNlAK{Q>5rLH67f8S0WU)3Y@>Ngix==<)o>QPjrTwNX zOo0^awsShEvv!E4+)Cr_5lMLA6c}>Dri$+NPzB)wkOY>%eUs5F5Trxq(VTRe(Ox= z@Fr-eD`LlCfAYWG^G%^_Ej=#q7yLcBKN5K|`!~4mh|sjwM}xxCBN%7TEh9P$5z2TL z)AixYrK}MKI`l+*j)sQT%p)X`z%h}Wl^r(v`uF05ky;vutbzb zI8xDF;r4z*Csgm$mmx%2k`G`dcc=P(6vkFJFrYQ$w1Aot1#?it$swHNm`Px1gDX@q zsy-~${whG+QP00_eUrz&sv*XYO&U&TNV~_6EMErn`>OB%) zT6knpMgQTwo)Pdq;$^*VfyAoTHZhk#=3Kl7;n%hg2C^L2hbp~za1}1GvN?Q*(Z9P< z0q>h=(D9*|vuVlM#!X(}j z5M{Al+q+`a3dbbU^Hco%*Gru~&wSA{3Of#Gs;v22XGOfP4jHZe8Tjz6@dck}_ah@8 z8}(r&emP*fDuy;(M=~y9b$~_8yUp;U5A=!`uI4#YaQT9naGepK5%0uDw+brqZ1+^> z!kaSxwhvbr!6^>)cMc%5OSV^-*OgZ@N>`{Zf}QVJ_WGov^~v4+!zU)^UrlAIHfav- zJ~P%V%WX6n?%)P$CoLp?Y@Lh1l=xEdI(eck=j=qW&jp3gOM}j7+rV-&EZQF;f zo@{R_7aLaj!*R#VtScT-UH>b4h&q}7yjf@8ZtdRD{Usk%rm{!|HMO3c#ZYSt;bcl- zOvWPk_aLPsLv>SaiY@STpzg!p6|--ee=Gh5H@Y+(y0AVl^RtmFy3rVDqZvdkbTp&>dVQ7@e1>LoBTpAR8&-*jqt z_MJMshn!<5Me=adcF|*4E!9#Z1X#E3PyBtMexcTr`%z^tIjOVZ;}5^w;#!}FA%`w> zG_=_2+SL8cX>1w_I9P$%TRx@V{8N$S+3@h~2>+6=f(frE4mf-(3?HKJdrHL5?>cP3 z)%s5cyL07!Cd&UynewIT3cp}yEvJc5>=X{D3mK$R1)5s^F6k7NTbEE2+qS?CjwD#$D8;&LMfu&*5vQC#%neCX*=1@6z4(DL`cU=RK~$< zPYfR?XhU(%a!rIh;XJq{+&tYf$k=NWQGPnTRL&8vQ|44^f#_Csd~17(MD^djQ&HL6 zot`*w(cG`YGiYXaxWwlY^-|c#d@b6CH@o$LU(vO<+Z0m(LhbC6hYpLH4cN*uZE( zWuu%z$xT`_px}U=?+Wy@pfTb!&mM~vO%7!0LJGDYoZ|dqrjN3 z+oVI%kK1|M+h3lIAgT+&Pb9SNT!%h%#C2$nhb+3U&CR^hiQJntF37*&w8>8W#`-<- z=g-`q;;|oLuCs_xvb&MSOLqKNXxV!0#$2V^)fzfW;jA>|rF!ZpKoP=I#-XdX_rR`( zC!%UKzhPSM7eA+D7|W>MAD75%oQ8CjLR)i*aFhQcQ4u0&Adv z3)valG>i63%-~UdT6NfU*7Fj2kKbb(Xs2Up{3yRTeF3k@m?m}mIYy|A1i1EuKxW$` zE&{2M2Pz09Ry0(OJCUbPP%kk_?&;)C@_+!fo$2K&f|hZu?+cO?YD9mqLhHs00GS4! z>oOG*=6x*oY0bJu1R{wpL=x>?tQtT)4G~?`SWDmj4L8>(N< z&2C2o877HMajft7{nHtl1*7`i*F#O~~(JcisC+ z#Uq3)CmPx0;ptBFP537a#j7TE7Z79MnuvaXvoU)l04WHY>^#voGU~+5s$NVO*Z-O*)-9rQm6iXxYlZFAH%Fyc??CM`Ap>r(Rp`aA<2UR3M(%JMeo^glkF$(Yy`=y- zz!(QwRcDdm%_R@Zr%F}h3fQoPM!?9PxJ9@SE@x)t^wxQBUeUw;E0>}dCA|N|TTmRG z0tDY=TWjRTY$Dz{n7z(UD+;@b9%dc?@FAm90psuhI|OE527yCJVD@9*k8?2zVGW4p zKlJrzBgR-GCBlkC$aG7~7?qf>V+r-Qw*;?^ZcEmwcsJEhJMi-KZeglTQYyc5^>L(Ttp~H}OxJJO}qZ z5Q6jSJksY|4ParE^S!qoI6CcA*Znr>oh^JhI;s9~uFU1yefrzC9&`T=!9IIWuC&yP zjUCH)@4)U#+N%K5#hd-Z6DMrA^p{^<=xbX{&vmo=%0w@ z#oEpJLn+ARYv_pV6s*gtfwSst(f(E~?9OA1!@8P-mIQhw%!BOln2J<`y{*hG)T<#E zfeIZZWx5^Jdt27^dK{4UcgZ=%zvZ#cF6~%Upv);GetJNv>0b8$Sc#<9=4)h#G1b7H z{cmu#FlUL0^g;@Msv_JG@nHXN=ltkuC);rVcM4uIWA|Jusq);zP-d21 zxklDC5ssggF~*!H)DcdQtoBpaC&n!^x68xwZ2^6sOi@)-B%!URKW1G!+A=K_=E3)x z@R2etA@~u?`TuF{M_p6@5zUJt7nLw=uCkJ;$UkTq7rPQXxd>X=r{kZ78{`;W1-}+Z z{fK`zESfs{e-;II(@?w%!_*lmfM-6=s%%y|`}MWgYzKtpRmOGOIaD?VkssDnGUIQn z15>svp)g11DPR9f*C4QVEdcGy!W_AEfqK=}ha0%Z>!1xo?`s_!e%0lLNEsa#fFXBR zONAow$=ko{W`HR>KzJ>U&ZVD>hMe^dTsD+tw|?K3u^#AWx{(XdxJ&;Rh3CeJ08FiF z5puAMhpXLt#F$;U2ovjxxKNWn;$wo<_~d8dei7!o0Kbfqr57!{86raHILyL_Otaq=-LUWN{KG-CGovGch}me6CU)uqUL*ASa(d#Zav!ua-i>px=+-(} ztJ{?GsYVd{6xY85SiI4lKAXrdF%5stvUokq9TG_ zYtgP@B#sE-Xb<+7DI%VAU=_b*BE`1$OX9!WB+_o*OItDPmwMZoV(Dq@-i$-Y%a?Hv zA(pSq-kS%YN_6W-0IF!lXTlpmQAV5Cu-@VRf?+s^{x|K%n{Cp6Vx4c>KVlc=ySr+R zg|@nHSXFX9G4zHG+%5;5gdV1A<)EaCHcT!Q9qwDK4e;AZ-FSL}Ha%v5gUqFTGkJQOI5T)jH<4w~dX;(Ypr*4R{+ZEc-sTijm>Sq*L8;gKr`a8YQ&Gx;5!yH3 zLvRfSKc4IBrArJlciORHK{DPCoND`n7rDDK2=CrQq}%>;dEhu!9m0ARFF3wkzf&nk zZBKP^bZ!;m&TK7rdK=~s&qQKhza1${wD#eaNB#>w!y-0HC>3RdrN*tqAXWnv?PLTw z#*oBg0d-Ps#xGH+CX#rh@i%t|@L7WeTg8`a^ja_C*<06FHznP-X5bl- z9e~@`=qYP|Ae_UxjlW+=IYDlhJlrm>zKBD#!7bzI)337K^_gWV)$I<6K~Ou#R+m3Z zVsC~b1d3~Z)yd*PC{zSsCPv=vE!lA2A4P8Fn;uJbXCHCGzRzBreX#fdgnK>1S6y+K~OXQEq!|BJnxgtJF`{n_qYfo|1K9-bKsO_n!jC&0FY}1eawc z+P>MW^xjYIp0WtzM?tJLo3IvE&_!ui=uR?3g081DI`XIGqb!VL2V-&015qIanomiV zQ z(wdXH1A3=>grad(SjQxW;>}R@)@dA8*Rdm2EP!_q6%;B4JCw+Q+v%7L$@N+QtK-MjkHzGnS=Wnc1%k@Q+N3{6LUNG z`;sF)lsO4Qd-ch<5@`3%{&ELf&aQH#b?5iDVOZTopF5BF<;TktX7rT0d66K`?5Bxa5) zYa3@-y)1RAH%MnFvS@no#Lf}qmxPK{Z%rn07C_}nc#a*#^oYbvAImTq`jXYSSr8<8 zG(jmyO_|Xb2a%{*8_&{$N7Vl|a4~AV2!#5<>9?g*UDq;w z9-lCkZomtIE8UQ@QgMzY*~#Yt**F3=&|v_tPE*oqV!?WN=O+)`7L4MzEYO6Hqrrit zJPi%AuehBwtXx()eypba1-PYmU-4(>EJnWE2r1%C*FM+b@H2%I5WDpeZWuG*sQX1} zs0mWHwGvUy8^v4KR7q+Zzasy<)v9fXi%gdVuR!+E1^=*+LZP+hcdoKH#S`j70$JmV z=Se043;;Pb|KJFIHvxjq+=e;ho)r2qW2kl~wm@j7`%32a@0El^l@54eedULf)itN&H8t%G5vL4#cF${pn7BhL)W z$rNTf!&_BG)b|mb zoWBBpF}{9xk4-T-Q|Er9RpUkq@i~1Es`E_Qc9X3>)mU_?%MY`d^=RB8Z_^yj)4{3C z4IahdG_p1GsI%GogVL@2?&Q;ZEEJUNb7Fh6fP$4L`sXuZdtw3c9MSnNW>qN!*n;vu zTkC0bdbrn5kIYj}v-W0WzE3@xnhh@f5(U&wP;?>AW_?oP4)LmI z$5<6m9Eme|h;v~~W9`0aU>pp<7V8e(L6OYzq0yGMr7HO3VlJ{kqb*itvo*BYG+p_{ zCvERiH$<3?(9R7#7t0>GR@|1>W(AG|ZCc*%8nG*dYHnb-ei3VZyL>c>XP!FSS2l#< zrvW2DCtkC2LacXeb}e5~0%OQQPygvasMgRR2y&hN)X*s|;C z;b64Jq^=j|uLa_frXp&+r|lr7s&+m4upLf>_2MH$F?2-YrakmdqDcS9Y0vR$fgLYQ3^3pKCO-a`?^;RDR5uGXPYUnqRCrmfdaD7x`o| zT`v*Zv-94jycT<514gqf)nb%D3a*f)5~nf`GJ|QXu3PM)RetMjP}-B$#y;Lq_N4*f z>s9~=Ug>#Rfa<+l3?ZokJLUKOqlWooo8JY9B@K~%+BF)L!z;c=e7aeyk%Rjd0S-fa zY@@dZl1?{mk2vfJeT$-bIr9Ad7k6${crtcGH|Z@emr+%}?Uw#w8-3vWH9o_~UU!Ms zB%Gx)(W|bx@9qOrjzIP4QF<14(1fTiOCZX+$V;&nSmMmHtk7ACnq*zXBSRc=iB~5%;Y+^gBYs3X0E=nwuGV%WRDFOr*dn#eS4MI8m1Z* zatz!aUz`CM1J@K#^!ZDfl@G(K5tcKfr}&)a9a%}dC~h$#~7vbgQyJwg9yi8ZFL?l#NtwUWTlv zkY|Vfead8^JFIiTsH(_q-#`o^t$4C>z_B4se7&l-w`U!|NRXPF>+?79r8L0#Jf{No zt~qG|Xk=HY-7i?@drdw0m-PR=Mkv!g`}oW7VOrW69SL@yKE?L6`ZMeFdG@*adG+kmGT%#IV1(6*T$13$fiy(Uj z1AuT^1&Q|#D5Q{@{X*R7t8ip%@0?+NdjLd4_(KGlGBx*n2G_i_q@%UiYW3|tm-?jCjN>{}1DyZLH$mjUi{kd08N z>7VTBX-p3Wd$<84?Bd`qAC(3P`N&MGhy>8^x3J82CHFF%sS3*;U3~Uw1m{`7rpKvL zen(`tPRR67jD8jRXx*IPBzn5nOw046@r}6PO!LY{RO)8?F-s zcPE~orHIK*L@cdz0a&s=y`fE0U7Da(+}* z?;ygM`tH6vzmVV0(YHpN@fBeI8p$s|tEUN;L#Z#n5pQ)%TL2eOZx^a?)6Y4F$V@Ns z-t?^36wioo;v4Ni8mnR7&lx$)0z{bI(xK37J4yn0NL+3Svt`a~IjN%)ntnS(O1m}a z7Sd%u)(|EA!X35_m(8gN+E1>;rPe0E{VncKIP@%CVQRz_40T;2B&wkw0O_xO%T;Ka zw#NZknv&rN+?7Wf4kZ9{3?%VyIOP5tkRATsT1TN!z3dMDCX*E<&h#<)2!U}CBBT_d z@}kWX%gk2Iu&#rB)}P z)rOhr4?E&$`dEQs0ED3>6=st@NqlY>Y;S@xsb?~yK61;fz!EdQ zYi$fpky&M36KIdn!TUwH2ED!EEk`@oYTU>?LeyayEoXQ70)4kCi4Th=VVMtKr)5+? zxyG5YcE46Is%Poz?P-CU`OA+J!mh;o0s(>~0X40$aPhkL`7C{r`q!g4Q~Ao`6W%A4 znWJATS-mHKW=cgwwYz>h5M-cS4=DYHBtBwd4m>GP+*q6`L?80RsW_$s>%#C9e!&&y zY;*zZIr;JoDPB`t4SII?EcX+N2MDL8o~6iT#%kDfI%-P-J~ym=r7GB#9h1@%k

    $xx+Oe{SxJ!n;fck%0Ub+-tTQ?IP%^7G1T02fYMUnT~?9w&; zdbCR9mYr^fByk>6D>onY{m;T}escFIkiXce(ID{?>OwZH9-RL^GFmT5$4$gNEtq;y z89qMQi&qTgoXVYgp$pjDFO^AM%HWl2<(yf#Q)36bSk9L{GkIej|FgEnrqm6vGt;h{ zi1`?}&YSxHiL9As)=cak#j8GY2)Hks+F6Fr!9bLO#rs9^DOcRU!b^l_&&tD{8nAO~ z#XyhFqp*$x=2J^%f0S*fulkg!5IaIP3uS-aqZgpX*};C?95G-^D5#DaM(D&!>V z>DJwa=Ul~5`biz-P_A78+BjjOSiWIYB$e~tIL>HuAbX?kU;{q6OiQ}l3+SX;Br&Tc zp6;_iXkL))?$A-HtJ>OTp5Z>nI?C1t@5icuT1`ZYr6R`1d(ACEmyd63-Q${Ir_gv| z;F1ef+{IyDe!V<_ZZt?*4t*%d;Gb_R?KE&vi7MC`07;!WPg-_AA(1fAEoRd?0)3`P zlK;awAPLSS)k)Q%>fb|(R=I`3S&M#Zxkp&gnnPKm*%B)|dr28CZABWD&{v)L-{Bc2 zNiJQ!H=IytCMY5ubVN(?Lo$9IrmX;LWgQUd!I$C zsm08{4$V0J6Y9><9BaMc?Wtb2cc7os@|THQNj6@(#e1!KnrEaGq~o#)GB(y0z_k!1 z)OvuH!2`^b?YezpK(nEe)_j$7f2cM%2eDIQm`Ojm(miNcMGMshSdC@m&d+1VuV${x zK7eUvxTTE^)%p-`g7WjM^^h~#tG}mf-N{ZGyUlsucrA-dv#N9~&>DArKtlKXk+$}2 z)gJrARi1tPs$|2ys}y8{#5E}Ql(--JpldjLG<3d-cTB;4wwrAk&w1HkXPCLNlHVGp zAEm73Mo#FtJ^~6sfl2Y7YVOlIu~|zasu{-@gvToiXP;!Ml8D;=1AXE+7k}W9!=r_< zxqrotd;Uw~#|Z(kG$FBLPPA@cM<)Yd(0(cwn0zP08V)i}gmx_F-rhd5E@0ru;`ISu z-Lfpu!)SsfA94wE2HUEkb#WT`=PRH)k$(FQgniN*I&^u5AKPWAg!dzLyz6F8^QYUw zhXt}q-p=q7+PZq>$#emgkCW|Kl^~g`9jyuAl)W+~Z!6=IAV|pVb3k8~2Hj(%)rI$Cp8qNaGy``r$Vj1Sh|7pT%-z$w4DI|kKOfbB0jJ{ga+gw$_JrIW5Qo61?c6QAXB zu3MdG5d4-^)Jdrm+iv4F#yNvDU3d8JRbvpZJm`C;`R+rRt|u1th!t(jjB&<_E%iBK z9`mNXhQD_p;>h_Y^dn)}uiXM?Hm@>*K0F7~*5W)-XeDxWNtDs9Wr#EBh2Qw7@|~-S z;HLsD6+E^%e(Ix5;>p|)>zYS_+nVR};5^@hdg|He*ol%ivF_a2vY9%kJvN-J>s8&j zNv(BBq{F@3@!1j6hv4TvI-$sr0m!jE0Gs8kI2X5gkGUrPg;EcFJ26Mi5PH9~J8S!a za2<2!Td&K^>XWt|ahF-?P*ZbeQm4d8kYs2oq()RKj9<&STr6&WL!ET(sq~v+I79Z= z3i@#9JjG)Lt47}nxdzEWN2n&ePLU^*IqA=omnDX>G-nl9yghAWv9aqMyN4*CpQn$- zZ@NGakJ4;L#bIfdtxc-R;UaLlH6!ea1gOh;d#S$#J|9;}6U@Ie+DCSrEn-pCoAKvfE&V_4!p& zD&jBIzE!Jkb`gxvf**qHDE{#Pu5D?tK^wZa)!uCL>rL^B!MhB<+}IWv(tk|37EU6 z_`MB=g%jD-VUQO9nC~~9(h(Np+I)koDAqv+rqjy347LtA@}!e}>Y^or%$e@1=WG7k z+%@d+?ia{IPI=;nABg6#YQgF18Wo`GS{tH-m_@FHaRI8HybW5tLoZS&l!63rvb9Ik zmB1kxxR0$O=&)umwzhvu61(tlq53+ya{7NfNJW|Yv$u?%m2G^omX`x zeZhS2A(OrA>!607G|kgpy1|k1QPo?TxsaNfPI+L@2(>3Xnz~tEQK{2du91HJO&s^= zbo}R?|Egw&+=KSJ!{cfR86`J_uxm!UyEbw ze7^lXUdiawf}b#LF!(%utH({!qDc)K;y;-&U`ip;p5c_Z$x-7!hoE zaDRul6W;uMaLQXFf=Hy>UqPihe}yF!(VFhm$iE7(OSSj3z-y zbs!6LB133cUVXA=rK+UWQKi*8fs$9HzH~y=k(Imsn_v1IxR3FV%eCos&@-iGjwS^| z-@Y}ZI}88(>GPzqT;9Srpm{{{QC`Y@zasUxK)3Rc#yJ9d)oS4{yx~FabNaGm-GHMl zb-Wz`*`L5sKAr28tMsjIp)sEy6VSXJ6p9NgUG+OQYOGXO2A`Zeo^d4_;!<`2>w7ih z<z)_U(-iTo_rSdnNhODTr8H3 zYqV1<{wjy?n~6b$o>hh$>wK+Fb@?LKV~8RA8Z=S_(Blv(v; zdfkf5Fdt8LL5u>lwD%{(<1CW+@TJB7u<;Xf^0(Nn2j{0LQ%&VCfXt9^>%>|-2SUM^ z;FY6?HwO}y82Kq2EWV(gVWdsc*uC%u*!m*h@S#^|gJ!II^Pk^JDD3k=;WVLXidK!) ze!!RO{5J!o#Ye#Y?m$nnFfvKA7G158-W)2u0ivgP>UZIvybadeXHzD5v&~D|jg4Ks z8Bz40x#ikr=9I(pc#@#%r`^s2?-HS@wzvJlG5y+?C$g?Rph zs|VA%m08+0mfR^kyl7O{I}YDl=}^7`%1gk@!k5Uekp5e4aP?+@`t6L%npY74 zl+#xqjExgcoLeq!9?$!4<*iwcL7u^pH%HDjW7Gq8XkH)m6T90N4Kb)1(yAA0cro=x z78rXbF}2-YyK%zt<%iLqA%{}t>Q7)M9ZwJ~CP4d5=Gf;`GIhd9yDK!pD|(MwV%@aE zC8BdyRKOWNQD1}n!`dOogB(M$$dkC(%~JT9&VXw4_osAr#=tQ zM_n9lfFA-siA+n+VV(|@uvv25{*QO&{L!EvY{E=xV4#fYy`!oBK|?N&Y-iGuI7d!X zi4byc`3&Q-KPi&EOb~G%&seIwa_mJ!`r=>pLBaQOtSe~Hxyoo6`3F$5$$+&G?Ud}) z$v*D!Lm-9lm-RvWN4f4BRnKjp2F2n9clvd4Xy@-+aQ3NTB_Y;I+H~!vQ@NY77kFzhj{sdL=IYigo4B~0CA%?pE@kD2QSLh)i!Fzn81D+BuQd+hfvRV#UF5wt zy)Q1x?~i+yhi!b&!JZ1FT?Ef$GBNJJw^FNjCB6fcXHAW}2iF$V2Uk=noInPbA>%Gv zT2!U$w16U-O#n#5+gpuZ)? zCuPNmrsl1`lVy18mt($nUR|1RI!mNJDmnX*Rwe6Sh3jN`i%FC$to7;5<~;WMXbJA= z1Su(U(AB}%@PmONYpXuI-y3zG*3}k?zHODnA3y9KoJ+|bH&{SxXqERV@5w+}b6#K* z-0F6`E)M^C?DWjfO;V!3C8Q-@>?@`_eVbxi9I(h;V-h4?8t`hIc>_xsqE^4gV#_3*vJWw0Y>;!r`nua&*a|(N zxv8Hy3z~Cq?{_M}gi*F)Y2+_38o#q;^={6eE;WOc9etENo6tHLRqxGiF^~zQ?<=6g z4>&yC^qsiqWWGX(Qm(hQNs85Na7vm49m@)PMR|u4NO`^Ctl5au1ScGJPy{}0b6QD; zs56;{36%=_y%1hS@&2;_^%1AIH9-=U32Qx=itGID_?|}u%;v-`zB*y&h`Uh+tANE? z*%;npe2lVuiLX0Hajb*6GbGQMPj=LM2WI;H%w({ZXG7xZy{qH@I3AJ6;WFA1WBt(B z9%f;r%ezoe81z|93T;3qd_G&@jK+y|(ic7#@Tb*>u)z4B6!*aZvh< z4YGvHV9O8_Cmy^CRRuPg;Da4&qELp!OS!KtfKBRojE33ryEQW_Wo|g21_MqJmPNJY zdIl1TaJgUgK^jLTwB?ptK0CqO^y4K^5HrIAk>VL=DbC#Xcmp+Q12OuR?(nIM#D=jh zQQC_CoN?^RUw!+h^b=K>b|#Q?2u%rd`d=_x??<)6k!Qcar(2?Ikj_G$g`%{cB+|Vhd>>m+COn{8*+0#R4(e94i_E{EwXSyDK#XF$Va;mm z&%V*Z9SVZP*qrv2zb`SwGazYXOdeiNVBW}I+Y+QxgtWtjc8_Hk#8aTMRgoVjM-@tS zUS%T*)}GuPQJO{)Ey@7cs|AnIdzMIS(MmGS+&KDgjSYr3Z2!JrY+I=mZq)OIqH`(# zT^C=qqXt=I2Sa3ZXEBX$Cj~J!ZT3P=$cq7*lY`BAHTL||T)aN3BC(<3)d7>u`w!7% z9h<$`+iDqeZ1)%&=*@Obq$d>F{c*An=~(b=;P{JtN}midqQUp7(^Ia@T&3!0(8U$D zRFI$b3&z9Q?CaRvy3*hJ%gU8iltKT$28k{eeexZ*7zp;%ycJ_%C}3T5=~Roc#sPA&0-dLQXY#3NMlS&-3_mpQc8E+Op($xB30n)ik;%AiFNrbq4@Zfe~! zJ9l=m^;80^PIrZ^x7Kxkh!alTJEZ&YoL!ZwF_xzrp14s*`;+UCw&4UK7$FXf_IYhg zAHs7Y+F5n!lcW1nkJBS137%`4w*ZCR^uLi6Ujf#3$MP&+*RA-1P` z(-j@yMkR-)V#C5<9@F#4?%7T2g&C`?_eBf34_P^+u>yiq1uHYw$#xigV7NxTwa;Cq z=I2~WlG9w|q1MDI=Lg;RzJ!hAEYFjj5hxvowA6 z!r_7~t@s}j==&|K!pyEC7tSxT-A>Fs_Q!qD7C2U!*fVXA{q-DuHpk}$-((FO(H^iI zFSY#IJETui%0+k!&pV0P6#DHe@j-JRSH}R?vz1r0u`lZT!d5M4FDd*`D3^O5aqn+) zd;p;|&q<&E#G8Rhn3($+Pu31MZP3J-xMX*usBHwn_bxIYl ziNJk!-0DQbgf1EFiDly;Lc=i&-{f{De5dUh52L;-3$eTKlQ=p0lh}4bJxOJ=XE*Sh zJcVCrnv;gYsm;4&=JMnS&75iprI`d~oB(--33uO+-&Y}hB>kr{VK54t+fJoEid$-| zkKcO@IWBNb;qKYjx8_4cQK+9B!((%mSL&M#928!v0uN`_EleB~P+MEySpx}aYe8;! z^XiV$x$sKK6#l;9JoMwcv4ZJef_7h1k*Ba8J{unBR9~Ro1jfugTP{erwoEF!%O>6E z?Ov&wqOyh3-Y#zm4Q^UaBcx{TkuY1o*+C~-#4|k2VJn$G@)~@nM$48tCL$Rz3+IVB za8;u$OHi`tI3q57(ov+;14tPZ5i*Y%@nI6byVTKL2M9N(Hf}mw`N3A=upX16;wE!& zop6r}P7W)?&KYK=aP}(Z1?*=mn)Cwd`$wGWG9JlS&dsJ~*TjZ`!>1Z^xs2D^;rh=u z#lrcg(bHi&nUjvswk;aIMXIv3ExO{VEdkS5LHj9@-%#S4o%TpNlJv}2VOf68QvX*-wed+S%IRJkUotcUR$KS=QMftyIJx>OE(WXp2Zby=;g=b zOvCOYBTK>)M*RtK%@e=FtFP9fkCQ@ma%s-~OPA^}b=gq^8_jo!mMS=0faEbbU`ot} zFPU{M1{SdzB2+|=%y-z_u_(;;F*vkXd1bEhpf>;gWTF`Une)eUgk_0ihF_;a3ER2# z^D+*F7{g!w&Xr>A6yvBCDfN(;Si&mJuW2TnI=R7^If|lB? zx`zC5nkF1rzrNwp#q?JDBm5^3$Uox~>4uFV;_OmGwz)ZS?tWZFVZY7GsY&M2AGXo1 za2Zj~)hXPW5nk-th{85GeY%!=;o%e$7whEwaxP0`ky35Uxm`=0o^Xaj6q z5O&)Ccxh37S(EQ8%6nxQq_qw##)k8w;2`A;L*)Y3mFy;CGOoCg+AjrcI=V0c;=X|v zpcFP$wkNu98#okETCTr$7fXBf3q@f9n9J1q>zV7tm~UR!e?ti;`!*BYFPr;D>RmjF z6Sq~7ekOPP`>b?`>DMxX0l5E5Ha@=BINx6+Gz)TgCQA^TGU+H>YWA9Rmf?A!HmmwnV}X|lN)me-Ku*XKk7$voDew0Er^& z3s^fdOclQE_l14MlSo;ee-Heqkw%)%C;nmd^#e{u429POl0Yu&_m+%_92E7lfDz?9 zAEwd8oVy1SEhdWC&wC^TlM}LjySPf-B#fblOUF1+n`h*}HT=Uwbid3oV?hJ+kCsES zT{Tu)@cwIpxn8?uZB{r>IxTr z{~Yb)&D?aoNGu9CJI6+$JAuT{dB409Xdhe=kS}Ld}}(7nx;|P})pgrE?;I@Pd%y1nQ?R?V`Q5 zT1O1HV}H6#`wFgyZXnv2b5sXEla#u|7cUqaqS?!NS8F5yU^=}RR*4HRJegYkma_2l zWa1U5W|yL)Y|U}H=?H64f}tS*MF-F_`waMnCNR~|gr2{^DeP}5X&c?YSjb#Xo=g`i zm3tL=l5uZ%H|@O)QF+2Bt6Bkg@s*ABHfAAj={h(WJg0kv*r*f!O=29yxRSMo{Q5I8 z7$r82QoW8%E$7fnX}y(YW`Pn{8zR!yrX0_?2A2>nwdIzkuPvM#L=UnQOQb6?t;^CK z_agjZFVRT!niN9*z`DJ2cf6 zqXp(Wax-r`TQC|N)uFp@{`Ycxc8y?|-ZOl%5_ z7neIH1jc6%*FGtCSh+Dmf5`N?gfckaSYUWYIMs=3b0cC>E`1vJF zAW9ZcTa#h04KnjJ+T$EM5l9(*J4iR3HIPPbb}40=G*?H!M-OWO3x2Q@@c>QBHGWsn zY*hF~qXy?42H+QLhc8?Ss~tx*rj9m3ITPo<;RKcJ=)Zs1XX!bGdq!e-lOD zol9Ku-%#Tu#iIM3*+C4WaSpthK^dM)^g_U&&LPyHV{A-;$Hr{51?z|B3z*{tQ1q}i zu=fXVB464dir&&z*c zz^ZEAr8%nSWtH>RaJR!C;bv-UrQ^g!lR?28xmVU?+auJ8klg<{j`_5J?_a}?`>y#1 zj(ya2@>=2PWRl^$%Ka&Cjj^|W*8KCE2ZGI|q(Q5V9?g!G@IBgbQ`6Dy@O6`qXZP7+mXi;tUpMaNep zb-BBVPbMyAfK1$9?y}y;8J96(bIu~*BuU7Sv12_i2SJz^27uiJr%z4zcYF1-=_JXeiHFL?#)#AarX|*Ck%_9L6PrNltQt% z2?TockOq_?vKLTah;2M9{UNiCXOIMISPNQzBH9_ahJpa6k|p!(eL_O8=fJ_fJxuNrYJ3z873`6@a$m{L{oiK3^T-+NyC%#9Hs9{LQvU@ z?RkE`tk5!jB9XFPK30L;MT9T1|~{DIFJ!8t)$VAs_S6I>Cx< zGd)C9>|NPQX5EajVH7f!rM6P(sV0pDoc;vn)<@)KR6}I(Tfu9rZ%6)R$2ofG$dNOJ z)IUt*HPa#*2`DaY73{p$Dz>u1eOJ*+Zj`;>vv&jN((Rpk3NEg#%ZoS@=<$s}^zrhVM7@Tu8 z8#U#Wmqs(3rPQJ-qp2-$VX0-2?A+`dI$I@g>~3Km==wMls4P@L{iw zqr1-V32ml*3(=yqZs55yGu6ekhp8@{P&n*!|-Zfc@Lkb-P-uOE=oY9Fp zyaTIcD__TJT?3t|VJ3IsAbq{?dYI{nslR`QcF8802&5U$7wLDO7iJ|I zM8!-33_|2)O0n`&LzN4&FAouKya@z50CWfGokddI*_7vGV#CQxKnUFIZ6_}zTfVd8B~yTR#oz4&J~p5gnm*S4;{ zQz@R@K!d}}-9#gucBB^X2tW-62VU41jMG$#1!v=8(WWnK*5`Np4qU)IO+5=#V%OSS z;PSAj`vLJH;JhmWX7=_3;vyQg>HPoADm03xBi^JaUAxF9 zno@Pb$IpqbMIQF31sT_?Qa>899_2~b2WHr)p}ONvI~t*A9*%mxQ204gg9=-&(U zXBJA`KMBqbKzDUpY^UX{ckx!NCpwJg#oy>jj~v`$S&y7MorX z&W#Ho)<~OFZEIQie8o&t3@`SW1rN@LxcLbV0RmV5dd63faIESsqJwcy#oZ zY|7K0wJzn%$1yg(|1E6JBfaGlGEI}TYQkGnu3_2X@Ca5lJ?u;)&QvIGkTt-zD#Uo- zS}hT}1|@av1H3pYSAmrB@9Z_!V-BMrb4MJuLNnaWqXQ~|r=;uDlaDdJ| zFKLe8rTj+qxutvJ8YN;!U*-vVdAF7gX$cMeF``7n#DLd;+*TMk~$a!c$cU3 z#vKVdLG@{e&Gk& z0<^qaM-13ZQGKcO$^kkcmXin|*5{LbD?DKAh-0y{!NsG^>$U&h0-fJEQd5h)GZaO8 z3#jYmV1I-xuuh8Y6TFsp6STZX#}IgvMT5`Zuos7V<`x#Kj~5R#*6gRj-UbrlAdLe{ z2VU}J_w@W0uPl1q@MPQR;o%GPz5iQs-WRO|wHv6q-ud>!jQ;$_gq^X|E>ESc743d} zCb1`8wc&yBw#rTVl(|krLBN&HaXo+rlZtHLwH<#IWuOAMjI%BQWuj=? zTQa$svHm?`FRe3+aU`@Qn(_PqQ3eczs$(XQScSyV(RXO1m;EKA)x>C#g_e_puUPfh z4+l-2!|1W-T9?CqaGFV^7)?ut7tAa0*If!S4=s&?0qo1B|9u@}@vMLe(D_E3fYTl4 zhq-H8M~LG(;YeJ1K7=c`bps2Z0G$RpT}~HES~mYG6Eq5$*&Ea4G$6Ox_gM?)@tbfb zo@2JAR*rA10|g^0DZSgZ3O@-tlbgL9mi)rz^ZIkT-^O}3)AmP7kkZ)0Ec*Y~*n34a z)qP)}Dk_2$6%Y{trHB+Mg4B?Rggg5Rl%hBvC1$BUL)mi%5_bdg!5t z7D^z=&F??PeY+3$v-io_YtJ>;nrrO!jRD!C>oH_hl%XM%$<-3qzc_lbxZ53- zZ^dM^r0^b`k_Y^7oA@JfCXdIh!X}LPf)n$O6*NJO5Zy#0wEjko`X1mH8+y}M@-R$YbJ?e}a+nN6#aPMPFxVRV z+W9w_U@+}N%|OdL4)lLcU^hKYz=DfXCJC{l)X)M&{5Myzx#M(dp;QIo-sruqlVX1S zRKeW{qI$Z*S(!>~ki7q#hD%WKJov09JQ>XdW9p4?5bl%pEb01gwc{&MW%Mi!rq5yx zUbY!QcEt+?!y0c~sqp0=EHl+^ zrhVyKDX9}z_L=eP^QnJ&=2ykSUHcU0>?;QM2sV+Me%@q{%f~VjZqoa{eTKDJ8W%hU8%X z@`qLy?Wo#6ta+I1F5j=G#QRG#{fB9R4IdqwHcPc5+u~_TLaYKU*&XQr=R?A_WNShy z2!|!qb--;V246swf)Ap`qk@-1&l*aZ$3H>;bbNiI!R>rj)c``Ci_OC?IfV6~JzuPi zYk!=3o*8#u*ixZp(GrDj0T(yWC+8lAu&av!W?XE7Ci}kKlESU`z7zqiUrJyWdJE4 z2y*Ro{S6(BS1djhrga#{ zC&N7jE9&BB8$%4p3uRwc<-n&}7F3cq%BKB&FY+kxKYx>JNVrr!rs9hdRXSwc|7Sr@ zrA;W+S@IF%t0+Ct!xjX?vv6t>3$iwiZM|g%k}#qEvtpjwHiaH;EomOCE)n`^bTfB5 z#xZ6<>?$&tFI^u*DUD>U1&x<|T;*if03KFeJk6p%h?^1iN=BV~PYGvJimwX6ehSU~q;u$fyIF-HAL zMrVtD>T)*St6vfVX>a_We#mZV>6TJ&8Fg3`w<%!!pFo4~+T`M2SuBfa@Z6M?Ukv*H=q)Wd6+dDa@L~a=inCRf`=_K?FB+o!RNnGC+(k8teh9Y9!)lo zpF>P^$H2^^Mye7#LM8Kr#TZ;JC3M#B%EX7+<-~G7q^Jucxj*}8tI+l04gSH6le+-L zw~=_K)5lkG{q8Y60)IqHx&AMKP9qVRU;{qTXwkYkO05}CAg;GuCD4p)jXpG>3Jq?c za!Xgy-^5Q|RGt2unkfiUoKBlhOJk(x;SWv{i9V;{!qJ0tP9F?GvG2j#nSD4I6IS)p z==KNiweq+=@GHzd4Qf##THe1T5JaIx82zNAFkn+{%ENRqd@O+oFDeW~*;{%MnUC)Ry0Z_ur_4q2p z_ukQU`c51TMKy}lqIG`esy2_H&-%~6-ajXRZ5lm`twIjhLMx|sSr3I7**d~deR{Rg%vX@m3 z-I}$nsPx(GqhbtRz9fEpHxH8#rbSf~hIEHuoXa3LKOQQ+o#g(=F{i~nxS_q4nfFIl zEYqUa5U03NSaqOhy&&qPVPXh-XdV=0NwY^Ml11OqSeC3iUK$XYOnB4hS6HJo15jDj zVZhaEf8>Z1(0ztF2*7uqsV_Wl;HoWikXU3q=((;)=XJrrBPKRo?GSSPSZPU>132{v zODEV8@-pXVs4k)8VGH@qg)Y@1yzt5d zJ?#atq=Lr*%Is6C_%~;ZgQ$+Yivj9uQ*sj03hFAV@EwBKJUOi=7pUVOjc;3nmtXb^ za$mE|_aI^Sk4g1o%1CCrMWrD%bb_4yJLUW-|^}GF7S~;1)+K*J2gqVGEG)-i?RsYKz!CO*sU+;34SxeeS zfDKMPPl7AjbA4}F4eY_gLo@@awHLm(La2Flnpv*B9gYpc%LqKjir8hWE$K*D6o;H@ zKj+zVoz?n2_Gc%fUd&i@LGfxOWfJ)`7h9h9XPzn>7OkJTtF+~|w7?#N@BTFZj@zal zw?{P;di1Ap*#kuX9{eV9n@uNhPHj1>IuyN~W~G{oHDC*l2?B1^-XuoNgpa^Rz?m3o z`j*L>g8^fd5)w#u3JCfw3s!0Kyc9^T%S4ZQ$MilU&e0%Sy(MFt8`{?!!o4yG6EkvG zK%(^6u64p|Q0#Dih#Ak~Tm5;wGfMLQkR^CK&i&6ny!h8`o9#p&eEJn4D=o>I>aw;9 z=Wb+#zBufZ5`+>$Ia--3jYz{Uk3b3j2wJIN7G74D<&b#rs2jB+WZ=IsX6W^z4PRIU zUY9DBjbvXc!0>VaE$vG-L7&h^jk2ruh;QYUzMfp{RA)qgxBWak;H`N|h4&WuLWFQ2 zvH4f2&G(S2_VM23NwWC!{GL$`k)&v#xX#GkbG^(} zNTL62Tbn}!m|FE6B0 zeOwg>Y2q>Zh-EkA)N^RvFCY&)vL|{Kd%)4Ey(mHQ3|HL>oTU~y-2^IOGqk9Su2i!V zI`wZRlQR~9$Ij?huwwK8%iA=^}+SPKADCZ116>xPJgkT z_uaqk1sE`Iny4)T=M~*3Z;bh_g1g>NQUykH_I)@5QF>*5v_i-9T%u35fvRW= z-AA)e(gl^%A5bllGXm++`k%vt7$rBSQ<+fR!)p_Q`1}47D>kjXov09t!)s#Hf+ov< z6>ZYgXQviNgFnSlb&c9_`Oh}><3`joqj-zO!FF{4I>m2j9M|k10MzitP&TSZir>O zv0Dp+&Q>Y3-4|+-}gQ{--I5u0#p#W8tm*wsR$quXwwpOmb;E~3z=RBNQQ2;`F zb$&Abc4__hn1kBlo2T~>POGvdi=dWr(3yh>)RLhsSO2{LHJ_!5Ds8jObhGeuSKaAoxwfdum;9$-9-_C z25D){LyYm*nhJCI_2t>8rzNDTz&}r6e~9lQUN4GT*wR`j{qJjfoXYo5vmn(p+|}yPtKj;=-?5&I>y-?AhEVXHK4_wmEm0q;t5GhTcr{u4DN z#wWc1jkYf?_gaE{#U)ow6Qpe)7fMn7bLK1>au^rmr$5}6XwCZV&G{v7v6{F+Z)1J;b- zfC#p(I!*L=)KXF@v#>)8d;ds~iy3=UTIsa_tL%a4{=-5Y=ZZoDH_qZw=b}+RA(lV- zmZF;hr8=-soyyXBF+)_KRo3C6-@mnzO;$E~(4S+|nV+NpcUTMK4C>R#xx+bn`X@=i z9rm4Z#+i(m0>0v`Ym45=78x%7>o(thQZ$e9=sd<$S{`PYO_evi#R|@#-gh3$R+11h z?wGS9>HsSqlVqkk>~zFVps}^1qG>Jmwy#*sOu`82WaCv}=6Pt&SE(v10d2>foFBMT zqpGn;utrFr&Lg3|k+Us#x#tPbCRA}1Ch9ScWoiwd9Cl_SN|zU!TNzDD7#_xyPXFu5 zVRk;Cj`Yspx;)0oq2;xiJLY|AQB_Rol2S~LC}B&3XQZ{LT&(ZoqJjn;r;Lg1k=gEhxplUOL#15rxmR9P z?H=byGOIuT+beCV)Yl-*r|B&jE@`^;Qz@~u7vL=XXYDuRhApF$T&=NgY?7q{s=I2! z?a@{@z3JiU(Icg1G(9ey!&H~Q>S*r#({^C5caK7f;a4<@d1DbOEcYBI*3(zsd&iXX ztUcVr(Ew^fks{rW1XgIICp9kKF87-2}F19N-fXrDbM)*~N^e zVBW1283&J{%>Fy15W;I1SHghK&677BYF3!u8I{D-(EU->t6%6(?Bd4EnG>N)~Wnm{fPEsytB(^d8D$Etv(`B%lY7Ls58W_ z7+rN69kvJ1)C%y?_JD9PL{6;f1l*1HKbre|?)pFo4ZY1Q{e~yaPEBlkJTt9To7X~A z6DMxjritv4II&FrTF)FMxqmOI9M@l7)(2IKeN^NGf!2dUZ^-k|JJLrgX5OHwi|2$cu+7qOM4=X_?Axdk4)dWn zlN+KvY)G3T1l_cq{aH7HZFCW^UF@LP|0T~g75g8;EEx8tTBR=b7I<>qB>dCCv@y*$^`0f{E z$Dsa6wO1(e^#nR%6tA}LJqH)jZ_?7yv(6DeYJM@}+QO;R*7;T~b4;uSXSui6bTwkt z-}B%?f%!Nz#Y0GUz4M>y3A;S^)>hY{EpU3Ae%RzGWX8Vlj5Jv26rA2$1c2W1 zyoc5s@4R*ERGN%nh7o88-&-@L2O`ZV3&%3S^<&Iqk-usdJ015I{c}vr;08X(yY^2G z!sNuQsS{y(BAux(q7C~GXsGzlt5j~7aKXDm3C*mN%wL@6olT(lv}GSmdf)m~>>yOJ zP=tBkc8%Xc_z$9C-D+(qI!L4i111g=82phx&PP*392oOU{e57K(C|`;yR_)*Yyh)_ z-&5Vy74+OekkNmg3M_0pQ;0v(5zp`6Hz%Vnk%W0~Qb?I0&&y)kUXzm&*bHI^G@UoR z--ZK?spb#*?Z^CqA^;rkwws0JS1r|f(F~}0n&GowefJ4Z6AAYBbHJFg0d5-1`^%Ip za3J=zHgeBNUlTJno8R(lo=}gBfBC*Co_$o6tfwV8ATHrMvGkG&rd<^ZlM^!|(sIXD z;{5OO&Gmou&aQ!PzVcOs(=JpxPbui^n5qmM`gD0)hFdBn0ZUBAFqSQL1OJyI&ynBUN#W!V4+>g}Bs(@_(P znlr+4pO+t5dk593m~SGTd9}EpV|NV@;e4hK$ikpdiBm>i!H&rr)KcVmP z4!_jIeNPe{>#svnURM=Mya+{>NDN03@86C^B)n@e+1HxA{&)g45Q$fX{A-SOPIqk? z%?sTz0*w4h8>$2vLAt5igQf0~NDj9B_@TR_-856@8P}jvO(*9wkNF||h?|#O`rp0D zGt11Th5F~6QUILizTp)2xoL0Ju|d;azQ3C3rSBc+sP~!Rg<~+JE$uW zV&rDO=1`QgVIXi*O*8f{=1v_Kg5&aE6)oTRiXiKD5ZZB5q_xf!B{uyJMbC$gWuV+k zD3AN~CUYgfZiWz19=8*8-F4O}k38^DZx{YnAAiR#87?%-AD{hDQ@rSMTD4!s6C9fjJ5y?Ns$kBtoY?z$oRDsCxy1v-YLt*} zW=dFWlglBI+j78Q=(b(U2|aXa+0Y*UJ3GD|QciklUdDGbK4)i9;qnZ&_@|Nu__vhz zwFEBwrnyz|Lgl$1L9eZNA!@6m&VXn7;?}+)0ptklt0vR)FyYUBz?Xj%DdR zh0?xkfDn)E_5LE*oyfXfd{8}*wPOT)ZMbL4_BcI1gv@%iAY}e&a(;*v7NzbBe3ncI z?L`5+;6qFMLb~plz9lMsyI?=x18<{x%;-8 z$ltHd<&%So zqi!W1AcNMxl*q&<9ZUj5_6H5y?SZUlkya~x#D}tL2|aP%L{a5HJ=CP*X(}#$vGjxr z{GghH!yPt=p7aB}QPm)bODPzi1xcDNm$xkDo0`AZbE0<%@FsRk1ffY&x`(yMk~yyE z17(kr?&eYHbooosi@}Puh%H5%B4kC3{|aX}tG(}Qwfqg0N07^6A! z6AHgurkW44MiAbs-z|!+tpeBC7%a&foTSmz};l zO;znp9bq%JUk-TyKWJVF_}svRc(V>OKv0p$2)fZ?lw!2X)9(^)+RUi6&b$?S{{8I` zsBrmc(Z7xJE5B^5rfWEGFPK3+o;Kqug3gud{nAAIPoZh207-(>8!qMmsh>(BlH<6c z8+sY&G17^cz85>V#S0$^*G$QA2Vcif2dSrkvc1y_zcWR(ARXqlKYWcU^F1h`Bv~>_G8Z=RGT#CVCl!$#YfRn z*CIR;TIZvzZ1VP~!MY76?&W0-Ee%60qaQW!sMzZYIZ@38{#+;9PK_QQ{!ojov12CR zG5FGQV_IOf0eDdD-|8NwD#O&p2U_RC{oj6Av_E^&{Y3oOcK6IRHVNj#gP7*F=U1(~ zW8gvH+=9^N+oPdtn zAtt^~(Bk9@&VSwJJZ zMAHh+0$W1vl1R>#ds!D05B|evX|_?FWxH8Bt#Bi`v_?mAZYmz>`&VRWto-3kgcal? z0D>%Zt~G=4^|Bzg9@z`1eO4z_Ha;#nbpprZL`OMdee|@b;aff|f<0H}Tjl_r;+%!FRKYLpTgZqP*FVlb>&GhKjN$dOs2_-f_L4pJk;u5clc~g+!W1!`j#45oz z67s=YPOJtoZ`U<;e0pZXcrmvQ-?T^b=9?%g{0a-WS-u+!G?SgakP?_7azl&J)wc^Y zCZdy=OC+j#9pXd>*KS2i5u}7^o5)|ZJMtiN>ylJxM#IBiD|7?yN7netadw`jE3#)D zUXGS*sBG_jwsQtf0vdT}DF_S4ic$>sgLxeL)Ad$)#+5}(vEkHT_|^@axo?CDD0)T? zV86UuA0#x--yDWauc6O)+#*Ms(vWH3OnFI*nW7y|)l6q$caUNgbbubg$cOkP>^~Ie zZ^-;V#zL=sehKJ&lk$r>H|CLhM!v)0@WG@qSG>EV~X zh4^&eeapV$_ne&~n!d$;<=2Z1m@0ZkvbN$N?mVXV#*^F)PRqA6pPBXFw*pZkvA*I_ zh(p{B6?^}(i_v)Inf74zkn$?1;Q)Odrlc3SW2L(sLO%ljOf+(cGT13S@TUL=I=Gcm z&bnVfl2Ac=y-|ECul$RrnN4@=3r#StQF?LlISS+6dR!^4a1Hd590@q#3T3S`y2v}0 zx-ChtofRx31*=_9ib*!r)A4riOX*@l2 znovFcu2|R1x^Pw>m-MO9Tcem+IN}(R(e9{W+)sPx;tgqSRP_9LwnBGK5&@kJGC=E+TUBiUg|ZlHaOTJyvd zH<0ZR=YvLT_wL;D?i@?Wgy77rT(w;js;gnnY%HPOXBv~q0}p{dMKbog;t-YaKz7Uv zLjDD0jgFZ}t16(pqoI6~O0`0&r53xj-OFusLA9#feT)gwhnm&KL3}3UUhHzqw9MbL zHTCu5PHaB9mx_2}8QUePOX#e6l*ShPMRX3iHhsPOv*ZWBh zQ6l5=Hk-R#?Bj2uqjr+Tv?^TRAAX9AgY4W9Q-bItj6Gg8*4!6K9O9{6#6gbE#3b9% z^O4y6(lNBWDb^V(&7)7s*)D#M&Sow;tlD-TXYwY0e>b}ST-cw?J|vV|<-t%~0$%3Bf=?TJX zVG%1S;}eClp=;UVLM>G0$&uBQeKa2u9l$$c+G`yzIAQ9Wbl#KFqZ@(Z8Yma~QUv^^ zLhLJ_eWyGdc{AluH`+B)P!@mGwB)L4EHcNmsQ9|UI z?M*~lZyJtw}l%TX&< zWLrEGmM!j-S$z0Lw1mFehQFk^wIh4bro=xL2p}4sy?xMQ5FSOc2&Z$a{*A)jiFUQT zP&)WdOtZjNDQy%a5IeJ;0ooG$^Ags;c~#RJTTkw8)(n*UGd`%rAsvqzq?Yu~2jb}P zuN$X~#(SjwdL*c!l7cQX5Ql{FLG!%J|7ynf>szN*ySaQW+RVKhBk`W*kY%({PH03G zYFiG9C1l+h^x@#P1Z55_$z=|xJLZ$#aj6#EJL~lKu^NDXUGn=>vKgwmIUHgfsw$aA zaQa-dq}RAn%{mh;gwDC`~P}J%(TL4J5ubOUm$?muVs%Vr&M3d zf_l&)ah(Rix0{d0%(ToYlP^ni`Sbm7)P3o)iEScD2&CM?#{b z0vt?AsFARQg0TU&R@q#F?r9MzO(lRr2kOe_c_1ePdcDg6c}^w?@6Tg{V0 z;Iweou&Iz)y!G2hxIiS#PJfrK4QBhM0d#i3lKfGZNQx02m|HkZ&>c`(JrOT|;+KmC zi3y$5VB7M3%xVKElX0m z2C>dx(YE+A&d6E~%gys-eP(3mGX~&N@fi;WLcz!o@0(RoEJ~F0Z6qSKO=b#QdtOSr zy-aAxH~K_9&i?!es)R0Mt2gdP2mB5};OdO82_GO&Hrh@Azf2a)1lkrZp9din&DaY2 zVE<5Vo|zr+UFUjA+luCcg@6b~*)Wm4DsjJWxP~qBgXX0_r1ryE($j#_NjLTLx}$1$ zzB9ON`-#9A_?#5h9HI`{p9AG{<*c-&>}_(+HS9UPA^NKm2l~kx{p9HW5aF#s{zp*u z^;mw&_-Fi%19ky#lxI#ZBt!ZB;gJ0y#b}uDL2t2|?w_{#$6`-q{oXVrh>U%zVo|Al%?LgUdpfo$Qjqf`2 z2LwzwP%@$rWv2z&1K6*rSosZcvwh2?OCjldy6|oA*F6eB-Bu?m0!e-R&<=1B+W+Z5nf@YbTzKSf1UmVGTH%2eAYyk8Wwl1)#7X0_PnUj% zd|l-}_$YIHdT5_Kc>E@-=2J3x^Gw#m0GaC6(50JB(RxF4Q70bsx2AzlP6n>}8&!cU zmrnT46=%4JPgixuoEpOK%y#sX_wLsjzB$WECT2-a@$d=BI#pTc_a@%0-%HkFQ%(jd zcbqUIVPn7CF?1xg8Oule)=gb80>{3B)K)z;9nig}1h?+5Yp`e0D|sJPkI6J_pP7yF zK<|>szBgexW_r7e(MPJnBNVeFvi8k0SkV)ctXZe?Z5I|30q2^#tE%86>u&S3R)>z0 zTnEro%oH!EoAUNVko!$Y_M@a~=vQ2%rmBATU~(T8kOXQ#V@FWdGC3a!f2G39pl5&P zb}46+Y7uEU6z^QJ^T~1P){Z>pnc2lq+G)eJ;5h@hY{p$9eIGyYEjW}s*y|g%g)p!luY!2pRukm`pNvZZ~I|K~% zY`8ZM_MqG?-s@DL;$BVpr^KC4p2o$^OrCy;gH4iJ=Fmv|>NK=sE@2v4FlRjt{V~Tg z4UL;aPx>}kqNfO7Ei0x78J6HFLYk%S6d?&NSc;(s|c zRa=cskF~eLx+pC>9ja98eEf*ju%@ziYh2D7&H>Ll&uI|9#r~@=Aumy5=B{$gw>P(s z7CUZ4ZHL{xbB&&jRXN5*?+>K5KTc)%v6;_MfcM{oCxIWZ@P-q=p);)aZkChr@8s}U zQgiT-h)rxepE=ztvohg@5pO=zK1gRr+GeG=#O0xPN(@)E1lfH)XmTwTu-az3f9{rg z!=NpuLs=KT{E;KJ0QN3V-?VeByY!wMsZ)*Ga6``G0CcC`@sQ!F$B^ceYwV5^WZqAr z2X{1tMuNOICm1FNI|?Ht#W@F75GHl6U9P=#z8-m*9g|TuM-Xo0lTCke`<#&}ne*GB#E`!G+kYB2fv~%V3kbRc&(UA)J}J;88(|TXz*M(+D^!>1H7$6jM2C=vFx|v+|WXl-N+#<0_n=C#b$` zol9HzaM_~!!DMN(Ot4O8oTU58!ncAOyd3vEAF{tIvx~f3F-LIKH!q7Xds+SvoAr>D2- zys%nj5w#2kpD*V~5B1&uI1R5i|JD#!X~9xur?x24`{h9#=1z#ME?(+e;ZLHNI>wL2 z{A^fs-VDP0eh~mY&``Ae4%grF6{W*>o1~B=k}`xdD;fMf4pCNUrVg{tG4{(WlEk~B zL%KDeUJDc-|8n!;0(?`%K7V!F`F3=g)T#=E<#^jbX)OIo#bc-CgSP{@SO0Vz{Mjt3 zme4zDyjNW>ZNc1ni}?HOtC6ZKx9!Du>%o)Z54ys>2YtUcq>s=#_p$=FZI9OnKY=A~ zN8;gi85*@wiK@wJW1dav1J@!}E+_D&J)e~reWBdLlcSL<33*n>)-l=c!zimK#{IY_ z!L}L=vgVJ&zDsua`2)@J;j;1cwEXPk%uI00000002z@0000000000004&q00000004Layj49*13?g- z#Gry7_ydF}mi`05Mk^JuP(iKk4_I0Y_I6rYSZNU(74!$#*=uJZ*x8y7Fn8|0-J5|2 z$0h^}+;WpQZ)V=?>|R4e&fPQV9+3%=`W)VBSlv=#&jUZGm4EnG;eR)IX&(ab6(SA1 z-2xxicuo8}=GKsJ1$KL2|4+pGEy{eUdNag#OVrZ?zgwB_zx}UKPcg8bl-^V5_5BU~ zKO3@N`{38LUoG(aTHmPnGveEPTp_-j!ni-2kM%Nt1ALpWVR4>M-j7tg40_xW>re4r zXX7vIkLrv2#eaJG(6^`OtqZ%Q=S}%B{|lmbbiP-qzbSkg{9O0@2Yi<$#?NB)rOkSm zf%~e$`F;c6;xYdfxF-ewci5Y%7iRIGdbz%)r;6Pt+K(8IZN5KP-z?%;y=@d=`ez9L z2EL>9P65BKtoI0b_58EG4&`Tj5%KK2bHCZ&`W5Dn=YKK9U!Z)}-w==aHV*+`2jA|K zOVW1?-1@KaK5D3k=YjKazgSq_21=X{p`OD zf9vlf{Ovwx`~$en&l33deNEx2FYZq&Ukc}ZYp7>S^^ zu5Z}i<019hLVR8HY9oFi_5C&efc!c?E6A_&!~I+SjPpFQ{_M~B8MpWzsUNRwe8T=P z{{a1N%K3VN_kUGd?B^2jG1(v1gY9R;_hjBVeiC>p`^){Ye*%BM#Ph`ZEdbA}2&^bES7w+$o<}RbMfp_Uz{l}A4*_3B zyxu2g)ZadE)nEAI{;>a^;eSs22jtIsSo2}&DfPzt*V1EH{1E+Y$h_s>bm!VKZ)?C+ n-*@0TAJ+HY^0)ANuG0001Zob8=&Z`(!^#^3i-5PE+RM-oL6a01wt)4ENrISF?;ASetu zVp1U%tLy7tP`~$UR&18*{CQ8FNiX>2ZeEmko87Db*uD_FDVD1&_}BL3K+mN$!F9EU-e_6LLhAe1zieILA9mRWGISeDgidoR8Tf|F0#=d0WGGA}n*o2(4V z&$rcVitIyHWcmB77yP`;it3%)=e>UOh5fGt%SAQ2-ouwZE;nUX+<&b4V0~#X^6B~P zq~H8=`}O|A_YaOY0OMWe%W|5{7oV9yhhWg@`ah@d#y>Gbj=+%r{&AYTzWA0IGBTOv zkecNf4Eb{QE}{mE@qoe^G65r=&GS$1gc>qMhCH1WLuNn;4MrSLBO={2m(8t>Ani;~ zC8P#Kx_LXDkg^e^n|cEcxFL~lE@$sTZbT%Tf4+SErdWS0<|}GgB%W%0gc=ZOC!fB( zpaw+Bsl53q=gyIG>Mg{r_W(&}GChCw12rNP&e=!y}j0~a?ZvdpBX64CcLNcmXo;&!Bkc`gHrqtOKNvKjfH^T@9ATn4) zCi>xaW`y)p?aaC$Fhy%7-^{5N-B%SNJv%qm{0>6$cXdtG0f-K zT6>Hn^ya(E0TtL|v#P?I;v-d#i;<4%{mw}S;n7XUqf%=#oui6O)2AH=;=;ft;j)g6LvjVssKBn?XoG6TZ-wz@re`yh#lT za`b66g;9v@TBWe8ef|U09!QaT8rRO563M4_!rWOPH3~qSC5rf!4sJV4v^3&9Fl#` z5Xo5q2uKJO%_9O58YGouBRVt*imXAAtQDYugh<#5Q~-B*Symu4VkFo#!juF_3qVRj zfeS!RLct3_PJ#ypASt0F1|Tj$BLk3@;2ZJ+c?mi;3S=ed{<%O}f^MJ-4mX zbb$~B+e{ZoP|#5X@)LYJT_8fC=%)(=DCmZ|Kz>5eQ5Q&1@GW(L5Je5${jYkDL@jaU zgX~lbL@5-#dVws3vRN+>snB%m1ws{ycD+EXg74Q01S`mfy+Eph?$`?iD%h62K$?Q@ z*$bp8ludhqOhsL=;gxTKR*)MMvlToIAds)n;Q#_53k44#kg!ky0RkZlng|fcSnx=I zK)^!51PCN7cql+1VL?*?0uc)p79bF_pz|FFStz^s0#OUTjV}kEW0=)S%{@S>rDc;!R-LIDg2#4l)KKp=iWBLiYWUy#gzK>k7l4G1JK6x4t~2t$Dl z2;?wmZa^T1p{ndc5Q8QM1acT#4wBa>gfV!8Kp>96GXw%@3?3p7$YW?I0)bG*_U1)? zsUVuc^9cgk3K8BNy~s_Da;M z$(-~L8SBrs;I3wQ3>F-DXu;&`Cf%(CldoG&Hx}IWVUECpyKbOI7Tk4OkHCVv&c8<% z{K`ppYr$RI)>*K5@2adzdH3V|x-81O`Asj#?^aju*EgH`=|m6Tez4o#Z&V+;TjhHb zmEF&8-`oB8lkcDGU4Pq{e`^`^$q*;~z3bX0CQmoT!F_^uN$a{iXsl&T9T{}seH@9M_J=*T5EwyXwIPeDW0cb1C%@aQA=durs#o^l`i#(w1J zk^4OrX^#n()#uz$q4tzuIq}qb(+(3)KavFX>9oT=WQs)+RF@ z`YAuuH*jw$wX3w8CAg1$>Xgp%o^oHFQdjaV=jiTZpSm?`IZJS#qpPtb%O_;_SwiYZ zmXNqtpE^;oY$^91UANC3KCYF0Y)p{rJ@mNaS?Vs#6vdEN9OBTpYO@`n!?`SM91PaZCE=+Pp7{9uvCgFX84qeqHZ z4pQ#JdFn?vckg<~YS;UhOup7hI$!+Ik7%NP?nZZ(8vYecT**ZJB%coRQ$G+ZbwjR0 zkK%`=689dZ_34_&V<~lh(4nRL=ya(I-5n-#essFj?zBUX?nmaMzN@S|OR4YIv`l%p z&!*MfuH{<0drPT#ILm&@J=`yTfY>AV`IP!@Y0G}f{hm@UmgOp<`+Q0b1z5I}`!ZtU zho4g4f7fA-?uYu+cT{(nCHRqfsvi&4VV2-W>`UEe3F`ZxEN2Pst1girIl4OVbQt^m zP@lRX-l0eLT`eWQ$KFo zvijVIJ~d@&`7q<&QtJDlET`!1)u(>qujO>gy`|JWkEfP;{L20R99w^>yjonp_~!rL GJMN+7`W*)V literal 2645 zcmV-b3aa(24*>uG0001Zob8=kZ`(!?h2Q%t2)#Gr=t7Es6Tr5d)@{QWcF)f2%t!j@!*y{nzYMb3GJV>a9KY;+ zHGQ(2U0+;Yc7p1?-_v}(SY=N;@Bo!f)gmj_7wfbLijUXj zX!7)3ny1;@v=jWgNb~ZY>!+P={e|7H1dDk&y3XB~-Y?cgn%{n`{9tuy&$7ws^r&0^ zbMy7?-S_v}>j8V`*`k=Fv-wBHXdf7zto}HD6aB)N8~~F)e?J+$Jo}0Eb!5y|Gq=PzI7tM~bANts3BDd$HhgGf8s#>khoo~%57Iz6q$81VUYw23zN0K6;hZk# zrFPEp**c}{BIO)UroS%U6_iaRo>Q6LTrL+ykTDzC28wi4ZXP*h5UD6DM|rxsnx}fn7zF|1R9{pJ$XsBk7PAj-*rW zZ)xNmeA0scrfB@8t5mhiX2?#F@(pUYN%YO93lnPHn}~1h$M8* zCft#Mh=g0Akx?Kbi$+LD)iAjT93de+XH$;|NvK?$XW8uXiV|i7Wpu(uw+N|-%{?M` z7#gb%E|EzTBBveK6DC|eAx08XY)CQEP`Q*;9*vQZ%J4C!3>wIY+v8)H&#|@k7)j{W z*XKPdu*YUqg;)7|svH+19aZa{lV)rbhPa>+8`KkoOBP13oLvnw;cOximBZvVj}cN& z9ojf&j*x)P*)(=!Qqblsnp6_VK^iECF7}O#PC{fe2nlG+7y3rfxkKmEALkMGMzs(^YHTEiA)0rNN5xe$v$U@9hwA1)}ct&3Q#~oBy0sLfV;dbD-aqn66_pdN`j;XASI!|1t2G( z-~}Kj!2<)3lu!}_5SO5l0Z2>m4S9jQ1RWa%vJ!OvTp%q$H_!$05_D265SUQ5&;?=> ziXOT^azfce7syZ0U37r}g{F-z5Ta1@(FJo9WN$+_0%;0m z(_SD`Q59@><(r@te&b2wf zAdtgQRdykWL6ZXlIgAYl$!iqC7(7BC5Xayd0)aFJ4-p9DF*Fo`KqzB#^CCZ05Y6EE z1c7V@0u=U`M4GDaSw)w>7g@NY6J{0Xu;CW=KnkCK?C!@uTh5#a zf9=6-^Wy+Kxb4Gvg1CL4`~fPays33P#(8=x9h?F z<2L1^`n`*yD&^hU`F)WWH?ylwklidV-mb3J)zgXYzJ2Gno!=-wbhFHM1}fX1-@Lc| z@dw{O+PVHFn_o5e4!ta&;6led;jl2J4zjO+Vhn9glM@q;y#&*{P2`^<+mt4KTiCS`z1BhrgL^Z zr5J2=tDnJ^u+xES>0~5tUmW^N?rG{oT9rAed?2^ zZ5Q;oNBS=!M3@Ko%6Ly!E}rV{tvzL6iEQWs`hTvL7|o@!*bJx|4c^c&QL z+4eluc*FeRcuKvUwx~WoVxJlVZ_iWepkld$?0%mL{isfgefw#P`II_Ks9*p89=u1)zWYE8nv<T@6aMt+?7`|fviHD+u%_PMW*#D47P>Vss9JGvj4 zr}_~k?RiSgFj&sf-RD#4vY%yuzD&@*YdH?y{FWSzvaZ!{b5F3pSG+%_k}$5lg}(Cp6)%R zuH;+h#$7uq@uM*#?hk$HXLVRExwwyf>gu%R{oH-KL4CinWly;;qN`8nmiKe_r#|)l z%9e8k_iIYs!?qmz+^;Eh__bU~aF5QZ@367Frkp#f@lX0SI;wvCe{${-GI5_GHvS>A z!;y&^gl%y{@#BD|e(*+no>D)0%W?j!-YK8gSiqAhd@W9^v2llmZ z-@9w~PJ9jy?0sPO?wxzv|8VEteY*ztw6EWI^ZJ`R+JEQ3u7@9N|6*51d&kCgx7@Ps zmX7rs+wcDU_B(g&-`Rf0gS+-aHuX!Xl~B21>n*o-{P9=UcXVvIHFaI;S3tUF&;DKe zAKv+3Y8hnswK{eEP38-KoMo3Z5vlZ8d6Y=h9@V62x_qWgq#qldR^S=FPr{5rz;idE zE5)P7c#=b)Yc)<|Qwuy#U)1E2+IKO+5d~T@o#>znsG_@A4_UPZsvA7OZp1hGv~T!I z_%U8abI9rtcyfImu)Ip>q47_G4~8NEx=+?z>^Di)BURwT-b|=e=xVaakt7!F8*PlQ zQ4PY{h5jlf{zZ7g+~^Obu@@$Iwg>r;{dU7z2t3)WKca&NzEU|JYp{fTuzW<1Mo=n& z9@H9g@SXYgQa-*{cy8>QyaXS>4^pTGbWT&K6aCHy@S#vH@Izsw*SBCdRFNMuH67F| zN{|n5sK^Qmgb_K8_`}G9_Kjbz20zpppXCz1-_SH?nfR(kzt8zqfimlItQiJ%`l(Vu zgNncaC$-D#L^;?&MqC$mBK<(H-t&ds(>>%!zekVquG0=`3YQq$}k7KL#<&?Ai>@mvewN%^1))Cs=BVlx_9uGXe$ve^56 zCAWu^0A1A6Rf^V`*JU=;uB_JRO?sso`CoLG>i7IQyZbu&M?imw_!Vz88X$wkk@T*nTpq%Nafcf9}``X#dM-IPZ_~{!M*PvO$_s*O- zA9znVlTZ2m1Dp0`e=;&M)!BJ5n;nVsGkN*Buk9&qox1*Cww3;=+j;S1_f+@9?;W4W zOM`z8afBj|aN@ewg!=HYTycFN@4oCoyguSbzN(?_qtKC2{EF0@S_~qybvi#ii+poj zfl_l(f7gs2>4Hvrkn1G~F^1}8B98wYg@N|{VeCjQ>L2)Moo(=#?+^{MpYX@mF4vi| zG`VDlZXBQ4*FYuVL9wD~#Bo&**M*)zUurHi8)4*p56&pcC-`yJ??@W+qz^yCuugMi zLk1A4Oz>zUKHgtRfV{6rE~%N{W|h!GkjEI1UxzIf3M%M)7}YWGni^UN^n1K7QrO%< z4YDP+1ICTBeuorR0C?6P)YTZN%B0b6*X}KQz9keQ&#-*xN=-uBj>?(-kP3P{_|1Ay zzXEaQ5x?it3D7D{lb>lP*_RQY_H=-J=AH%Lrrh&7_<=@Zc)tuSs_OdC06DIt0{BKB zeCp-=W9}#^;fGS*CBbv7vZ*7hZwKw@64HWL(KU2HO=oYwDA}cT-ZY#u4r1A0;K{jeh5w zE35i9HkDSM+R~M||F+Jyh199TD^}CWzc{pR6!t5$d7qa}pI>?E)$aZj_}_T^)ZwAk zS3a3~!o+87XuaY+IacnOoK9!@H+8nnUpMNldiwpgHHX#}*?)TMZEwZVyY9GtI^DmV z`7NU!J^g<6R}UHcww~N}c%Z+&^{r1&_Yb8zvu9Udm|ESL%l`F9)L)iYdiF<`-+d4L z*AM;KV<$&OCnmOhq5E$o;v@?ubahB76mwI%VoL?lT1d>dwdDdlJh_ zBy|9NFC_IUT}^?Db&o+7T+%8R7H8Gmyh>#P!1q-He!31ezI3RE>zG#ricq6m)2k*e{~>sc76A z;F;m$$g?0;WvQrM?2*52W|l}<_C5? zS2^z}+&d=ZnzB}nmPpM^dYT4YDZ?DPT)zP+aW6SAO$Ca&XpEz-z{o9afDJ=uP zYw~EuYVBxy>{F@J*L7U!ZFxd7KAYaYslN8zQ!8&=5dB{Re;)jguYX&$r6PR#>E)#r z;J<%LthwoiTMfUXqiU~D8N3QFJEsThr=7{an(pu4_K1C@_bqSzBd=yR9_=&!i_+w? zuaz!u{Z{K8pZo0X-Pz;c8JU{s{>oDm-BEsU=lIren)8Tr1NUC+j&)HEDlY1j{l^>Y zrEH0D*37@|VX2)w(=pc>#|s9rzc-QpJnaTe(+4T_5%gU zIDfoOHDi~AYlo8QA-1T5kCE{__kp6B0?sN$|7q6uy(ZIL_-puM$R8CjQI^bceH|cS zX+h^e!u`N*+On6tpbY$+(GR@u`7;Xl5DprC4?1-r#+4+4|D>36wF|n*0~MkFrA)|k z5!b(dRQrzwR)GDN81Itj@7C%}RR2&Jcvu78AL}H^hK!a9_ithBD{|AgfAV^{NPa;r zbe-ElX;OCH@Oy>4P;!UAUmGXLJruUlZ2m;G5~|m&*nX{~2gY&Z4}2}<8RRXa*Sz_% z3OtjaV<+1e^lxrYS&i3MtjVrQSw9c44IYa93(7yQQ`HTD(#(3u+AUv-@9k%L2P!|WSJN2ab&Ntk*koN+iQA63q9f#*n$#|^0?*$U;5l9{S@*F9t^ITt1 z-_$5CwH!wOy1-kYOQ4%%<1mc&KddL$E9@3wgzd22t0T@gVa^TE7f>JY5A3UaJaDnR zAbHTmeFPW92=7wS=s}!<`y=4ufL;@KT<@_R5z=mngUP2k#KXrgnR~6l8-HBTU4Fji zeH!{XA9{)ZvA+U*H)HJMt{VA)QG|W0-s50C46=uxu#Tz4@ZmY8Nyry2))fv!W z*DV=6hA)*k;{ykJcX|mO`H@r)=}DE|yrQ(Cl^*w(Z)Uwe@6)-z!&oEm6_p$5Qy*jg zviW73Z(#abZQ}bb@bK@+hWO!;*Vf(!e#`xc&u^r4F0vQ#l{mF(YQ=5TGT-u_?kq6Iv_L4XC^F4c>xwNg2&%XHm zkzzjomG2(Sn|0v)HO+qK;QSk=|Dd8-C*Hj|4&*^5JXbb87;oyM59_Pmlf|A;USPT;vRRMeOM&OLrTs$MC9*`shkYZ*V-fG@ zoZ*pN#$%t3`b)x3A($S^%LJG3<2j#G2JiFv0emu-#cSgeKE^WQHT%pGJBhvM{If%z z3+}%qIAf3LW@E@i_OHQr61(JO3p{ze~a>J*cF8(`WNxWFXKnj-v)nA zr1vTw&)Wr@l$>9#pC$GZfB!dn#CK6o*TSK|^G9=lnDw3_jPvD&^9rPJ?gg;2j4DbV}|c*8JLe zJhJmW$>0+^OZb0uKH|sun4IMPJM$LbKk@s^m67aJXID8pG7|Gm|9O?Eo6mV(q1!oj z`^Z%Or7g$v`Iry;>_7G(lLtKi9fUvdf05q=R}EqR426#S3;Sh~w?Jre$wF?E`yp=eY z-h28x_r6_Or2Wu->4zYD-+lLyvCKTz;Q3dNZP>o^u^k;> z-@bY4COrFkw{O_Gb<_5a2RCisvAJ(s$IUBmy!poE9e?rI=5KB6_{wd|JC?7!X~l}0 zRxH1HWyk$r>$qq0&P^R(-MD!tcoSD7t^wq&YgXK{{BIt(dHM1?Z%KS2@q1WWyKU#@ zo!{EDF|iap{PXF=CqHLi`0+NIq=`r+M@oB%L}iyIP5IJfiAX=OH?Kf5^dKJX+*4RI z>zMm2Pqc%VR2Yq%s1sEv`5QxjWR|LiE`*lg8z9Q45d4R<4hvdEXN9Fh*fTf)iAUlw zjOj9L=&Mo)`~dQb6nNuxX~w~jK!BVKG!KmYV?~4>%RBXmazv4Gb&M~l3N*mU z0_Yecjb~RVv3{97BE+2Oisi`>)TA?Cg~($)U5e#_pY@36Y)_Q}rGjuo8~L6-yWX&0 z8NRZH|D|v2V7iNs<;z)mALgnAJUD*^ z(4ydg!gLv_$+zk^?Zx|ABUe^wNgnhF6|sF1I6Wp#u0UFV&z(7geev#CUf~}`Vtwp? z%rVJ9*5C*56P68KOo4uOZ+jT^X%G6bsKw*z4f>IhccKs9@JW@+N5YYlWtFt{j}5wK z1f4Yal&OZ(kyL&p`{#2>-iL0} z7lzAA#!UX+&UEqZrF)L$pIQkzWOQ3%e)!!bIkvByoESb47X4@47lUf5d&lsHLqk)Y zo$tCse_-~f#Kgq@N4EJ}@45GQ@%+zjcV9Y^Eo6Us_p?J;Dzg48NgsO}M!{;-ID1oz zOsO2W5~g5Yk~*LL0!<^&q@h=kMaW0b z=3PDfB}G&~k4j5P>>tbp^n^~NXdC9d|BRw}ht3(gq9D+Ljr^2H+65i|87|fjyGfAK zha?Y2LGU6DOp_j@$@i$P!h+DjL7gT!05U0|U?=l=Gr$khsLxRukc)&u5#qna-x@`% z2i%H$~kL#`*>7mpms5OnusYqP`GlqlcnE_mObc!LN`-pP`(iP=8YF z*CkpjRr9gkUO>v>NDw)dz=OV^JWWAn2mFXN;9;N2)SpY$Bh}zFSa%BiDpYVrhGs}f zDMrCtMr~@i25{&Dg5^UUsmK|iv4f0%#L4;+yBmqH4TrOOrT$rj zIq?2|rlx8h_?YflKcazP9+nXcI1g^9H|R%l!I40TV_DzVVVc4)^HAAUhiv|oYbD@6 zMCtd5bXvZ}{?Xa{1pqvAK8bJl7QM1A|0>G&&&;zux_X{2b`8F0@YAyG!{X6I%hJyr z>rH*1{w+PXeP=as*QGCi@Ey}%TzTD3^zrqek8eqRO1-mt*KDOVvF!D(zkHI+{@+-0 zQQvo%(i`VSTCQ(fznh+Wy7e>9U1&f3^jLxScVyGc`o0pSAFYqH+|c%R`&{3nCziKe zXkWia+Wo&X*%GFT>)v@WNM$lRoLSfPJ3Ehd{p3`@^`+F*#^+D_?@iv@dfYE|ul(#w zd-kk8yz=e?Kd}8rMf0Qw1@_ey_Dl9B*Iy}|RP+^#k9i;lO!b2z~-NcGZMw%dz{~WoUVvl1bO5q`!e=s&+yL9Xtx{!mvVxT9|5%Ov^SGk!;(wf51Ba4E9+PtecyYjfVa3LS(a zr-Ho2hYj5Sfa_(5^J5xw_%mwQ`yls=LG>?}yoW(g(@d!KTao%ROLw40Q~xFSPiXSI z3J@}?DpZ(#(9|E?%43m zwU&5$&ATs;^7-T>ube3E-f&u7SHHUDkq_SAy=%jz)(eT(*R6Zm%CAaH7MHC*-9@kD zr*zLZcGEvS-CDik((s0pM!uXh^z}=RzL%d$ee)abbKlu~f}Xt8B~Hfs|LelkK>w_J z?1p^*Mls;b{-^5?{NUX1;h~4E{r61m|MkY=nr*E=ET(S!jL*C%Sp<-SuDIo{{fd%yx;@mQG&QqM1kve}Cc@3gKoIJ*XTsHDBG9T`v zI<)&Ub6u7^1~kca1Rn5N`^`Glw~nBGk;CY(3g?MN`sb{DVDt=spxtwU19^kHknf%* z&lF(}8d!go=X4X>0sE>E_+=$5P*qM-nez?>8QV!pM)05P!rap#@>qG(KH+?9*cbN$ zRZ%o0HT(yBJQU>(KJJg9_SC@eDed{4gRI|Uy)Mxh=U0Wsuul^|vtg_U1=s_3#`3to z2VBp<0lz|tokQJaD?j$YUyssK3h?PJqKDWIX?%F@;He>~U;^goH<GH1Kapr%dOE-CsU=sv=B{S zbECHYNiIpGmL*!g^ycK%EiItWhy>_i`xmYK2UaDP=-#KUT{@npOv~KtZW7(k6J2cY zwf<4bD-wEH;`a^x+WPEnCB6vxi|wg+f8y_sJ$TnnfAZM(rc!r3aU1TRhjITb4ZkpC z{D-Le2hXOaHugR1||H|g?kD7SK@9Hd~ilV`4 zAc8V_msXf7Ib-fW884;>hzHI;K~(U_QvfL-z7FyC4$hCr#vSi59u>x#aRnW98uS4l z=NI&=NIKvP9m)w)2avMgZ-CEqi^HbGc$}Z{x)+~Mpe@j~Iqyt9ism{uQnu6bd3^p6 z<+4rsRAxRI&=iH!_e^}Jz{DOYN zw@#L&rvI2dgMCzofe#y49xz_f;9t!22ROfxWq$K~X1$hg->M7A{m~yLj*s8DZ1n@R zw)r!*uFjx8y#Ss{axPhpN3v@aQc}3-^)xD|esfq9Usopzw49yM={i3t;H^W0i z+?Q!yoIn5kug@2Me$Te!Uz^I^?!L<3|FU<#n$1Q9rsH!q8VIp(u9G14r|HA7AJFf6 zNJ;EVig+D)1|riT{~FFanlI5vtr23XheU33*afUfWA3~;q%OmKK#mD(ZB91Tm zTr3Z%h59o1I3FR0_}Khjz`IP|(qlebesn4dov~<|%#r&$Ff02AsANK+FS400t z#(?9=c3~fp9UF5V%OAD(w{UVzc^TuF`uQ!sSg$OApYzDh^~l2gaX~NY&(a4Qe9h6w zuWiat!++dAnC@|Z^Gsi9(&2Ay&;M9|tha$zqug6oFH|<|Z~9^2RIoxc@E7Roz#H?6 zkKjIJ^Sgmx_4GeC@HxNiy4fG+#g?yzk7Vl5%Xv3e!4)FD9{?ZBYRj8fwr}4gbs>%coK-&-A`|j7GkpN6n1qTh=e4gOBZ*m^jg!Do##(FW=vr?6kjs*-=9M z#dP0){;cnp@BNb>rtZyU-F;ktx8Hdn+c^KA&*U|Q)(^IB_#PDdgV&=pE{1SF^qKrM z_bba!0`VdxBUv@}@V-1s$NjjGA5J=?nvplS&3+9!`hc z^EXB#5B^2+i}fz(`@gUM_jO|J#62Uq*scZpd7a~H>%^{$R5g#h>n+Lx5q=ZG{u!C? zn*HC}nH*{UeV}gjFV?$&_dl&S{PFR)IDY?M{i_@% diff --git a/CPLD/MAXV/output_files/RAM2GS.sta.rpt b/CPLD/MAXV/output_files/RAM2GS.sta.rpt index d256f44..76840b1 100644 --- a/CPLD/MAXV/output_files/RAM2GS.sta.rpt +++ b/CPLD/MAXV/output_files/RAM2GS.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2GS -Sun Aug 13 01:10:59 2023 +Sun Aug 13 04:26:36 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -87,7 +87,7 @@ https://fpgasoftware.intel.com/eula. ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.0% ; +; Processor 2 ; 0.1% ; +----------------------------+-------------+ @@ -105,16 +105,16 @@ https://fpgasoftware.intel.com/eula. +------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -+--------------------------------------------------+ -; Fmax Summary ; -+------------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+------------+------+ -; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; -; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; -; 44.28 MHz ; 44.28 MHz ; PHI2 ; ; -; 101.38 MHz ; 101.38 MHz ; RCLK ; ; -+------------+-----------------+------------+------+ ++-------------------------------------------------+ +; Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; +; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; +; 46.89 MHz ; 46.89 MHz ; PHI2 ; ; +; 96.27 MHz ; 96.27 MHz ; RCLK ; ; ++-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -125,9 +125,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; ARCLK ; -99.000 ; -99.000 ; ; DRCLK ; -99.000 ; -99.000 ; -; PHI2 ; -10.792 ; -122.191 ; -; RCLK ; -8.864 ; -306.770 ; -; nCRAS ; -2.878 ; -11.627 ; +; PHI2 ; -10.164 ; -118.093 ; +; RCLK ; -9.387 ; -288.937 ; +; nCRAS ; -2.870 ; -7.136 ; +-------+---------+---------------+ @@ -136,10 +136,10 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; DRCLK ; -16.715 ; -16.715 ; -; ARCLK ; -15.888 ; -15.888 ; -; PHI2 ; -0.520 ; -0.782 ; -; nCRAS ; 0.622 ; 0.000 ; +; DRCLK ; -16.578 ; -16.578 ; +; ARCLK ; -16.552 ; -16.552 ; +; PHI2 ; -0.611 ; -0.993 ; +; nCRAS ; 0.443 ; 0.000 ; ; RCLK ; 1.178 ; 0.000 ; +-------+---------+---------------+ @@ -176,7 +176,7 @@ No paths to report. ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; -99.000 ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ; -; -23.112 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 1.000 ; -2.409 ; 1.703 ; +; -22.448 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 1.000 ; -1.761 ; 1.687 ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -186,8 +186,8 @@ No paths to report. ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; -99.000 ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.902 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -1.586 ; 2.316 ; -; -22.285 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -1.586 ; 1.699 ; +; -23.156 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -1.735 ; 2.421 ; +; -22.422 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -1.735 ; 1.687 ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -196,106 +196,106 @@ No paths to report. +---------+-----------+--------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------+--------------+--------------+-------------+--------------+------------+------------+ -; -10.792 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 11.032 ; -; -10.792 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 11.032 ; -; -10.774 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 11.014 ; -; -10.774 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 11.014 ; -; -10.757 ; Bank[6] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.997 ; -; -10.703 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.943 ; -; -10.700 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.940 ; -; -10.596 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.836 ; -; -10.596 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.836 ; -; -10.578 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.818 ; -; -10.578 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.818 ; -; -10.561 ; Bank[5] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.801 ; -; -10.507 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.747 ; -; -10.504 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.744 ; -; -9.847 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.087 ; -; -9.847 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.087 ; -; -9.829 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.069 ; -; -9.829 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.069 ; -; -9.812 ; Bank[7] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.052 ; -; -9.758 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.998 ; -; -9.755 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.995 ; -; -9.747 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.987 ; -; -9.747 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.987 ; -; -9.729 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.969 ; -; -9.729 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.969 ; -; -9.712 ; Bank[2] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.952 ; -; -9.658 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.898 ; -; -9.655 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.895 ; -; -9.547 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.787 ; -; -9.547 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.787 ; -; -9.529 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.769 ; -; -9.529 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.769 ; -; -9.512 ; Bank[3] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.752 ; -; -9.458 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.698 ; -; -9.455 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.695 ; -; -9.286 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.526 ; -; -9.286 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.526 ; -; -9.130 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.370 ; -; -9.130 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.370 ; -; -9.112 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.352 ; -; -9.112 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.352 ; -; -9.095 ; Bank[4] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.335 ; -; -9.090 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.330 ; -; -9.090 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.330 ; -; -9.041 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.281 ; -; -9.038 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.278 ; -; -8.798 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.038 ; -; -8.798 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.038 ; -; -8.780 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.020 ; -; -8.780 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.020 ; -; -8.763 ; Bank[1] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.003 ; -; -8.709 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.949 ; -; -8.706 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.946 ; -; -8.560 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.800 ; -; -8.560 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.800 ; -; -8.364 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.604 ; -; -8.364 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.604 ; -; -8.341 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.581 ; -; -8.341 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.581 ; -; -8.241 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.481 ; -; -8.241 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.481 ; -; -8.082 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.322 ; -; -8.082 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.322 ; -; -8.064 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.304 ; -; -8.064 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.304 ; -; -8.064 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.304 ; -; -8.047 ; Bank[0] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.287 ; -; -8.041 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.281 ; -; -8.041 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.281 ; -; -7.993 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.233 ; -; -7.990 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.230 ; -; -7.868 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.108 ; -; -7.624 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.864 ; -; -7.624 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.864 ; -; -7.615 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.855 ; -; -7.615 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.855 ; -; -7.515 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.755 ; -; -7.515 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.755 ; -; -7.315 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.555 ; -; -7.315 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.555 ; -; -7.292 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.532 ; -; -7.292 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.532 ; -; -7.119 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.359 ; -; -7.019 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.259 ; -; -6.898 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.138 ; -; -6.898 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.138 ; -; -6.819 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.059 ; -; -6.576 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.816 ; -; -6.576 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.816 ; -; -6.566 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.806 ; -; -6.566 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.806 ; -; -6.410 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 7.150 ; -; -6.410 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 7.150 ; -; -6.402 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.642 ; -; -6.375 ; CmdEnable ; CmdLEDEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 7.115 ; -; -6.070 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.310 ; -; -5.850 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.090 ; -; -5.850 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.090 ; -; -5.758 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.498 ; -; -5.758 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.498 ; +; -10.164 ; Bank[6] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.404 ; +; -10.164 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.404 ; +; -10.164 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.404 ; +; -10.084 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.324 ; +; -10.084 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.324 ; +; -9.954 ; Bank[5] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.194 ; +; -9.954 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.194 ; +; -9.954 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.194 ; +; -9.874 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.114 ; +; -9.874 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 10.114 ; +; -9.731 ; Bank[1] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.971 ; +; -9.731 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.971 ; +; -9.731 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.971 ; +; -9.696 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.936 ; +; -9.693 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.933 ; +; -9.663 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.903 ; +; -9.663 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.903 ; +; -9.651 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.891 ; +; -9.651 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.891 ; +; -9.531 ; Bank[3] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.771 ; +; -9.531 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.771 ; +; -9.531 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.771 ; +; -9.486 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.726 ; +; -9.483 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.723 ; +; -9.453 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.693 ; +; -9.453 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.693 ; +; -9.451 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.691 ; +; -9.451 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.691 ; +; -9.263 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.503 ; +; -9.260 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.500 ; +; -9.230 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.470 ; +; -9.230 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.470 ; +; -9.219 ; Bank[7] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.459 ; +; -9.219 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.459 ; +; -9.219 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.459 ; +; -9.139 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.379 ; +; -9.139 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.379 ; +; -9.063 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.303 ; +; -9.060 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.300 ; +; -9.030 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.270 ; +; -9.030 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.270 ; +; -8.791 ; Bank[2] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.031 ; +; -8.791 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.031 ; +; -8.791 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.031 ; +; -8.751 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.991 ; +; -8.748 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.988 ; +; -8.718 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.958 ; +; -8.718 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.958 ; +; -8.711 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.951 ; +; -8.711 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.951 ; +; -8.580 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.820 ; +; -8.503 ; Bank[4] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.743 ; +; -8.503 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.743 ; +; -8.503 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.743 ; +; -8.501 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.741 ; +; -8.501 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.741 ; +; -8.423 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.663 ; +; -8.423 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.663 ; +; -8.370 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.610 ; +; -8.323 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.563 ; +; -8.320 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.560 ; +; -8.291 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.531 ; +; -8.291 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.531 ; +; -8.290 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.530 ; +; -8.290 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.530 ; +; -8.147 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.387 ; +; -8.068 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.308 ; +; -8.068 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.308 ; +; -8.066 ; Bank[0] ; CmdLEDEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.306 ; +; -8.066 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.306 ; +; -8.066 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.306 ; +; -8.035 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.275 ; +; -8.032 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.272 ; +; -8.002 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.242 ; +; -8.002 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.242 ; +; -7.986 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.226 ; +; -7.986 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.226 ; +; -7.947 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.187 ; +; -7.868 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.108 ; +; -7.868 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.108 ; +; -7.635 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.875 ; +; -7.598 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.838 ; +; -7.595 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.835 ; +; -7.565 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.805 ; +; -7.565 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.805 ; +; -7.556 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.796 ; +; -7.556 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.796 ; +; -7.207 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.447 ; +; -7.128 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.368 ; +; -7.128 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.368 ; +; -6.919 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.159 ; +; -6.840 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.080 ; +; -6.840 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.080 ; +; -6.482 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.722 ; +; -6.403 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.643 ; +; -6.403 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.643 ; +; -5.870 ; CmdEnable ; CmdLEDEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.610 ; +; -5.870 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.610 ; +; -5.870 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.610 ; +; -5.790 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 6.530 ; +---------+-----------+--------------+--------------+-------------+--------------+------------+------------+ @@ -304,106 +304,106 @@ No paths to report. +--------+--------------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+--------------+-------------+--------------+-------------+--------------+------------+------------+ -; -8.864 ; FS[16] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.604 ; -; -8.716 ; FS[12] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.456 ; -; -8.605 ; FWEr ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.226 ; 6.619 ; -; -8.582 ; FS[17] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.322 ; -; -8.538 ; FS[10] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.278 ; -; -8.430 ; CmdSubmitted ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.043 ; 5.627 ; -; -8.372 ; FS[16] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.112 ; -; -8.349 ; S[1] ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.089 ; -; -8.314 ; CmdSubmitted ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.043 ; 5.511 ; -; -8.271 ; FS[12] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.011 ; -; -8.258 ; FS[5] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.998 ; -; -8.237 ; FS[16] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.977 ; -; -8.197 ; FS[13] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.937 ; -; -8.093 ; FS[10] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.833 ; -; -8.090 ; FS[17] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.830 ; -; -8.068 ; FS[12] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.808 ; -; -8.018 ; FS[7] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.758 ; -; -8.014 ; S[1] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.754 ; -; -7.992 ; FS[16] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.732 ; -; -7.965 ; CmdSubmitted ; LEDEN ; PHI2 ; RCLK ; 0.500 ; -3.043 ; 5.162 ; -; -7.955 ; FS[17] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.695 ; -; -7.910 ; FWEr ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.226 ; 5.924 ; -; -7.893 ; FS[4] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.633 ; -; -7.890 ; FS[10] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.630 ; -; -7.885 ; FWEr ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.226 ; 5.899 ; -; -7.877 ; CBR ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.226 ; 5.891 ; -; -7.844 ; S[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.584 ; -; -7.826 ; FS[6] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.566 ; -; -7.799 ; FS[11] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.539 ; -; -7.785 ; FWEr ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.226 ; 5.799 ; -; -7.752 ; FS[13] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.492 ; -; -7.746 ; RASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.486 ; -; -7.734 ; FS[16] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.474 ; -; -7.710 ; FS[17] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.450 ; -; -7.697 ; FS[9] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.437 ; -; -7.688 ; UFMD ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.428 ; -; -7.663 ; FS[16] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.403 ; -; -7.584 ; CmdDRCLK ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.043 ; 4.781 ; -; -7.555 ; FS[4] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.295 ; -; -7.549 ; FS[13] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.289 ; -; -7.542 ; UFMInitDone ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.282 ; -; -7.503 ; FS[7] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.243 ; -; -7.494 ; FS[9] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.234 ; -; -7.452 ; FS[17] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.192 ; -; -7.390 ; FS[4] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.130 ; -; -7.386 ; FS[5] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.126 ; -; -7.381 ; FS[17] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.121 ; -; -7.377 ; CmdSubmitted ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.043 ; 4.574 ; -; -7.354 ; FS[11] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.094 ; -; -7.308 ; S[0] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.048 ; -; -7.285 ; S[1] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.025 ; -; -7.279 ; FS[16] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.019 ; -; -7.260 ; S[1] ; IS[2] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.000 ; -; -7.234 ; FS[3] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.974 ; -; -7.224 ; FS[2] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.964 ; -; -7.185 ; UFMD ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.925 ; -; -7.182 ; CBR ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.226 ; 5.196 ; -; -7.165 ; FS[7] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.905 ; -; -7.163 ; PHI2r2 ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.903 ; -; -7.162 ; S[1] ; RA10 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.902 ; -; -7.157 ; CBR ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.226 ; 5.171 ; -; -7.151 ; FS[11] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.891 ; -; -7.146 ; FS[7] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.886 ; -; -7.138 ; S[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.878 ; -; -7.099 ; CmdDRDIn ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.043 ; 4.296 ; -; -7.082 ; S[0] ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.822 ; -; -7.061 ; FS[14] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.801 ; -; -7.057 ; CBR ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.226 ; 5.071 ; -; -7.050 ; UFMInitDone ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.790 ; -; -7.047 ; IS[3] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.787 ; -; -7.041 ; FS[1] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.781 ; -; -7.029 ; FWEr ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.226 ; 5.043 ; -; -7.000 ; FS[7] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.740 ; -; -6.997 ; FS[17] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.737 ; -; -6.954 ; FS[6] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.694 ; -; -6.935 ; FS[4] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.675 ; -; -6.918 ; IS[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.658 ; -; -6.915 ; UFMInitDone ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.655 ; -; -6.914 ; FS[15] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.654 ; -; -6.911 ; InitReady ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.651 ; -; -6.888 ; RASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.628 ; -; -6.880 ; FS[6] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.620 ; -; -6.844 ; Ready ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.584 ; -; -6.817 ; Ready ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.557 ; -; -6.808 ; Ready ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.548 ; -; -6.741 ; InitReady ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.481 ; -; -6.684 ; RASr2 ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.424 ; -; -6.670 ; UFMInitDone ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.410 ; -; -6.669 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.409 ; -; -6.616 ; FS[14] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.356 ; -; -6.597 ; IS[2] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.337 ; -; -6.579 ; S[0] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.319 ; -; -6.554 ; S[0] ; IS[2] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.294 ; -; -6.552 ; CBR ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.226 ; 4.566 ; -; -6.545 ; FS[7] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.285 ; -; -6.539 ; Ready ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.279 ; -; -6.539 ; Ready ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.279 ; -; -6.525 ; Ready ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.265 ; -; -6.506 ; Ready ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.246 ; -; -6.495 ; RCKE~reg0 ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.235 ; +; -9.387 ; FS[17] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 10.127 ; +; -9.117 ; FS[17] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.857 ; +; -8.872 ; FS[17] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.612 ; +; -8.682 ; FS[12] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.422 ; +; -8.654 ; FS[2] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.394 ; +; -8.600 ; Ready ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.340 ; +; -8.571 ; FS[6] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.311 ; +; -8.559 ; FS[17] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.299 ; +; -8.503 ; FS[11] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.243 ; +; -8.442 ; FS[4] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.182 ; +; -8.399 ; FS[3] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.139 ; +; -8.384 ; FS[16] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.124 ; +; -8.351 ; FS[1] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.091 ; +; -8.335 ; FS[16] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.075 ; +; -8.301 ; FS[6] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.041 ; +; -8.292 ; FS[0] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 9.032 ; +; -8.219 ; CmdSubmitted ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.144 ; 5.315 ; +; -8.172 ; FS[4] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.912 ; +; -8.145 ; FS[13] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.885 ; +; -8.117 ; FS[17] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.857 ; +; -8.114 ; FS[16] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.854 ; +; -8.045 ; CBR ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.197 ; 6.088 ; +; -8.033 ; FS[7] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.773 ; +; -8.003 ; FS[17] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.743 ; +; -7.996 ; S[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.736 ; +; -7.992 ; FS[8] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.732 ; +; -7.942 ; S[1] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.682 ; +; -7.869 ; FS[16] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.609 ; +; -7.858 ; S[1] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.598 ; +; -7.780 ; InitReady ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.520 ; +; -7.765 ; FS[10] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.505 ; +; -7.763 ; FS[7] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.503 ; +; -7.743 ; FS[6] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.483 ; +; -7.740 ; FS[5] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.480 ; +; -7.727 ; UFMInitDone ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.467 ; +; -7.726 ; InitReady ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.466 ; +; -7.697 ; RCKE~reg0 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.437 ; +; -7.691 ; FS[5] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.431 ; +; -7.642 ; InitReady ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.382 ; +; -7.614 ; FS[4] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.354 ; +; -7.611 ; FS[12] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.351 ; +; -7.557 ; FS[5] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.297 ; +; -7.556 ; S[1] ; RA10 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.296 ; +; -7.556 ; FS[16] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.296 ; +; -7.526 ; FS[2] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.266 ; +; -7.506 ; CmdSubmitted ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.144 ; 4.602 ; +; -7.496 ; CBR ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.197 ; 5.539 ; +; -7.472 ; Ready ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.212 ; +; -7.457 ; UFMInitDone ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.197 ; +; -7.433 ; Ready ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.173 ; +; -7.432 ; FS[11] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.172 ; +; -7.395 ; FS[4] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.135 ; +; -7.377 ; FS[7] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.117 ; +; -7.340 ; InitReady ; RA10 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.080 ; +; -7.338 ; FWEr ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.197 ; 5.381 ; +; -7.300 ; FWEr ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.197 ; 5.343 ; +; -7.287 ; FS[5] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.027 ; +; -7.278 ; FS[15] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.018 ; +; -7.271 ; FS[3] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.011 ; +; -7.265 ; FS[12] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 8.005 ; +; -7.237 ; FS[6] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.977 ; +; -7.223 ; FS[1] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.963 ; +; -7.212 ; UFMInitDone ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.952 ; +; -7.211 ; FS[16] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.951 ; +; -7.205 ; FS[7] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.945 ; +; -7.193 ; FS[7] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.933 ; +; -7.164 ; FS[0] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.904 ; +; -7.162 ; FS[6] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.902 ; +; -7.162 ; FS[6] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.902 ; +; -7.157 ; CmdSubmitted ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.144 ; 4.253 ; +; -7.155 ; CmdSubmitted ; LEDEN ; PHI2 ; RCLK ; 0.500 ; -3.144 ; 4.251 ; +; -7.139 ; FS[17] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.879 ; +; -7.108 ; FS[4] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.848 ; +; -7.086 ; FS[11] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.826 ; +; -7.074 ; FS[13] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.814 ; +; -7.073 ; S[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.813 ; +; -7.019 ; S[0] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.759 ; +; -7.006 ; FS[14] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.746 ; +; -7.000 ; FS[16] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.740 ; +; -6.997 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.737 ; +; -6.993 ; FS[17] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.733 ; +; -6.955 ; FS[5] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.695 ; +; -6.954 ; Ready ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.694 ; +; -6.951 ; PHI2r2 ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.691 ; +; -6.936 ; CBR ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.197 ; 4.979 ; +; -6.935 ; S[0] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.675 ; +; -6.921 ; FS[8] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.661 ; +; -6.917 ; CmdDRDIn ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.144 ; 4.013 ; +; -6.901 ; InitReady ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.641 ; +; -6.899 ; UFMInitDone ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.639 ; +; -6.875 ; FS[17] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.615 ; +; -6.842 ; RASr2 ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.582 ; +; -6.827 ; FS[5] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.567 ; +; -6.803 ; CBR ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.197 ; 4.846 ; +; -6.783 ; S[1] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.523 ; +; -6.783 ; IS[3] ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.523 ; +; -6.770 ; FWEr ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.197 ; 4.813 ; +; -6.747 ; FS[6] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.487 ; +; -6.729 ; FS[5] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.469 ; +; -6.728 ; FS[13] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.468 ; +--------+--------------+-------------+--------------+-------------+--------------+------------+------------+ @@ -412,20 +412,20 @@ No paths to report. +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -2.878 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.500 ; 5.942 ; 9.060 ; -; -2.378 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 1.000 ; 5.942 ; 9.060 ; -; -1.724 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 4.190 ; -; -1.614 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 4.080 ; -; -0.911 ; Ready ; RowA[2] ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 3.377 ; -; -0.906 ; Ready ; RowA[3] ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 3.372 ; -; -0.905 ; Ready ; RowA[4] ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 3.371 ; -; -0.897 ; Ready ; RowA[7] ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 3.363 ; -; -0.896 ; Ready ; RowA[6] ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 3.362 ; -; -0.896 ; Ready ; RowA[8] ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 3.362 ; -; 0.035 ; Ready ; RowA[5] ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 2.431 ; -; 0.037 ; Ready ; RowA[9] ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 2.429 ; -; 0.041 ; Ready ; RowA[1] ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 2.425 ; -; 0.044 ; Ready ; RowA[0] ; RCLK ; nCRAS ; 0.500 ; 2.226 ; 2.422 ; +; -2.870 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.500 ; 5.913 ; 9.023 ; +; -2.370 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 1.000 ; 5.913 ; 9.023 ; +; -1.177 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 3.614 ; +; -1.046 ; Ready ; RowA[6] ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 3.483 ; +; -0.854 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 3.291 ; +; -0.301 ; Ready ; RowA[5] ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 2.738 ; +; -0.299 ; Ready ; RowA[7] ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 2.736 ; +; -0.295 ; Ready ; RowA[8] ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 2.732 ; +; -0.294 ; Ready ; RowA[9] ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 2.731 ; +; 0.217 ; Ready ; RowA[3] ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 2.220 ; +; 0.218 ; Ready ; RowA[0] ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 2.219 ; +; 0.222 ; Ready ; RowA[2] ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 2.215 ; +; 0.222 ; Ready ; RowA[4] ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 2.215 ; +; 0.223 ; Ready ; RowA[1] ; RCLK ; nCRAS ; 0.500 ; 2.197 ; 2.214 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -434,8 +434,8 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.715 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -1.586 ; 1.699 ; -; -16.098 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -1.586 ; 2.316 ; +; -16.578 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -1.735 ; 1.687 ; +; -15.844 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -1.735 ; 2.421 ; ; 60.000 ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -445,7 +445,7 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -15.888 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 0.000 ; -2.409 ; 1.703 ; +; -16.552 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 0.000 ; -1.761 ; 1.687 ; ; 60.000 ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -455,106 +455,106 @@ No paths to report. +--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; -0.520 ; LEDEN ; CmdLEDEN ; RCLK ; PHI2 ; -0.500 ; 3.043 ; 2.097 ; -; -0.262 ; n8MEGEN ; Cmdn8MEGEN ; RCLK ; PHI2 ; -0.500 ; 3.043 ; 2.355 ; -; 0.491 ; Ready ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.043 ; 3.608 ; -; 0.692 ; n8MEGEN ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.043 ; 3.809 ; -; 2.016 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.090 ; -; 2.425 ; XOR8MEG ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.499 ; -; 3.402 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.476 ; -; 3.809 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.383 ; -; 4.217 ; C1Submitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.291 ; -; 4.220 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.294 ; -; 4.348 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.422 ; -; 4.834 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.908 ; -; 4.837 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.911 ; -; 4.936 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.010 ; -; 4.936 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.010 ; -; 5.491 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.065 ; -; 5.501 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.075 ; -; 6.020 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.594 ; -; 6.207 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.781 ; -; 6.217 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.791 ; -; 6.424 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.498 ; -; 6.424 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.498 ; -; 6.539 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.113 ; -; 6.549 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.123 ; -; 6.595 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.169 ; -; 6.736 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.310 ; -; 6.956 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.530 ; -; 6.966 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.540 ; -; 7.041 ; CmdEnable ; CmdLEDEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 7.115 ; -; 7.068 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.642 ; -; 7.076 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 7.150 ; -; 7.076 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 7.150 ; -; 7.156 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.730 ; -; 7.166 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.740 ; -; 7.242 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.816 ; -; 7.242 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.816 ; -; 7.256 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.830 ; -; 7.266 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.840 ; -; 7.311 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.885 ; -; 7.485 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.059 ; -; 7.643 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.217 ; -; 7.685 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.259 ; -; 7.785 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.359 ; -; 7.958 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.532 ; -; 7.958 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.532 ; -; 8.005 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.579 ; -; 8.015 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.589 ; -; 8.060 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.634 ; -; 8.201 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.775 ; -; 8.211 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.785 ; -; 8.260 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.834 ; -; 8.290 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.864 ; -; 8.290 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.864 ; -; 8.360 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.934 ; -; 8.534 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.108 ; -; 8.580 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.154 ; -; 8.707 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.281 ; -; 8.707 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.281 ; -; 8.713 ; Bank[0] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.287 ; -; 8.730 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.304 ; -; 8.730 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.304 ; -; 8.730 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.304 ; -; 8.748 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.322 ; -; 8.748 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.322 ; -; 8.907 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.481 ; -; 8.907 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.481 ; -; 9.007 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.581 ; -; 9.007 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.581 ; -; 9.109 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.683 ; -; 9.296 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.870 ; -; 9.305 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.879 ; -; 9.429 ; Bank[1] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.003 ; -; 9.446 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.020 ; -; 9.446 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.020 ; -; 9.464 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.038 ; -; 9.464 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.038 ; -; 9.628 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.202 ; -; 9.756 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.330 ; -; 9.756 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.330 ; -; 9.761 ; Bank[4] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.335 ; -; 9.778 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.352 ; -; 9.778 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.352 ; -; 9.796 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.370 ; -; 9.796 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.370 ; -; 9.952 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.526 ; -; 9.952 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.526 ; -; 10.045 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.619 ; -; 10.178 ; Bank[3] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.752 ; -; 10.195 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.769 ; -; 10.195 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.769 ; -; 10.213 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.787 ; -; 10.213 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.787 ; -; 10.245 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.819 ; -; 10.345 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.919 ; -; 10.378 ; Bank[2] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.952 ; -; 10.395 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.969 ; -; 10.395 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.969 ; -; 10.413 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.987 ; -; 10.413 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.987 ; -; 10.478 ; Bank[7] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 10.052 ; +; -0.611 ; n8MEGEN ; Cmdn8MEGEN ; RCLK ; PHI2 ; -0.500 ; 3.144 ; 2.107 ; +; -0.382 ; LEDEN ; CmdLEDEN ; RCLK ; PHI2 ; -0.500 ; 3.144 ; 2.336 ; +; 1.207 ; LEDEN ; XOR8MEG ; RCLK ; PHI2 ; -0.500 ; 3.144 ; 3.925 ; +; 1.322 ; n8MEGEN ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.144 ; 4.540 ; +; 1.473 ; Ready ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.144 ; 4.691 ; +; 1.990 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.064 ; +; 3.231 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.305 ; +; 3.250 ; XOR8MEG ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.324 ; +; 3.802 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.376 ; +; 4.489 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.063 ; +; 4.855 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.929 ; +; 4.858 ; C1Submitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.932 ; +; 4.926 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.500 ; +; 4.952 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.026 ; +; 5.137 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.711 ; +; 5.214 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.788 ; +; 5.574 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.148 ; +; 5.612 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.686 ; +; 5.615 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.689 ; +; 5.642 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.216 ; +; 5.862 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.436 ; +; 5.954 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.528 ; +; 6.035 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.109 ; +; 6.035 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.109 ; +; 6.154 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.728 ; +; 6.254 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.828 ; +; 6.290 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.864 ; +; 6.377 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.951 ; +; 6.456 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.530 ; +; 6.456 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.530 ; +; 6.536 ; CmdEnable ; CmdLEDEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.610 ; +; 6.536 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.610 ; +; 6.536 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.610 ; +; 6.587 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.161 ; +; 6.602 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.176 ; +; 6.691 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.265 ; +; 6.802 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.376 ; +; 6.979 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.553 ; +; 7.025 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.599 ; +; 7.148 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.722 ; +; 7.197 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.771 ; +; 7.235 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.809 ; +; 7.407 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.981 ; +; 7.585 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.159 ; +; 7.634 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.208 ; +; 7.719 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.293 ; +; 7.873 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.447 ; +; 7.919 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.493 ; +; 7.922 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.496 ; +; 8.142 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.716 ; +; 8.231 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.805 ; +; 8.231 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.805 ; +; 8.301 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.875 ; +; 8.350 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.924 ; +; 8.352 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.926 ; +; 8.613 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.187 ; +; 8.652 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.226 ; +; 8.652 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.226 ; +; 8.662 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.236 ; +; 8.668 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.242 ; +; 8.668 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.242 ; +; 8.732 ; Bank[0] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.306 ; +; 8.732 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.306 ; +; 8.732 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.306 ; +; 8.813 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.387 ; +; 8.862 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.436 ; +; 8.956 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.530 ; +; 8.956 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.530 ; +; 9.036 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.610 ; +; 9.085 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.659 ; +; 9.089 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.663 ; +; 9.089 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.663 ; +; 9.169 ; Bank[4] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.743 ; +; 9.169 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.743 ; +; 9.169 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.743 ; +; 9.246 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.820 ; +; 9.295 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.869 ; +; 9.377 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.951 ; +; 9.377 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.951 ; +; 9.384 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.958 ; +; 9.384 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.958 ; +; 9.457 ; Bank[2] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.031 ; +; 9.457 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.031 ; +; 9.457 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.031 ; +; 9.696 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.270 ; +; 9.696 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.270 ; +; 9.805 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.379 ; +; 9.805 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.379 ; +; 9.885 ; Bank[7] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.459 ; +; 9.885 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.459 ; +; 9.885 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.459 ; +; 9.896 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.470 ; +; 9.896 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.470 ; +; 10.117 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.691 ; +; 10.117 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.691 ; +; 10.119 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.693 ; +; 10.119 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.693 ; +; 10.197 ; Bank[3] ; CmdLEDEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.771 ; +; 10.197 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.771 ; +; 10.197 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.771 ; +--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ @@ -563,20 +563,20 @@ No paths to report. +-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; 0.622 ; Ready ; RowA[0] ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 2.422 ; -; 0.625 ; Ready ; RowA[1] ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 2.425 ; -; 0.629 ; Ready ; RowA[9] ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 2.429 ; -; 0.631 ; Ready ; RowA[5] ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 2.431 ; -; 1.562 ; Ready ; RowA[6] ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 3.362 ; -; 1.562 ; Ready ; RowA[8] ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 3.362 ; -; 1.563 ; Ready ; RowA[7] ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 3.363 ; -; 1.571 ; Ready ; RowA[4] ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 3.371 ; -; 1.572 ; Ready ; RowA[3] ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 3.372 ; -; 1.577 ; Ready ; RowA[2] ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 3.377 ; -; 2.280 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 4.080 ; -; 2.390 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.226 ; 4.190 ; -; 3.044 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.000 ; 5.942 ; 9.060 ; -; 3.544 ; nCCAS ; CBR ; nCCAS ; nCRAS ; -0.500 ; 5.942 ; 9.060 ; +; 0.443 ; Ready ; RowA[1] ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 2.214 ; +; 0.444 ; Ready ; RowA[2] ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 2.215 ; +; 0.444 ; Ready ; RowA[4] ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 2.215 ; +; 0.448 ; Ready ; RowA[0] ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 2.219 ; +; 0.449 ; Ready ; RowA[3] ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 2.220 ; +; 0.960 ; Ready ; RowA[9] ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 2.731 ; +; 0.961 ; Ready ; RowA[8] ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 2.732 ; +; 0.965 ; Ready ; RowA[7] ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 2.736 ; +; 0.967 ; Ready ; RowA[5] ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 2.738 ; +; 1.520 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 3.291 ; +; 1.712 ; Ready ; RowA[6] ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 3.483 ; +; 1.843 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.197 ; 3.614 ; +; 3.036 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.000 ; 5.913 ; 9.023 ; +; 3.536 ; nCCAS ; CBR ; nCCAS ; nCRAS ; -0.500 ; 5.913 ; 9.023 ; +-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -587,104 +587,104 @@ No paths to report. +-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ ; 1.178 ; nCCAS ; CASr ; nCCAS ; RCLK ; 0.000 ; 3.716 ; 4.968 ; ; 1.189 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; 0.000 ; 3.716 ; 4.979 ; -; 1.227 ; nCRAS ; RASr ; nCRAS ; RCLK ; 0.000 ; 3.716 ; 5.017 ; +; 1.236 ; nCRAS ; RASr ; nCRAS ; RCLK ; 0.000 ; 3.716 ; 5.026 ; ; 1.678 ; nCCAS ; CASr ; nCCAS ; RCLK ; -0.500 ; 3.716 ; 4.968 ; ; 1.689 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; -0.500 ; 3.716 ; 4.979 ; -; 1.727 ; nCRAS ; RASr ; nCRAS ; RCLK ; -0.500 ; 3.716 ; 5.017 ; +; 1.736 ; nCRAS ; RASr ; nCRAS ; RCLK ; -0.500 ; 3.716 ; 5.026 ; ; 2.010 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.084 ; -; 2.073 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.147 ; -; 2.079 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.153 ; -; 2.276 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.350 ; -; 2.278 ; IS[2] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.352 ; -; 2.292 ; UFMReqErase ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.366 ; -; 2.512 ; RASr2 ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.586 ; -; 2.607 ; CASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.681 ; -; 2.732 ; CASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.806 ; -; 2.766 ; RASr2 ; RASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.840 ; -; 2.798 ; IS[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.872 ; -; 2.803 ; FS[8] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.877 ; +; 2.020 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.094 ; +; 2.038 ; IS[1] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.112 ; +; 2.047 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.121 ; +; 2.060 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.134 ; +; 2.307 ; RASr2 ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.381 ; +; 2.318 ; RASr2 ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.392 ; +; 2.380 ; CASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.454 ; +; 2.672 ; IS[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.746 ; +; 2.674 ; IS[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.748 ; +; 2.736 ; CASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.810 ; +; 2.804 ; FS[6] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.878 ; ; 2.812 ; FS[9] ; FS[9] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.886 ; ; 2.813 ; FS[16] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.887 ; -; 2.823 ; FS[6] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.897 ; -; 2.837 ; FS[0] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.911 ; -; 2.842 ; FS[10] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.916 ; -; 2.843 ; IS[3] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.917 ; -; 2.845 ; FS[11] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.919 ; -; 2.846 ; IS[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.920 ; -; 2.892 ; PHI2r ; PHI2r2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.966 ; -; 2.948 ; FS[5] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.022 ; -; 2.949 ; FS[3] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.023 ; +; 2.813 ; FS[8] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.887 ; +; 2.813 ; FS[1] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.887 ; +; 2.824 ; IS[3] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.898 ; +; 2.843 ; InitReady ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.917 ; +; 2.843 ; FS[11] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.917 ; +; 2.845 ; FS[10] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.919 ; +; 2.847 ; n8MEGEN ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.921 ; +; 2.852 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.926 ; +; 2.854 ; IS[0] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.928 ; +; 2.932 ; CASr3 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.006 ; +; 2.948 ; FS[14] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.022 ; +; 2.949 ; FS[15] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.023 ; ; 2.949 ; FS[2] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.023 ; -; 2.957 ; RASr ; RASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.031 ; -; 2.957 ; FS[14] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.031 ; -; 2.958 ; FS[15] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.032 ; +; 2.958 ; FS[5] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.032 ; +; 2.958 ; FS[3] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.032 ; ; 2.959 ; FS[12] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.033 ; -; 2.961 ; LEDEN ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.035 ; ; 2.961 ; FS[7] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.035 ; -; 2.967 ; InitReady ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.041 ; -; 2.967 ; FS[13] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.041 ; -; 3.029 ; Ready ; Ready ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.103 ; -; 3.049 ; CASr2 ; CASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.123 ; -; 3.191 ; PHI2r2 ; PHI2r3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.265 ; -; 3.247 ; UFMErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.321 ; -; 3.266 ; PHI2r2 ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.340 ; -; 3.299 ; IS[1] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.373 ; -; 3.382 ; FS[4] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.456 ; -; 3.391 ; RASr3 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.465 ; -; 3.393 ; UFMProgram ; UFMProgram ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.467 ; -; 3.427 ; CASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.501 ; -; 3.487 ; nRowColSel ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.561 ; -; 3.487 ; RASr ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.561 ; +; 2.965 ; FS[4] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.039 ; +; 2.966 ; UFMErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.040 ; +; 2.967 ; UFMProgram ; UFMProgram ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.041 ; +; 2.974 ; UFMD ; UFMD ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.048 ; +; 2.975 ; UFMD ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.049 ; +; 2.978 ; IS[1] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.052 ; +; 2.990 ; IS[2] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.064 ; +; 2.995 ; S[1] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.069 ; +; 3.008 ; S[1] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.082 ; +; 3.032 ; RASr2 ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.106 ; +; 3.079 ; Ready ; Ready ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.153 ; +; 3.086 ; Ready ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.160 ; +; 3.094 ; InitReady ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.168 ; +; 3.136 ; PHI2r ; PHI2r2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.210 ; +; 3.201 ; FS[13] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.275 ; +; 3.217 ; PHI2r2 ; PHI2r3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.291 ; +; 3.246 ; RASr3 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.320 ; +; 3.250 ; RASr2 ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.324 ; +; 3.288 ; CASr3 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.362 ; +; 3.318 ; S[0] ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.392 ; +; 3.338 ; FS[0] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.412 ; +; 3.405 ; UFMReqErase ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.479 ; +; 3.421 ; PHI2r2 ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.495 ; +; 3.423 ; PHI2r2 ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.497 ; +; 3.429 ; CASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.503 ; +; 3.460 ; FS[16] ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.534 ; +; 3.483 ; Ready ; nRRAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.557 ; +; 3.525 ; FS[6] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.599 ; ; 3.533 ; FS[9] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.607 ; ; 3.534 ; FS[16] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.608 ; -; 3.544 ; FS[6] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.618 ; -; 3.558 ; FS[0] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.632 ; -; 3.563 ; FS[10] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.637 ; -; 3.566 ; FS[11] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.640 ; +; 3.534 ; FS[1] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.608 ; +; 3.564 ; FS[11] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.638 ; +; 3.566 ; FS[10] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.640 ; +; 3.638 ; FS[6] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.712 ; +; 3.643 ; RASr ; RASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.717 ; ; 3.646 ; FS[9] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.720 ; -; 3.657 ; FS[6] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.731 ; -; 3.671 ; FS[0] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.745 ; -; 3.676 ; FS[10] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.750 ; -; 3.679 ; FS[11] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.753 ; -; 3.694 ; S[0] ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.768 ; -; 3.731 ; PHI2r2 ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.805 ; +; 3.647 ; FS[1] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.721 ; +; 3.647 ; IS[2] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.721 ; +; 3.677 ; FS[11] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.751 ; +; 3.679 ; FS[10] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.753 ; ; 3.759 ; FS[9] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.833 ; -; 3.782 ; PHI2r3 ; DRCLK ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.856 ; -; 3.789 ; FS[10] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.863 ; -; 3.797 ; S[0] ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.871 ; -; 3.812 ; S[0] ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.886 ; -; 3.813 ; FS[5] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.887 ; +; 3.770 ; PHI2r3 ; DRCLK ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.844 ; +; 3.775 ; CASr2 ; CASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.849 ; +; 3.792 ; FS[10] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.866 ; +; 3.813 ; FS[14] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.887 ; +; 3.814 ; FS[15] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.888 ; ; 3.814 ; FS[2] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.888 ; -; 3.822 ; FS[14] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.896 ; -; 3.823 ; FS[15] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.897 ; +; 3.816 ; S[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.890 ; +; 3.823 ; FS[5] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.897 ; ; 3.824 ; FS[12] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.898 ; ; 3.826 ; FS[7] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.900 ; -; 3.839 ; InitReady ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.913 ; +; 3.830 ; FS[4] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.904 ; +; 3.854 ; RASr2 ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.928 ; +; 3.854 ; CASr ; CASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.928 ; ; 3.872 ; FS[9] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.946 ; -; 3.875 ; S[1] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.949 ; -; 3.882 ; S[1] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.956 ; -; 3.911 ; Ready ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.985 ; -; 3.926 ; FS[5] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.000 ; -; 3.935 ; FS[14] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.009 ; -; 3.936 ; FS[15] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.010 ; -; 3.953 ; RASr2 ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.027 ; -; 3.956 ; RASr2 ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.030 ; -; 3.978 ; IS[0] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.052 ; -; 3.986 ; RASr2 ; nRRAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.060 ; -; 4.039 ; FS[5] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.113 ; -; 4.048 ; FS[14] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.122 ; -; 4.049 ; RCKE~reg0 ; nRRAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.123 ; -; 4.149 ; IS[3] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.223 ; -; 4.174 ; FS[0] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.248 ; -; 4.174 ; FS[0] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.248 ; -; 4.174 ; FS[0] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.248 ; -; 4.174 ; FS[0] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.248 ; -; 4.174 ; FS[0] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.248 ; -; 4.182 ; FS[11] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.256 ; -; 4.182 ; FS[11] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.256 ; -; 4.182 ; FS[11] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.256 ; -; 4.182 ; FS[11] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.256 ; -; 4.190 ; InitReady ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.264 ; +; 3.926 ; FS[14] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.000 ; +; 3.927 ; FS[15] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.001 ; +; 3.936 ; FS[5] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.010 ; +; 3.943 ; FS[4] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.017 ; +; 3.972 ; LEDEN ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.046 ; +; 3.981 ; CASr3 ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.055 ; +; 4.034 ; S[0] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.108 ; +; 4.039 ; FS[14] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.113 ; +-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ @@ -700,7 +700,7 @@ No paths to report. ; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; ; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; ; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 15 ; -; RCLK ; PHI2 ; 2 ; 0 ; 2 ; 0 ; +; RCLK ; PHI2 ; 2 ; 0 ; 3 ; 0 ; ; DRCLK ; RCLK ; 3 ; 0 ; 0 ; 0 ; ; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; ; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; @@ -722,7 +722,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not ; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; ; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; ; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 15 ; -; RCLK ; PHI2 ; 2 ; 0 ; 2 ; 0 ; +; RCLK ; PHI2 ; 2 ; 0 ; 3 ; 0 ; ; DRCLK ; RCLK ; 3 ; 0 ; 0 ; 0 ; ; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; ; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; @@ -752,7 +752,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 31 ; 31 ; -; Unconstrained Input Port Paths ; 257 ; 257 ; +; Unconstrained Input Port Paths ; 255 ; 255 ; ; Unconstrained Output Ports ; 38 ; 38 ; ; Unconstrained Output Port Paths ; 78 ; 78 ; +---------------------------------+-------+------+ @@ -948,7 +948,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sun Aug 13 01:10:58 2023 + Info: Processing started: Sun Aug 13 04:26:35 2023 Info: Command: quartus_sta RAM2GS-MAXV -c RAM2GS Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -974,16 +974,16 @@ Info (332146): Worst-case setup slack is -99.000 Info (332119): ========= =================== ===================== Info (332119): -99.000 -99.000 ARCLK Info (332119): -99.000 -99.000 DRCLK - Info (332119): -10.792 -122.191 PHI2 - Info (332119): -8.864 -306.770 RCLK - Info (332119): -2.878 -11.627 nCRAS -Info (332146): Worst-case hold slack is -16.715 + Info (332119): -10.164 -118.093 PHI2 + Info (332119): -9.387 -288.937 RCLK + Info (332119): -2.870 -7.136 nCRAS +Info (332146): Worst-case hold slack is -16.578 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -16.715 -16.715 DRCLK - Info (332119): -15.888 -15.888 ARCLK - Info (332119): -0.520 -0.782 PHI2 - Info (332119): 0.622 0.000 nCRAS + Info (332119): -16.578 -16.578 DRCLK + Info (332119): -16.552 -16.552 ARCLK + Info (332119): -0.611 -0.993 PHI2 + Info (332119): 0.443 0.000 nCRAS Info (332119): 1.178 0.000 RCLK Info (332140): No Recovery paths to report Info (332140): No Removal paths to report @@ -1001,7 +1001,7 @@ Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings Info: Peak virtual memory: 4676 megabytes - Info: Processing ended: Sun Aug 13 01:10:59 2023 + Info: Processing ended: Sun Aug 13 04:26:36 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXV/output_files/RAM2GS.sta.summary b/CPLD/MAXV/output_files/RAM2GS.sta.summary index 26bc439..b6bef3b 100644 --- a/CPLD/MAXV/output_files/RAM2GS.sta.summary +++ b/CPLD/MAXV/output_files/RAM2GS.sta.summary @@ -11,31 +11,31 @@ Slack : -99.000 TNS : -99.000 Type : Setup 'PHI2' -Slack : -10.792 -TNS : -122.191 +Slack : -10.164 +TNS : -118.093 Type : Setup 'RCLK' -Slack : -8.864 -TNS : -306.770 +Slack : -9.387 +TNS : -288.937 Type : Setup 'nCRAS' -Slack : -2.878 -TNS : -11.627 +Slack : -2.870 +TNS : -7.136 Type : Hold 'DRCLK' -Slack : -16.715 -TNS : -16.715 +Slack : -16.578 +TNS : -16.578 Type : Hold 'ARCLK' -Slack : -15.888 -TNS : -15.888 +Slack : -16.552 +TNS : -16.552 Type : Hold 'PHI2' -Slack : -0.520 -TNS : -0.782 +Slack : -0.611 +TNS : -0.993 Type : Hold 'nCRAS' -Slack : 0.622 +Slack : 0.443 TNS : 0.000 Type : Hold 'RCLK' diff --git a/CPLD/RAM2GS-MAX.v b/CPLD/RAM2GS-MAX.v index da452c7..30d48e1 100644 --- a/CPLD/RAM2GS-MAX.v +++ b/CPLD/RAM2GS-MAX.v @@ -346,7 +346,7 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, // if (Din[7:4]==4'h0 && Din[3:2]==2'b10) begin // LCMXO2 XOR8MEG <= Din[0] || (LEDEN && Din[1]); end else if (Din[7:4]==4'h1) begin - CmdLEDEN <= ~Din[1]; + CmdLEDEN <= Din[1]; Cmdn8MEGEN <= ~Din[0]; CmdSubmitted <= 1'b1; end else if (Din[7:4]==4'h2) begin

  • }IQDd9w6&_qE{ zn}U!`)#v@EZbej@Jo8^_P~810L}}7)2;_f}m<5*a{rk6oaKoqHh8&3##Iy(MimZEW z`wK)(Q+x$E)J@)a8~&oQ7oM{&h=56sx3>j*L-doGsI#6Jm$Yq)d{kJ}3h7Geq36i1 zF8`B#?Nun>$x*XU8siu9O7wL?dYYKkvPL!jW=i}(9<@%Nq35(!gQhLIAS3i~#rvkk zjx7`Ex%;cZ3X;8Bm%k5deWiQCB^xahCnAK5H4v`YL$#qfQI$=GaTt9a-OJ8>a3-ts z7$h!8vG#+_n}#@y0fFmz0Nt6pDmc#co$KF-IWb5EE->~UvtMEMprCgE+*f%^$oqmz zrol&nQy0RpVsXcJd9|3Hh6}`?gO|CACUyEK*ac|hL5!|tM`KnKTYaD7N{ z7>2}FX&C$-x)alloz97DlTPO!s%*1u>j>G%D(A+}&>~ z62WMBV^v&@DM(a48OEvON9ybjEv!a3k?Ti*738PN||J68ELRk*PX9_N9vD3VPfM1QJqxn+wt6fI~_;B z5ad3p<{AA{91Wp!f~~evY2h&n;`)mMz7?$(nddh6W0wm^?y-08W48$?=g@yHn8#*u zg@xtMbFX}y*RFG(I}|nW>;r5Iw?5G=Oj;d-%`&a{a-ovs5x>^8s=jr}K(CHD1?V3` z(c0JkW9FY)Do=D2ldPeZj7z^oi|q{8*?RERBvczZ8mQ~49@1mRMNC~6oR}Ck9Uv`* z|0jV2e*3ygU0sqD*1(!(ONz$L66(N$X(O)-+^lq*#U)dYIITQBgIxtd!g*=gRmjTM zFfa;qMY1dlg?fT(!Wf%x%S+ujI$4r@nS=G=rK$fx_&{roeH2npj4EMZt zb`;f!&SKAjy#4yXf`p5k12bCHbW?@nVcC)figDL$!u}okW4oxa2sxz_f0ePPLC57q z)ze>eb(Xn0tvH^zG?jBmr8@X~0ah2)R=7}=s{w9iqRXj%0H6=iQ(>f+rGm0udv@zN zlwx;@6KF+r)21+-U|=p4uYG@CuZTpPWIJwf0L&x5e%aplJ*Z&l{zMp<76i;^2jQRHiA#3A2DhAFSySjHJI=3yN za1Ot9x(9_gM0!To8{4~*^1g4NuT-EH!VYTYQQd)PC!h!8i`hnih!3|dg+U(d*pk@Q znC44O+V7?%>@47R4t>}sOo{x+5yee{hlv9M^hpIe2NoEOm_^R1=AlY~Qo_{>d#V~|dZsrlSB8yL&0f??=^NDvv z{C-(A95@4Z%*w$lJ~H(3in;@!irf?TkQ~+JR;=7gRswDx{^oNVy~idOEU-z524%?% zI;x~eVQ$uBIk*wfxg8wcQDZXSBT1_L@z2FrEKHBcb&9B*at)`ipx(#n7lnXhKOfT=25zPAFh zg$WZ!2)Qz4-jX9+m1-&bM|~HM0gz%7XjL*CJ(R*@J=f4hzJ27BcRc6AE(|Xh+L4vD z-UiXe`8QbWh-psMBz|5An$i2Yu7$9v$Fkh7qtbjj@b3sVBErRG1HB{BgD3eCsi)V@ z_>-45BM65$PeIf`JxQE9`g{lR!U( z9{=^#?zaJe7BRC3w0XNd8oeQ_aq9q`yK$2qkJ+w`-eW`LT#(VI*VGz#Y&=fnFm7je z5ypcXklE2o1?a<8LJBvV$TwJ~4h)jj!Q3L995< z#7l}6(l=&>x&dIhLrvqJG2@k};m^GB$~|-)Sxel~^e}-JXbhhcRv^o6?#B=YCN`x4 z%X|#UbY1$N{I3G5k8C8a0|Rc|AYE$%yL>(nP!cA=j1iiW7UrA^6uZ(-bSCnN;*2|+ zo+}~hqAS;i453QUvU{^&M#EVQF9>Qh$N3_ZgyQ0!`$C_%$rU`{aNI+>l9edG>wY%N zrx`Z95zDiETT%dGCAA_s@6c{HD9BYpQFi z`_yz-Posl?fKbNhcqya@iUB#?FZ|EB-BFNyy*?+$wI1DB;Mk?c!V zUshR*&B7l%8w?VOe3&3-TCjURc~6dTS71g7iXO_(flL_>#l%t(URD-9x*Iu8OWIyp z&qxbP+x-4jA2?3i9$raW&scq2Fi~9=ZrpR_n!SDm)M+^rz(a zmC(?v7A%=e4K+SKT8~3K`DlgROlkbPx3RB&zkTZsW4(KQ@{loJP&jD99@(rj#G2VyxGHNUg^aR) zO5fABAN?O)DsCC83c|c6*`)v8iO$p9p^jCE;p^Q=1MYqTQ!IV6scInlZZvPJWi9tmfa%V|;B18Mryjz?j4A}Cd;Sg# zyS_jZ;*^{|reN}u&i;T9z0-5xlkKyuZXRg_l_I}O20s2F37o#FP?mO+!&&n~siM4H z27s%{=9M1vkIsab;Ycijh=A8^RSvnxak3$jIC>Hf^130|261p4>HLQp5#!$gsHr;=^!Pab zNj4MX36@#eqWL?y@&p?2gX>n`mjuD6@_>5XdM$fER}NfA0IUyc@QQGQ)~HosP9Zv# z9%sch&Q*s9C!sAeE)Q%PR2Vg;w&<o- z*fYn}aOnia)vl2Tkh*CeKqJKd}QIu&vwvRWH^LOwyO0 zAnJg7*xkpluX`)`RL7Ei7EiDhoSb$j(|47pfj^6WraR5LQ_g}X%ZJRf8X;j(+;80w zhL6JDD*3e<2sA<~lx@%$D`c*&eA9xQX ziFh}|-5U7DiQLc!B<%BO!m83bN|Vm?P}M4(4qT*#|1>PLeh$yyR3?&ySJ(a8(%-;y@elDx$gHi=wuoCh*`u* zh`Ic1($AJGbxPH3&{K)Co2%+2%@b9W)nNtG?AZI!ZBDoBv#8EkpMDwbnCio)^A-Ib z3gs&K##wZg^*P|A48!^M;AujKML%C^)Rtu;q<7)R`fjJgWjg{aG))TgX*Jf$XSN)F z_6L3GXI;^-{W27TMEvMw9sfPv+c+Ez!~Zzmz0`Zgk!&(&X9ZdKr_|D8MT-Bk`u6V5 z!FtbN)AF5lXLazdM-#-V1gLwKEAL{~RD3xpq~-Eu6!k9Wl}n$QEBCekZ^$VD_XqJc z*kiOGzsBGtLk{id3;3}3=G-d}^~~};55+`A6`%D}Blfs4a+{e@OKshuQh|Pd(Cx(7 zz@9yF4p7vIC$LJyAMhqYIDzA^dEmoQWL;?gn`h?23&kqjfxl?t0ndjKsve74s+J@9 z33f^&O)6C;hu=B~pyHM=<`^s19HXlkpJYP%M$l8SjIr5!1x0U$Oy~p9W|E=QdDLzc z6GhD&)e&3pl?{?r#=4+7HTvy?gJbzE`<_b-LFuWGoHJ4}94XB76BDp=!f`>Mv8)Fg z?@RB3iX$hmRsk1+245H5XnHHBdHHa+7CUb_+F-jw+`KTkvapOEtl{nsFK9)KL?(v7 zQmrsYxL@~UoY|=1#9LJGMm`I_O7=D*l{FY3CKxxR9lJ#GQp=cs#y%+~6fBk|700xL za0jhdx^Hm4sdRrNC`&*ERqkxREkMx;Trb#xHj#ex}O;!5fu5$K$kIhD4d>sP&bh zHkGuB^M>KQ|g^xzEYJ7^b$B4?UX!>6Qh#-7nPcmXe%*YQ5LAi~?ZVvtGVzNqf@2bRW!k zJ|?_sImBVKg*-AIn0np3t*F+dwuqqdEDiCMZHUI6F2z5PyY_w^mnkK{X!lHfH452& z5~ujYzvbZ;1hd~}#vmm)xuJdiGQ;~%s}NK)xjkjy@0~yItMS3Z^;r_(ipNV znL`US%TK3@n@*voFk+Lk&=39FTwCDz&y>ig1+JmP>tJ5H;ck@Vb9}m>X{?Ei9wicJ z90*0fx}-^<1o~ghK@!@{=5U1GdEWX2j)R<-QIRC}0m8%J^}el0Vi9Ei0Y1+sK(m*E z8NC&DFN}UHvoyE_(i;o z9|H#GwDwMW9R?;;$U;%cG!H&ckH-f=SP`w$6ebma3>2e-)MUTSI~Vhky3An9t+EZL zXz(hlb*u?FThniwnTCJDe;RCipaTHeYs_5~vtQ0as6cRdyW^>)a*j*|A#l4k1-pYm z;e2>>;=2IoZO*-WwIk~l<{>U44ZDrT!$#Mx#X6dUrM+{6%w_qI02M2)nxQsk@zV{~}Nj$i>XdLqadtv?Jfve z6i&8|EVUDJ9H$p+TzhipBnld>SIj+HCPxoE%!jxIQH- z1YGn=lA$Ty9?;>7*bMI-CkAKovfaw$h=_P3EU77%Vgw*bw(lD1Q`NI5Gkq}CQH=_h zVjvCQAwOML%tq1)9$aPWC#rkSd{mR|HqU9nzc3fg#8q-Ik>l$799R}f))0fP$k!j| z`KRnQ(+Ns6KJ8dqc-S6xA(fCnE$pVwmAzRT=9+bcOzUF0oKOoiWMZ&sa3z4J)@UKEJksf34M# z*ubbT-A>J8W#+gPyI*-RrnO#Bna?P0=0NKk6S8<`Z6s*)CtnNAzFt3;YFjL~HyU+d z^z(^L9CE(r950=SBSfSYz3j5B^magRp?=3&cJ`RMy;&B8=vI9D_eB1FUUsw z&54pRhcC_J#NV{By|SXub$5E+0`8+`wT3?w&WwM%46=NhwkqFiFw%FNPibcBO^1b%GtoNH)ogW zi_GP&ST~H1Z5v5q&CH2DQCHddVQ5>SF~*fLlqfA8yPalf$%N)UGx;S8{_-*2n30Ui zdH2fymq}3wQs4f~E_nBdgxz#QClSs9EF~Fsj|;`#`@k`belqFi4E>hr3%vs}@(s6<()~-f znio|+^1D^1&0AFyh0E;MOio|nN;|-zOFKS7<~QHJ?dtPw*KT|5H6SOKmIfiWHeUpl zh}zjtLo;W-d1>djIp%gf3+&At_GnuvT*)Y+ize4NVzPgNzW428oJ9kBsR&xpA@jib z7xygt+qKbO9w1x3@mAR6(3xgrw(0OMx})qyx`YPo>u~v3f$-H8H7Q0VoB>&pB^_ZC z6XRrz>Pzk3hs|nug&oA;$*0d*7mVo}fSpGX6VHJq&YF33Uc=Vzk zZmgsW$l^Q|7n@JcEOX;0r$0-Rf#Je78(ralSx7gcJ6m~TRQ`U-d;rCi>(`gSGT;L3)Y5BTu zIyh0Q;Hq|FnYY7sDAZYM&Gav9=<)GhKb_~Y-fXw6l4W0&1&>(O60;Mua9f&{LtAt) zOW~?nODv1Em)^C71O_|h9VN^!_XkA48xV!s*T&iSZk{lH)miik^y1Y z*zVmvP_=gCd|Hx_BbVyf9v00g2%B~2#4?6$L)R+uGqv#0g1t$W_@#0Wbv{(^-!ArT zHKt9AEi8RytEpM`0DUC^l}$_gX#bkWoa-6qLVx>l(S^783eub(LuHiW8sTOXn7P3P zuZ34C6KXo8a%xuNCNGO&!+}1}?+GKASkI=iWa29hRSU1o?(^-2a1_COP;*7NV`;c! zIXKNE%vx@SJUWKoGTOp!+QN$T+rCN1p%q9R{J7W`=ov8{1_brB84O**qo)@S^p*Wa zbC4A-AWk_+!s;7#gU@yKj#ShEZ^*!mL6G{`ZGAc}d!>r2Gq3YQN+*N!&Qr1I*_MT?Ad$Yq@=UJ*-m+uwMlfwp|2 z@9HZ3cezi$1N9m&fxrkx1tNwl=L*>U{kGN+6$h3xCvAkq$@^93TXXK#tRe_)=<@yvUe3pFAtP(@JiE z2E5)7xj-Ca&4u--|{3JArtMJl+WOEoNne@q&4o@kF-ElNWLFjyy7iBNEI=URnkpz z&g;vv44OJS=N9~ULo(fN4ilTCSq1Jf8&fi5lf|@L4QJB+`dBZ~da(OAc?vCfO8)M>gR?1m$+}{*gvXlz zggjZwP6Y};v(3O(c`@O!&A?TC*0LC(oYX3ey^yHWAar3_vp6! zYanzON|<5xB^sQ?s^{%OTcA4CTTYH*%L}_1>Fbsiaw&29%;OX)`qqX*v8Mi6Jk);L zuuo%k(p$6$>-H`jHJ-LOYI}7yC+(GW%QWHsQ}pl3GXZPOBPA{z2VEtbffczfWZxx}3Y#U$wO`0VtFtU0aJYGLuL_-Q2!%sY)z zHCzC==ow{poh`fC6qpO?dh!h7Pm1ySk21bk%kbb_&-nHSFddv)MDWV9n|f_ zO4y)X*w}moq$^D~jfv^s2EPu4d$+YLqL6-EgIJr!8Dy7Toy>*GvdEoOAd!ZCs6a3< zjw?d1%yG*pw>Jl2`%HG0u;fyPnsD$fd1l@>S}uK?OLV5b|Eki^msJWHH#be zl*MsyqKJIA$2%OtN-w1Me4AXG7Ko?>?Y1|z4e{>gni0;i|0?MUitp=cYjz9ZH3g7< zPj-LQABck77_4f=#j&{T65YyV_m?-T-S&V9-O1rZQ4$8Ix|9DHL*gnVycYm>F>QLA z3Go88CJdOlj(E^m@%#NS5K|_kXn{jrxcE?(@o4;2_!Sk-y8-gyC_`QySIx`{p&txl zA$zDLrPVvY9t^a#9Rrh*%|D16Zo9n0wK82;gsXAiI;?ng18DWr>v8hPm?`)1D``Zg)%SIb zYWmjkG%D*o?nRjR-}soNB)2|x-nPH}C#SQ&Lcw|JI~eLiMJ@m|!bdP_EZ#j+{*V># zsGE~CcMl$7JZWC4$A3^-TI!uBGn>a`x;GihFcbU>F`%70-&xB+!pQ6 zy%Q2)I3RICz8f9YLy-|#x^u83`$vFq{~I_ue!P1=f}r`{dtwaRB=**zBip>xFFwEe z4Ju|aQomAuWa-BKE!^J&pgbBY;iaqSHz@JKe~^9AxN*E`$hEzonK&T8c))Kpf9_tT z{|gw@YedCNq)sh$KM&DNUy2D<^D=fqrBe|iKDGM#J2kx=%%OD1U|!U|c*v(06WcQO zUM5d@04Zu6Q<+uvYeC@>0~}I3Zy_z#ot1;+xfzv^m_0c?YhHsm-8mBCpfp$q%iOBw z&LEM5f3-XIZlga$YVj*{dvs^+25x3ImQb;kp8t$iSK)WmFWkL+Zf@*^yMa_>sGVF? zQo9zy5NUu8KjHlV@`*yM?XA5WfAuqyIG0xy4L+QG7QZ{Chc1rj6(uvwItl6e^yA*ozY=@+1+l8yEg0q@jM% z!iP}aW<(=VV=jpg&Rmbg&#!xuFWDlhfUwj6>Z8r)wa7i$cv}(PfctrA{mA<;=_8U4 zx1!3oxCFw`dq9z$=&Nawo#ZQJQD#Cn)Cp!$D)E8hTs?3-z8mgjRhmiClXmWj%!g%8 zpQHN?pkL+x9q{Y-P!m*jh=O^#mWLI9Dd?^h*Gp4|o7Lw~rxn;OghjOBUyy~hi(T+5C zMJB*%)Q-?96@aB@Cbo=ns(IX;^riR!c6}N1%sIG4w{iFDkC7wtnc4ABT(InKhHNW8;W>!CV zazOemKCzi=pT*=I>=r5Q{pWs9>@&F2qyxEkssE?VpdV<=m*T*k_B0$-VyGrtry-tS zXUfYFY5fIvdcYJM^AH@p*|BIRPU$B<J}w&rXT(Z#+Pzl6u7% z|9t4!@UJ}3DbP1jZhJ&`97C560V}OxmJf~a{EzW}AzB^NkFaCJ`QdWwiA|elUMbFQ zjWt&%C+FHd)fG-kBkfHDdKGJY%Qb*TP4{$V9B_o4!0ikFSV>d$U+mA3d9F;CT8nLE z6-HAT4>p@o?R@R2mHO-Ro86{21!~zg*-^{>6sXJ}o-HCiZ&*3q1_EmD79$4Frb)lV zP4%7d zaFAo==fIG$^#a~#E(4aTIp6w1tkiQGuCwO3M58yRZE1~Cl&f&s{72bZ@tq$wDNFZq zcfyO!?A9hXlZk>e*HITtXz!m;(02nJF+h_DBaBS6_WRjPn8e{B9J?8XVF+s9Y9Aka z=SE$DW+oQ~bJ$E};--ffO6R1rp;S%j>kuA1+?&S`;p7eyky!F4~kUI(#g9fZA zY{@JO2JwEP4c%d;cWO4gVlRB;$dchvO(+(m=_(FuoswgCD*M zryU^+@mZKY@iGGL8_k&npP!X-POCSR!xQqMR2~D!g>R9B+M^Txl~ru<6#;z4s4uq1 zTJIm4*otLRK+Nbh=Im)30iU~uL>N~=v@44*?hmo>(P@YtzkxE@#mc0X?4(R6b(0k-y?;X`y|BV-@sb1+4n4-;=Y_(ZusX@6u4}^oX zw^{ma;BVE5clfiA4)5|sq;G=w4Y}htW(YzfhevXsJ@I}p9=(H6L0lx4cH`W`;XX9x zes;I~mxDLu(kDmY@j^dNq~fb3Ju&8*q=kZ=1?Jx3eQ|CcMxDA32@;k`{SfBh$?-@B)Z$2`rqv($r@zPH<@!K+^w~kofQS1*Tp$-c;lU%C z^+!XQ@?WDr^eP%)E!#}LpmLY+#0iGSjGhbT?*{VLZv@36I$}0L26*mT#*}|dZ7YDQ z^)rnk9+;99F1Zp$3buuzO|G_w?>y{ zH&-{8duIl%72x7LFvyBikHAJC3e)A!BBrw*;dTH_u5iW%u?WitxuGP}ITHAsuvd8v zApnt@tKX+Me@@!ZL-=?{by*{oARy5CL4cLb(SWxIH%)m>Rmh6el+iJ32^N5C~@K5&1QyAjh7hZJjcTB{xD| zm*p~#f_T7`7A%oJ?dzDN!^d3Cf1Wf~J5bq(w4k(d1&4=kS#TNKrX$>{8wjK8_#^?> z#rdM?wNSdVh#ya`5O{cM#|SF?$CEr2*P6duH$qS7wP3;a&#Tvzs4D4B(&!GCOYBRK z5fhIZ~ieE3zY&!dEJ+?&5y0@iiZZZaGu?&OO>rqiEO<;&@Db8&VsmT@wGgO1-Fg zk4OspS>>I=Y|Dsl49GWgiq}O2b_B8vW#?n?zJCe)!BPN~Pbxp4jrV3N8kXH+0-Bku;mVYu9JH5B!>YlP3{}qfIlSac8^n6R zkNMMda2R5fDO2LGWXZsXcE+Vm+#OrFSvY@{(x(16Eam?4;Tk5s%>+TL(ZOd7l7)>2 zVt{MV2XBSl7zFt-5xu|pt9ZXh&&j>?6wGp{X6#&XK7T4Vo!CYtm*Nv#f(HB%3nly# z6Q-&5DCUVh(!o6k2+!9@AQixJT6~%Q-QhN&$f7*EE?fv5blD-IV(Zt}Ou~3F3HyYY zJ^yo{*g*IBBd?5ERF6x*G0U<`h_C+SAdfiaB&XhYyT018@8dY zRNEjxgT)iSf^LT^?`U;<&z9Rtl6&Zwc_$RLzgwvZTQD8omyCUVo9?zx<1mQmDsC*;(sXMH-$a$SgVdTA9 zGw`zqcPnj1ZEhH>&=Ks%Z}i-~Idf?8Rz67U-+D zYMwSDg4!?(PQ^Gxy@UKm^LhJL4#8{e`D|2!*j75hYxVi8n^_njk>EAwd{*|GmPz2L z<$C|%Vc{9!|~)=(RRpTFIHp!dW==(BXb^5eo+{zvhLl3CjaM1 z)ADUzTzZ}L8H)I3Oj)bi04KkrBY&5A{h+&R)7~>u18(5MkVyC>Z0A%(vE(|gg~LyCyFz8h^y|@;Yji$`JpX6HCUE^Cvx4*%LfaMPA|KwAt&8T&=8g1$QNrb9{jD_@TM z=Zw&(qHkDJIDa02UsMuSJuCLI*r4-bx!&T)SV8|z{?rGV7zmySPyC1%f&7{lWf{y_ z`eJ3f)etT@!5f$P`f)7#o51tn*3qJX8-T;p+*;3C*BL&)n4fQ0uDsZM=ACs#IHnsX zbKl4Q@N21(dA9lfDZE74^1`M}?+0}*#eoWnN^-)drAI42s}gR;PkS`3?e5Ug{?nwx zG7}&9pJj8NBzZKv-ge-xOU}|Jml6^29R~0-QmMN^cb&VO>}ywB_&qQq$jaCi(Q*uc z;ApI4EyqUSgX^4-smm=XH>LcG18y;^p^ z2b?FOug+OH9x25WfVAs;KFhV~;+|4b{PS(%)@HI%_tWY!S12b*^mABEKij{y3HhR> z^*2aQqTcU#07mF1dR2*+c3v-(5z_j*@xClM{HZRDtM-=fV<=%%JW!lA>!;_}Y2c_4 z`sZ%A-H!)RZV%6cyB{}$IyT+z8mWr3z6o|8gxZ}IGgO#YGfCcCgDt?tlRuGH*L)v2 z-{fT~jI9%$FHr{I;>Djx{RNnEz_yS57EiUWA5_|(`#0My9&fvtb(QyjdUqI+OwAN1 zU8A|NjJ&#i&*A z8Zq>Chp^>(H}u3kC~bG*s03s#{DXOo%;_P zAjZ$dM@!7TqNIA5XwLChrtf7&>k=PiLiDe%qN{`W3xqGhr~O1{JBrD1BZ?vbCa=90Pb^*V#J8+^@rt z{`B0xx*qeb$9_{4rAA8@Cplkl*BF2I>$mW&a@G*+76ezx|BPv z4s2CN08p%$KC%yc1)&OXwaogHQk2E89-*<7P ziGmrJeH|NrM7~t?&cMx&U)L_wiszI=QpCn3Pk^gT{4EBZnJf$-9woYDj0p43uVDw| z6u?PQQE5KhE*y_8xLdUHho|ySsJMinJ45Oow5E!4Ivk6PE^kF=XW*yfhh;DBmA*O{ zx|}bJ|2wTKrsZc5?j4yMJD@qyY~lx0S5rsr@4acLmcFK@N=l9c<)^GRNC&15%FWxf z35v5=t??^U;xg&u?sJ(rerYM;5LL}B_=V~&F}*km-Sr9Rioo4mh&6tWK8rW)KLlBN zz&2rLS3ahW(7Y92Gni2Ii2PjrLzY~3KqVKm93xRfz-^ml2}w6mf_p`=1-}|w0{0e_ zUBzfNhuu@q)5FTkp$brXx``u>H!4^~o8in4DlDsGVIjt?hZRz=qsEI>$S%XzFIO!I z{bxnugI!~-_DU<39Dzpt)I-9R?WE;L;cD*qz2C_Ziv;cx;S1RY|9=&u_2kCyvbo02-8=*q<(jo^$|xP2xi?2%gmV zIcZdE%wxQr$ltCod%nJ0W+StlxG11*o2S>APz0AIZtnIVPiR|%HXxL0ZUQLoK<6Gf z2XY-M+f;+T*>xT&s>A~232m|h$I=Fy9$sk`dd}6(48z>?>{}$>z z7j8T|SHC`t03MX$`U7|E4)3yhzWH;^662}8be3m=Y=SaHF(yd^wSf+j_UdIj6}$6E z6oDuT`)Bw@)U~?qooOx{hf0leXg??it8c~O*N#m9sUw@*%qUAGQI=KWRQe5V`5D9Wo9Zz}D5)SlhgF z;D7V%fiq1Foym;Q0A95XkP9pX?JPJ_dysV_rk)O*+*ywmx&T#?V+&>$k2H>;lx%?SfO-jZkB;H>6njOt>f#V&WJbAh|{ToSnwx`+eYeZq)}Y#V*wxtlve^vE0|G6(wCX=bvY~e_Ve$ zR7da^bEj{d85MSabV5LF)Gsi*Qg~zhA^up^bT1ZHW(^u6$`P$Gk@RI?yIq_aXic(BR^$Li?^nt zJL%YNz0wo5pjZv`LClDgn1d*S$Ja=nxlF>kaehV03b_E{^-gp^4o;XZOEF~kBy=5f z@M+bel9kGTamU~ZVTmFu62#=yol;*aA?z(*q+mEOG1E)L?iF=m%ssCZ32GrnE9_lk z9Srs$xaXv8>vfoj9T=LdY@E%uQxKUAtdo!#F|}j=Vw^P^@cFYhbdx^^%j1Jm?2B9a zk&WCZ%V}|05;5y`(A&)i^_Ymi^0eOz$N+x>;;&5j+l*~{=~qDL0cl12=4nMVgps+@ zjl6~ICECK*?~{X>aAn;jUwx%_&Q)>xJ{nQ3sJuO-);Ac{qXVorR$g_a*9o$UKO@d5 zeex(UI_fb>ylW{+yvrz*1vesL^%hZ8KC8d;bEq^#HW5h`Boupz_YT50W3_y3oNTvf z!k$OvWXUj{9Re)8KqBniQ)1gPUsO`orRS>#F`;CF*OT+vGtkYj`8oHxPY4l*yhy2- zT5z31A-rPUL$f{ar?^%57x=tq<70*|2u<0gK|F1WQpH~9iE4)fvO@BSPT7J%^YHPGHwTze42>&`$XtfPs_hyA(sR2uI z2(2;+^JQr0YBAAxjX9rPXIuA@GTrBD$b74s{P~~$r}O+r@;FxZ>BL1hQEU`t^h}US zMm5rFhTveeN<@O6Vsg9{XD0axBkg^U@KihHaCoc1$tL>3)QXeeVBbef=A3#yE6^au zG=}Jdl()(|SiG9tjr9w#lsG?Y?-sw;YU_Y=-Kn_>RL2MS7TAZ)bKk%Do2Gy5ODk_5 zfSBGbZh@rNN$DkMoxBAMmNJJUR~O)qyd2ws8pEMX5WnxRBYp*BJ<~bu3PJN{TFO{X z2qmf9g$2y4ENI{q&g;K?cAWndGSnCk#Lc9`Vtp>rn>9<5+r(W_rCTUh5AC@ z?o2xUAn6x2>GX4!Xglp9Mz!@M9O3vXB?&RPJ(h;RgX$EdyC!M?69Oj|Oou#0;Hk}> z3i!}PJU?>!Z8sV40aojQImL81xRr$F=cMqS>Oa$Z?}IaIty58Btl=r^daThPX0@8H z56(WHUA|%eIMp; zimR7-9V#;HaTR?&%hn*qWfo=&%Dyh%#nCZmvzl*a5|)!q;E9;G+UacTT5JcBBvf;{ z)hW$~lbfr{RnvWJj|RO;>+NK^pZe`L;Ejht-;&Q~bx_yghK1d!a6`@h>Tp(Pdww)8 z2C%vyw~Thf*^s`EoZ*CrpkuL9XV!@;hdW zl=NKJp-4X_BQCmuX`M&|qT?R@l zepQLU8(hr?ASqu)tpK|kjmGAta}4X)+pHbE3s!&*;&p{pg(_^{%dTFP-a(RE$WA*z zr7EzDC8q|S1hEJ6fW3^JorQ;+pCgb+oLar4rFvZxA74kERy~l*l6okZJK*eB>__+! z{m#Q2cctUM^52u(UD+w9YiFzbKG{K6=ncWIE+0+-ljc_*-)n!+1IM<@EH+LXAa9c^ z$L$jGvbZ0@Wwfb2G_^~Q?-aUk?{>6}PF17`{Beq#marccDbZwAVd;|HN~z+CfF{C(6N#X1@oISsqhz_N5r8MGgKcdzXR>CWB!2un9LEpC>bxrxxS=t?uzp z#(S2w*^v^dCr)iAY60!3_JQ)*o$g1@w4LJv2eC7Z})iHSEOq#9r-pup4%)X~FsIBh^7{$x>9f;XMz5EQ+Fg28xDBBE%(RQH38oY1( z=40^q5#E8kZ)r>VZ4hW_$?rJXGA|LrYZ8$4lCIoRq289xfn?wsXeAj)$&vu zNFf2A&Bbn4UIA^K78+*G7b$X=Bv9<`UdfEhu>E|%OIzigz>65Zw=9<0|u-Q$-1 zisul>*jx?`d7M$sOoJ_0?i#rrGcicYw;Mvkw($H?cr_e!G3K2-jzT z6o%)jY0g`oh}$s0#WwWw7vp`{ybf@^Y+Vhqi~J===jHust`P4p91$pP?z0p}2G2#I zq|4qHQ?fDBI=oMlQWrIR;vRIX#?R|5Hc6LeUr%{YXEB$Zy_0|Aw^s9o$(M@cl0vjp zORyg=;>SGP3@!O~lOdm?jQ3ap3iYc9E7g)?@>Gj^3bvje?)7H_ap+^ zEbnz#sWqDYIKXvRKfCUm=?=DL@^sZc!d|w8rlESi2OibS_7h&~-m6*O49)Tfti3|- z6x9m%{nviOhu@z8v#)7gAyuDCq_OP|!<=>b?Z5LMKTflMHwp&)0U@g9Z=u_kDf!F& zei!X2HSlBm@AsC+sowH6n7i8BX8m^ZXAk=!-(@qz0^L;?ag=As)@GUFp1==3lJ9mRlu)s|C zPh@HUCeQkueZBDyv-JQ;U$wzqi<){Pf2Ru}*5jBJ z##0`oy8RsNH%<2oE|D;oGZx}lo^Bt~6qFD>(YuNNp2$l7_&CTXTJ=eUo9+kFj9K3V zs3Pm?NVyOx)u;4!1J`H2?s4I{x%E1^xMvqSu?;wGu4&KH0z5DW37CKcOh@XbCh~R= zbjR8rdz~Ch8M|bNm|ZrgZpdev4Dq!xytj-x5CY{L)9k`*u4&o!%a(pyWI5gfiHWP| z8R_%OL=wcqn92VL8QUq<=65T_L!6ns<%|Vps&RW}p?Q%(z~MPFEwA-!Ekr*xVCJ;# zz*m{4ZrxR-wa)RH-6`v9&u$Cps~N$Qrq4h7JogZNvhm%$D+PY2=EP9k_b9(?%I6$( z%E%CYwlU@&r1BHczX+)1T;afEBXP;*2w6?O48-~qALjLuigQiDVZ z<82jwa++o9_aO$*eib~wJ;keZ%m?qG_w#Bo6*54ZP{P*{yKh%@CB-K|6{H7a)sfwg zIDT6cIaUndSN%Fm*|FtmiB`84Kkv09x=+)AGi&z$)3vN{zv4Dw{v)iV*RttyH6Tj3 z_g;M^K}3=+gCuCJlzvb^uakbmF(IF@T-SIw#u>Z%A>eZRk_aU~0f*913R1Ml&p3W3 zRNV9edOxu?sFe)X-I`v`K9ewEM}RIL%F*}=+2TBCz_KffN?Wps5eFKFawL0>V539| zzmf7hFC2cu4VJUGFg*x zTDfjfJ}EvuQ`}g z1~nH02I0+Qa|RnOH=!%|CTT4#^P&O+q$!rMqf5MouQcl4r{ATd4h2;eF*3&zj0=q0+`xe6vt&X>!>TxGAhU$KkLBmSEY+G}5TXlS&qF7?j*92f-KlO-S z9_+}-m;=0~M3kFWk1R?jSp9?tMSVzrj6sua{`(J>f4jvM$pl1iXy<7)+>oQFEcB#% zq!fEcOYQeG`8jJ910`Gjxf|DvO@VjrD-N`#l<}^*5?>j$z}af`#>G(As)B55^JSW~ zh)~zAf-2@lJoG9{b1vF7XmhOI&GpIIph;)E^6GXaVnVaR?>P5OznRC&tF~=6p{~`*f|9w5D!>m6m z53eD*L6E0BsZ7S3pO#5tnom{480y#RO%c0{$D-K+t7ST-r{rYE^CRm}545c!A$Q5K z9NU>`#cT^@XvYDF^_TO@BioVdDCx~!n(?bI$8lzyCiQV#PEp93%aLiE#*JeixXn|2 zxy4u4e)mMvE==ViaF1?UhyvyV0sp?3QJit|lQ|(hKTe+vc4+8?Gl-WL<5WH9)G9Iq zZ*E8R<4y^E{%v%e4$-&>&kUJ z9J&eZGAQo_NLcr4+lx7%eTAgGd4>_O<{z7SE_3L7o4_0^K>jZ!znXVOX)`N-4y}ua zJRl#!He;Gdjaotbi;k z;uWnmFW)n}cdzr)!EKv?^x)a&rXmGkp8XFta|;MmtwA#U1ldcJ zSZIcS87!C~`!;eYi0Z%u#lCYC-gjuq1khl`C@snzXUkS_l zL;5S+`2rr!UR;t6I>96Qs~iU#!4EA*kt{jWV~}y>_4}I!&q{icC^8IBZlfXzdE3y5 zap+@!(q zjL{1eq-49qEe7BIWz|^#Q~3MdB$sZX?z%JTY1`h6zN6HyL?kq=MmJWR#FG5pjOf zXzUyb;xM$>kw;nCd>!jHd}I5zN4`&;S8Xj-){vP~*j`^jA2H0eZjRVGU`E{AEe~bI z#~D@Tm|TI0lTIr%X*63OH^=bUHw^w*GV;o8I6uf!3BnZZd>ng-N|DpAh$&N*vJ zk<4mha)JZ=B@nmG8_3B9tI{jM1Z%8Lx}sNZbcYX3e!o=WehOY6N|71mK|Cb!-htXu z+wgB=Z?(4lUE`Vv;RDTptOj*&hC@o@ObH(k)+cs^`nCO777 z#U!6GlK>G|x3@xqhhPduLE97GY%~$5_ZR={IJ;z>lqczIrHv6S^FQbtIdaWehXRlI z>z0SSY+XI1%7>jG8e=ih33wNEQtQgzjOfZ%yr7YFetd?N>B~+jOv6i5J0DG)jE7uf zmsMuBMOXT9h#uWT+B$TSHAW$ZxL(EI9j_M>5&ZEUT7jHgvm?PYi$2Dl9ADx%r9JqQ zq;dUHms&)MdAuM?Ucht||9=7y1n>LQs!?47vY7n>b@lGB?{9!I%yY}xR@7)@F?$B) z+piC;N;uq77*VUH8!BuM(~>k0jH{Lq`%n1K4v}Nwa!MxtDq&B8j4Nv@3VR)yC5}up zjyo<*C|hHxR~}6}vLPv8L^qrdS)Ot$y*@*%P?{ zphVFQlM>5GNaXOvA7F~+ML7kP2qcR~xfbA>tZ;K9#S}4oP?Xy%l2O7Ho`=|XFf(xd zo_8jUm_lKd6M3X6vU)`mfEe)XUg4I%*DI@08|vGNnUnO4N>cPfW!+&AMdpb!Mvmxm zD`w8aioon+-+XSN^;p1Shwo4#0a-Evwkl~-h#Q--3~UB^asww;)RbMVD%nPV{A(>H z3&SH~ooYgM@)UcRwZrsRJI++XK+ddy5%ojwlMQb1%+31<3&Z?R1GNtXFScezM|hOn zI&k{|=7q$HhLnL(qpoKCz?7G4Jcw-(117c*_Q8~TQx0=g+Dy?u;kooSfaIH?RVixg z!4!}Atf51?WBjzcJy*gibZ;`+mX$5;0#U}(FEF=K7dc&(_<0jZM(dY58bXF1%QC-~ zQuFE1f8$t)h>L>+YDc2WO!AgdM>m<7r!MbA2w$?aeCOI-n;n+@!@* zmg{!+A|YZfn$d)t(iXT#G*WYzvA-t|{lNv;wb4oi+J~hC6>dC6w!vq5&=agaNezo}JGoIDMMGKnP#XLcBGe5uYzK|!*VFkA^Z0MjK z$_j-)viuJK0RR8Ns^8rJ diff --git a/CPLD/MAXII/db/RAM2GS.map.qmsg b/CPLD/MAXII/db/RAM2GS.map.qmsg index 09dc277..f9a0462 100644 --- a/CPLD/MAXII/db/RAM2GS.map.qmsg +++ b/CPLD/MAXII/db/RAM2GS.map.qmsg @@ -1,27 +1,27 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691903562627 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691903562643 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 01:12:42 2023 " "Processing started: Sun Aug 13 01:12:42 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691903562643 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903562643 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903562643 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1691903562971 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1691903562971 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2GS-MAX.v(59) " "Verilog HDL warning at RAM2GS-MAX.v(59): extended using \"x\" or \"z\"" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1691903570984 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/onedrive/documents/github/ram2gs/cpld/ram2gs-max.v 1 1 " "Found 1 design units, including 1 entities, in source file /onedrive/documents/github/ram2gs/cpld/ram2gs-max.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2GS " "Found entity 1: RAM2GS" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691903570984 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903570984 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(73) " "Verilog HDL Declaration warning at UFM.v(73): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 73 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691903571015 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(173) " "Verilog HDL Declaration warning at UFM.v(173): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 173 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691903571015 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_unv " "Found entity 1: UFM_altufm_none_unv" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691903571015 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 150 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691903571015 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903571015 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2GS " "Elaborating entity \"RAM2GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM2GS-MAX.v(162) " "Verilog HDL assignment warning at RAM2GS-MAX.v(162): truncated value with size 32 to match size of target (2)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 162 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 "|RAM2GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM2GS-MAX.v(167) " "Verilog HDL assignment warning at RAM2GS-MAX.v(167): truncated value with size 32 to match size of target (18)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 167 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 "|RAM2GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2GS-MAX.v(294) " "Verilog HDL assignment warning at RAM2GS-MAX.v(294): truncated value with size 32 to match size of target (4)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 294 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 "|RAM2GS"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "../RAM2GS-MAX.v" "UFM_inst" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 90 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_unv UFM:UFM_inst\|UFM_altufm_none_unv:UFM_altufm_none_unv_component " "Elaborating entity \"UFM_altufm_none_unv\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_unv:UFM_altufm_none_unv_component\"" { } { { "UFM.v" "UFM_altufm_none_unv_component" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 201 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "247 " "Implemented 247 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1691903571343 ""} { "Info" "ICUT_CUT_TM_OPINS" "30 " "Implemented 30 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1691903571343 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1691903571343 ""} { "Info" "ICUT_CUT_TM_LCELLS" "183 " "Implemented 183 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1691903571343 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Design Software" 0 -1 1691903571343 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1691903571343 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903571374 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 12 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4702 " "Peak virtual memory: 4702 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903571406 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:12:51 2023 " "Processing ended: Sun Aug 13 01:12:51 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903571406 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903571406 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:22 " "Total CPU time (on all processors): 00:00:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903571406 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903571406 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691914206145 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691914206145 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 04:10:06 2023 " "Processing started: Sun Aug 13 04:10:06 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691914206145 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691914206145 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691914206145 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1691914206473 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1691914206473 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2GS-MAX.v(59) " "Verilog HDL warning at RAM2GS-MAX.v(59): extended using \"x\" or \"z\"" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1691914214816 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/onedrive/documents/github/ram2gs/cpld/ram2gs-max.v 1 1 " "Found 1 design units, including 1 entities, in source file /onedrive/documents/github/ram2gs/cpld/ram2gs-max.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2GS " "Found entity 1: RAM2GS" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691914214832 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691914214832 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(73) " "Verilog HDL Declaration warning at UFM.v(73): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 73 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691914214879 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(173) " "Verilog HDL Declaration warning at UFM.v(173): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 173 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691914214879 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_unv " "Found entity 1: UFM_altufm_none_unv" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691914214879 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 150 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691914214879 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691914214879 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2GS " "Elaborating entity \"RAM2GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1691914214926 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM2GS-MAX.v(162) " "Verilog HDL assignment warning at RAM2GS-MAX.v(162): truncated value with size 32 to match size of target (2)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 162 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691914214941 "|RAM2GS"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM2GS-MAX.v(167) " "Verilog HDL assignment warning at RAM2GS-MAX.v(167): truncated value with size 32 to match size of target (18)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 167 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691914214941 "|RAM2GS"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2GS-MAX.v(294) " "Verilog HDL assignment warning at RAM2GS-MAX.v(294): truncated value with size 32 to match size of target (4)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 294 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691914214941 "|RAM2GS"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "../RAM2GS-MAX.v" "UFM_inst" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 90 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691914214988 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_unv UFM:UFM_inst\|UFM_altufm_none_unv:UFM_altufm_none_unv_component " "Elaborating entity \"UFM_altufm_none_unv\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_unv:UFM_altufm_none_unv_component\"" { } { { "UFM.v" "UFM_altufm_none_unv_component" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 201 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691914215035 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691914215379 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691914215379 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691914215379 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691914215379 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691914215379 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691914215379 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691914215379 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691914215379 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "248 " "Implemented 248 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1691914215473 ""} { "Info" "ICUT_CUT_TM_OPINS" "30 " "Implemented 30 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1691914215473 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1691914215473 ""} { "Info" "ICUT_CUT_TM_LCELLS" "184 " "Implemented 184 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1691914215473 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Design Software" 0 -1 1691914215473 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1691914215473 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691914215582 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 12 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4701 " "Peak virtual memory: 4701 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691914215598 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 04:10:15 2023 " "Processing ended: Sun Aug 13 04:10:15 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691914215598 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691914215598 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:23 " "Total CPU time (on all processors): 00:00:23" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691914215598 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1691914215598 ""} diff --git a/CPLD/MAXII/db/RAM2GS.map.rdb b/CPLD/MAXII/db/RAM2GS.map.rdb index d8031a0ad89997c0461f1807dde090ca176cda6d..c870412baebf6c994b309adc702d75d2eecf9f7e 100644 GIT binary patch delta 878 zcmV-!1Cjjg3GE4xdVduKP8{B)kVwn!%<`GsmWV{D2-Y(4@k|UJd*qM6^wb;w z5?B5*c+Z~fLP&b-p{gaXJKq*8ORCr;R%~IK8In1tk59 z^E%GUIFa=hE;GesZ-s^1u;`UL;Tr<1c**g2JnBEFKr|Ils zlI-ZNH=;Rmu(7iV)4bRM*jJ8A*wF^7k2#^$7-mvJfLSoya&ye9arRn!1Kx7b_4hn? zEL2!{jRL2&w0|Rzx)CMRUX>)$LdH}D8mrTQ)wO%a37gkihmTq zgwWn~-a#!Sw@@4120pY2WkanEZ#0!qwjoq0eMS3TbLWs@)hG6nvowOba%ct zgZ=p#Ai94H2J1R0O16|7a3v!$wpP3H;RxOdcZ9x2R4bx0$SUTbKgkPhyXcvq-)fZC zc}%I8FMnUVK87~N#6ruIuUYB5L0>QXELu+KfHH_O+=U$P(3u{sFyb!?jIhp#rFYuc zF~D4MhQ=FSWBLpM`08@2OOcJ3mnNiQ#T^rruFPde&UJQsGO4?UouuekP;rb)-A*_r z%Q#Ep^K+;jR$ROZmmx;$cKwlRkRG*&>V#UKeSg4~pIlp=9Se*lO8N%#i7>?fV)p=+ zQkQQdKk80s4&(f{55waJmA4VR@MVb!T6+n1@nOWg@(mRCAiuv|;uz#QRq!v zzD=-~+*r)Tcs#LVfzCski@`q z)?yAV>I#u(2h+Vpgpuk)-QAV^7~9cP<%H}10Z#0@tQB&2nj}@vsM>^IbK4k3*T_%A`qwjjb5Kcli(%Vr_i<8+%IflL`zth)`oM^JqY3=%kLgt zwH{L{=6}nlu8*OOF|p7vF+|0cj!!yRv7UY1x8qB#KJpm z>=0lkIYZ+OuP}Z30DN+}<)z3*%u5qevE+^kN>}ExW9M4CJsMSQ&CXJED5yAwg>FV1 zlVzBt@%cH@4l6F+gv$`4RlEL&YLFhah-!se(SJT-%TMkuX(s|>iIP6U{7V?(f4zGE z3#p4gB0uU*X!hgkw-3YPdzFt7JoiO`30ipxPw-*Hyz({V8!*nd^U3zp9Q(jzBMN=#AJ_5^sv3c3M4Ub z$YPqlMcty~>A_@g9$}>VNViWUKf!kNLOJ2OFTja?mo-B6Z#;b;Oy9!(eiZj}5O-CS zZXh*(-e3BMC3F=A?3Kx8PrtXMUkn#@;W17eB<5Vi!rzq~Mv%iAa}|BwY&Ci3@|XTDg^K^2?l2UwX=O`byG(tOM5$FW^M*%1}0)*7fTxxVh&CwVkT}z z78XVpCT4D86=`BwOJ`GJF%wJYuXEYj{}@}AZ6*Im2e7Y$2YHM$+XnVcf?VY#xYl@4b$V*r-hBdP!!)!IMk_Rgh{v$nxgnzF!qx(+MZQGx0Rs{IFjeYGr zr`|*8D4LU=o;N$a3T`J~CVqEh60Ws#iV(J@+kt7PA!Q^wk9MLupZ3`?PLjXGl%06$594sy#bL88vE7yEJw}3&W2<*m&)3Gr4FE0 zR`savQxd_}-&)NMI#$EE<9*ZgGMyQl18L|d*(GBg&Dr6UF0{+mH)xLUq<*=70|58q zoP17}wV&T(sE^v|V9~u8nuq+}D>@UZM_bK3@`yyjcMXX&q*8FE0 z-(gu#ra9i*QjhLu?B_fTc??cry`IGIXOLE5xIaqe?@@8zhUIPd5>M@dY z&V64S%k(EXJVULB&m$KD{@AcQ!YNGhd}2kzCQfWpdU0Y!-$AVNa78D+>MVUEfYq`zO<|?}sK_-tBJtCa z&w1T%jCZYgE#yzQ2)a4rYL>AJL|N%r(9HTj_9BDli zcZ%5OG`FOs@oA0r`?Pu!NzKweJNb#Fi$OYaC>I6!)1NJ?YHJSZj8DudTceA5&DCM* z96cC9Vg?Oh21SV)UvoIKfSg86CV!iK(Fm|^Wq@$h?K(EOq}k=+?qi%0=b* zoDLej&DXg@o*ySH)Px>#Urobg%)^Wj;IRjCn=$XD?$mS%zq{vYz~7au*`n!KrPXC{ zZ21kSvDW#n@PmUek)J_;wNXi@yX<3+Pu}I*usZVShs!SWOEL#bUA7D(WAfxaVOfOS z>ZGGxr%@GASbU+;0Qq-2r{6O0sT`7~(i$~E0PRkXOEaqw25fGI$9tan3bi(!eLhW( ztoQXlz=?sds)%Uy|2#s7x!>yEpRs|%kAXhm(>K*nXA}s zgwIm&DJN^GO2ZpP3iibW3RA%ak7H~XA2EF@!5d$Tb%hSUQg@9wT&Ww@?(Ua48_pGB zJe4~!z@PTsXmXb9Fo)fZN(xRH-0o9CwY>}MR;|oi7Lmw$> zjr1?ujz9w$hni{%)tooS7AZW*I?s6F@1FaZ%h0t-PrJS|$OK_SsX$ZGpe&QXAFcV$Mf1h_hcvbYDm+ZbjPeMAXei z_H`wo_y5K4x06MGgae{G7pIpIdEh7JR`C)GTG=TiysTwopqJ7B^wrGV89K&}4XuOw z7S0@xiY`AJTTh#N^pHpshKX^jf1?ImxolX&p|ML#3WXIyA%q+urGO=}{(_L5G=O8` z4kG`zkNE!(DF*S7KOpJ;RgMs_ozVy$`53Z z>75*ca&jrBT3~^twPMBIWAR!67E{0obrcyo8T}AfO_aZ4!lE(D$f(?;f};Ds-%#So zPDMmx(*8pTd=XDy#42vnLzme-OWG1C8V(}MhT-o~VuRO8L+VU@Av#nt^MLc%2N06l zLQkQZXE}8^(!XhF^Pk&|0UC%kQ_(n%;!r`s0(0L~R?4O)?!zq;UD^h>L7^*6LPDN` zqQA22f9_vYLz*A`e}r~hMON=@``yP!GVmzRg7}mhCF`TTC!uJ^dzKdtf0m97so!qR>QHFcPsoNDYo&BCPjnZl({*@}`c}h@{MS z3`G4`x}Cvl30_*>Y^|*!NG5HqDtA%D-GH)DMDn^(SZ<`CMz4OHvFf0%& z^X<4meeKzTHX5dyBzXenR)e{QQB>sJ%%J9KDSQiOnH>|)DpxyGWHMT&HFSct|J5!&AhzpCy?@EMrw>I|=rdqq)R`;_- zOW$t$xh8_lDf^|r@yy{za+#4$4C8V`0dsbn0u-26M$FyJc8izWc8@<;-RD}%Y9j+v*t8wGH%1Dm=)X<7#^F2XrC`rltJ9RnpLk!G>`DRg#$j@WxoT`XDMyhCBHb z_(O|u$@TI2)AdA;qf3i0(5A*fGhs4WEs80~G1l>84$uB#COTrPbvk`*Tiayi)$D5Z zs8`IT(A<)gc$Ji)=KY80h&=H*A#p3$?XqPn&T{n*gl0xHcBt^(V{N^ikMB#Nw&dMz#M z8#U+JXI7647*k2EScy-Hq8}{Ot{_|yj-|c487n+#O&m|9vCE*`_k3qhhb4k6uoAO$ ztQPIu7S%2*buTNkFDnTyD=#i9ZN=4jWRaAevBHchP2(#QZfRpNX)_TgPXCRx0Nl3s z(*LFm4nM1ui-?>2D8eCaQ6#(wE9aK`PjHBZNi6>@r3 ztw=aDUPdJi1OJWVKJjvIbV$i_+9%9SWbQlPQT+F?o)cK|GZr84%fn6{7rH2utzx4f zW8qSH!s@BatdUo(ezmh)^TKYS(ySXV+muMELG|uepk}BY{U95KD|K{;Fu_N60XJh` zELuaIB)Q9M(jiUHVKKRKwnftRqed}&%6X-Z!!CzR3WtSya~@n_+c&v?r&w&@724BK zm3BhtwHZO7+40|vci+J~IX+}j9oTW_eDI8ApDd!7H*%Q=o$Uv4=6rC!2$QIpO9^r1 zYvSJ8{R#;i{`8D5GsfG2U6Z7k_QBkK`NG3)X1OCNq=@e6|*S%*yuQF4~%geQdt zD1A<1W$4BWX(R<3>0e0wLh=_9zYtGSuo4UXwCtrwHM8zVrIa1N5*iKDnq=OZx*X(s@1hf9bWOKK95 z>LdNqpQ?<@to2oLK%o6@;qBicWd^RzhtH+n)n7Goi=h?G=Et1u#zoQQCmQStZ;Xk- zp(Wgv<%iaE&9e&~b#ub0wdLU1o$ZQWxcCs9T2+YRSo0JTL}#wdXk;?q<16MwX?TVL z(`~UQbpFyNt0CdHkuOm(Jr&paThHIMuv~7{*?QY$Mz`;H^0^rrBl5-6XUeEj!@dVE_8GE^Ea|I^nrx`|&Bk;O5^klQzk3Tt^SKg*zLjXu^WZ!&(SFv88vHvZl)Cfd;DoIeBWNFhU zepKI-Z+H3q3AL(^M;Ry@nE_(tumXQL@J;W4{{!$L@0PR!x&*It#wu<~rvN zoRv~Y=7-e$z~lJKa}x>0H^%z%9HbAn4W~hVSYg~pplgeMVQc#lU#HLiN0{(*ccGCQ z2q(3o#ld_mkt=9PMeZq7X`N0^Wsm{2TB59J8s#PJ&e2rx*h7Iy7{oqhN?c1`cJ(uS zz1?BZAhMfCdtJhGdY0p10cTDCX-_)U6@@G|J(cb7&IYKdIi#$Bl}kg`eH-=%SYvV1 zxgYPXEDtD%(RIhDSs!vKH@;P*;1pBwLYGTMExBdzB1d4#UfjheKWCMV>Vqu0qFX(2 zQ`fp*`?KY3#tP%Pe$D5_IoVw4wfZZZG`o$NL4cO;_Gam0r#0IN&E@-aZ#*~Z?F%Ui zy2mc4s1x}oG~;_=Cv89Tot21s$w8dYFLzOIou;dsAj9k!e_6GXXT8<(TR}*{yLBhp zu(grpLeGD_xzcsiwqL@{dN!cX{pE%9cE zgUUGZ1orrIBQ6fBAU2oWYvsa2)R-4rg9o>BavgQ% z?WNjUl|N$RiV2m#<%kTfsSOJOykF_oS#dPvviD#fCGDkwPRgBFd~+Y8sm*=Cs>~;? zzD!2ALP|wPbnJl`XOYZGdZR{%rI)FUR%^Jt4+W2@l=g5z45r{$&B!ZRgU|AfP9SAO zZd9^w;6(E|K{6~a7uaEFM2BRceQqA<`ztDFz_*rhFkp!JDsNrih!;WoM|=yJa1S_G z5x=)EmQ*T`rb(12B)tUXW}vvuPr>syqO)YbZNzY(B&iVShn=G2ZaOLlAnsZ9fzMva zhLf8cSQDt1pg#@Yk#^cvA7pgHn0&WKaWRD-v@fiG?q(4wCfMQ%(5Zy9YBu5RG>w|#FS4kihh0L%oi&^+!aemf~qgW(qdI^QJD@Vh;HYB&WsTDV)^Lp%hU z#!yItyJ9dBOn_{nlsM&(7_`G4;`Fd(mKi?x0_A%{Yr~x;P#rDW6)!xO*`v%Z;e{Ig z2pXL-7d84v28KCe$2~Shx_Q4QoQAcs7DMu9og5rNvw7#tc#)qPu6e`QM-3x3bmey&@=HP*w2FY=;%mvd|vAhPK^VM&KR+SUD|I$B1~`#N@k<%*y&)(* zqPTky#rtg24kw14*+e|C=2Z*|oH>5O9`!DClo zd{GZiXmctg&CgL4K5BZ4Yc0Kus1dF^b$-Wr(;0sn{gExUv*9!_4H@d^kAFK*s0DrK zeumT%+@6MHl7`#57nD?XwAsE#+8!^4ks_t-`G2tHEDz}iU-Y>7x}})%9VW}1uj^BP z4ED$bq32|cr1Z2DRa8_NkJ)GsWzZ8iZDe0$>k?!(jcDiJ}T>GgF(AHP>((I-Jm6U39KG`Bm>ZW;2!XI8o7N#P^FLt1X1axAE!Q^ORf>3yYJr`c#!&rtR5ghZ*Mh7F&Irlbp7;_S5<}o~i-6 zSD3uSm3Pk@2|v!|@i#5Mp2cjG81U31Si2L;@PCrkK@R`Y5z)OQjYkLS9*4M$PQ-Yz zCXaA@xoB2Kkw}9I8=QRorR9dYtmO*|sgV@-x&s{}AgS;+b*K_0+$HvjK%KtGZL#GQ?IgL+9qCf(upi-8C}}lz7@wf7G{1_*?IeO(`Q&+s$!sAqhM^~ z?l$5Gl_4D+COD8g#`55Jn;3+j2+tG%|Ew4LnKMoMo^O90*0n(7iJ4dVc?=7}Rmn)L zhHfrNtp=ahJppn}P>pRyj^EX~G*sDLS@hkT$+<}=Je?+AZ17+3U+5CpZd_znw6$Y= zeJ`Eef+6?bGoNoDDN|j3Vq}(J=O94d{`4xViPuAMiY+xtt0n6~2H9dKXP3oDjQ*Pi(ar zx9r*8M@cn^q7LM*8tE0&w;H6;Z&kOREog;(eJrk@6b|UoL<0moa>z`=VR9CC$a%71 z3q{g&k5;FV3}tK}LZ+vlP;fqDOo~uE=VAx>0UW%-@@`u;o>nk}`f##hm-9!BW2s{w zID8&U7tH=3hfLsYK&AR>!G5rs-|vk&qSC~IM|Y!XEIB7^q=yng^s)bPGPFdJ+;j%u zRv~MIj(!yM88_ySiqd}|78kk{NU$)@ISJJ|V+&vQ1a4|UzI)hRx2tTM@@DyxW~mQ1 zKtRpTR>&1v%JP9TrHBdCkcz3$1q6k{=bEX>++Q#)2m7{S%Juy&#z=qtS=*qZ~rThUHk zu|NpP_US=Y`q(HbnPnX41FWVppwV(UCc68<70%@D-em#?a;JC>t>5!&9>Vkvkvq|* zx5RbN7Dg3q0YS{SgGr0?_K!;+SboRaANd+DB3p;ZKJ9|{1fc1PkNm6qu&yzpS3AFB zcF^?Ga=uk13w>+{w!>R`KqT#c!b#0V#M~=^#{TsYtK>)Gf}Yx%gowQ59deaLM#s3~ z8WA^%TuDzZWVm4N=q=z7&L@xeztmr{E;Gf|K@*ap}teBa_s;gU*X6(Sw`}g zmGkce{);j(|InO=-|^L(NX>Pa?>x~fvj1+){AQiw0^FZEvXus*i%rsPKd5C!lx~C{ zxjc9~4}bQMektW%fnVa{3jSRk$Ga(X`o_k@I0xxE5Udl9UIhp8yKvyZ*a1#_LQwH@ z01t6e>k5@vh%C)-EC#wEVhjuBTmL#q-;Q}h(cXXJ*YY{@MZ?A;hYZK41>%f|J4Yn= zJ&A*0;yjE*xK0GY587dVk3KAnih6W#{LSyEfx3iy zx1o!hQq{tTERP$as~OHmMWB%BNt*J9JzZ8<+-_g14iF#jVu^D|Fw7X1I(k98SmPwD z@L1C^RB(CN{5S31UZV?{4FGVAVIL@a(i@FVXR!&p zwz{Kg-pzKa1z$Hyb;Rt}QV@9FO?d3Al4*^&T4bK0Mfy(&oq?J~{uC7;y>Ik^>MosL zEa#B)4L{Zma^Omtw&m8{3B7#YGn%$*D*pyh)M6>-h!(n%$v0@lHG&_`h9R{1%*g;3 zb`lv+@H)%3ZQ?8dk|}e^{NG-P!`d&W+yea!!K-G#tVs8A@EV;tca2EKSjdz;y!`^x z;`>V*rrb^yUO$g>WX?3kW;scv2(lq1M@X|3m^J9{E<#4HHoJGD^_c$hm$Ror7v?qc zKa_Lh83L>8Dbu7aU1}70 zQhnD6!scr5)Vb2qFsH86)j0kJ675F{iCF8I_n(r}8PA$;4=aCPILae8_*W^jMyPf~ zR(hv^nAI>?lf8JoRYxRCiYGHFjIWd!c99qwJJ!xyM?c8^lU0WVf_X#OS5|3H#}6HrY^hs0De%5^8dBg#OQclLAfz-;8u9VURyvgkCkvs?SG=3c5?ZN276RHz=&Zork?8p?!}s8@ZLt*Ov}hG12I3?$yzutie<6VW&A;E}_Qk(aMM zT{xMEKe-uoa6w`8P%pt(%gf7lNIuX*TS*d$mFT)LJHOu_-sd{6%w^t)joW1E1U7W# zD~C}+!#+C*w08U;sL&Xycql7R@D~46^=b3-F*PZ~Tc3abt!uL0+JRBEcvg_45)vKs za7s&2LH1!Tk33&&wO8Ph@!A|W_S!1=R1aA1V{bs&5)eI$jZ&DW6#~px%#{utn{;;b z$geO4+f%Q7KvSD4#LN#a=5q6IGGQt4UPl1SaVx7b?YdIE5lpl*7%q{awM8aPEZ$Z9 z{A`^)OL-QqZv;h%-$WPDrzrA+3QB<%Mxtyc$z8cyiX4D!9wpua^$Iy;GAj}voEn>j zS1Xama8~N4Zeq?{Crv*RR}05Kvz+`f*ibOyoH2^~WG`|D89lGkK6!r5=dx)$9Brsb zp|zzs;BPZ?p)>(?`a#+xd{c8lQ!wpKu5CPv%$pnP1i6EmGzIwh4Cw&mp})L$GDqhv z)SV>G256k7L*i%Xb3)ZKb&yBaa&8Ap{gWH>CU#>rN7!R(e?hEf{vyCLUBwPNf zPQea5JqnFXX{p9Dnda4cHets2l~D=~+kn1h&6?^y^pgdHycPmeB}>tt-vs%R_%aCt zA&QJ}?@>mR6u#ATrWLa36~9XDtp`d;Cz|hhHvu_%eiV&(hzMAUvPfBint;9keiZ3O zhNk$QwG;6+v3xLW|TapVDyb(HxZCte)X&N47S4B514Ykp>A6R z^a}jmSypNm)6{d>@v5nNeGo=Wbk^nbuc~H^kFV{H0ctWD@MW8DN;Cq{v_k z>W`J%4*UeuP+}OSe%Vqf9u-mf=quPJ}){;(*RFV0faG8P|FWm%L9vZ`aC*HTmazdg)SQ5 z4DoYqdw8>dcHcAqOc7W6UwO*yzSCOcp;rgPPZe)dhe~k_6oIhHNTWw|42b9f5CB5G$#EMyJw+6Ivb>Dx6?1Ng3!O3yP^hbS-Pk6!_HAykb9dbri> zU3Ie!G@zos7BxrG{uu@xuS=p;rfBk`Qz zfa{kFWkm0B+_0xt3YGlLAmyY@M};Vx`H7B5uY5_1|G_moEoHa(D|rJ(W*)cU>@|}} z`*K!!zUqtZ<}jldnf=#zh{%3lqa$AbL40#3`d!<8f2kwh&6Dg3Vs<)Q^*1mV%UAzN z{T0c6pR+UG?M>tUsw3HV4BsP`^LA}>_zLU<2Vpy^5PpzC!onM)eVypl^CvaK=d?#i z_beyas42oa486wSSc@7Hw`y6cbpdMF@1O?IojC072r&kmI?+JqfT4G?gD&oqLx{g5 zhumr=adhm{Xk-U06Q5+Cz9!12*|vvJxZ95488M=R0_zgL8TGw!pFE^@*uKILhW> zDw>Ia+$xbUUJWD72Rex2LrI3lRhL%Q!%s%`<)=)ccP%DnTNiopJMhacC07O&k}KQ! zV@#>cN`MdK4xR6d+wTwgBQ9c#TcNuj`5HNQ=({SUE1Z@4!K3D|gIN8Jhd%QCc91`y z@+5%2@EAFB-l>r1Z+_#L8Jyj-+RmEO?R14dTt|QLOF5{oLVsvgSG!74hkNxtqj?HZU%3~9 zwc7Z$R23I082kN={2!mh^4fd8{$tbAcfTV2yRgj@+biA8;hMM1HzfO_t$Xp!7%H9~ zJ{)!dm4-U46-C0nCpYWv zD5B@H0q1=xqQ{;F`t#1{Iu>%WXns444%%w(qd;ZB^ZGi#%CS|!8r1ENk#Z1|sqhT( zIg9Ue`Py@wJM(F)0;EC_S2VAkc zYytZtdGi$cBSG+~AOIgc;V*=3R^IEO^i@A*7QO*6;NB$GoXqbVu`#=i|i_)b1Q*)>FJAwVa;IqcF zp5L*c=h~aDU(puie1+S^KjWaBy-j()<8PqpZ+^!NKR=*PH$c))&mxTXVS4+>oj8hu zjJmru_w4?+^)0W5A;2Hd%(hIvRqb|q$fNh%DDO1hk({@8U;PW%$%>d^iXM~r z?AkO_hw?_Ft?TQ87l+trzmQgY7lFg1jQQjF=1yR2g+0t>4Uo4ZK6`T*gp&Sw1RL7v z65~#0E@I+!c{bdNlJ2)-j2{=3{2i4yz7oEW80GqFsp|fHOv7VNGGX@|FXE4@zZ}yC z`Bm*lqYEol?ah~IFbio(BvAtC&%_5a%d1P37r#22>PvL0Wvep*xm3+=Svr>%XU9q7 zEV&fdY6eEw9!Op2iq#9g`bIj7y=LHfLdp5zBRxAOsNTbWbTYU2o2&S^nyQtnqYp5- zV89N^0_wABd&Q2xx4ApAbJ17V8ZL}XoTAWnHBcEw${Nb*oy!F8S$=x7WwpB>#e{6k z)n^7TOLEYg>A^54aCW0Ap87r#gdsF>OIa2m`2*m)#ahzk$^Qh>F6!mRUSwauIGR;_tbsmy@aa zUYnb2u*Fyh&7Hx77Kcf|1k#FuN1Du;>ccitJk$pebBT%gtP** zet7-lXJDO_Y6cmpCGCRs+^__CKg=zpiGBS!6UuGFS6PEcHN&sd1}P5qcJ|re(_}8m zf?;AiCkq;(%=$vn0m`oJX=aeNn_OwDCO@jC;TApC8{5*|5mxYP#PY~PUv53$C=<}}azsLBIt4_ z;X8w<`$SZZiLdFE%Jf4!q`-`(B#=s}=Z%F(Dg`>MeC`a5|p>Fg*%;&u1l^-Xl9o0!&KtY-IMX-U`Tm9 zjh;ev45DP#_?%m6;1eNxA4-TVQ^4JyN;jkMtHG>5_e0ZDhYDf5tlS9NKOi0ChfcXS zeIzdHq>Y2ws4Xp6pPaB0xiOg|NcpL%QFk5sik+sAQ{GuWJU)aje!q=@4p#v|Ema>0 zl6(2Q$l!Hhl8biud^gAorXneXW&V&P{a>;#VguXQ*g^Wrh`yH0&(>g!XihcXHvO_* zKa2*ut8w0Mg`2v&P+lX1k2x_ap7BMv1SA-tYa}_WgMHAqb<%syMW89(ZU~JUC)>>i zlgN)ojm$b)ao*_%w(aSmkK72kMS4G?MkW1T@v}5RUfKvI9i87;HfJDkHJX18@@fBa>lZzX_uGO5e!@eVfVnRfApVWAH^8;xcav{I0+sDJy_Aa^xeo)Cd4ygAD14Pczd z-WFt*%PfT)A2L#g_Y!9wv_6F$PtL0y(cQzq=L`NCzC9DUKOJ9)Zg^QD!WQ;}wRkTQ zERR2E5QJ0X^lN5Q2--j?zl;c)2d11Nhm||^m!U;|NPJzHLA0@^>TB%ljIQ&V#`@~p z7xGY&HBGtmcRd*7{MC5y1rmwqPd_yCbSc^`oBSqW7_}}^-WMa={J<^3WGdPAy3_Pq z9X6^4lKjeW-q%8GK4ZchXRNmF{3FfYx?ps;Kp>-QB8;FSvTL+0P=4iWJ0;%)z*Uy*zVF#Byj`UWlIz)miU{LTL!G)mcu2(*bEG5qhkx*pfz{tyt zH1lH#*ag#}XcB^ZChz-pE`@!|eOpgzFOcHdv!uKvUxBgsz1Zkl&@GIS)BSSD?i!}f z!1)ij-E%(SOt}yiyfkv*zgUrBE>Sr=?{Bpjxjr!pBDspPFX02*j8xEmsN3!+O$~J% z{#&K{YhcSJ3P9X3$7M8~9n%&Bm3i`CGqWKPb%aPoT&Az#3%qJ29JLajrkN zq~7SMkBK0o1+_a$di<0TyD%^r^FGoquiJW@+}3uk#}f>8aXK_Z3iKTf?29c76AAad z5mF6kRND-u#(OXM+nelcVF$*rwA#vauu1PkQ-^%8WIJVKj=4MZn{ETEc#9fgKBClQ zXl)(LQmrhN!meVArB&6|&`kb7#|JM2ZF45%5FEZJg&2$G^oSGA`f2Rvq8@5aW>)U+ z#PZzfLM4|3sezc{kGzy4Cd2B}kC5^1>c_{oV1;OV`TVGEq4uv{1~xlOJ_=EtYleV# zJlmV{hBGb49062)L@2I$yzk>g*io*7^Th0?0)RicZ0pNba1jLMEd75OA?Zk>6 zoG%FSGtAq)j&cQWWyGZAC|YA@F!6(P3si>$`HI>?7&QmgRGN)RT5vDIOD)g9LtFtQ z=;T!GRI&;%tu9#3>CIDT;A4Ub(yB!H&6axO>38?H8*x{jE?BgBP-RgHwcd{Z^#~Yw z(w<+(%|w`8E52DH)FERtMl|dEsi34u#Q~y&_c{6e0G!{%qh#P1qPe=Gpxx|{^nI6# zT7?^40Kx#pF>aQBWf^etlaRSDin#9guanFyPsLUsVfhtCiR$vf5(e!Fz`?Yiz`@wY z@KTWkEeXUIH#F-A58eQA;bQ!+smAx-)5~J9e^uF)25W(+#Fgm7i}}`R*qD2NAj+_* z2KE);o>L06E4~@T)jH>*K)?V2-(|mRGtVZ4w?R!2Ufjj4QZ~xXo90@q2ny%Qx~vEi zT8E^FcKg6{^icqbxOb526KjS}7_TwJ)B4k=5vjR)8~*5?o9FevkOQ&qN_C6|%><^h zsWN)%nx4YVU=g*cP15K)HGZDoxk&KBH_`9JJ0-0+mkreE5)RGgMa=y?CMk6aCgxVQ z!Vi<=MFTgkk(nMKL=XMu#7jMIQ?@TDTZLZSJ$gL1C+gktZT+rCcSa1~5ykpfiEHOeL8Sq<{MXPAH9Gw{yOJNG}abe2Tbd2e4=*Es} zBCO7Xl(M5=%4bXuKhi-RQM|(jV;|!@@u$L6>&`8jfLlIy4nHVILmc*s>nwjSNZ(k6 zCFab#uMRZ^f6Mn`jx0EL2Bk@u#cHLxfG){Yi^fyP~hToF&|2W-tK}2=n|G0~hpg0uvp{o;1mx zew51zx-euTXTMxGE6J&>mUv{EdGeJ+dV-{3?*-f<$OYER%JmnW-b80@Ms$%Uej7h54cdV_@UDr(>(lf_aV;SlVq z2+0;O@XlJbnN0>S0dC!S6q|w^d*udL7=OG;HuK@CYsd{nkBWf3jiX}j;1DuIvTajq$O8yK^bU$l;> zM%FbSSFIh+!$R zC>GPVH*J!@VrJbirh|$`3@1yfRi$xygQK(|QDz?$CxYANFe3+7x|gT~n1aI|Dc+=Z zEJscfztp65G$=LdyW=sH$X(dSCrHMM4T90m_Pq5lV@F=$)+dZ48L!j=G}-&BzlxV+ z-ws%$iEMu(?K|?YBd;L$Uj4AoZ%*0$$rGtyLP;)&f$7Z#97DV^2(jdkoIPzYnu(OeOM?s1KB4i80s^ki-CIL6i2Dhq66+;G>M zxH{O8wWRQvcMSVbgKXU#y(cNj#@>;~Z%_T(XsQpzPj_%{b!!_{F$_Yj&DbVIsw8s{ z44En|y&^i7vGdOQ_U3aR_p6QB5F{k(weetB??Tf! zY|nlE%r`g47J<;{nN(%)r0$a|yl!sow)QOP8{Au2ciBT(=@cBHawbte_cxc&Y0C!? zgJwMd4YC}|)(lJcj2(F1QB0+OF+qinYcC*Xrah{_0Lh@U-a0Vl;mxojWP!IDbTQcU zQxQOZUQ=BqA(p)Eg&;gSxY;ws&xn!`{X%`d&#h9}bA7)%xpau|-Bh2Bq}E1%Z| zTc$wQ!)HgeWvzNepIanaYpXtV2nT$Baqt?5>wd6xPM((U{}Le_Y@Dz0z!bj!lQhJ@ zJF`0NQ-NUmVZwH;HO-ft40b&58gRjc>drHsh9h_yQ<4^LS$LaUtBRwd9BvXrCx4XTIg1x8UWmqV{`~9q0I) z@=i>_0HQ9=B_aomaJ%od4K7chQp&-3nv^!GfqJtnWL(iX^!!3y*R?*_Xg+pQeaVTs z5rr4{KK+sxcGeTH-cYz!QS$>jf9M(7QY-J}q@pJrxx6m#fwgpQ+W-1bTa6Pn zYFx&E*jmkC!%IrUiMH&)_vNLmFN9b$`mbp*I|C(oxX6(&80v^As#qh`57l42iXr(H zy&l0v5lrujYSRj{O1%UNYUjLh&br;$!s2@dlIp(usEwpGxoi+9Wk*km+rNW_BkVjB z7wl$ni)&O9^EK4x2_V!&6*fhrdsR6M4+%}lf40A^G3uDaiZQhRGo#O^Sy$)J#^<9r z&)^Hh2j}PO$;V_jI`X31u=0C%x`9#*U7$D4wp7)7E%wT4^nV^bOr$j+H9zc$ddwH3 zX>t&|1osOZ5CW+zt%jj7#@fphm6A6l}MyihVjfgph$1Hpnzg(oaR1U8hYgILBXkJCJha+!4Y&pb!3Ka85v$L|~nlv?KBt{^Mb3W=}C zs2Jt#|J)lFGp^m{fDEmnWHo!)p!rqYpe|SY*=;8K{RWlPtXC?x*>8}-*7T&e>A3Js({ literal 16354 zcmZvjV{|6L7OsfJAr}j4V`6rWU&Oz-7@3(F znSU{H5i3a%%UC#@5Q`dHIDh*dH2yCHGxvWZftbMm&;BRf1N<-V4tn7Omqj7!^0bLS z5=y*R){%5r$M6?=oY&RNO~K)EVq>-QqNC$ZoiM?{%?uOE)y#m%-y9i*si2_XPq-AS zGOI`o$xTQknVv|mnuu_ch)9?B0URzsR8-WY`>WedWqW>eQDry4RDnxRXq1rOJJ~?gHg^{dXYFKdw0G;>DSsf_#%~BDhqDB%c9B+?z-ky#%)(>};i8zV_5-x($>@AoECTK&kO*^6-vFO( z0&LbppWsN$Hi{B+7clp;$ssv6HVe<9Ei0w{w&mJ_;9#ygJynX6v+4Z9Qld-@|Z^%NI$0Bp%c8?7(5NyFDwNX+_fI%m%;llq# z(t02*J)DGSUIX=o)c181m`*Ntr2Q@j5ATqgEN^uoXS31dHJt)fs^>8^D|wvI9kFzj z_K3UOZ0Df4ngB$Pyq%DFJ(-S>am>f)D-Gri7AalI<|z@?b@J|L2gEF~yVN}v5b#WU z(cYqq{t;zklam@F8zhaRWAY%c9hQn}fMkvrI-l+vDniVTA$U*az3SzE8R=(svc2G< z9HYq2F_VjtN$PdnR}TM_s?}AmjN)&}lU?J)MJ_@*4Wup|ExO~SxBsJWSdW>^kNIx* zC)q7C*fin?yQopjQoJ{IQz+eDcSL105PBM@(c_@Sz@Ayb$#`R8J#eZ$HuL_+bT9Ft z&3fO+XIA!n&eilVW0_*vwe{MP@NCIMY07iVguuyy*1}WIXtdB{zkyR{x&0`KV7snH zqoabwVE*L5B>SK4y!C@7q*DpruWC9=bZI9Vvqux_ERn8^x&Zv>iDiBlhxjkJN9yDC z3WW%=)b&EZ%cid6^23A%(aErKfd}W13+%bz%tKqOhk9J#KmI-?WvJkE=V12tuI1zV zS)zFl8X$*FaOd5uxU=v{P?qlk)N6ROM;%MUjROr}uRrUfMEUBK7zWP9EC`#}W39*VFQ}4lWNw>3+**9~Wi|)o0y*UL>mhbm1&mG!%V^7G#FRW9G$y#7Kb~ZW*OK|s%_03fR9@TzEEV#03HD@3CEIw{Gj7&GU>8*A!ekh4xO^Y7=1c``aqkpEd z7d@-}dYS|@r1*^7v3bZza>r>jq*^dMZ@(W0&6?9c6#y)Cmls^PmwBD`)=I19TaQj1 zc0iBnxDcWbHRa0Pj|T9GlrUZ!h9`4^w;MZn+1poyTb(rB>9E==c=;-=QdO`fv-SZA zoKQ?D4~^*AiK5N>2;J7YVdOfLlVsDQE}c=X&lG>*~zc*iZHjuv_O z5I;gWVXL<-JErbNb_cirdrCwqLcF+9Laf~MSBcF0hs;;N)cpPqi{a7pbbJGY>LkvY zq$i!bjPK&nY|dPibTJEhex$cta(Zo!R$R-He4C6xCjOp&l_>wEL%fld+ghzcvuyLY zC>E2`yP1S0V)+sL1Nq^ug-ZKhx7aUu>NvjOX&mGD`!|}>VG>^{#G4D|>RF`!_^tsM zeAf2$oyrep7PvlH$Zc5ud#pu{k40P*!y5arUucny>0(xXPLb-Z{gzMc9NBbx1L2Wp ztk6%f*xH65$>qDX349ou!E++^9S>{z2rG5m@;lWgm36Mtkm1`8Ni3ViV>Rp0rtBxA zV+rJlCnUBjN73c?jcg^>>`4y44B!HwG$#BI%CP&!5pkAw5z~mqMv(KyRy8pb|H92D zuI$D%szWpLwg4&MX5gvfCqI~w&cf7!H`%em#f^-*9dD4n{IBDZ=|2y?IIOmwxm-#O zIz=_1gdQ+WzSaloBUta(>O;ML#E?`>sMCY4AY&!Y1fi(nAZSMJesLOIGHz>(8Bh6K z{WU;d)4CY$QzPUjF56NUh}$n^6GL?@N%D7g%4pcvGcr6*1GI*4nbaOsJ}?6g3AMg; zItgIMx#YEm4P)|wf9_WUL>==$gPGC6yVU+`^Axj@<^Yvsl`{LB+`O1rHam_rQi0dG zHQ_wlfMKh3WvH_;#}fGI1d>KNQ5_ASh!$Y%!Y;DqVl41@wNlSBx5{yNa-oEfJ(*WH z!`n$<=;-VgK03QiLMa{=mWIzq_$_#R3&-C=X?;CZ`A6IILkofD zZ}-AYFEO5u+PQtKl$d2_!77$RdN;OU>HZYOa$EZL$N2#Y76~Fo(@2utuiv!DKrX~t zT*C;HQ(BW$2of(5632lqp@VSmkP(z8*aWgO3{vq4T9MdrwQ&5KDrByLYy+(;8!^V9 zR=9*7{rFQkVP#0rc`F3z)sOEe*sZV!?iEj!)n}lTm9VK9e^x-?tK1;Lb8q*7 z2bH0$pn`zE#{$2a%%Ip?kU|AS`Qj7Hqm*}g+RZu+IKREPkHN( z$e_3!S)1%lj2vJP`YG6?SHoV64~mWDT`cf;|J=O3orFibrC#aYg#lK-V3_@KqXWp> zS`gofWs-$al(ZPgrcJed;JbeWYN30At%;iF8mHMmEnG>dq7B*C27b($sP z2Z~kHe*@UMkRJAY6Q_LsBa~*mVY%m|C?O#3Ib8NIDs`pbIJd1=9UHS)ZpC6e+KUQr zPM_7PjBDbIPiG6(#`LKPP|%@7JKp7B+#hqHv67);K#SX_>#faY&Ktk*Am7|=sCC=F z@OZta;2dtl_+cvwfgruEfKim)0$Mfx`==U0zC!3)CugvQ0dCC%h$&ueAapJ7Egx}> zX6OXjF!dNYv?T68vX;ongLyGJD&zQ#1FoEg)JCwv5>j*sX{!DcVbn-VWP^`~>=|fK z+~2k(A5Wd=Fe>XYYt$!n$Rqi(USmgCFw4M zN#!1cHs8F74WJ+Vm@Xf&j2G*u9tSDnVUmsdvivDb0dl-CC1o7CT*;UYdj;Zw0E-Qn zPtb{(zXk;Aj3I%@)BmY4|53Uhj!18TO_% zc;V`hyHSsMtPEHo*BV0f5sI-sYn&9=KCV$34t9HGbhJ4427c@BqN9eVn4<=_*l+ud zTimz(#x4Gc^=U?4q=-YTa#h^kg%g`P;m$vu<y zzNrO`TCk(s;H=ckEUr=nF9TS_3}m{=#Xtm|OwyK*V$)u!7=DIYhu(m7VjPvZ(D+4q z^B@0g1<`8SAY^;aFj+y%wPwI8Lh}R}?SGwB?Y@E*vVTaR7|A?YL^Ev`G7UNV4PnoB z;(QAx-$EjjSP&6P;apsF(M~U7JC(3%JL5MT-vGW5|3>~BHDT2ty0dvdrA6U z%d~}_eo5K7vM>N!M62%lFaostWG6&^zVeiLi}K#DG}cm$66I>}&V$l4WOw-an z&Ig=2;^;;=;uU$E-IUAEi~75Hmid@@c5^&#ZU8qB8#RTu?BJuy=D=rjji9w>qU%A`S#Q3CY@OUy&g_nC zD`f7dV>47C3_;q`{$90O<~cyUyCx;cFH@6W^?7VuL+WZmC8QE$O!WuIt=zIjB~A=0 zEx>}9>XqcNvc@pJR#4+^*$i1AIVv+NrVJoyx#YXalq9EpYzL2 zmiaRF5gFC^&bh0JE!F|km~(1 zvXei+`4ej@;UxQ-xJ%yQSLI0N_Uv$w>j7~xVYP$% z;8;3xVP!*SVA8Gl8|prs4jk~OPDV!xJ@Yv{2_d7b=qH+K?eiAa2~0_$LKy-LBfgIU zlg7?-GGdvg44uzCWjZb+7K^fEVCn3K_Z&Dj-sspJxI07PuIt$U(iwlWTn`Qx4`m_L z$13qiCpbYCC+7U*0((KWz8PSIw-@X=*hlJ`oi?hMqf-+P<5q2oX>M+O5Iyq!2#59f z8)dd!G9WFnwqzs&zgsv>#Ik@&z)uuXrx6HQBAMsIy-L9gRv|voUqY|n1^bKuh{05_E!ln!oF0hD>B)WMha`nowb98#@las%-kxn?#Hka zrsW2A1`qPwB(&iZA1uO2+PfCHPI9)GK?^T2UV zuC>U#J!-p35f0t5W@aUFy8SJNlPMF{OZ3{@Xki3k&*eI~iS$DM7{(Ia0`E?$osHv8 z{ao%!VMWWWST?~UI*+o|Ol@MJUGA`GZK9~$5-H_J%dRV;KbU>X$mOLQ*G^@V*UT9l z=5Ce5v1sAsgVRH`fEmI$#-kki4QL8+Xxa%2;Z(f=*!|ACTgXZhw<95x~lCbCfyQ4vm#dLX|6nM|I8V$hEmA+J1r zhdJn)n27d7b3(x@xCpP_#QM708UW(+Bo%GvF;`^e;IGM;U+dbCTd1wwxzii2)q6l;6?5ts$S28N^EN5tWAu?5pyoIzl zcXl?CkS0_@65}!FV|a`cA%0O@jH6(@WtX7<JX-mr3xm|+jHV$<&pi6113KoSYlVh@Fj8aru8D) z-g?I0!tuerqM1G1vt{pmQ5%$M-hDSI5N{{4_D`p zSIQspYK$A`k{A7Wd?tsBHv4)f!p%(Rr#<*Q__;R&OrN~g5A@sVrUXy9f%L8ySJWT9 z0Z~C+go|7q;i&org&CYIat~TkXXWFYwESs~9wVh7mCCTMIsFbi~1teJt zfr}zYuu-H1x!_&Qf2~wq>@Kud7i&H4QkvLkmz^s#I!)TVWZ#ZdXgOS)T&mXKQ$+SW z1JqQ7-_)$P+lrxrw}C#0pHB1tqWdN?7Fo*IOV-=it2Gvx<{K^c&!*UHY;1H`@Rl1I zrz?(5py$Q695KC(Z>u{xRDGaWs(a1G zW@`rqOFG@TBa)REZj&7Usuye}2TdYK7#eFE(19P*4WR!s;vpA|x^B>2|8O+0Hju$? zY+|zqD^sNE8^c-w4LdMr=wwJQ%Rv!i{9)MZjPrQfo)7@~&Or&23}4+FOLgz)cVhLC zZ+9K03-Pm)z3;Gtso3Mx(%#GS6jxv~nPCumKBW^Z#Hhxlq0;us{M`CVdwy|tv(Z!3 zOU=!T!!)BRw&^mNww0WPay8|xwQvq9p&hSCaRLxLIS)4-2s>O=rw5lr!K*8_@@{QuPk`g&qt)5bWS=gf^@pIRXyadJkIZg7G^2%7j0+EbZ zH1IX*l8j3s^$Z#9U>^YM>lxV5N1xq@(wDcH^Dqg^aG=qvx|tYBBRyHqnBqpmfHafD zK{1!FKe8@vanQD!D=dcAWPLGl9lRiDx-iAYzWSHBv6IMY!rc2+h5g>|zYYbSJPhm_ zuENG2+VLJiTqN`o@v4BTQ}$f3WSI@?IYO??AMw+G{-8CxFI;m(p@{>D=|+{$?$F9hV8_|9Zw4|^nPAT`21y7zr@4Atwc~AvN{$2MPCU=_QxbW2O`j<1=$g6YE7Q0 zC(a?rj}Jn(ssEI!&mu%-T#%T)b5$hcXkZ`2Nap|#_BZPwDyP2r^*_}{k!{Ez*%K_S zS=MNCsf2%6;L?ENMmH%zC~j zlv98s-XF}qZ6}sKXZ_Y?qE{b*52|sZXWCCY0S}BwmUm=T6rbeYUX~&=6Rt@!q$Z&e zB$8m*im*d4gn(zBcBmdj&1km8B2eAolUjTom~NZ|v%tG%etP?+X#2-$1&MKN}9_m#zn9+kp$ZH*S_kqfv%bveW`w!+$ zyG*FP3hNyrbuJKjQsntXH!YPX_pV`68YRV0SC;EtRliODuZtOhjN!Gl9Fx3GPx~Oiom}17MD2z|wgPm0_;6C$n<_a{UP%9); zu5X@Dq-t!Fi;C9R%+@%Q<2FRVbE>rda|Uo1rhAF>;Sadi10B@+*J(6Zwl88P9%+%d zwkg{41zDp<>1{IOf5y{d8N{!RGD;wmr4bI7E98 z`z5RQS1qd|w@~>+N1=r|EfX)Z6I|ECl&x9PY3I<~Lo<1+)9lJWTL}4r1;+F~Cgnw8 zNS93d4fiVaf4Z1?bNAWA=sU|*=K4(-^*Cqe)U=B9igSKLl4Fxw6gJi;;>$$adzShQ z=WIT=_Fwo9<0CVmF^gMavqDMVG=;li62($&S;AE|hjf%bC+9zSe^H{_MR}Or?8=M| z1BGvz^4u`C-yNcbi`}&r+9R*vEB(sQ?cluBZfN^^4t%a>xSCqJ(Iz}UBos6^s$tB) z`Guxeu>o6&1b5z0v!eH7_B3AH19Q+1WOb{1T;*-ydKgpe)A4&Y!ebiVoSXQRgX^J5 z<M!~0#erxq3!S6Jk}9`A^;jWNVK&Dz(~ zfIzKwQ{Im1bspa=_;KdO zd(aQij-w&Yq>){wv;j&K=$tBksWEUPcp4%TiFdO^Luq5@<APA-oB8%)?WkL2qa8fan25?09V&?)Zk*e7X3768-JR%bRfMryG~ObZYKC{G z*kDg53WW<{2r^e`K{c8F?S75twi*;(`pnSRE;RnK8yjl>&Y)`*%(4B;2Q=7eZO`u1 zWPEAc7R0IMEH?M{Y?VE0Ob>df$M$o?t;yOqjcJ@()AhvOcYypC9)LB6K7*06EgbQf z?gy@^)}{?hW|(9Z%1yc3Pl(gO=j~q`M_2W|{}B8j$37NEHT7IzInaj7AO6isZNHAK z(U^20?~c*J?K9$FA9hg>GWrl9mZS-+ta32VwiterUf=aK{NiO`W4IX!9;ltQZ1l6V z>6{}<^PoWn59!~eyy5(HuR2twaX2w->R5VX&X~CkWPq1TIjYFQU&!~t?%T@Hh&oyHk>moU&Ztzy?+&3q{ zcn_blFwzZB`2O>H#k~`CK(LcLL^WDw;jPRl=|lTDrEb$M{`cd6y^ZrGj*=0A5lZ6+ zBTivPz}nisBd^hBfu`c~y-)obwgZ`;`&4hQmSjpni=&}&UtmADDC=_E*y(g>3nc>pp}ob_U#w-7Mi5 zp&#R{u}en6ZZpTMAkS$YKD!cTS@h3NMj)NB`wBd{FNP)XD!_+)aco1bdF7G#@h!*%Llh77AZ8I+wy|_m zB3_nII_ddRbgS!9ob!OOBdXz$(k@ex9hApg#v(IN-7mMM>=tmuY4fe*#MR|Z&UYyFb6 zxHZq3X0M`5D`IW69ifVmproGMW@o@oD{8Qsot?AuL3`l7bde|FEbq4(UY12r<3M&I zhNQWA*^!3t^~#ToQ<1^2){eSX)pl(rf~>;)c@cs=Mc|^9bf{9gPA zm&iw3qvxX6Hhw$`636DgL!s4?Ud43%nUSshe z(6#b2a%ULxH8h+VLNsM(amWSsFzKK47CCQeh5~ZKs5p0jY}zLM&ybUJ|IAx_m+F`b z*vuPzXEsd)Wan3l4Y~J6hF-Q-IQhK-oG_)hA#;xA0q&nqMWeSn#@jOz_Ge>l{-CYS z1moO2j*_?vvD0td1?QPYtmw#EA`;G)&}&2oEiYjs+>=Vgo~s}%gWsP+ zq~;#ALkpmOc5b;0rZOUWB7C)nXu~MDhqxP4sJw|uE>XE*Bp9mv14lCDdbZ}Yz4J=# z{T8FFl>w(zYDdSN@7Yh@qYBr15L$&+v7!9>c zi8v)sSxJoap<~s+cbV=VEK305atyIpv1CZJG0d^rmE4l5a__`^d+|KJdux6kWM8Xz zFQRq_z^xHR53=ryn2vrt532Z3!6M;k56x$vL9J7@&IG;hBR0G9x35)SW$g}hwnpr} zeG!U`==@p!(4=MJ=ig{tFn^CLL5f@6m5vWTrS#x_GHUJco3}En4pjz5^h$MV<3(_a zTolFR(h`S5fl-(@@CSND2|v^9t}$Qk!h$9kW;84d;u2jYQ+c4|tx5LlX&`@j?sy1A zxREa-s*X33xWv)KA^0KUJ#(&zLi0;8xQ1NfV`}`+U6j;zOSj~erDT7VRS5N! zZ3Oj|MMTn5Q-R=e^FUI!Wkgc9?Kj^V9`WE^{5`0^&2cSv>nz@jAaT$+Tz{iOhZBIG zd5J2ll&%zE5lJxEEb42C=+(gQMEpIU2;v@EPTJ^jD3R#!lbaBwAJ*FDStj#7ouTyQ z^6EqO1v~HgE!UdogK{fVp>DK2$TeLfE)Z&dcse?bXEZ zgkbA&>on#)vb$C9LG_i*?!YFzV1FV}ai2vLuPpiDP|@4(xF|yL8b@iZ_JRW$ah!MW zt$Ax?8#Ds&k|(lp#On0oqAY)+>vuw`{p)(c^Lq@S;CHh4InxYshJ|eRp!Uix;GrR% z8#>f4cvTuQ$kuabXyT>iVZNE3!IM*(zm3Rx-bdxD9dHFQGp%+7KF3l}^<5DxfxT*0 ze{{QoE&01yy&oflq$!ej!*{Ij?XY{*wBddn*B51rt@M8Kf|FMeTlMADYGTLTw;yVs zQeSI-S$*RY3u8W$PVM{$a+ix2@nH40p)T@gc(_+rx0VzM|D~1-1A&uq$P#!Oa#6ES z!iakE{qi%+g9e@egwFuOYrLplfI9qVzTtH@J!JPk?Dwn4UI08NV=*MacvcVZ9520M zDJTrdIdz=3@(u8Vx?-GwgXjU`vy<`K2xM>CDsM|0Ix~; zq&&ueUmNjfO5pP}$d$**8(p5SboulPZRaAlvplo^2w}0DyBTz!@vg z7wy5;uh{E7AL~_^@it5s{%>xo?r!ycvj1&;%kCuHz6`wKn(;f0+a@nj(&;?$Gutz! z-GNz0LN3IdQ;+Wyk!N{*r+#!1M}d)hNt@OB{lfT@b>u=sc7v}E+KzUr?MA&<7#x39 z7lC{C6!2Qi)syTEo%w4T1>WNv|4~ei*4XRvZUiS}!f)3IFFraM5rrqA0I`S|`TB2X z)xm9S!{a3eVfQ=_IQdl_`^;f)WxLtf;%Q}j^JN;uVp;=9G=KUt@nOQs+H%%h?Q7E1 zrDH2|t*fDR$Hta}VQXP!p%U}BV@6MEK!or?^0S;ktLhs|ghMMPI|2wo8GNifJiIKz zzA)zD+QntM&0)Z7W3rz(BZQEeRl;@x(e=f0CZLi|ITi+Hn<|tZX4fbP=b!-;=Cg2@pb-scJJ(#zX zBB@GM>Q0YQ3yeIlgOz=TW0sXdQ;Ma9r?gk-jN0`l=MRp}5BvS(?LX#MsfxpDnlBOJ zT~Vy8OyRk+L&LLWLgGad76i~@QbrmjJT=0aZBKPGZkR%T#mKMaAv1blYpC`b2;I%t z?{9-UPZ&X8dD!n?=s{n&_Fv&%MQOL9*wYYgKDAKVSwvqbJI~VHR9P5~ypzTs86)dw z#KF`7l!C=U=-eONLO;Ie6u*j)U-k1&o$y-kkG8_|5z5)xEes+4q=11 zp&MV=T?%I91YoF%d~gw-d1X9y25@R)kd1nOi7&r%gWJ8zG=}^9kx+A zLi^1}_IJlFFQTM?XGLwoW)ZL`sU#(#89jhy&n_##E z|Hj?pVFH_raGHD4?-jnteU*Wul#)dom45STcnx5L^b=;{?7kbpK)p0m4t<;3-kG@F z#?vC+m!*%tIl>%iX@g+in)`uc7Xq*SLLZ`M#vIbOF5 zgt)o-8U6*gW0AYP_n;FpvrO%LmzuaoIB~ntj?u>QQ09dB$`>+oMQ{A_0NcrZcP6&> zdR`5i|AlM*UJNKpIBe)vEX(ZH$|&u(RVY&%67&Q}KfEPbc60jpk=#SU=G)N)f9A2g z>iQUN7Jg{Z_-w%V{E!&eOqcw`lTPgJR@$llDCqT?fE5 z^i!*5+~kf|Yqwl38IA$;;6E#cn*7?+Zm%$d{Hn3vw+yeZu!6QrcT&!CEtsCjdbmK% zUi35BM znGZ#XMF5)nJJ<82LH}PKTm^nEW@TWttg&vAdSJlQU%|fG*heDr8$N}9b2oC2;xl{L zeulmcs?qP{c?3sU;f|noPGLmP;|B<-gdER?oKxU>#|!tY_ZEXm+sg2tZkN|4kkcOn z2%jJf*aUX|c)owd?=2OA1Lr=duZy8^!v0DIF+!#6)yLgfoa{$Z1G=!^xdwNp!SIp# zQrH(>Z?t^VskSVDfc!LwX{Zg8I(a2OL&0~+o;SD8NTHL&n3HFM%Z8L@(3tLuD?Ss1 zj7P)kSi|cn!|UY1o$|=ub`ZCybkKg|Crzq*o3wM86I$4Oz2j*9N&T0n09Tyy=DtS* zu+J|pi*5>t545}+v#2N5XU520tioN02EZbLE{FT&xhp4c{ZDmHNIO-7tLChgOlr;5 zA}EUOF;R8cWQLV`hvQ4W_9j~!=)rN!d}k#e^@u(r9ik_VntKNNg5zu7)kwIe9L7q% z9W`rBO`9N=cJ?Gpm*=jNG7%+Vtw5m#;y?Be#LqEBW`CIhW%fxwSyo1bUzJ2&M$0BV zw~Q%}{1(!$NKcpvD#pVinYR4fyHNP~AaS=Vq~N0b`bX_YUc1@fiFJ6LOr1(Ff-!r!&{nw}lPsFw54H>T{`xRWsB<$0_XuW%fhDRiinTG%rtGX1dLaGtu&^nhzbi1=hW6oY zG}(5qz|ch9e}q{<$Qs^sOZdKpjpUP@E`qh``8v z;dJXbfgE1iB5Nc0WgQZ4&~$GLT3fwL0Q|Yq94sEIXgXhvIqd6!o(k!b1u29ilt~6Y znlQJpU7^z3?MTEJfewG_$7+1G>*gE&3mqtJD}x zTMQqoT*n_&dK5B9a%PMe%u7n+je%tT7wGsAEIT686hk(9hGN9RmN0>GmM`Q$byC|E zzHCd>fO3GO)TR8*wqi?ZKHno4YSmlis}RE2*XINt1MRL87RfNn(AMW1^zNh?zq|Fd zm9^&3+|)85!EVcq>@p!f$@|&R@k5er;EXt!c#_ny?;+n6-6mYFYmFsOU?!AKAhL42PHty`I*ku$)e=L}w#K|>pm$=;zE5`$!8o`$K;!$C z8f#M1n}34Yab&L%o^(8Q%xR0fq5J>F3~}8 zhd4ycG-YBF_LLZA(O{%&UY+|Z6uDLixeyMaOQt*s!N)ZC3`?O&iPk9p$E zI<0wgz>pw6v3WDig+<_ynuPgXP2Np1?%Y|RYkh$%?3y?RP)4v_a&`)nwM$#XBNII4 zN+Y33bfyXlNO(Wo8t9t6mx<9}CD@MU%>xlr&8Z9Rfdz}Z4caL>6N;=fYVj9KMD-WM zOC_@4d|H)o+U=rdWUEMoE4mZh3fD1{q737JcG#_r6ntzfMXtuJKm#wQIc;mHz$InU zRg$Bce;%Gmj*o}=EWV&G<8|Gtj@0Ec+}MYMjBVH-FEzo|1~(ksUXd>bMMolc`Ed|GklFQ8%FhQqi2o*OA9%=JN+ahJ8_;KI<2iIkcSh^dN*v_Qu-U) z=iy+GuryU}a5uO1q5czdwK6Wx1EtL_tK$pYb`Q0ui|GUF#{V=HO>!$u>1_7%r;`ecqZIvv#oX=ThP}UlZ#!JZa4`5;CKH?2#CO z{%NK~1ABqlLg;mI{r);7HQq8L5s0;cmT0t>2Apjfc!(Q7WguZS5El~rF$W7p5lji) zOGBn-=GF3RHYGSZIOrnu`|)J>lFYd)yK+aA*Jjjn>;<#WS8k1jP{&l?GB zv!uT7{k=XlwY5pm#!^2eBh5h`8*I6mq5MjWyr90HYbnuVjyotI5sqO^?vLSz%nFY1 z`Ac8M`@NrCFbIZRsw_~G_xNICb<=iT()RL_Z=3JR=@O=%jy;a)aaWuVp{AC0HJUz* z5C3}IbK$r1q$+C^o}lE>>IJ#N*pn}J4g^otU=8v=Ng9qqkWquHzFDu_4-S9wU^+)) z%G3lchVrl=mgV8Nelh=I#GV9GNwIJ(kBT3$92XmYFa}hP*jj0jnf+xuUjc6XFSqQ_ zf?O1cd_4%oF;Mu2cunr*xW{cfwjh%~svxgk^=q63NnPJYvuuGMrLV9e~`dx8_XH;RuGQ8Bius z@-LV**=p&x>W`{Teupk>1VP3qXZ8nG;Ui+zm@{1BsFmq>H7YT@FK~qnPh0NwNZc4Y zqiL|tkE0RX1QgmGfYhS1aLDa1dP%S$cPlP~FW9dw3PU;>x!VD~!Cx;*aIpQ>?sec7n zD)2DV4WQm>sojBLVNk&o1%E2llfd*b(yyhLuibhACqqKU^0km_G6+Kap7^o-JP|KO z`Vf*0B$)at3{319_y_XByFL+ftE;;?wl-T+lnFe~?@985uICoi4bQ5`7!hLOu_8vQ zAzYLGDv`#SuYWH-m{i~p?+KIO86-fyJq~!`{dT@7dAHS`o&v2pejM%>VlrsQS1rH` zKR16GP&tT(p3NdYN2fIvNAD)VVd9~o9uk{-oyo*7f)<+;Re|I@YS=8JUZS)tSK|jb z(LsTXC^qzi4?7y7ayjmL5u@mkODIGL)VL>EfmV&ea&RQdql6OGIeLlqNxS#oLBC=C z4B{YqRw(3E7or`$3ojcv!H@+J2{U_y<@oU7*N9n*uT?GQlAZobF3701LMR1P9kR433G>B^?27JPN&1RwPMc%bSs}&yrWFW^XArxw6<1!T#D$ zbk1~q14QqVV<+LciR2qv3-GpCK9yP-NmHpQ^gi*a>!r`p?TD-YHRFe0;qmjjfOX#T z9ftY5r!!1lb3m1~h!vadjD{T~?RIYx>WWicQD_A!aZi?OLd62k<16iW?+v7+2Cjid z)P^r@z=*f}G~qIX_b}zyvL)n5Hmu3Sgtqn4c0PoE7G1=?@DC`w!PLspEqXdXk9>V7 z?j*I{d#T)TPjW0OiLl*dO-+h&3-9eT`4J6eyt@@~CwYb&YLEm00*?n3BTx+a`$Y%3 zRWj0%Ll9h#*S*;Wt%Xa*0S%LDO9i3D@Ri9(hkAO}CF1(ylXvn`HGR-WLjB!gsq zksfqIP%iT0)$)r?oIMmK0Mo9yqyUe(EDv*LUQMvC3CHP6@|G}Grw?;>wIo_c%YeqB zXm8}~bXwHw`UgMg-{K#gBfkS-IWi?(GSv;Z<#I>O}z5)3dr@$MLtNxS{Z!Q`W^3;UueM4P90_`Eb n173w_L6{t?lhOZ~hSy|h!G0(zkPM3ELMAuo>-(Sludn|DuPIn@ diff --git a/CPLD/MAXII/db/RAM2GS.routing.rdb b/CPLD/MAXII/db/RAM2GS.routing.rdb index 88e339eb5d6dfe773bc5ebb0fff18a358bfc5533..a6f4920ec8834b3f33e06c3f6b91d53427f1035f 100644 GIT binary patch delta 1300 zcmV+v1?&2x42ukqPk&Mc00000000sJ0000000000000XH00000004La?3&+e6G0Hi z6A?iW(N|FkLb3Is?2o(rcy1pQ^d(q)E~VB$O-h?m@SoSs-9`5MY1t%gO|jiTNG5Z) zJNupQ%+Ai`ajVsO7T)t$yWM&jz7Hqo)6w{-^KEo`*!i-5a(_10^RwN49)5ap&G(aV zUzl-r`+UZalj+$>c!!^^e6_24o(A3PCEo4(bYX>61Iq8_^(e>z!MEXK)0ypZW2^IZ zGTlF}J-0U<9glwPPe+q+bKj+p2aV??G+GUw8#6p@f4lgL=g#E#{O35sx;XAGgW8(I z`fdiRa}lh=$$v%0^hTJzuc$hxLVxe1vl}w@!7Si&4p?S`NRV}_0=Bp9Lk`%TT#Pfc z)t0;TmYRU}Lj`SFK|35B{g`HKZw=duwyw?xpAWxhXzT2H8?=2B)3)f;%>6W;9-bad z#^aE7ucG|yw_IciGEI>+%g>t)Q-?*TB5Ou7d9D!{8-Jlevm$3xTLm=hQ$U9Ex^TXl zk<->`bf@Tq$|_@dT`a3Ww{;~Iu&j!yjOKOGtaqXMY#PwafgU1tQK^4b-mHI{wUf-Y zF(wvWDWV_vSnJDPEZRb~&c<2{g^MvSL|+u~P^B7KdYP!~iWwC3-okX_k)0jHBFrd! zKh_pqxqld1*qjzIuPwzYm|^>321dq;fR*o+@e(jEM59Q;3~vQzEWhZA%L*r0xxToJ z+;C!~QUAgWXHyfw3|tHnTBCec5%WUC67DKeY$@Dueesc3VEw?wWbj>CzSl@`RP^~RAx|P5S_7p7B9Kg* zfn<)#9AytuJ&c5i1+HWUCW0BTnI6=G$$x5QXe9$Q)^?%?lGr9-70^K7KntwWPQk?( zndH~HEsmll6_z(C!DyS~OD7lDfs-7nh)| zAygEIZj^ZtQP-u5K$@Z8uyh$nF=im@64K=s=fxooW?-VU2s1Ep7OOzIvO5i@fPYtv z1@#d^1KmrWCbV5iBB_rs6wH7YHY1K03%Ud>A0mdrL^z0X|6N;`80~k(8OaT3AQRpw ze$|6O@*avxBp?acL0|+9m;+uRw=Y0aGa(3^_Y|op@}9EvzOaCG$=GMw)JJ~lCyz|^ z`n(KLmiH8)x26Jk5W6#QW(vxC%70oSh3PtXi6htv4!X696?(b3ODvfonXZv2{tpp7 zRc-IEC8cd)bbD4?ug;61AfozWhf15qMn_Itg|-s9YM3{7iQ`xRR&W`Bg_w2i>R$Mit{BB-%q8u4~9{+4PHw-Vg2cH(p zorBtPpO>?P*{_}DY%y=r&ui^7$#itpTfBAf~IQa2>o@wolyVIohPH25m z(He&KFRjzX&wtG6KXLkM#i<=vq5t`C@HS@b-BrNn9B`fkBH^stm9x6xBs%Nc#m{-B zwmNdpeWWI+eO>u%sM70nw*PIJxxF-QE4TH&;`YPd*Gz4lT`$9JpS9gK3~JAPKVR-0 z?Jnl?n0C){`PFB+$P#9nB5ReOw;E5KhC$`5na$+AMt^8*goe$^IbB^_MKT!WiBtuWfkc0cDkyhB9~P$mD#)~n{}Yqchksb4)hqQU8Vk6d9(g( z)lM=)V@zB0&WbqkB4V@{wMX5!Y>UB7+M+8&5%fX?jKT}5vJgchD~gIzFaw6SG71qe zxFbJq?|-OJ>LB`2(S8_;z=j>m%776{-Jt3XiV7n2j)h65Vj2dF5{y{E!&THb00WA= zK5W$7WCMPsUWcV@5OZ529t#m0gkFevnA_h}q*!$`r=qvAUq2Q$97LV#)XiWruwga2 zoe_VAw|gg{;k=^4s4iu7lrSc)9du3~cBQZZu79k~wS{g0Yy^oT!caD_GS~n}=(S3Y z4YEOFB?bWlsSnxg)j7+4v!vryNo2VjsoeK-x{ihrCbV1wJ=)I9|-LMcfVwP>0;j%spC8-+En zZlA8A=Ef+NTFXUIBVjH^De4_I9lvQdIEuWX)h0rcb2Sy@(ZspXL5}vX%tRsu_g{)y zP{CtSoIvbA1^X&Uz)0)GD5}j47|^SoKrF?I5e1}*nA-sZ9V*x;iOT9I?3?a=b$_6O zjc&RK2mY5Xu@4$$8b?OG4pzX801a5Qg(32~l=jIajE!}P>9Oi&WCLAd?J>fF5D}6{ zGO$7xQzs5Q2l-0xzu*~GK=y{oAg*T=1qm2wy%+`N4j9m@`58;)GbQC_nhH8-zmgBb zf%BQtHa8A0oOBSuB+dnh`Ali^e19zh2Z<5p&RycRL35Y5&BnEG?~Q>(%Mq0fyDr+I zVe=<_q^{HHMZb=U6b9O)Y3kDLEa-&@u0RgjXlWQ&8ML!as+~Z0AGNMU4kIQ1nx)O8e%S=)kJ0@HlTHP_&1iQ- diff --git a/CPLD/MAXII/db/RAM2GS.rtlv.hdb b/CPLD/MAXII/db/RAM2GS.rtlv.hdb index 1a398d0ee8653ba56d59fc254c56bcbbaf9efb3b..fc64e7e8ace4426362ab254dee27ad7e029f3eea 100644 GIT binary patch literal 16484 zcmYkDb95%Z`}fU?!r6pC#Mr|5JFjHx|EkF2`oEe$d|>|<{}&qb|1a$hdhP@B7gr?h@mFmH zQ7uMt#-C6Od8FR;#PA3w)5)~`xE7DY-*nVN5~hCwjDM5;Vn_YI15`~}S=srfwyi=< zS=d)ZKqNXMB4YIG{k-e=mYRx+>Se1*PA#Xpt-P(QT<%XmshMZ%TltmGS$TD5SygBD z5E^taf+6R84L5Cqp7e5YX5_4z74nxNKKeqw_ULdW+UUrX$SI?qVY3V-`l(}9y|~Uu z>1f35sE;ErbuaB*i%eDt%~|K}wx$hrOo{XhYgsA@Il|q1p8J93OJ*%ab%H|ZT7p>P zoP%#Cyd2J)uexR7PK?SPlzut&-j9b~19iN>gFy9B_2K3k-RnL<9Gd&UU^h zVUwA8qIWT@KBk>&xxsW86H9=FO}SBi@#sHWG@tOqwFt3F72R3QlZ(nTzmTowbIP~G z_vWV26ToWKqL&rVqw?DkrJ3BIg(|=zL%Vi2nb-VJJwjvixISmQ{XhDxbORp-=SZ#M zr5sj(Qf78e4)2#n2HWHW8lW{tCZ%9KyMBFga(=z4e!WWh@bZzx+O0ZuY~=O*9CHom z$u&v)IG|bh0i59`{+A?1{euiDv}J6ZoYnPgE~D{kxu%7;$;7s?)94b%R7vZWr`~F* ziec;I3vsvYvPY*)@wsHe8E*bvrkazI{Hh_Yj=RG3ChXOdroK^w%xP+a$#3yMog9Tk z<-JT)#DP~5)>}FJTA|;bhDMQ8KH#_J)VA5}5o9#(dn(seAMZm+pG{Ru&B5Q{H(r{1 z?j@S|aGZ79%(EH2UyCs13q>%K<%vu&knSf&mO@QMBT09{^G*r!h7D+_yeA)a5k6OJ z5EHb&t@)FFqG}2;zF7xGrfm%<_B)pB-Lh;q)1XF%Q|N(g?II|8Wi$qWKs=c$SZT0sG>;rzm^y^M}KOjHdEN%_)dieIk z@&S(=J&xJ^)<_Sia;y%$4vZ)ixX$;eAYKqlypxAq;$q=+e8tJ_FbU?8k(RHYvU6s{ zV)O6b>zC${9xr3q^00s48{g-1O^0&0CT0ah$I5@kAuul%zoUvDRo8J+=DOh zs~=T@l}h8ZiI5v*eZ`ADj~HJO^W@kvEI8OLc|c62&ugEF__mcNm$hX|`E>J*MV#8} zxmDzA-_RUSgWc3`=Y+^YuCr80Y-Bt4N$8Y@8<5*ZbIv8u$cgeJ(kp6^^3^QZKJA3Q$?%SMW|weFR?i`1-%uXCm;Z2XhF zU$jbh2YqAy>$sWX0li;^M(C?THVL-N!-ysEh3bQX)#^X%L)Upc!vg#i#a|Kz@)H149lE;tL8Y%AE$|-ns{44l1EXw8`c#33>+(m3>DO>?^6MexO_T-IwNFWN(+@2*`f3E?}r%j_|kQ z66&&(|SGQ2k&_Eg7tl%s@wn!QzW8BUSq^kq6IpnQDJ%rbB~Tbm5=IGas( zHIoY;JGdFYKIZzk;pga*%`;BfXKj^y=f&2)s;-%@3Xh$KsmDB6PTuh@s!k`3KJrU1 z5!Bkg3PpTPwP@?nwSXSyE9h%f**7?2fBcSd3JMq>u4sMDsn_C;tZp+CZVR{=rXYlH z5_fsf6FE@<(V2&Sg-*!AWLdm0xFr}KnuSh@7<(g>iDhc|K}DWOOVGI3UYaVZdXd(y z4WUMeMjAR&3Rbt2TU`R<3KXdlC&NyT$##9TM`;@N^U;kBG9Fx+RP2>>3R%2A^A5yZ ztapb)Leb-8Om6rMXJ=N7_Lz0BMb65szDiLF0^9xMxVXAzMac%0cOZg$DYc1J zYQ)#lrV8aU&-B@ol(kp;dt~?}eI-z?)sOErj{lxc7_ldgWPdjo8xPy-(O83xjZe{~ z;(a~OVPY_}G9p^_W_j5SA8EAv-UW6;{LAUY!OtRp$L)xrrhHRN}me(bYDID*qAPDEVAN)Nus6Y04_8=gb;k^G~bf@y% z#Yyo2R!XVmQ3IhE7(<>O{~o}&efXJgLLL3=YrXqB!c|rfQ@C!{m8F1bCFF{VW4p{i70sIl1nI|_&fz+_@mrUv;;FaG=xM(b#CcF{Tl|% zz=1wv*#A!m(T@is0UPhD5XV=k|GVi7J4LVJ%`iNuss^aYPl(r~rhE|D)Byh@JCHS@ znLP0@$u|_+{L=x&AVT^jg;)wAkEf4NQveo7<8!cP!at05gM?|gC1xDvjUP{V=_4Fc z^7Jiiehcp3!sfSNZnnJmnlUq`uNy9|&oJy9Nk-M-f5IM8XzdHZC>)guQOmaoxu~oR zm8c4#BtvNp60)cc#$BDP$I;HkY&eXy1NXZpellZJ_QkeH&N;GT&IId;eVh#rdiIUC zciS6|$jXg2C#9h+MQ&_WXRO%dqUvC-)^+LDBIJ^)xaR(Hy_?@+or?l1OcN5^$jjEg z(#PyNqQr!8lsbK5pBsIexy}{^EUE(od(N4ERj1LhsX{NPV42 z@~~e4e3X_qa<4%joW1YE>Gde|%JN`bM4dWY+OQ*ZTU)pc5E~=9IjfjbgqI@_M#<(t z*!!jfpUh6ub+6%!FD*0%VHSzz&YNZe6=ND_I>%ptOQ~mC#YbjGjZ#Ci)g;ERE=DTb zD)`>wm7NZ@TJ|pf8ntjqmq?wq`_xts4_a(?1L#YKjdz;pJ<=rdO9LpNJls58zH=Im zka^Ulxg2#4G162gC?3W+*;|hZaKH$vB&rNly(S|q>!`?6;4$WE2~+bT>V|xMy@RPQ zq?)93QpH4+ijDg7`TKpN1~Tc}Sc=V=j3o`GWu4O;nM^i?ZPu3K;5&;R99+VrkaE^m z=fdDwJ5rs;YkM^I*}LyntBlrS&H|pjk&xI_2HTNsW=^G8fD6D5KRc`m_;(9qDb;qz z_K}3geFL-f^T(uA=(b<|DtgRQsu(%BH=G^mM$!J%tbo|t5OqVT;+K4(GTjo@-d@9K z84BG(DMpBHsOrAwaD1)OiR%-Ub2AP81kIO3N#^BfZX`ww91o7v-On>xuyX?Zu$*Oa2;S;~YO| zV!fY!RqV!Dd1QJbrkbL3v4k;qAf9}?14+2;*yl{FQYHoE9n=um=)iKv8b2m2k%gXa zU#rv}F`AAI+JxwODJ7O6J#IcQ8$)*f$D77sG$fyt7xsK`Zvyz6WugBo$T416u@=d? zsTQh3Mv(+28OPAC(SK5h2E64CU4OT<6O45^{h4~J*ygoGmnE zZ$X8`3aMH5>+4rmD^4!VFXn(Lsf1Va1Sf?J4fZN_V6I^MW%tLLst=;}j;E67rQq&+ zzO%Q}Vu9vpiJ97vWjv2%8;@l=k7ZepWw?)J7msB&nkrn=frFGyd=LVY5H$kN*00_o$Im zF9XE}N=Z5oR!O?fH$LBFebe#H23E=GN102C2gxt>2cuu6m;zReMT|fxa~fmFnXhO5 zJHdAf0qiBypMr4bH3XO0yI;@G@6{J>V)tbg?BmOLm#g zwEKTT>1kj{Ry@4X?mK7)+l%;)1uJTz50--Tqq#8SN)FP1v7IPNq7UY`U@SayE+(4r zL=d);7*Mv7`c1|+Ip5@eQ~XVNK-p&Xc`g-1*+`(KG^>YvVp%(pA<`2|x6(orTC3u8 zDo5UqVmL(U?7Y+HE47Rh2!)K(+jo5T&Dl45)G|J6LxV*H#Ieo^>|r}CdHvx)OE2g)6aWuW_DXv-u}{rZQrtwVE4()LWXRa4`>-=uVsGQuKilD`NLc zMKSR-#XIYMn-xN>fdz>O$;lO-%YjOzH|_E=d#&X0czxRedlMCtAj4$mvU|CMbKJR% z`Z@w4R7x27rmp16D(6m~D^QX^e{`VgGD15?(0MC1R_YYk^jE zbIgNlEfteE*-eb`vpdt&n5fu6R?(`S#4whLxv>w+7~%1xU_!yCwEPx zWo3AH_508ehnhbr#0QYzE@`O)n-^coHCi2n?*N@DVC)viLzFJuR%KX2VMS#?bmrdn>snvoQEXK} z#jCf_!g9RFPl^?A+B221=q}^@mlsY)O&4&-lsbD&XAC^@!)x)nh1@d6w{B&+KsHl+ z)m1nl$n&HX)NAKsZdHL<7l>B0!%3|NS}I8EWpN_m=y5XynzRU2Ql%MXrea<1?gee< ze-<G$Ht9g_ORVV)T%8ccdPH8YWqQo$Nfbuo`_G5zVp6j}G?8q9GE z)doh);_pPDvA5E6pWEml?%gWkYstW!n5xF`$HvBh>E&uXgr8XH2yFX~esc&2m z9x=%VcQ$9;(9WH)r_kRUx7kxYx;OFIxhFSc_Gr(tSyYLr#d$E$bM~)Vo~?@gtdBB< zalw8#T08saR8KP~ke}+UdO>lxkCI&IP(8L(qFF;)U^XM%K83|Pwq0(WES>1vmvMLLI)1lgCBe)(u+sTczMGI(+lb~kTz-XwNy6}!q3K2h-I}+n^jhs zC0*%m9g=E2ZLy&@kb``<3Xm7Db!T&>2k=qdpO=##XDh z|MI0Y%*9{OeARo=9Ch|&(zD69|C{5cU_uF)Ta;wCsI-!?F8|A!R}X^I_eC0OL?Ijo>=OVb2$%wf}*f zq67^39jqnz`y51mxyMW@{tvh802+kX=U~40Gmg%N9}SN%1Q*FZ8>z7S$^?cz<7+`II0~ zuygnK&t?GwKk;1{yBUkBSqr=(hLrU#0zv4P(@}nQx?gT(idvq#1 zsa1It>*xvL$&Fl(oXN=zh3UR2OstCJM1>Di0HuL+q8$MPM6!H(fIqgEvU>*$dBc61 zOS+U`N-^daAD8qSH~0E(qm0q$%= zdi6{)ZwdAB9oIyOq2qih;2QMLpdZol9Xo}0Rn@;Wmvl`(%bnF(z4E=x*-2qD$otC zmccnQ9;HQl?@6*w(XxqhY9YU6BB(rdUz=m@_DiUCPScgUrFKxcIG6<~fzFj=={$IB z+`r&7Py=iGt$XJ*ekzhG#tA}L^)}pb*^EOmGzc_roPZ#Bj-_PD zQ^UDcVDb4jJKxqixtD@W_0j>3__d3TBjcjSaMe=;?V;Wkd)V$eBW$X2q7GoK@6uwB zYvhZxWJ5BK#);L98qS>5ONQ_~ky88~9gIHHw|cgnj9DUl5?jN>t8rF?CUi(?yq^@+ zvJ*O>xVX*oeHFQ2UiJ%O!}kJG*3Rcgp>T#!$d@E@9E0f z%?1ZMj8hw&OF(1mSf zE|wWK4&w!BlgxiY!Ppf|henGKo(}bf5fxCkdKClOJh>LDx27BOtVD()4zNu<)#|IS zF4Hfq&(3ubk_l#P$tEG(7g%ciRt}@FU)!V1cKOhgBbNW_0KHv3)}*zjUQss~{5I}y zCsA!|Y$t5e39+z8Q+~UTprA zb-?*xb^PmfnetM{!HXXx+2{ybn5ulj{g|)#Fuc^cLRv@(C%@PA$MN+C1vZ;RGHZBe zxxkm=_j=9@odLx z&z~FK{0NqF4>9J5fg>Tx!$3S|k-NUaq_W&f)fC)##cJX9TDsC??$_I&!1*Juc169B zmsw@y%NPGHirlGg7|~YPD|GM#rjR^L8a`K{$Yk{p(xeg(QSsj^gsgx_UPg9TPvjD& z)H{A3!>acJEA_~hdi9*YQgaz6`qpSovekT6u0PW%tQ8GBSf zWy3qnez^S2MjQmY)59vNV-EV|oe*&XZSaR0)Z%YdjZKeDEsM09R|(E!P)Ab437kQ% zuMvG;@rrl);LB|GIs)`#OkC9O!Cqb>0^M*QO-?S3u&>pEAJYH0JJfc%i0_Hqj>|W4 z?d(H!e^8w9asBaIP1-M%%Dp%)90O^fzZb_bO)^O}+B;F_T1{zkt2gkh%PY=rGJC0g z%-($5e5{IT%zm7_%%&^E(-~`BpTOnw!LafQM2R?y)pxk>ozv$>GshpZDmVfe?aAw6 zQO3gj>31rAVo!TUeK17WSJ^oPAWk(A+MKt(NA{oRh`3WsZY~uCCEfEhX%u`*Uq1RF zF*y3uFDaK2!7g|dmFzBop%@}CQAo%@*oi)f2S|AYp_39t?LJ`H@L!H;cJ?e6Dkhf6 zgl)w7>NKZTJ$s|kwWQD{L34!z^b8o&VW8r|@*N^H< z2nkm+tWG}IrGT#=`-c0mQHvo`LIVLaJHS(Ui@bDia3yP=RP z@Ek+l2$2#Wc!;ZHncYSxe6XA@sn_rO0e?pfdKuX$NuQRipe)D~UF-f*;o%FCd#RkD0$!gTrN!>dk-XBq+2Olf}&+K(j{}h&?oXRNS+Cw&tI9h-E@({^E9mSqtZC7CK6vSKf!jc97 z73MH_Eug+wBo}*%Z+n&w19PlH=4@DaPaC=ZC6OX%NdP^IbaGoieNq66yq1;*gaw|ESWMG0AetFT=90El|(ri=wvh_SyS>m1xEIwb*+%>B+j`Toi zKDDciI_Gg;ncR+pFQ+3xeQ-`S%acON_AsJ=l`;}CA6!qhdROp1fq?tpZpYZ)JvIF^>D{%B&o%(mF<*3TDg8biE7RVEl^U)Fbf`qqcv2#3cUF zZ(dz?0oGYm|AH>cBBNVTdO=?q*V?z86VRU{W#R$r6vW#Ds}eJ-a|!5&EiQI%V8B8T z{UON*t2VkI!E0Y4Bau4;$?};=m+QQOS!q>p88zK$S~zdgyN7JHE8(J(onJ*=YdEl= z&T2Noi|tJ(Y2u|t6r7r!I@2Tbg_ey#q4W1eaVA{DhXmS3K(*r9uFFdYYCU($CG-<^ z8g_ze-I*ItmarD!w3oR}3}7Z+%Z#4_n|0v+_PNe=T+evGz0l<}K1f8Dpx03CWxj|} zC|e?A1K`%*?`Mq`!RJqLUKf_$JCQN$nmk@U$aO|0UyJ%Q)?I-kqNHw{v`aR0>EQv6 z>C^46HCQttBs|)eE|9_@GFi+$6B>)8b@+xl_xjzhA29~`(=8QFlBZ)Ah^k3nTiuRJ zw+uuSR}b;H8-f_Cu*sB;zTcw|Yn+Cm5XXrh$blC`*yuv?tgu5nS8Y*qBNwnWY;GYp z*RWI*nJk1&=mD{_ZL0+@F|3E?0r61!WxDbFDeM$e>cR>Q07ucKI#^6}x)2|rY0}KZ zq<~eXwcZccQZ1pOo;ri$pstsLL?!71_Yd<&XZ0^18@2+5CqrryJDSY!xZS>%_FXm> zF2r@5FPujKMU3*8`L@8&f@2NKS3aX*voNW>y#*CwYn*d%%2n{vdjY?qj32L$=nWyA z)b7syxc>RNdq=;u`Zh7S%_l(>fK8*1lijS=WCNQsL{rX?T}C}As^?jihF1`!slye^ zZJGmw%R*hImA2exu3@}I-@DR z9@9pgs0hbu81STv_dV`TK?PT=(_3IB<+iBL*>ZLcKL%2lXWPytQiuh;w%t1oyP@|| z!(~F3jdD$m+`a5!X@2y)2<(}~&dIQQY&e0K-t{0|QwZ2%SzXrOOWlcGrTA|=MTV~% zbKZI2&9-YlN0E7yhX4;_TWM_)nk*5|ernzy|0mVN=>G&1NoW%Rn!48LlTTsaUA__vg^1^k?AH!pXWf z_QK%hW;c1}2*qu#^X;6?NMJy4T@7T*4Tmu{RY%W!z9+jq(P(}h4_(bj&(pS`&o?cUzL0t zh9o|Oi0lnkZzO`Oj2uo$r-)s6oJl)$57RwnsFQhb#+eW=V1>LW`K*DVOQgips!}xopW~l`S8Dv)$>lWzd{Cq4o#K!QtreF%EjE zd8wTU0g6G0uJBQ^Y*l~x@L$^*XklY(MKKW~8DnBqs19DsG)vFZJctm%AvQMp-C0mx zrS_7!bU3&;d&<;K^NAmBX6;Q7={;0Wqp9M|skKd-Q-xU%rt@ny+?gABIhi=&0aoNo zP>8cur&eNCR+6mldD7ibwKum(&K0Vd)$>JE5ik1zrJ6qaE*8cGyBqWG|I(OFwX-8s zEgKYWmG$@ZD{p&8Mu)p89~@oExOg@5OZC9$&&;P9D0qg`>#zqEBG-i zV{s>T-Y9{AEzG>io0RSB<@|~BA-V`R!#&xiusqMe$b(edF6M3ZSiVtl z_dIZ>!JHvT+j;D<+CJ*pQ6@DkygdOE8vO7iFYB^*1}8@Y;J2D z2KousYI(VZTaaW{tm|i0#2nPbwn(WfrG(T>` zuiw;5wR12AW+3X?w%vK7)%SXcIR@yBLi2y%7faxyE?1S&Uc7iPbsNFqxl0tM2FAqe z4rm%6&a>pWQO~`k3XHA3`{!&VN>!PDi=rK&?)=Y|BB$#D@r@BxXn4C&Y`-I5UR;nnOr& z5}Iz|$G(u4g$aJDeF)}?uu!+_YFzY^MSZ+*>6&5t3 z;>FlGj?a_M?&IcJCm3n*vTpy{b)_?yXVV~$qnwKb0K{1q1XJS0kWZpp=F)sq!qwY< z-O#cNV;UeNv|>{%bIvW-9WkZE5&fi?H>yDWC45O#f%j1v+mj9XaONfqr2or%Vn)U{`d-SMl1;#= z7p40HZgt>L8Lsfw&Qa8N1=FLB`PPqoC-!mh^UAF5mSgYHgFsK;5H<);1aPFAQ#8_t?k(6xlg zr$<#JolUAdYE2Pm(lB^qwQa8~rDP(!>XMMDAIkHNS@YPNplC6&3rOowxHr5V?c{YrOPUn3YGcyQ=XcF z4zOxC@Dg~zDL!#b$(Oi@`Ctp&d<+V>0^BaiMTek_pOV%jbRLNR*;m|jwF>ba!hbXa zzNf!Qy^PjN{#^5L5LMIi(jQTOS#1lwa`Q)#V-Vfmn?&-n^yAv%qtDf>0Cqn-4X!{Vo6g9B+9dOQ(dEcdjzxq%O;o zpE^M>kTKIf8@W&|Li8@7oe=U({_f>oxprVlsSWcM<}5h#zKZJFklggDjZLVbYB^=~ z`DY~eYXPRZ*Zr}y*Nz|?-YyVvF-R_jPPtbgzx{#*3d~U#d=p|nA@~~+fzpzEt+Ksc z%;cYCna^&ZQ$?EDE4OY(G%q~e0JevP&Y6{J@2;he=_d=A=*>N2yL>(qPrkTkr`CE; z8TfN3f1aNQF)}WVO)e;6Rs*BJ1U-St$DL4BF?J`aH$6)Ina(u^+L1k995PIx`@v$klHvwjWr^tO*X-2GD9 z?rQn*h0FiW=`w%jr2oYX^_a+6lQSCd;S7In=0D*y{cbJ$e&o1oHyr-OeH|0pNe^<$ z*6^C=mCN2L)U^-aF@pPQ!k;ymnE_hhRhPryiz@DGK<3NXz@nIm)03|Xw$Ni)7&UcV z)eA>zZmBd&;ThS++HJ^Wie zPQ0H4^`I&EBC*%or(^mN$>0X*>*zC%0-1c^e>6dFZAZPgGvC7SbGa_lw+h+SH=;-6 z-ixxpPANCpq?dLX54Fl?FH2Z1v4c)}oZQD;Xjxy+?5+j4S*^pH85c7k@1$cqNaV;F?@?jN$keyJ3iLn%Mk{ z6vA$rLZfEJZn9fvf+}UB6vFUfx)B)dtEsP6UjcfXnAri<)3sSSc@?a$II5Os7H24v z4_trmMCSI>-70#M|5LB*)HbxP3Z4Mr50n%_P7LgOK=K~WQ_ouCZ7b#AXe?8%h%q6} zgaElin$l2J-^pVJwa3|<9Q}D=wd#t;#vJu~R}E=$O5MC}ycgnf8V2-W$MLbfQtPm3 z$!zi0>a?lic>52{7+YVse>88TXJ^mX{foG3LJ$#I@++sfB>P=RF!n6i= zaG|%R!}uuD-KU=)cbZzkn}|g!xN#tJVOdoNVun!2ooY^r9N)fr-PKv;6CdJRKfxj< zUx9Yyg9`aOUiX`PolYN@d5UReY;@@FbRgN=gXio{FK(_`vF{;1ehqJYZnX-1K~wOY z_>fL=eBBL_DOkjWCDAHX%NPMN`LAxghC59+1rUubXcdkfyQa^V##BMV3FxB`;HBAQ ze%X;?u4l~X_q5K!28SFK0r1S*Z?zB~KDch5C8_ythTY;r$V0E0SD!gFMUs$KNwlE; z#9Mza(5arwr<`}N+}pHFZw&{3n$q1j`Lls*YYtqELVbDgKGWa!_@yCy`rY%44l(e0 z(=rVOB2&D_LB%1IVG)eK+oxoDVsR~W*Ml$xSphyjlpX# zxGWx8SFS25iB#5h&JCU4tvKBvY@F?Hw}-+i0NDSeFDt;^WO7qy2d;S}rHo?t<*>>#=L<9+JN|pS_x0Uf5B)HdALX`(;iXA; zTdWfOl-WD=ZI52s*rVEkpk5Np$Ei1}Uo^td(ec1G>Z&xhfgTJ;we(IM;lT)Kd#HNp zO;s9O;f;3YRMgTzU>7g`JKW3m`y{cMcyo>d7N)pwAoGNuoi*rChGzH8A)3AR>W`SF zdjGx3?e63)!D|;b9pz%y(KSu|ev|EM$OFy70_vPv7VOPzWWN@e&T5MjfPb+MCRw#1 z^yB3DvFS{3xwrFOYNBvaQ`%vR+spA}fBB@vvD=Yg7kTP|+S~C#sTzC2q2AR*urL1f zvy`tg@r1?IQ>5*utwjoC+aP@_HMHWJg@|7_J(o}>m1YIsUzm^F|2oRflBpPbPcVX3 z{?%-zy5A_Y4~^`Y%dwo9SR`vxs*O=^NAKGmb?Mf+uH>7|8}I?$B6T0*pmaEXYP`==cRhYPeQ-;BiH4U;{!SpW z`1*;{U1Mf%R~I`^mLV;CYdx|39By5Zp9m#QB6d6+pq=>JY(gr(KY_kCAt6$K_$KK$ zo#E(zb@|D@@A=#jr&fz}UGGwrK7%=;eSvqmi(T>jvVX_yp+JB zYl0gVn0&|RANm2MpF@Xr+noMuu#XZ0_^HT#+}D@UT{P&g;Iv$xp@7mu8~#1|3oki~ ztRf!#c4GW?X8d+){B{6-JCuFfU(9(}*Xl;Wttg;usILs&y;bE5xdqJ^=QNwogXl7E zUe6a_`K+Bd{}-hBd)h9~ug_5LYajU=oI<`CJl#3>OIK!`Y>j;xoDTN#nkO~*^9htm z>(LI{rE!tJ(5RD}j67XFLyghc*ahw@MEXkyP-)BV&3E~{cSW1QBKJ6(^jfZugO#(Z zK41N1qz7y)b53B5HGNOQN&{tB%eyu~aCtw~5ZbrIJtMj%VySTG8ZoWsbKi6NACcc} z4)4gQU7qtNz0n11=6WpO2!In$1xc9*X5}`%DQRm6#q6(5S<5WM5Vj)g~9?+VScG+#C3bIN1J%5SDehniNfv@{3D)|KnS<& ze@80MYALIZF(G-qJp2R=6h$NL8A@@dh}PJyEXqU-A2*XsDtP)^?c;zq>M|%!A{+J+7@kyj2)C)u29|JM;!2G{L2ZqdS z9}broGq5QQ9ZD>LX3I=HxlQ-*po~*Xv=_rGjX|L?3Z8Q&lqnath;(pe91r48Vp&2z zO5^3%D1=v$U@)9?+UXwuF^p%dNho#{DiX6b#H4*1R?5Yq+}uc4hR_VG!)8NXsPQf| zjoA<#yNk5gx7PFlqT6N7QA*=2p_u1b$BTxzO+(I2up)yKbBZ4RW>U1i?uj#$FVb5| zwJ6kAdJNxWdWSP?0J1vd?JEo@)u7=JnCMvy&ANdpkq>Lj1F*3|DZ3C^GzZM}M!;r+ zCu1~Z80`lMMN7YwFZiJk!~>w7qWyy22mQSM8@XJ^VKRjGCDF9|=<}K$%J24JoRybTVnM8>&XZ9v!9RRDZ|9x8E+h|(X1;qHYACjdh4*tYNHn^%z)qwzhv=+0 zs%Qj-J(3bCKzjxhkKne6yiQh!VV(T5bGLr{YYz;zO&xQEu=f5?Z;Cdp;gsiEub?OdCWR|q zLcn_J2DvfRTkTu0HIUwme;7XKoJifC_G7PjP63W>m^{cDn(4E7OP28L`r#6>)}-@X zmJqO*xPHQaXy}~2tT0q~XHvIfd3Viyt(i1n)NB;z~VyJfoN(h)v<)JY4 zj!X4M_=TAxQ)G?LflW;h)RS+_iQ@^{lwSE1eEdfbkRQgoHi-;A_tkT)iQ9l$F)10^ z5p=Dtb}NY6*c(gvxw6rDjzPGW|4@IWPS`e=N{d_z@YV>8ySO*`zIz+J7Nv31OD%Hn zIofcMylq$91}rAv+PUB; zdh~d^thgH<>QT0zD+_TO;g1|j!&SErhe79(!?uB&?ce2xoVwj&;%;!Kv4gBJ7*X*< zUI~pM6Pr4bP*|c*sDdc!6lz03(ITrwb$j2!}xEu`vtN~-k5UT z-HA^>mFIinYdJ77f1;RLOjzQC;hHkw3UmQwM~x}iU(NIUWlo}*B#mrPm~c_G(NJl^ zp$!Mp>3^>q?1#mlLRddBcchu;b~gnxy$Z>tPZWD0gf1P&BrExtF=wMebG|@ST1txb zDU%GP6Ux~jOBfZ>{B(Tcl&*Dh>Q6UliW`(%q5acAZf}$d@)&jE7X~S9r#v0u8ooe^ zrU)#bMZbgxXey*a#4N@#cnuZE_n+3JquUvmkYlz_OAxc)Gj-~Bx_+7ly6_0 zy~@pe1eD4YJCog03Rv39YgW#;nV$&fI_}<-;j_6y2m%VTi{miY=afS}c6)}k0g&Op z+Nn!t;XgJ=N|69zL*hp0k49LG0YX55hh#o(c5x|>7RvqJ(7Zo?afNX3NW`Rx5#0v_ z-q5@!*}y%bctV+Y2sUVcn4C!JmZc=`RU>)*D7;BMUeTn1<^dxeBK`L>eh{xSmggMR z>RkP4*ePFm;b68uW?hn;p1TR%_CwvfUvO;)1ZU4Yn1C(jCRI$RV<+O+9jko3H_&@$ z(N3g|!@hrYAixMTp@Gr}_eEh7cGJbx!+f2VZ~>Y;gT8qPa%h=xE!Povh7Y9Fj$$zt ziz0@!2o5wXU<_a%8(v(7r;A!39Yk`9Td7g+ik9gzByVQ~=;CBO4B#k-TjJoO_7i*$ z9dUmNOf97M;z=;rURhMvl=9=$&xQzq7?D}q1KFRiL{cwb-}^?tzT82*Q>?5}R8aiw z^oHwbQ6$IexFaq!8&F>LOKr@|t}fxv$19lYrjz^Q>ouFml6ilEvo1atWBq1H7bQge zZ3uz=OTP!dUu}9D0c@~;h|e6E&)760n#uVp;A#R=|IRlB`aT#!Z zvJv}rHbg3n#uYYVl9V!rwcVFyWi(_!q#q8(u}U-Y(~&w>rTgds@TezxE(~u3Gh4%1 zf%VCE{V1ll2}xQ~+^_NEB(yT{5pxrrQC^mTXTL+|ZyI0)l6}@oT-WCUGUc?heSWR# zf7Hg1&JcW6#zOn$3kJ zWqxQf*Y@BRLJg4^nh{vbe=>C>B735QD*CRU`{jSM(nr&@nfBv45{J<0stpKjyJ~K* zgb%o2?|;a|ODp}dq*|&VtpFEnv)T1#o<&_EqgePGl7 z>$08wk>pei$+iquC<3W2-5_2hcEh?4K4~=Q;U5ulT}X0I`ZHmm>HTAaS4W2r&rMZK z!~W!UMlr$;5j@gJ>C50PUA{OxECT8;Pq_1chS`d^;%mGc_*z4nAV_@cji8VkHFkFG z#f$?fWl3Ebi*-)jE?b}W;w8Ut;)NuQ!6a}`(wqMz#3RDNQYirqUDaU|=V%7Pmtg9X2@{gTZ7a|s-#Su{xa@$rhb?W1`);DKT>HTp@ zF}{1Tm2EURzU|mr#ELHtc`v=|-RGi*087WS?yNT_aI$n&EYS&X<};|0cK5j+hrf*a zyFU%8rOVh45Y-B?B0d$S3XMP%S0O4Tk+cviWj>HL|KXzyp&qh&_dE2DxV$x?y%bw+MW5!WqkOYKcP2JVy$h?`}r$`p5 z(g`k!Dd@vDg~Nv=!Fa-MWpy_L5#b&7-@Q|b?rhEpyxTs{Dy0|-e>o<`g_WinYPz1$ zsZ!}UmME|WHkLDj+LA9Bb+xa%EyRcQh)wTSE-JS&<~N@CXLmLtqfM#L#41Q%_mCRW zy)Gm{Eup!`vM78FpI(lM_}PQ8`PC~1z#CD524hbcV|y3j55mJJCxAWDjmVSW*ORoG zUysZP-ga_rK11V?8;i)upDEV+)0s54;?|t#$XLiRznV6B4;GT6n3S)JLh}mJU5}##d;1zBLzgJ%}ubc@7;9&fH2@4Kh7nz z5WpK|=s%+|V_P*wPM`TA*dPnd!F+(R*@Y!x?~_0{CY+6IK_b`$kIi6I8EF=|ru2sd MR4Y#X?+yO{02+s;!2kdN literal 16271 zcmZvCV~}XE((T}kdB(PF+x8jTwr$(CZQHhO+nzV~-aqfhSG!iat1IbRy|dGm^bQgL z06-NK;BN~6VDbAf{Yqscdj~TcYdm^(8hRQ!JOL*&OG7*sRysU7c3K7oS_V3Lc05H1 zJSj6rBRpY4GsoY)?~VU+amW5&PXL_I|C9e|V|f3ky8>Q%L1hvPJH2ed;QvX&qo6hF zs)VBGXNcKa!&b`VWOQ++<)ppgN)|QT+)5h?+EQBu!^6lAMU$78r_a~-4-YP-C+e2F z8%GVxjc>)sCnC7_!lfs=VPu(hPMwd8_|TI{``xKuLzvsk8BBBR24 zgI}a@Mz|X7Y&jFQn1c8$ep3AAbRFL#wU_T%D2ZWo5#>03Lh`0cOHY+B9Mw6Qz%oW@ zbl#kAf5c=`9O53Mh=IH|DP8d{@_f zTD&puUVN0!Dp~zB-e2Li+Dx-yzpO}ekt(SrzVLw|i8F;+Bz)G+VW=&ZPaXosoQls(ESV+DaXLs3)TyFnem6jqCe9-jBzn;1$du*gvVaePb1O>0*K;}X3zi=5@N6xR|N7@rKZfQ99`+Tol zvXn@#{)C2^9zkLy`?C+Bni6zPFRod)Z+H`F80Y?Y$DINT^09I^u?Fl5F`eB!WR%N= zMuAtK4ljeh)-5bbh>24UfLVqbFv5pJ@R&0fd^WlU^Cv`8UA4TdO!V;^>*2M5$3y;^ zrA{c9pQ~p@^TKpc0*~8OqeU^ZJ*kB@EI<+uxEF5M?XMM8uQKfZMtwht^ceGG`JWja zA(#;;X$DuKOQ{MfUf6M3v+X`tKI$tBjw|u5YPj6N3n64FE2gQ8W@})1SVwSZe`d-B zH@OCdj)=}oFJ!f`%UZ5urpp4ZQ77cRLgSowop?y1_*o57efe)Q&&?uAv93j*46t6a z^%ps|-Wo1poR_@8?&WBvs3DsH{9ORIlH5Y6-YW zHNP86Km2r;x}3GtZ0N-9)c1Cy?Ib^X9q5R!{J|*KYXfD6|2V17>246~Ce{DZ{F+g) z;k4ciYZ4XoD`3%VDptZ!bV?W*m-+PhQ3T6)eRp^ea|q)HJ_kAd`sslA>t;#ZkPrW#7ao^ zfIxAG@j{X;}&h7imDsF5<3dLGodeyofkn;_|{{`u$!kvZ=fm!7K^=S31;TX$d&p;K{VO zSiI_2Cs{<~v8@hpkIsPe^1|XaAD;jY>(>6c#C%b7RVr~v{w*KHET4>g+I$~ugFRzF z-!Qxl0QKFp92?~~8W;vhKRy#|^ZSh~recT7nUUH5=gvo62DcpJ?%X%ut#tvM?9S&m zOqHHeGs0?XKC_l-q;+tjksodxt0(>_5zQoD{l~H08BILSBfjzo(uvgPD^YgS@iGUL zZ0Dy6Ei0qAh8|Zmmz7Pw{rerr>$nbZlsWha;?f zl~U^QCiLsU4mE0P`&pkS?(*Xd>U(pm{s^8wB8WQ;`L9Ue8~PVgRjl@~BRDgGPs5Dh z(SIDQ->KAYQuq&wU(y(ZK7=7HOyg1vFb74VgFO3SV@hy889i+=81FnS!SXC6R}3iZ zKIetc`T4!Cx`SH! zqr{ytnC270I|n!-S5Em`Vxx`&-LhlX#2)(Q{4|2r&+Bq5&@*%^wDnOxOs1mTiN{wY zMlUWj$H|vOp=<%)Y%}DDI>FeP(s)$hYn^2^@wYz+Dj$YaPQsmy!Ko#|#<^gh#RhD+ z+(5!SPqBl3I8Nv;oRKljm|{vr@3Ev}9azz^gKp~DN4EAIqS*(G(I0~*7|gP-4lMC= zAG>dZw!sp_i;<$2g@*RJG(WRt3W-9HpVs~{$-C{GM$onAkn|lpqXHx;Y50?x`TrS) z_ox{7=KFvq&mT+mhaQU!T)oS_0%Taao0pT;D9_5C!i{wXjlT8SvYtDr+N?9)xO+lz z?e_Lf`;XG@VMLlCg-oIa8-v+A)z&4>j`&9Z%7EPSHb_pEbx(AK!JwV0{ z93T^f^iT@H+LUZ8e`st=nq2#l&Y~N(PwXf84LovgDZuswvvG-31F_>?0AG~5`-@ji zDkvgk`0hES0s1Y0>hRRFuxMW)CJ$J)U7V7jf%Dc#1bX7o6^i;)IBOC$#2tfY)x1xkM(xynP!0}7EX}82`u9J zx(w43!xH(64>kaY!YAaISb@ztr?hIKYMwwk7H^i`C$s6b920ZUY1UYe;-fIsOX2Dz z8wb!LEUTZG^a);Nl*4~mo{yHs6Q42;%`+5cic=n$`%#{Mt&A6*tx)$bOdmS%HmEkq z`E*X^flTXQI9aT^_^Qb)shQDDS|)*Y*g5|Y*}oriZq%hZ#6tHTda2+`Aa0ZY??LejYc-5kk}HY)<;P8 z8%#Z=2si@T*b^?xZMbNcw0l9$$UbA7Kkmc6`|8NM3w7fkHKaWOA?Z(5e}tNNw9as= zl|jKSmcqi^outF5*wy?Ys)86(qJq&GWs)rs+u48CdF31aBup;YTc?iJD) zXx6I$mFhJJ*{=&o$xT+v5+pD}K28LFHZn!kN;#aQA$AILS|puXJoaV>Dx)T`87Q|5 zCyf>-s{5iHB1XNQG-06tr*1h|Y*AIr9_pf&4$3Yzk#xKnN3z#HaXQ1odr{l4$Qc{y zL#lQu{dJB^$l)pkAX_2knp|(K4_BRiR==EM?eBoH0Gh#td)|Nv7x6UJ1aVvehe0M+ zbb-a4f}>nA8p>!3e!f9#b|%REjxEpxbIQT7r8}3B-Yk;A-346WMPu)#b_WO*udI%H zc7D}s4XV|GGi9W5<2(7$CyRI%xOM!M_pfG_=vXO2oQ4L*Le}|r6|1Qjnp{m!uP0?S zF>+dnW-{*!Frf(2S4)EgEB!QTwS5=}AH?dZGTP45k}}-hiK$8I7yg_TBNud?ponxZ zQ%64k-T!c^Kg+A+@Tbp+)}1USM7!b;;RF`xFi}Ul+P2nfoWX-C@KRPsQ_|ebw zEaaWvb)HEgU@q~nWGeBn{e|N%Tz}#D3ty%ZuRw1Kt}b?qD;EE&IO7UsSWulU;^wxWueNbS^m?;Q7x%9Exc4~9gEfVHR>kJI#7I~P8 zjq>0nE9C+6mWn0SYcc&ob$y6s6jh_txqMjzJrm5zQNRcC;D>u`hZ85=nZn&%h&}}^ z>Q#nD2!Bo;J=Dtdxe;P#8a7)Eq5_F?UDXD5X*}kPg+G;RnIyVX$4r10EF}=C)G`6` zyM~K`15d!lR`+oP{i&7<;0E==gpp&R*QGzYJvu&YMg1oHiC~+pEk~shxwc*#(^#D#!S#hs`0(clG2FDmw|{`)4%=WNS}0_3L5Qpi7Q*tcMF*|!dU<-jirei2Z^PHkWlA-zlp2TdJ?^oO2{G_jR# z`JftYYgj4LT7yEQg&etHBR+i2N^Hoqu3(Gn8oiKc{UnCV+8#Os&e&{%VWni4MdoAm zc7gi6cFKa#HF};TmT3y*G<2hKKn;Cm7;WiZV4ZH7 zB#WCKr*WPsLSXhDUpo8|k4GKlAfht=&7A|^r4EG@M+G@0L!-^PBjE<069I97t7{t&*6LcFk;5yf)VeJohzM#^xhDkDy_s&CSY zXV7BtfsGMowcAPG+9HyZK}dSxfIS?|V6f2qo=t0kY#lS96ue#X(hGi@BTGP|QYOWw zjzcgq-AblAS$23j5$^VuewMw?yaBjQ@FD*Z4V^V0vv! z-$`Z&rD9=_TKU9M4s8B?Q+SCgCfSyY&NU4Pfe^@*v6{mle~l%gZ@MrP0rvS0`-DA5 zLf#y187z1Oq@eP94Rqrcb~!VK;Lez+J#~U{8mqzq`&eNhQcp!cb)H~!J=1e~&suy6 zXRbXnF8nVHA$=*bzBQv&g_DWU0h!@bQ1a>^+mk_&dc+bsp?c-Cnv@AN7ySdF0>A3j zIL}E(rbBj!uLR1EaSz2)n2MDhFRy4?+A*{d>~UP0p7kADpRh`?;6H~azamXo>q;I5 zJ62@$(c#H5-x6*YJjO8=WK;Fh4#I^^f`YhUfq1^hQLpFTIwWIU5UMRD0w?AUK~ zmUDx&(6;=&hX!!nb2A1FGE^!fqV39#QqInf(1H3r-a};{f0%7+@WzFRFW7IZq22Yjb3Xq7&+C1 zJ`o z-mbZmSs>hB`)~eRD3`|#uxztm!6R#&ww*>h3Hrq4QXJTJcj)FbBib%y2AnHI?`XP# z1-2N656BoK_d-{aUN^ze*$m=dDPdm8Pr>=(+_7G*>x4WM8!kRE=L>PMWgkdWMb+(} zink4unHp+Vq=YM69AIOGG>-wzCi)rO?!4M;(R7(Pf}oX`yuWP~{1H-lI#TzCuaapX8? zg*A*U)k`|ta5{`)^H@`$r$#b66Xl0V78F(me<+xpum+D21F}n7L+HIdhxV&#CDAdj zN*&C6tStVI!UOqAJJHg{spX7F2~`=C+hg}JDcogdner7?W3a}3e6-oYMDM7E=R!s&1NYlzORPQavA$-*u+J9ZPS3Brt&5jrrh5k zN*!Wkm47x3p|`-stC#TRh?&VNiJITw)RoVIOf+877XXRy0TV$H>lz|`jDdMV&q82b z5Qu1W4h3BtJg7P@`bnFS4Pr#o8w7$70_>8vuWjNaj9;ZDmDonh=A*yhpu_a|Ispx0 zVP)vp!z`1Ic5tY{Eme$|z5uVfS~I9o$Wd0st9zh{G0!Fa_EXzuk+z4gQGEWE(;WO* zB)Oo?L--(LOZL}0swyv46Jh&rzkZ02MNB3B*0@_y)f2vkpiZNm2zo-7%#I%`IK>Zn zLkT2N_v-TO7K=;;ca^Qhg-zpFX7k^w;)NAn7~gS?uy+Zg(1es8!LZx^9Nv3%#DML) z!7x(?_;u5WeDTp>FCsON5K7r{tO7*Qfzy73_ZZ}hR0-zJ!@-2rfLp7ae!Wttp?WCG zT!gQWm-RT9EEZ+!)Sa=T4<#&=cP72Evz3|!g7jaoq~+AvRX5n(pN-4qPm&qT&XQU& z%tY2BRM&{3w-I%ZfSL%C5jR^O1x6i!?una!+z zJn*%GIhW;6w%6oMex|KX3#QFDW`;*GZ8(#ha+%-=M_b;Y*2cvwJVRRc)YuwOoW!N8 z5SAj5Qn~HLdAR9BbL6$Q_($DnudgpO!uf}sSRTqsppvCE(%VH^Q#mMDVA8dkrA>;H z!kuB1T$Hh1Fz3)*cpY2hLf0@`NX31+kFsOmySkGe-R`~n0DrBG(hBn+l%;gmcS3pU zvYs&|ZiBA(5aOaZHC7F_jj#CmI0=Die-w6|#Z+oQWLJ&GU44mKnlZEqY{F(I#FgBg zwcGfo)LoNV+Vl_G<>Ar_%3#`|k3XM{UgCw%z(Y)+*$g7W(<=Y z4Jx1rT^$91kBx9l(~^uEp7%KuR#)2Qw2m<9ytB3R^nCOPMhR{^>lMrGqir9OQ`&6M zds!SkJ^11742}i+S$@2R4g)}aVk$$Z*O*( zJE@!;Z*N~`PdRXJQMMl&cet}SI@@fw>JzI<<=c`_^y~huMA8oK&yPI~=v4uAdK%w` z*`joBXR%t}taBeauU@KPnR%|h-fXlV3cilMPRi&-kko{_Eiaq%d26Q5SR1T);lcfB zJ5v(TvwkXX`-k0t&7GlKbD4gz)~fgKhf~*+5Y6)kYeD0$n=>TlN(BdzST8aOV=P3qwxbDpJzPLZW`2dH1<- zzRB0v#u36eb@_6Oj0;smWu$z%I{JG0dWl=Bi@PG(<@wS1nPC3W(dn^TweKjId90hO z7dwe3n5#noW9ai>m8sJ5g<)paSD`hclR^FS?zIcT<}CDMt7f@(OPL14C9d8(~^@7S(N7%oM?bnZx{ z9rO6K)})26?^J9n6NV>>uodR}WN0@BV3Qkv)m7=nV+$r=;5-wqBX3x(O6lqz8)grCuA=v4Q@Cwh;+b z2^KNfG);J)Jd<#kpPWOxJ0O|+34{{r2S2_ND@x)6I|aAEN$%(75m_ZzY29Ez0RbT+ zKDct>k~d5zcM21}TTrIo26F+Y{?hBbW!7vmY7iyk3||h`!tt7~U~7rB*s9kLA>Z(F zKe}&(Kfyo9a@s-qfw+AX`ne2Tp2>}sRHSQ{dCuT(tl)aU(*yT?D59TD8$i2c)WhlK z>p(RI&ur1vfjdAm4SjD=sjzGwB5XsWOlQFe0z0J|NIB!6KUC_?eI*fnE2sfEXTb}W zN<8Mx=zQXmuo~js?%vHJRu3OQKBv}vZhig>m0U_0LUZZyjooOj&^~1aTs;erf9N4R zAZo8y^SdUS$9t5TvYVlac+Ap%&Y@k+Z8T1FK&iexs|~t`ao^Q_PLIB4D*(Q<9-FkQ zpjSxXBjuZm3-i1ad^UUz;k+7nAI*JEH9qrfoJ8C=&g?mG>u z(g?-IQJf3#H4nq>K4hEWyQIs2_(QbH;q3`x;rCcs&Gi*wxZ=5I52AEZOzq>dXj*LZ zz%H%tV2-Sb8}vgU&lJp3aJ|+w=QvDBk!us(IjShI1ZSiYn1!Ne`Dl8)W&yD2r<<05 zTAZ}m242-9#Fd4UgboQ8u^n;t;>tge_jV3iWzDE1i{#IWKGcGL(Jmr|weynp7_CM- z^OAr?5Dq#N9NaZgLIt!F5y;P1FEE?X^#zR8(k}N8c#_olz9JSoMtn97OODYBijwGsRoKlX6Hn=ahV#r^0v%SHs zijf-$f4KA0GOM1O(zgJ}c*O@x2#n1OfS=CAoE+;JxDxhAtVcbmM7fa{MXVpYkkDi+r6{X%zj0d^iOl1t`#dV4Yhh?AqXx9``LR zYLR@gHo$;QNZwu|nQ+XAS#l_Bdc1ZPzX_Vfldn(i&exis3h;15qxLe&D6FA7ap)W_ zEqZB`Y*%}}H$tHHN)miuIwv>c^B0e2(&*?I>l1=2aRP0**$%=5lyY$$1Za>F%c>eS zdmWDadvpIf`AcZOiiL3d=w5B8hHm{~3*%RRNE^x!8ymTba!pwE3mqtOO ztr~sWk#6iiD)&{;Mh?Af4X{#nc0i1~yot!hZd5Wtdqq#tqwW$|&Uj{Cpc+E8^#s-! z@slM=bCjh~XG+tBKP3>YvWbT}ij|D-NH(#^|D!42RYX3Eir-a}C#iMLeVdYRGZ)o| z-_Q$sL?Yd!EBx|eh=?&La$QLrr|2Ge<(grNG-&_tu*t|Hh0Rc%E4D;K6r24iI|a`g z!OsBQX{M^ktG7oKRJqT8agXF4BEzAxX3#S#(5rf%pQRV@9tf-TWZP!Zenxn$Jf`2x zX6@%R6=0yf=G$0+F{u4ob;sM0&SqcrOA2Bt&UO$kX4CH3A&oSsJT=6fT6&dF6Vemv z{Ts-G?USL|vk2SO@XJO5t6|i8SCG0|B<;LCzrFS<7EHhWlW(0tYGe*hX)PgaR8G&C zNR2ZW$7i(sEE)_D&d|*bd%)`h&US8KY1ByNOCZ`wq0Xww&(#CXmZVj=K>EcGX%&k{ zsx11F$SKlor(KXU>O)qFCbm=O_C=U>Zs9}_drmu9v{-iJCi7it>L64Y)L(7eZyg#S zS^a_@8p$`^u*T0Rx{S|+KgjlIWXC8xo6o+4R-?0u8J+XhT0 zqU`DYcNTV6f4AKS9*5wkYln1FB~z+;CIF6gm$12ymgbfN?^Ar~XES)cvS>1JwWJus zldYhq;S&FtADpx-2tN!BQsqle66BUOFKp5@xuqUr{Oth=QHZ^T?NQh?x^d_$L-s)# z4_G=|=W_yOMI^0wO1m5HZ68mkFzWtuJx&RgK#PlU(oHQ)>xBza9LjgfPRFv;ssF8T z`_SJg$3?+RahIAp7C;&>_ev$`u;9vhxO>WRzXEwu3zKE@rnJAV)P*s-Nmc4TR;?^< zC`aF{Lowap5+SZJ8MQ037vRU^KA)a51u zK9h}m61kTvddlE450ASKz1EFcWzjCukB&;D#<#`etMA3)#Ux5p9gIDW)zKRB83JG> zwu;W4l|1Z-iRp-(blqlW)t}UM_ATo5F-NTT5l8>({Tgx)Op|Ep^|dwyyCKS);r8qW zeB3O^Y1|)1mqM=6R)Z-J6QDB>LbIOEF}UKiVSt9NN}(hPfus}9zmi_(9_5ryNuqlT z@e|2)5V>CT!ph(E2aiSj1hL`>%B$BMaycPZ(BPx2k7zP1ONOZ;qRbRK# zE!Q6Dx<+R+adMnGTu+-jch`p<7CPd_^$f#zrB%AC3orAJiXht#m&AQ0>{|*3{lE{d zE4aR|@0==o(I))52tXq-YcB=nlr}-NdN>|gXQTk0WuuEf;)%N)8`!lNNTu^+2oj1o zV)NQDBkJ%u6Rts;Do~`Ux_X{r_{mb=5H^7T&CYKS0+pR>rn5`39W5ESK1k|I8>7e4 zs%>W+d4RcPt`3|e|HgAhLw;qGc30YMJ+GUj$sq;iTHf_balB(VWgD{4p@iXQS~{7U zb$pxXOH%V9%vnef=Xf%<{YDMgD$Dy0mTBx5$q`dIbk3pNOg zR2@UMR?J-oDM~VAllE)xH-cP5hE>e1woL(Q3Tl`TW;>W1e%l@-;_>ivK#_2#p_(f3 zx&6E$UpU%3^NXw7T}*NU1hzNhNNx%^LK=Y|CK?j=nIfNNacGTxS&aT0D{k)6l#0OK zq%og}f^x!(^o3#vV!2|1c(S9WA=L^g?FtJ5M=xbhM)S~;MR|RQrKUnPPCkv21Gzfw zU6w^@dV+KUdB{F=w!58)IdydMmWmwd_R<%O6f$$V zIJ5t60Rzsi$V|#iIYvpu&MX8e>#!xP7s(Sv6Rv-uHpT-ExD{v%R{q`J&8Tqsb67&M z;xq-eKz%F-z96gpnTxK|8g$3|AW8E%B&^$v}V+6gdeUFVR>$|kg zVM}M6%ZJP3O(*78Gpl_J>pkc8=nYWX9BMDR1oB{Uwf$A#f)mFD zLGr<=NXY1n!v$t&?WR-D8036OFhB&hcOSQ(SNdWTa(#ZC`OM4t-5<~6zLWFC7^|j} zvF3b|!7bl~qABZIOfp){8@Fc~cJ$n;94+|n&kSgMBh)ryA=;RwF6&#y{FRM^q zj32yyPm?Pi>^2k^8m!UcW2L*jzSMNa^?)My-1CQ(PK%%4UzBFgc74wZx9aY$o%4Us z4t(XU{GN4SOLGJ}2YTjQfW{}(-Z9{z#yAH`FFWP-a(5TzbSWc?`)*y82l#ZNea?jU z+0cOgJm}xb0!&Mm^}(q@FOz@{7gs#(?g4WjGRR_l%L+hV*ndowc>4M5L47j$zCNUv zwjlL>{{y_#LGAS}26%1&sm%dy9_4>{0J+m43+-vb`;O@Kz7s;+!jQsPVV;6&Z)J}>CpGAoi{(K`^hH+J_D=9{q1VT$i#X06V1$ab=t4qfoP1|1!j;#QlJ z^YOn9*5LC&nfcakWZP;<*30cCZYZ90PtsfOfQ_T5%V!Z-GQ;m2LbN+;heb^GirB2S z8Nw4JhM%CAD!zG@_Q0FK@bx$#(cXgR_V@|Tc@B>hk58E!@Yv3Yb)l4ymdkWX6_b|B z^?ENHC5!p+*$>10fW#5q3r#QemGXslkBOu4#q_eUF?*Ahv7_qfYU?qo32`7D2ojmN zm0eE2BlRmdB9e)wS~Y-DIU`qlb5lcOB;0zkm1CDFD{8o?nzBTT12>RqK;I`Z-AXA{ zz5EBjTWA~9!@%bz#}1?+EO~)Xiv9`*mUZ(6$28tYdp@_;%#O$YD^BOBNoyp1!6BlY zH$hvs^fw8ywr(P1tr$?M(?>TKGD)f`Bka zYX{qm*HSH5Y}uZB!sxp`vTemc&TBKYdwI*SMIhyD9#BLc#o$l`YAb?wHN&?ngL{eK zKem6Kb}yU4o`^=2a>hepKQ*!P!-S-eN>`x{IJtdwJ*_p>$-lrggF%K)zwmXI01fyu zxbD~cSh-qGNvVj6Hhc8-yBEavf%8nwF0XB5SPkJn{$%%j|D3^nA?G$4-X^h~ywiqC z=PyKt7E-U&NEcwqz~hd>#d=k>5AcsNs^`!BE1&yZnNS9XAf=UthLLJgf=G!+x}GYl zjdf4QgpBT$df}S)JZd3&|8QEoOH%gUkGmm=l7cxfX}q&*EH@=oDr}@-BP^<;TF6?( zr(Sb6JMDnX>yZclni4p*0lczpZH(Sgf&L!Qzx{~l^HGBS_W2cntvw^$>XmoGsWTwK zUUguanYcf&yRVYnq?yO|Apm3VOL;9z{B-2OB>Y8!$Q*AR0?<`?qd5xTUrM=Vj##2W zlXCP`cLhjXMoHPAyzOY8tNOPlr~$NqnOP4|Gc!%BG_6uAy+H8gw0V_P%6Gyh($n%Rk2!lYG-QoeJycXE{5 zH%x2pfbR5ogcMYA<^!pYnBNW~?gq1f+*eC3qtrl+-~Ck5j60rrFzv1>`l$dgiJ33a zjK9}_%$s?U>nd_P1L`$vOCpb{D1zBk{@q~Q%LbJ6wH)1asIE-^&Uv*BZD2S2DFIDO zf!qZrRGtf9mY#URVQmK#v$tK{FfBP~&u{Wv8rn@k4(I{BCE$5c-~BpR#6?p4hKuOa zQ4xDtK^4&Xeb>SI*gXn;ckJ$eI|YUs!9PTL-Hae}V9+x$dObpyIBwF_6w@;+!prV{ z6EQCZsE-3ZThGHNGQzcqk_cG*&aPC$j>bCPL6uePT{FvQYcUMN_BJT`jW4w38+BSu z!&)bYmE86kC~R=D5>-kBGtCtS`;}hi8`%z&j$O6@i zchI#==XqxEHy{2Z7?hcJfIy8zS9@IJ_q!baV-7%6&!H&ueB^UU`PuSK@-ccX=D!8X ze7vrl<;ReJ3*O%EaRR3?C;~f5;9qrMXAN(2`;V6n zZ*%!qGr(C!bs2>psGhg|_ASRN+K*q7d?su|5(?iFZ`~GWc6P8kKi9 zr~yB@XrJBsx40+)J2kt}7dhfQFE_r703~3nM2|km3tv4A1Gw{gGOnMr^?fOL)7+MR zKP>NAdd)a#0K90oRCyE-KB4B@^tR%+JO8FSs`rTZ;HX1=!WDS!-_)UHcU8ovc$;(| zWPfjwso$Xc9?a}Li;wdvGGDK~m;h=IIz*E%f7XFy_^{l*PUv=sQZ-z&@x2|6iaFJ? zB)QA)gG+UeP$5Lml>X!)?1Yf~`14zX9V)>+NSGx>viKa-zdb<>=z+K80@qO2!5p-CE6R2yeflZX$LIvVrRw7; zdrN@Ymj&GRxlKV8$T1fdy|E>I>ijK?7J1!}Tg^M71h^mvxS$5qkb&x`L2m=QvgDxG z|LI^g6xfMYH4)TN1OFPHJY}Rx|A9ZHa(H4n5;11N%#FGpM7u_PuG`$QW}|8#Q)L6SQP#UrfxfIls#9Xl4r9 zH-aMEQnsOxckR3=V1Y{BE)s6U+NRa;o=b)*Y6knt)RHW>vM*l2Fw+aTiOCChS~^8Q z>W7RAtN`n?A>x)ydXo<_5KfyG!I}4wP0T4CuRM`fzP}aCdZY2DS?F!|Y$bc(CYL@L zSZkl0VQBDV{6np1^euM?L3Z;M`9^aKU$_h;Nu+c1(5cCdjHug*)Cft7T^6y1v$A^hfQ2Rd}oS<8c1^gw0srH~@ zr5OI`nCAXmTyqvsyNf;*pc||ZrYI+CgTw;tsdl4Q(P`=eY%F^2 zT6GAG$t_4ijnUCQ3r73v4x`2ZLRGv`xoEvx(`QzceOOf5$Y@Q= z@(~Wyh*tWLy*i#lSnHoqh$!LIR2-bZR3Fz-TS0w!PS7?IbG+(M0Tj#_gw661!#^|b zqhVMYoP(erZga)~5xfc_m9#j2Vs=|)H~XsGC;2k+LBq#(+hk42wg^!Z>^zl3A_?)h zfSy{tjn7XYlsmvEmZ^M@3QQ^M@;r+bO{w|^ks@G}A_ol6Ho~|*5@QFw|O8!^a@&Iuo^Nn<=tQ#WsE@wiJ+b zhGo5EfZ`wsOBYQT7?)$vl&VSgzHR_PbTLP(q0uHCS;cwyN2FW56en|9o5rxxfN}*Q z8lYa#c5vF)fDkpKr7i;94|+{v*ReinMm~mc5T?VVJ}vd&TsA)bN>9!4O;I1;vrHeqwbz=<<8}}0xAy|n zhXkwOsevE9MA1Xfo!?8MHFDLXVvM{cKW*Gj@HpEDjvVud=$IX-Y7#I_*2rV{?7c6XJ(Nz`e)60_4{yH-&@5z%?Y;5%hPi%>NRajaF(50l5Mf_7Iwd z1-^nbwMD!tm~T4;1v^yqXMw@+*|dqtbt3Qf_+!${{dmTl8-wAxh@!P6PC+eYAOkG1t`oZKl^IR z{lqCgd;PER$lp08-w9$JNBH7zV+ZmT6Mu)~oD6CsCtrq0$Ctk|r)lQlZ)h3)+4!cO zL4h1GkQh2;_*FEfEoAGBxn2@;(shO;;$p z-S|6T8#{Vi1ZQ8v4~Al0>T>U}XEi{q{_r5f34Y<#`;(8_1b~+hJ92L()+{Sb8c8^c z(6{(!(Z_`8zvCafC)%j!+d5Lc35^BJJ?!RI9cF{JVaj()j%&gCUng?E-_Pc=`+xH6 z8nt*NZgxP8e~AlOr^I)ZWll(~(1!#k6@F;+F9 zan7rHA{daT;>1g>7>H)H=FH0b?e~T8XP4$23f$d_EDn^F5)xX`qh-P(DVtSUV+CU? z(_xEt)XAzF(XpPG68H(C3!zWd@j$M@6Ki4;Q$-=@Pqot`Y#t>3MKmY1-DCx$oKpz1 zfjVjo(K6-9z+q$X=YP4(%;wyJ9t}~m2 zdXa4alcB6rJ5%O%KH~*_ldgMr0<-25XUWr+=uR}Yc`2WPF0ww-2s05+Cv1hxkcH!M zz*XR8>yb_BQyhUGG{sPbOghdkR=)feCA7FgBN73xE|lQDbV(o*y~M*o#OSESJqZ2F zmCn4i@(ezS$9!~D91DRPfuQdW&B!H&P7f;xo(}wi{hDb7ey_1+WV#eJ>m@Loo;@tN zRPXS~yziUK?v2i^5#gqno119IEEE_xjME*%eTj^Dx%xH!3}h`Hp)9EAPW3C7k5sJfhejYAWQwxmiUTK<$ryI`a7IGF z{6Zr-HmF1FTwT!7F{b>%1pxT@aRu~D_OL_}M(Zu1-EIsLFmp>5t*a_Y7Qn?a+34zO z>j>J|a&Xab$G0O^vanD%3*eJ8i26|y1QJ;w0~HXc)FlM0d;gX#S%mRyeI0#bGn+OIh-tr3;SxtZBE{9 zOqo6ul}q%X=?rO1@#4ji2F%?oU@B%FKR!i<=XR-u=6=)g6Ko3^Ck(4^k6v`tK!V2R z;dTGV@eh>~fM^CWI=(e>Ed|Nquy$)r7gFZ z_Fy=md)}EfspB77KOENKuy(TvcSHKHPm`N3`^^jj(^iTwfzsHcf!zp?>8p@vA!ZJ_ zPz#oobtO);IDzg$BW>E~*~}BZ8$K76n}#gpt*(G6;X+;WlZ{qZqKd&d;xv4iMziEX z(@nBs=t=5KZ!O!2c=iOzV60}ByH$i|7%9gMTB~+g`8Gh9Eab^p0%79tx zoOZeJXx&6%jtut2D<2sW!2pvgrhF_Zxh z_60%zK|?#ccL4`{ZFOl`j4j5sOk<(2gpy5dN=j`xArFLGCJd!ZPbA2 zBUd8B-|f{$&5rtid31bui8s5(d$ZPwrrF&qdTr-O9G5$w`ws9ZwebfPf?l1nE?hM4!1{3Q56>; z5T*SFP-LP-ASxBoZE#Jr0IVK^WMx&9`a1w8+}1>ZL#!$P0R91M9BjjLn=cG98~9J= zFs@vp0+|{>zjwhRDC1kZrc%UeRJCGiQjW1)d~RY1MwA~Zjr1CgJ%6IZ_QYJ3K9&3a z3Kc~?27*fF)tVR~mY7htBAz6u_0BZiuZquv#nxD4N_~mzj^X_v=R(+2s1i|lGg8XASE<6{NNdE_JG^fqn%;c{&ko=JMDk8 z!tq=X_vyD}=&M?ta2bvzf6gBp$?ueFRGQ0acAHh&{^+YL=OX-pklv?Mcn)~Ry&^V>! z#9`O3;`7A3$;2JQb{+DA4!ykkUodb;4TWXo&J-GRx6_Z$VS5=m(v`A}pH%W0?XII# zaCG;27bt1W+*5ji8)0-1ig~4Nj$7b4NKlBeB)y=<99yEERu+9Z>>U6fPXqAH@NvWx zkDQ7ZB+c?CC(rRqKK4f)5CQX~4c+wVGmmm{h`P|c0QIYU#v{w}8a;q;5lm|ziYwp# Ho1On3R83*N diff --git a/CPLD/MAXII/db/RAM2GS.rtlv_sg.cdb b/CPLD/MAXII/db/RAM2GS.rtlv_sg.cdb index 1613756d60db86381bb1260c65bf68acb1a74085..082701cdb058e4583e8684586938c8354aa5c704 100644 GIT binary patch literal 19161 zcmX6@1yo!;(?&|sLUDI1ZpG{$}thGWwmaf$c3aJ?zuzV!>%kGPonJy#(KbJ9%1c^ zM%UQ-XfcfJ@n|e?)Cd`j$X`M1bmyq?fBUGvNS+Uo9_-Lccbrswe0nWDFm>TX4e zwTn5SBjCHLcWoOP2NyHfHqDoqU3=-eI z+m%qmjWZU1DS0rYtybBS6!k>$cW-;o?SpQ-NnPFogG?IT`aj-^$^8OQ3xroDKlQJX z?9Lg8#l^6%EQN(qhP%k94stIo#9S2=EN8Yx-?TpKc|ICXTWYRtGRAp{)k7(B!f)(pv;(wRyw?~W1o^=u6 zkEwEotL1BdQypOf+JxZc)|{26c6Bb$`S?ej<$T9|p5^HAFP6Tgd{qt7x0Cf~FL(E6 z-eeD*E0Hgxw;9hjaT~qfN3ESfjdJb9aJH+Aj;alQBa?1huZ|#ys}IV}<8y)ho5bL@ zrW>M2QcLD**rG2@U@6|!n-+Ea3`B49v(X2<8SxB?r(EF?i^n7P%jJc#@t^Hd+5?D2 zvqmfexwc+qKPwN)iCT)Q4E8fBzvtO{{rP!Kp?&X~aZjCcn`3>-k~FPP=572$cXTDz zeQhrkC|nJ90d;XLuKAuu;H>F-n61{FAUW}gaG&v0Frk?A0yWO~!T%ZduLcG4tKp_` zmJOE+e~+`_pZzqbKG0HivW=jrp}*{p-$!anj@>>t>*+Yq63*S$Cl-c zBqaCvGvrJkrzLdIYl{EzUvTAS1(oYNldIfMs=&$|Ppa1N^IY3l$%=N{*v~O#C(p^m zw#G;-O;CHFES)%dOxm=BMI3o})7s~x)DnS}B)x>S&8P=F{?vcM^ucP^1GKc>N@z-J;KOxLVUhzEzO#VJ?&KsvHKP#E=Zp7OT|8-;uMKX_S(F9TVWds9fRb5r{A z(mXWf*=vz-J1zDgE8mfmT>WKe_8_g1<<(dKx3z+@$K#?2^09x9oiYGpZ#U1(FUU&P zd&;Ny(>K5>)yJIgI2q5^xuEJ#-;9TH^VXea`xa@g7N`74tU6F%c&5z-4Vf=fthyLx zP>PA{yr>BFyoviAnBbznd$YUy=E+oKO0;fM5mmj`O?q;acVs_--Q1{>23HnvIzd}m zJOR8sQJV%3p1-O(XZfGJW%92D!Pk#2b|%vF<_k|V8@8g=F;tnJr@pj(D<)Odhz#;} zX4KhfK2ZuZH`MjKe@VS6>2K}n zUq7@jx1vhFML*)%XIP3kY0$|uXe*0d_r4$HhdI~v?&O*B^dAV%wlI^|!vNfOEV)uc zSa>X-1QvPgA<@(8p;_U$d>m5*c~5g#8E!{Xn$ER@q34`#C7w$bwR1I1se5Whm3_w6o|Zp3S}vK zD5dknoI@WOHhoWCW}LT_cpdRqIx{Kv%EO7U&OqqftefGgnk8g#n4!g zJJkr^c*tKr=3TTrYwstw<$x*}dBbHVj-wV9*QNyJF=%yGW~r+VxoBcst1os7?el{B z7ql(2)DVzAA9`>vO?9aFjwd>FvaDb0L~_)(Vc`efW%y?e^(hOE(3DZwatoVMmz3)J4^~$ET+7%?S|huu zs9;L|cr!l42N3UH%RVf)j9KOCPz&}}NtS&I$hZAo9Q2#|1NY7NdspSAL#11{kJ2s$ z$I&DS6&e--UrVvG{V)b=8_+ir7T6^}kRzdCs?GW!*y>Qth47EQ``(km|CZluyeBB* zuND7iWxd*vT&8k;K?!fX?7XOmK{Jyvs|V4)IH;vz%&gH zUWM_Id|-3<#?RvM$zX%QkHIYK!=LxW`#O5!Gh|1y%s8DMbtxNj%MmwgVj|8>L_(`u ztHbyvSz=>0>hdZs;IUB;()sQ84zS>x9WO8`HVN~!*q&p#>{^@>RW})M{$nYIP;>A; zQ4N{R$fvvKR`jDUJ=(lVZN398*QsO}WiWJI>+G11%~Knqxs#|ZO+y=J%cuU_&lCG^ z95Q45xSeO%#n3Kfs!ukJl2qHc8Vlu~A+~l1@_6Ha%5ZP#-l$iu{9`?&y$wS7Gyf%i zh`R_arI=XnV;|XH3R?L$rHD#BOn!5r6_a&+u^A!CJHHVX?d4Z~BaT(q#gGvh#DoQ{ zaPFyYLdwdc^2F~W%=_{QkLp{aN+rM20TtUVTB1r7+QyBdL-pDn(@H$%M_qX+DsNeE z(yr)?lnBrMMwKFwADIsw%1+z-d9;%Qwi4Z&7&ivd!J9svzmPO|VEaEURI6W8SUBc* z!Z|#m_N?`06|J3otcGviCZOXl+QIxPUNBJ`I$iAAp!#>v!|0g zcwu0XdUWb@FPy(x%ww*xm3UX7x`c5glXw81@&Jh{0cywKKsjNqJMV`{7i9 zBEBfd)dr0^rGKt}oO+nT=zM#3DB%X;Z5=Wx`X@ueXbyTFqv`sYX)X*k)oHxi)f*;^ zL_S22{Cc5;JF<`xUXxN6i|i$1#x7uv-lMK*^YSE=|BJYIbiUU#)05Alb@4u(oU|0z zz~3hMN8wH@`tOxw)(jtQwdnDW#y9R-W{Eg$N-O>mhIgwaWT3CJsMFw*ppIL11c<0k zo7D-Yr-J6rAlBaMa~FmZwOkjPe8i^fq%8sIA&#>dAQc>Nw%EP)X&`Ofr-3iEmkS-S zcFk0yxgmIUil3-{%IR#GsSk(}*NLN|TA6buSC*zD>b-5}f~!4Z+h3li>G`vg_1sVGQ7}{68(CFVY7rgfzt4MVGghD@>!%p#vVR?C zRYPBvI_jAcaOPt1PEX99xa_S3367Fh^LW4YAEFjz;_}+wBz6L4pRk=%#QjR}H{K8X zB8epCVWg8dsI1p)XZqybBqu_puNPbZjfO?ikuB1NR>2(4+BuC&nE#IR{FNa?W_-`G z^3jE|wea8kPCT|aLSoT8dP-Vk>doO4%Fb}#6s|cmNufP1Wm7m)Sma?~y6RZ5V)cuA zf?m}jJe=Z-DUEqHVHxQXND=h|?5n>IgSA@F9D8AcBfj{WFcNNz4}d7RfP!cg<8K?_ z?w`kZx@y+NQNhiINb^&+(xRX43|ca@;z-qb|Gve6WVB|m-Z`dnR{0iP32X=JevsY@ z|ERngf*b(tRaRgPfv6ue-6PU0J97-GZoZGRK~BlMjJ2Ov1zNYGLk9)IP4_N51hF3n zcpP~;;##xnKKwh`p@aYksT!m3tRbbiA2*WK^X&Ho&VHJw!`+8vq82}w$Q%KS20La4 zt{6x-**;^_R{&NsVKoHHrK(44{i=2k>~r=G(Ro%Y^ufZ^z#l7|-Is@btr`m7Zc&f( zQ-z9K^{$2)29NUx6@8ZwE4s$I8QwewyWGT&Xsjabsi;wYi95(v-K#B(V`$yTKQ_YSh@8GcpEoxGm5d&Kn zuVvaarv{b=h2X`V#nyPCJMO)-sa0>rH&d42^o9w)xj(+<@wPl9shm3#35|+EvavXM zRcOM~j6{U&qV>4sg>RLAjd=NbR}9dgD6kO}O4$4iBKW}J@+%+T;24yXAz_uUba0ZA zaHAg?f`y$Dm~ew01uWk2Juy#b0p$$nSt+bpe@uzO^JZ@_JWV7YRsN2WAEHo?WF{$J zApus?V0-@|X%6EH24g)^-hWl)8)tUXB40-OZp<@ul8>Rwq_fF$81<|Q^^z5lg*v~r zd`5580asZ`(&AED2?Ut>o7BTi>~%Suyx6+RzZ ztzmXbR*y^>9COA3&x5?mH+12@;9CD<+Len!AnhN2edn$iq_t##R5Mp ze5F`bNh$qMvdg5pj#;~_ry_2$RXet~>=Nofp2>SuBi=WY+|EtV%pdo6`KlE77!DIb zU%s_uPyNvU6#lO4pG-fE74b0vSK;+C;V#y)wx^2k?J;{rP7O{TBD$u!=r&z+li!#o zc2HLulO>NBj()MMP@({+C5rvtBAzZ~7KirtEM9)(>~l7uSo_q<&9aF55;7-vs)*`1 zca4D%eZ1t;w)^)mYJAiO%GxcyCjf9Xb1`0fJu&quuj?6avQi7A+HWEeWqA2c_-YaL z4ZAfWZi>T>cjM_Itmvi7Y`UsvzDv>|C6y$FkKK{VTQDD+l9Mlm?arx76f1?1x;VCDlrj_-jWwCu^#fbts6`!c2+}Qjlya;Rb2p2@Be)d*cTxf zlhbsk*AkS}*VP+0q6*9XU*ab%rD4p~Uo}9G@j!>g9%o@Ho>!`$bIu_eJre!Q5oC4t zQnCwx5~_VKS}uxxv-WAla2~^llea=@VCC<6g%wJ_T0h3+TY%<%WwvcJm5@4+tNRF0 zJ5g2_yBYj8*Fvn_mY_wl)rkB<;#|3N4{fw}D=v%CqUt!eVI#>3zk)Uvtg{sP9k=$T zKh@vS-G9eTY&|@pnN^@VGF-H zCj8%$Cm36)iqy#857Y1YW4o`s9N+&H2Sm<>|6K_OYmO7V`Er%wH!4GbU=daHh zs<>rKOx!j<`Qvjc{S9pBmTXc@(y~#Tm?Flyc_G5kAifb<65D6BL6NvHc`wMi_pc_H zOtDnF^P?>kcg~dg*6NH@R+1OU5-K!Uw?sDo5Ax@`m1MjM@bC2Zorv*!Wgm=RC_6Or zJnjSbHW`#;dtw6lwr=!@<{n$`MO%YuVaq>1RbXq-wChyk19Y=&l6g`LZ6xvf16k3u z#Z%M*Z8#g~pl=&y7nW#!C@E+t<(dhFRZz>)OewBSh5@E%mJt|)UK8lJz~|>H?gGt^>52Qnr2D9 zla+JVLkVZzu74We;qUS6k_4bcI!9`G{JS&dj~t?^!m>vIn?ZErK1=v{oqP#P4=$68+GKX_#H9+~`i6mvlJ8#gA6< zj%&1{G9+}i7ctRiwCt^`Ym*Fe-`0JM;wQf!(yl^1>WyQ`=P$1(&2+Tk7}JQb4Iek* zN?-oW$cE|WL|yyU8MgcJBW8}3GSouaUD5UfR~L{!>3B$98kuYvr^tei%Z7FL_*apn zT_N7!ghtQp{pf`Xm&JHpsJnq)J+}&dTiMGWYMY80Eic5}zdOMZZP5pT=UVqU^QrLjDy_lx_ccWJ_g}dM9 z?MRiyT?uB*|FfQ@G~ZG@A~Zqru+i>lYCR)z8!7yrDb_T9GKJ(WhHhVnW*3@iF_Z4| zvPvM*sgXZl-&Em59vM}Z{Fshc^GnB8W2bgpuAiejW&Z)u97nLKZ`LD-or5(i;A>A1 zABwlB1A0di3!YE5-$G>*;}AGvq2Gm18W*2&AE};~#);2qA@fpkiphy%wR=8Fdz;wb z@Iw9}@g)dw&tvC}Dr2(zlsx@p;-XXuAwm zwH33zn7u`C;nU`D!~402PI5iUS)`I+H(s=MkH}Z`|9xxUanE9T%hhx=3y%r0X5+n| zmF*ga>3fwl@7N=M)8j2V_-mp~(p^phKY%?}XJ>=HDzyMTm$5}$h-BQy4_4kP_1@Oj z{pNM$M85lsshKi?ls~~DcGz{_qwTU*iDWg@lT^eP8{kITV!^5i<=HQG!^ykUVykw8n0=xYCTCL zpJJj^FHC*bgse13Hq{h&m0tK$U0b+!-ME2-a_y@U(98GLVfvy<9pC?f8T`3G0?NG3 zXX_Ytk#xbSt~D$wC71=(s!Q(r?J>)d+OALIWY^Q~`adU_ZO@9=)PJfF4@g6x`i_Pw z16tCkBsJQx+A|QHf?hU^V%E0wFj}@ApT2&2DFX;7O77?J$zI-FY;P5IQ&Olt>x%>Cys zQdeJMT~aiP&IrL=Qi8e89~NZiw9I5v8U8q^k2pZBi@yE4@7kFeRqzGpFF*S-SRh*c zvN&Ilwj%s7BG7b%G4vn}iQ;>hthk z#q3S$rr}~L`=d+0F#eHAF!n?`U(u8ys>k;A@Kp7;ca-g!xgdq{tdh8)Wr95px{E@# zEhTjFcC(2j_;fkLoioU9xptC<3P)RHNXLk63G360zQm`W@fhca1|=BLc(9)ExRifa z-{;AxznbMMb3N(Lc|=cUC|pmTT0jvzr$jW3*Jb~ndRHINiHJ6cGEHRKMEwH8#6eQC zDvxAg%4S0viw#dz9P%^6ff0gqb6f${dbOfGSX*@J%eiwyjAoJOE|@%pSkaENiD_Ih zU6yuUWBXb=%}51bOhd+p#E*3BF^x#76?Gkr)=OuJNN_l}?8AUd2c5O{DcqXjy;AOY zas%M=CU_-kEiSTi(RK{}c0d3<-#+s|5I=U$xU>JPDexqBWRfjKbYgv9u{& zGG>&NF3s+9k&kQJZ22q9tDCchzn+XXKo-*ul8kQub^uc-EDKt|F zqBW-GJ74*cJ>4CrCs_`EGZ{bO*Q3>-O2tZ?Q1aq`JS`ml;G!WKmpUxK-JB?{u!nQ|+1rL^11FamqN`lnj%I~;)C$*{Sfb77 z!US0o@0Xn=TY1s#z#&_V@76l!;dGQFg}3x$XaYauAB8AMOVG!Z<5X~?lhBnGg@w;? z1BaU{b?b(+uhKrmt?wyW#a2EEAJ=gINoRF^v|Xxk?Q{RPbAGIu5?gf?{Jt`p+SRQB z!u}7&XKS8tWy@}yRtMeEJk;N+zK>&-Z|ew98eroXjdBExNo!G+p}CN9qq6lFqC`*7 zqx+BVZi>yQNs9;~@~Pok5pjzuc0I;c^fvh7t5n(}E-s~dw#YIvBqwkKEwqXE4)(`M z#-2d}SGD8SjyXzZ=I5@%3f&vP5_z$+2h+SN!$Qz3-@S`I^>NSdw+z_qscYdk?KlH6 z;$i}zOB6)LJAp?#B=D?&Lnz|j71ngu2CeT))=_}pRC&>A> zUtv2Y;?M!)qHTHU%GUUZm?%`unha8M1yl1X(rS!|ajPk(`)o0D&M)YW)5{e~c@>_b zeu``x*m3gW#kJqStcpvSXFA%s{F)(xzsCyH0vew#IecBZ`J`LL(Gk)mvK#aaLx44};ZZS^geI{xmt&X*hI*2w4 zF%BC$o|i$RIfUg1)y?yv(mIDir1?6pNN&~V_ddb@b-+Mx0JH56&!73WM zI87p>M$RjBQA^3Vi1qs@YBMEwf*n1MKFsqkB4^{a36sv!(5P8zd?-l+E6%@u9gu zY%!%hHhj~-dO()eRSz)`(|@^^>DYc(D)c>TB@?I*drQ=VMm1gZtYhs2B=VuA^!u;t zyhq^Ucn%{A<~Drb+=Nu*`A=jGL?4h<)3H6OnS%XA?!LL^;uhjUPh%j1+98I?cvb9a zGh4&$wm*cyem?D7elH$|^JOZh&6X*ut5zJ zul<(ynr>n#$2{AB2v5v-f?GVCMcd~oOj}v;RpVX1xiyk#&1zmsN|4t~NozdAdk5Ae zDbHHe&E9-e3H!l^drIGTJDVcB*l+eRm7DlX03})WUR?8!cK8y*IE~Vx0JJyB-UXmU zDZ+;0(rPJs1r6ET$oX?rr}N52j`q9!^GnLH9~Zt76=OTHp=`%-s9O9}BsH?lv0Xx< zN?)|prNEfn6Q5NvyJzV5bSot*d!cPmUkvk2tMT}9%RwcX*l6oHIU_Gt)VCAU&(QmD zbD)%GZ&J^lEoN*ld}$UcM#2&^x-I_BkBGaKoigOp8AaInLxM|yu%lVz{%sp27y9Mqb& zIqJiYRb0?rsJS!Qdf1afNY8zsc&_ss$72jE#PXr9VeZ16keG=Lh0h8^zbYnv25LoH zvto~~199-9C5VqRt~qxZ{{9`j&2Pr3UFd@5I)6^YsG$#{+Lkx`i7S_^GjVC+Qi@D1 zb5XBlJ8JAAmF9W?M{${0DuJavGQbMBfmiMiaZc@~!Mgen(c46=vGIkDI!C+9VJ?0c znkkJ?LaU`e@%Cn;F9rrn4*%Fz)eIrbZ42Ji=SFTE78yd(dkHFwph(W>BMzN{wYig> zV*($^$^N1_uOG!P24UPQmxd$T+!>?yqKImQ#VX5{+XWPYy1VxE-LUskB+GUPF2Eho zVsLZd?K1+t_86XCeRhSVM}}gi@#Pv7EriM-1ILBy+0u^;!TOa)HqAH>O>|B(8yxnCHC7$}}yrwSgXj09@GzRq9m9S@B{GLIrcMiFb91YO#qewGS>+3+drP`GNp{ z+`L2`vWY!0$}#UYdFg^0NlL6dy3;&f5L}Mb=)r!Ih{AgZ9|>6?P+SFi^J$IK^ZIi` z4;tR-dgG*XZdM&o`o`Z!oaF6~*b1}(A@E?Ps`XnpZ3u#TS~RxbE+muX=0%G|+m7`f zR|M#$7N$a(wtfYhMg2UwFc{Yke7d(;sAG3@yKKN#x3;*G>XIghW{HJQ((C8uEBM^d6U!6SCcAQb64#gf} zRM3CnCGPO`6!02idL|N89VXut31{N};<%%1#vqQpy&MLi8)3tCxe6UE{Nh*_*F!K} zJ!_hL&z_^Qc&!;0>#r;tTu%kuQMcDQ4;JAb!91|*T?SvZ$wACTp{PL9N^b$9wy!Fv zp3NolJI4$`YNR6Kr`?wW>q|DaO<9h!Y(tz<%!J-N@)Y{LmOcyWxW4D$i|F3FOVHcA zs(CoAm)GM_j`T;7-HLBUCFXQl%u^33s%R?15RGBcNBs#-^;yqfsp}2iK%pxeQNOo1 zjGrsJu+0nP9n5hQ09w4x+w-3Q=Q;j4pRmOtGF8cqSZ9RDRaj8Z8XN4o z5iEm|3308sc|S$=0i8Z&Pk(_CV?K^n<^%bk9(TkX^46-0ca$=^*~zDi?1^%+ci`g> z=)3sN`T}{qUx^qUCy85YSBmP4Pt1i9byJF%`M29#bx3vRIhfvwR@8z@xG9Q8n~;*q zCW{nWV1ZYv*BP`cZ`1Blu&a^4M}g6`6+Ga&c=GPzgRFidjlfO+ma)c)Iv%L??C+SL zwu&Sh2mtjUQg!g#4TR#C+f`#0e7wtu(J=)L1m=D32D2fv<%&}Irfs4VrlO<~b{I({eUMmw?OmKdfU1;hLt@n&Xg zT-x8L++alMIL`zZjS{HH6^}aP|GHaNGHZdgafn7h1tq3CSejs6yH3B3b+1)*EbvX&7ZMe=qk4)7^2qEDFO_X8|*`HJ`~zd zDOs|MrC?q%FWwRu-AF7n=^P(!Aq>=2cjqpN&WVOX_oM)yx}DQfPW8D8o|^vl7S;I} zYB>>qrAPm$k?7e8(96im5 zoF#a{SYZ@OxZzZvEc^hxbG;U2`Dd40hXrO>fBPVx$5zlOrf{J@!Yg}9&2iMaUGR0s)!D~F*!lGOV_mjjxqr8dT%q$e6JW4rKunXmS>uG|vzbG{ zz~z>us~0V}md*C>*f}o_@Io?D(9sthvWei1Ay~?YJ8`$++r{Hg$+;udWHwq7oJfBU zHCTSWT`YOIe5k9@Qh^T{PUr}E9gPvBZp25?3NuaMddEBCcRU>zT_#NchZ=_IaJH}L zJV|7jtI@^kiK-}bdXk?-Mf;YEYl9_+I!M8D7|xM^qVjY=)n_gRzBwod0`)!qCGa;5 zaq6yJ9p?R5uP`PU=CaD5jGLn&bLWl(l`>4i6WB@230nzQz_u0!cpxw{)5|gT3FCml z0e>QE*SEk?8*T5vja>%X;AM`9uee~302j`mi8CSgM$7R@>N(5o6Xaql4h16&%SIbJ zAMLaOcXzypaDz8cB&W5Cz*@*w8(udEN9golS9AYX8$maST8MhCYnXUc<2oH}0=4Vz z@7oDwBB<*dD4i4F?F2{KCYr@6%|Gy_ z`;ZUjv#_n6Tq-*7iA%S*MeDbd*yaUj4y$AqO6gtpJ&IJfaPtFlb-eq+;J99=6$n18 zGLI*^Pm&OITolJA9U4mRt0&_=c9_oP%a@;TElYd-pMRwkKjO)FVq1 z1;aRZFel9n7x1B!lJ|f~E?W#O^m6t2Ol(QFz){@|rE~v~qQNkV;o~m7qdxUMC z+2y$n3!z%3Za4Z^mOb&vJ8&c~3J|64``p7}>Jst1b+4Qv zC}0LaTtCCOYcrNmy<=FLY8aa(SaK(~^F|A<*p`^$T)q%lF0wlA9zHSkoWoN29_DKy zwDu_iW1Hj%{&Qn|uyqT+4@7O}%n753?X50VOL=jkXvP#ELqLj+0NNcoP5c0d+eR(; zAP%0RxfR!JxHMHfx>yPn{ux4ZdEC8`$i(<{a2?`Cc_1Zob?dl zF_!Ihn{~pGdy`2&x8t>+`0ZiGd1a~A(p;78@TKREOY8RzX6F=PW3<7(IM&-I(M=)h zzX6X@=-|@t_Y?yW#;@lF8xghS%pwqvtkqq)m#iE&pl+7a`ajJ2sVnsFpEV)Ozax_2 z=#aru6wCE7?3uXg7t!!%$BE1O5C(AX-PCm^_~H7&spnmu2}Kvhl|CHynJm`!#PCPk z``Apy%4m_+(2guyjV7!9IIfWCE4bmy5nQq(Di^^Irm17%~m zuYftJvuCFiQMr^L21BlDxLTlE!y5z+du{uwsA299b%A!rFx7sk9>~HVqe5c-B zMKD>WSv_DnUt2pVyxoOs{+?X!lBSLs?&w9vx?`XKS|*d^kjGQ^HuD8*@@AY1>vl0i zz_xgR-Ez{2MI13txT3J zKNOm!?Yb&2ix_0-4|pmMljK}j5>xhFX%t1fB~#giUhcK)K~r%jPN^Sxmwsc)8u1p{ z*I``F#903w0a}nhDGstzw#wVIC{_AyIr-^Zyff+P<|=N|72%h;A?iq+GzIovWLd_5 z%1jPpsJzJwyp8SezvG%j^6&lZJb)kz$l$bzEnK$KbST++-LfVmDYYsN_XV6yVKUfa zy_O`j^qKs$Xc+N-X{AQ!?y#^|310i>RX^0<+Uly2Mj$!&mD|LClD{4P<9Hxz#evy| zN>xsvjAmrVZPN4)KO=j~+`J?1Kw*dBh|j<^yAo=q0|S}-tdWp#?+$wKE$%H5{xSl^ zA8fc0LYy`OZZm#*hqrA0e9!gFuT9!yf-=#DnHT&&_g4{Yh-u+Ncagvr{LaR#UBbrr z(0(NFAfM1GL%%_n^Codw2yqJEkSLHDTe39{6C+r-F1{nCRIuCT52<+T_e~OdDeysB z`n4)28srxLp4l0XI@2Y5mYU?J4_=8=am^^{axdY=7<^K6D!oU$8`S(7BIz!iz5{OZ zV9UQ!2chjq;EN!}Zi=lotuCnKTV_`Jev9z%z8Zktjtl*tQR(`wX@`R(Dm%APEo7s1 zHT=AspTREwQeZi#SO0NbucpbDQb09V~x?~gg>Pc9C;eV3}9 z66Px%jmRxTTcR8AY-M5ORYfB$$G?wqI*2(_{V`(xRoOyfeJbRZ%a?Vwgm!5WyN93S zsJ$0|Udy*^`s(GRo^=Q;e;i#r+4ZaLErtJy`b{si-4=4A6p?t_^j@?LRhgW1e9tGJ zHvtE~wJOV3zx0)D-QnErG|`;;@qi#&KULRK1-IWJgkcsF=D-$p4(~%uf3H!2pFB-6 zZCdYC8^6}a?bjRY-@cEcwRn;Ay)b~WUk+?d$&6qUFNJkjPNy4%&#ut@C6omFNH9;H zNDDQ3{-m9F;CH?z+StkXDF$fv+j=|VXihz1T$gQz$ju&CPk-OXp9H5;3a|acMhW3C1B5pMz#0f%&@n-K0F|gsd@~emq4TGTCLe?fC~0521-4Gz^E? zRDQZ1EhO8fhc0sQEu<&evz63+XnH~T(f#RL>F??jiV;c`v`DS?cFa&t@c!rLS%C{h z19B-3x791Y^~@GO8GBb>b4DM@mdNCJ{s-|Vyi&RJYf!tN=_^kV!?beT`npiUGlVsW z5~TRt>)cJSM_t!8;`z&h;3uZDLxV-=(eGDslkYnE);`~f{Xc~!z5FPbd~tzoR-|tY z_j5As3!n=EeIPOrNWcq8XbTpzA%^PJw#RH2?(zo#YO%4SCdBjrQteXDBzTi@o~Jj2l`J_xXU~2 z*(Mpm(VO)sUrd%laF)uYsYz0*jk2H0ii$LSkl30WcD9Xf&V+`F(I}iJ^6Z34?8tzx z=~aokJ7}O!d7!<%! z@_qTqk$^k$zJY!KXTB}gmjp_x8-|-CXlh=gu-sSIKO^lBfCCk)EO5df8Hfhk+@X08 zV!Qp$wWHIJq28fTDvuVL%!f6pf61V-c)ca9ZTy?>`dkVZEOEd%gC88~{@#T3BBI4f z5};DW55Al<3d)DR-@#e#rHwZE`12V=i~=^v=;`>wh0E+lbYf-i(2Q8AS&yVbcWnqf&}dyJljViw|l< zhj4+5%EDWQx9MC9`T>bNA=?{iu;)jgp_0!IFOq<$y%Tv^O+xZ&9BWZpyAPuFB`iCd zPl3C%Inwtld1%#DL5cSaIXu2UV5Ka5rFSb~J`+S6D+h==(@=1~&A#t^KhglD@lBRg zbFMqkHoiNKQFGvLw3DtHcW{jvKGlrV+!e($!+_OjWkGp7vS3urb0IsGcaJC2B`PUP{kn0Je^x4^=|`!<;zQ}r%PHyM8xR&vFS!^2scp>#n) zqr^#9g`>n>uP3UJE66$kIu2`ughx%;{O;Uw%!FenW{Hdz5mgNT7r?UpJ;aJNPO) ziR6?3(r?X9o$PuS{;ksZogZaKPg{joG{OA~>526huDia0YFr2aT zOy4>MPq``OWbzQ>^$v@@f*2yfD=Z5M;XsL`!+pbDtPxd=HFq~*99_*+9hrm7P*_Cb zM&9Zovq}Muwf+!um2cW4!681U*@(dBDW?;sT90wUfje417%JDEe@|>B@*Z~CrE~AE ztPW-I<1dR3B3OBS5J8|k)XJHAy(ctF4ztbH%ko%^Dyd;M}Bcv5fbXH|*n(ma&DcC`zHmAp17MOrK=haKG^ zXe!=p>p@fY{X;8V**o_DrL(_$3Dmmomd)NqZ9 zJjy3wCeajG*E*P3em$9ojRJIN9*SPQp%w`9;gVo} zcN)b3+1gke!do^WPiv_e)9Tq zo%!oY9(7d3zj!hyZfK(U0nip#sOjssFamhZIYlfTUH`t$WZdHpKDr0~A-_MtK(5;P zZwQ<1_zz8_Docw519zxRy8MBNT^wU39{>e$B9@}lV2_FN?RnxUOidAd9_8p8ZpjB6*suAJD4{;?0NvOj@S#t<#g*kX3yT{h3k?5{>)cx~R zfK@;TiR>=B?6E>?P>~-Ua$lCu6RC=Q=Avn=l z=e1D_T@E?D5EmlU5L?Cg{{kNh;Pty|3UTrqK6yCj@jgxBBy9NZOX@{&hH;ZH{8kIs zGIT=R^xx6Kc61T%%Hg|2@8jAhd@lpKlBM21L7$nF#pnJ)IMt=>-TQDzZvy&ce3X=a zcgCdm-X0&PY470WA+FJGC%gy-nB2Tedk6TO%PHH1viY2{ovE_chdKBlriM&;_>GiDP|OCD z-7&bG9aPubQ0~fvfw*3p>&(~=ZRIY|j3ajWdLhp9UR#lOzEL8v`7R-C&ck@N1J}XZ zQ2qq(YwE;!#u%~z@1&nM(x+`ipC7;$E8Bqj+fjBq2W|(-T>ERyw$**YFlE{^ZO1eM ze*73ooa;@A{yEv{OSACJKEygHb!CKOduJU<<;$qEJ0JJq>gq*s#P!m=jJ$K+l4%3x zc116ammN6N_r!r2P z`Vp5{!0!%pVqChwxYXwMM}Mf(Zkxf~%&~0|oH1(hmyRJxwmEn<%EIx)E~}G6aPV+E z;ma3}C)V4BhM{ZbJ7+`akO(`BtqRT?@TDu3pYR&i?*ppfk#&WQyMG%i{mBs zo8(n+y7hclGf^Spt zU3mHpqp)wJ?{Xu(uuSswpZ+Pb$77_RpkB5BAJ<9^8ql;~_jNpEDvMSpm$46V4r2UC`(2OV^yjle zJ|6()JhqtK*FwH|lwFMA+~Vmh#EHjCa&c}GQO$qoOXn=TF_eZl*{{>jVtn?YKG(hw zso)gldn~fZ;uN1?*#cntO^LQ6IibJowE5gs!ATnshCGEfYOuhjDmZzsn_~(mdpZB) zIFj}|3!0%mdEG%jayW%C%FbBFHKTK=6WXZAKgnaBFAZ3^xeIajfga%&P!{sZIgdP? zg9SJ@p*`f`yn^}2Je)%XIOh?w@^CIfS1j8B_|WbgCuyo;_Y1&BIE3s>d!JN<*jK^H z`Oma32_oA4WCo{Xu&o@2Kd>LWh2zjheOC6EFEQ`7l_RvfV3+?8C*x8diefk!3pS#h z@jS$N9egU@5GRUq{qvLpVISh;nu9#MUn=m=(*-!!!sls=yQmZLdA0!OQ~^%DBR&u3 zbb-&GEx^fdpXcG^{0a3!@X+VaWpJW05`D=}BDkZ6D&i-~m?g`3d06^ZA(! zPRSrI!*CeNehbH;jX0Ldi=XT-HC5qrynxS3iV*wIKW`u}&p)p~29|9`olu{b3vkZD z=kx47Q{bOh3UG2ALmtl41vsx3;G8e;`Evz6f2{!L*#e)RFYx*61vvX*hg-129pDaq ziQ{>uX*gYIBl@1E(C(VgeTb9S0C_$?>j75r&s_yL=W62IS%7msgHtk~rXI!u`ch1v z9J^4LF`vI(fb){|Puelm=QRBD8tBDv-YLL&!{Qu_*!?7M_Tm~ThVyO#PJW-4vQJ0& zoPy8a$>EdZD@~yW zk4=LY^{4RKei8HQn;;`oD8m-$lJC=ISt!E;ee$09isk4^tXmCWf0YSY*==e zUv_^S63RXR*%x4-A#&J(?|i#i@IBc<$_{VuluOMC(TqgF* zl2Zc1_`BoO(nr#xB%&1GO(3nwmS9QgTq*Qo;C1Vd1#}#2@W5Tf6$M+~2VUYHr5f$ut;81GTFxs#C(?&*OA?b4x5o1mqgUhO6SogQGp$5=s1KYtj6O(1Vn#-A zG*PGCsFtm$YXSWotrvD4j}}#kD8GVt)?3e{!I!u_}0gk*U7K^l6U> ztKi9EH9W@HWcRdAT_u$|v_M77DvE5?@O<(!*XKTX6cs6;jO=hNe8;7cU)vQlb0idQ zJ6)5RIB|76tJJrn@2_}ZSSzI{_3#2iDN*ulr)_go(#v* zu=#cr<}mhbeq)UgvL>`3`=Okz4dqlfYYrL*d3Il-cQ*^yLu06@G{OZ`SkRa5eJTf7 zMXL=dYS=`h3C3`7d3JlF1xpe`3qy>cW;lV+o6(auV(97Rkq>gCvbh;%d5^UP(ij#7 zU#(t4pZ^c1%Iv{oypYYGHx`jBP$2K-t^7$xj-37JWBw5Mr7>{e+IUCV(!v?PjE#s> zs(UF{Gcx)ij@He*Tk{3GJXDoUSJYJe>&Y6fq6;d2Rlb0zIW`YN@-+F- QS1H9W19r~%3jhHB|4P+ZPyhe` literal 19001 zcmX6j1y~$C(|EB$f#U9k;uQDdUfi97;x31~I}|;jcq#67xVsiO+z)rxpZEWseRgJ& zO(wgOWGwp`1_q`A4fY+vz}UQB-`=q%z}dyh!JdMhkA08in&SXJQxZ$|@mOwi%2o&4*_vf7DhTI3&Nr2{ zwze91bn^6`d)~~67;5l)L1B{XVC?rYWHZT!~DcTspbp#j|@O+STCR@+Dj*v#l~#<9}^QeE{ml*J~Sw z7WdqHihFj(K;@L<*)w;PH|q^!ESb023-xZ_G`pOieCwltw!m9biEJ>(p3#-Dbmkm$ zkIO_aP8kpE3g@3*v+`%I9elel+>wbzLzWE+N1TU0bI2NEu=WAA3!m6c1#x$AFa84L z!V~4K@JSo|uqI=imunat^c?-j?;rd*8$aBF@u7vI&x(t;W@fG7V0MzxM;&eDmkjCE z9{%UaTJ4Tp={GGOKfkX~zb7?8%2@srC7_1BpmTITY?0n>Gj4OEi5bHRRVIV60oZij{e?I-Pd