From 49f44f0b84b42a5b886153f6340aab26d108c31a Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Mon, 16 Aug 2021 18:49:47 -0400 Subject: [PATCH] MAX II and MAX V compiling? --- CPLD/AGM-src/db/RAM4GS.(0).cnf.cdb | Bin 20110 -> 0 bytes CPLD/AGM-src/db/RAM4GS.(0).cnf.hdb | Bin 3691 -> 0 bytes CPLD/AGM-src/db/RAM4GS.(1).cnf.cdb | Bin 1256 -> 0 bytes CPLD/AGM-src/db/RAM4GS.(1).cnf.hdb | Bin 938 -> 0 bytes CPLD/AGM-src/db/RAM4GS.(2).cnf.cdb | Bin 1259 -> 0 bytes CPLD/AGM-src/db/RAM4GS.(2).cnf.hdb | Bin 1011 -> 0 bytes CPLD/AGM-src/db/RAM4GS.asm.qmsg | 6 - CPLD/AGM-src/db/RAM4GS.asm.rdb | Bin 1366 -> 0 bytes CPLD/AGM-src/db/RAM4GS.asm_labs.ddb | Bin 2715 -> 0 bytes CPLD/AGM-src/db/RAM4GS.cmp.cdb | Bin 42140 -> 0 bytes CPLD/AGM-src/db/RAM4GS.cmp.hdb | Bin 17646 -> 0 bytes CPLD/AGM-src/db/RAM4GS.cmp.idb | Bin 11939 -> 0 bytes CPLD/AGM-src/db/RAM4GS.cmp.kpt | Bin 220 -> 0 bytes CPLD/AGM-src/db/RAM4GS.cmp.rdb | Bin 15349 -> 0 bytes CPLD/AGM-src/db/RAM4GS.cmp0.ddb | Bin 76439 -> 0 bytes CPLD/AGM-src/db/RAM4GS.fit.qmsg | 43 - CPLD/AGM-src/db/RAM4GS.hif | Bin 578 -> 0 bytes CPLD/AGM-src/db/RAM4GS.ipinfo | Bin 338 -> 0 bytes CPLD/AGM-src/db/RAM4GS.lpc.rdb | Bin 508 -> 0 bytes CPLD/AGM-src/db/RAM4GS.map.cdb | Bin 15920 -> 0 bytes CPLD/AGM-src/db/RAM4GS.map.hdb | Bin 16721 -> 0 bytes CPLD/AGM-src/db/RAM4GS.map.qmsg | 26 - CPLD/AGM-src/db/RAM4GS.map.rdb | Bin 1244 -> 0 bytes CPLD/AGM-src/db/RAM4GS.pre_map.hdb | Bin 15572 -> 0 bytes CPLD/AGM-src/db/RAM4GS.qns | 1 - .../db/RAM4GS.root_partition.map.reg_db.cdb | Bin 209 -> 0 bytes CPLD/AGM-src/db/RAM4GS.routing.rdb | Bin 1485 -> 0 bytes CPLD/AGM-src/db/RAM4GS.rtlv.hdb | Bin 15323 -> 0 bytes CPLD/AGM-src/db/RAM4GS.rtlv_sg.cdb | Bin 17681 -> 0 bytes CPLD/AGM-src/db/RAM4GS.rtlv_sg_swap.cdb | Bin 802 -> 0 bytes CPLD/AGM-src/db/RAM4GS.sgdiff.cdb | Bin 15068 -> 0 bytes CPLD/AGM-src/db/RAM4GS.sgdiff.hdb | Bin 15980 -> 0 bytes CPLD/AGM-src/db/RAM4GS.sta.qmsg | 23 - CPLD/AGM-src/db/RAM4GS.sta.rdb | Bin 18289 -> 0 bytes CPLD/AGM-src/db/RAM4GS.sta_cmp.5_slow.tdb | Bin 36337 -> 0 bytes CPLD/AGM-src/db/RAM4GS.vpr.ammdb | Bin 613 -> 0 bytes CPLD/AGM-src/db/logic_util_heursitic.dat | Bin 10252 -> 0 bytes CPLD/AGM-src/db/prev_cmp_RAM4GS.qmsg | 106 -- CPLD/AGM-src/greybox_tmp/cbx_args.txt | 26 - .../RAM4GS.root_partition.map.kpt | Bin 2613 -> 0 bytes CPLD/AGM-src/output_files/RAM4GS.cdf | 13 - CPLD/AGM-src/output_files/RAM4GS.done | 1 - CPLD/AGM-src/output_files/RAM4GS.fit.summary | 11 - CPLD/AGM-src/output_files/RAM4GS.jdi | 8 - CPLD/AGM-src/output_files/RAM4GS.map.summary | 9 - CPLD/AGM-src/output_files/RAM4GS.pof | Bin 7879 -> 0 bytes CPLD/AGM-src/output_files/RAM4GS.sta.rpt | 1588 ----------------- .../RAM4GS.qpf => MAX/MAXII/RAM2GS-MAXII.qpf} | 10 +- .../RAM4GS.qsf => MAX/MAXII/RAM2GS.qsf} | 7 +- CPLD/MAX/MAXII/RAM2GS.qws | Bin 0 -> 613 bytes CPLD/{AGM-src => MAX/MAXII}/UFM.qip | 0 CPLD/{ => MAX}/MAXII/UFM.v | 32 +- CPLD/MAX/MAXII/db/RAM2GS.(0).cnf.cdb | Bin 0 -> 20498 bytes CPLD/MAX/MAXII/db/RAM2GS.(0).cnf.hdb | Bin 0 -> 3642 bytes CPLD/MAX/MAXII/db/RAM2GS.(1).cnf.cdb | Bin 0 -> 1261 bytes CPLD/MAX/MAXII/db/RAM2GS.(1).cnf.hdb | Bin 0 -> 929 bytes CPLD/MAX/MAXII/db/RAM2GS.(2).cnf.cdb | Bin 0 -> 1267 bytes CPLD/MAX/MAXII/db/RAM2GS.(2).cnf.hdb | Bin 0 -> 1010 bytes CPLD/MAX/MAXII/db/RAM2GS.asm.qmsg | 6 + CPLD/MAX/MAXII/db/RAM2GS.asm.rdb | Bin 0 -> 1391 bytes CPLD/MAX/MAXII/db/RAM2GS.asm_labs.ddb | Bin 0 -> 2638 bytes CPLD/MAX/MAXII/db/RAM2GS.cmp.cdb | Bin 0 -> 41577 bytes CPLD/MAX/MAXII/db/RAM2GS.cmp.hdb | Bin 0 -> 18013 bytes CPLD/MAX/MAXII/db/RAM2GS.cmp.idb | Bin 0 -> 11913 bytes CPLD/MAX/MAXII/db/RAM2GS.cmp.kpt | Bin 0 -> 220 bytes .../MAXII/db/RAM2GS.cmp.logdb} | 0 CPLD/MAX/MAXII/db/RAM2GS.cmp.rdb | Bin 0 -> 15262 bytes CPLD/MAX/MAXII/db/RAM2GS.cmp0.ddb | Bin 0 -> 73778 bytes .../MAXII/db/RAM2GS.db_info} | 2 +- CPLD/MAX/MAXII/db/RAM2GS.fit.qmsg | 46 + .../MAXII/db/RAM2GS.hier_info} | 21 +- CPLD/MAX/MAXII/db/RAM2GS.hif | Bin 0 -> 586 bytes CPLD/MAX/MAXII/db/RAM2GS.ipinfo | Bin 0 -> 177 bytes .../MAXII/db/RAM2GS.lpc.html} | 2 +- CPLD/MAX/MAXII/db/RAM2GS.lpc.rdb | Bin 0 -> 507 bytes .../MAXII/db/RAM2GS.lpc.txt} | 2 +- CPLD/MAX/MAXII/db/RAM2GS.map.cdb | Bin 0 -> 16089 bytes CPLD/MAX/MAXII/db/RAM2GS.map.hdb | Bin 0 -> 17137 bytes .../MAXII/db/RAM2GS.map.logdb} | 0 CPLD/MAX/MAXII/db/RAM2GS.map.qmsg | 27 + CPLD/MAX/MAXII/db/RAM2GS.map.rdb | Bin 0 -> 1252 bytes CPLD/MAX/MAXII/db/RAM2GS.pre_map.hdb | Bin 0 -> 16014 bytes .../MAXII/db/RAM2GS.pti_db_list.ddb} | Bin 191 -> 191 bytes .../db/RAM2GS.root_partition.map.reg_db.cdb | Bin 0 -> 210 bytes CPLD/MAX/MAXII/db/RAM2GS.routing.rdb | Bin 0 -> 1420 bytes CPLD/MAX/MAXII/db/RAM2GS.rtlv.hdb | Bin 0 -> 15834 bytes CPLD/MAX/MAXII/db/RAM2GS.rtlv_sg.cdb | Bin 0 -> 18021 bytes CPLD/MAX/MAXII/db/RAM2GS.rtlv_sg_swap.cdb | Bin 0 -> 804 bytes CPLD/MAX/MAXII/db/RAM2GS.sgdiff.cdb | Bin 0 -> 15071 bytes CPLD/MAX/MAXII/db/RAM2GS.sgdiff.hdb | Bin 0 -> 16455 bytes .../MAXII/db/RAM2GS.sld_design_entry.sci} | Bin 216 -> 216 bytes .../MAXII/db/RAM2GS.sld_design_entry_dsc.sci} | Bin 216 -> 216 bytes .../MAXII/db/RAM2GS.smart_action.txt} | 0 CPLD/MAX/MAXII/db/RAM2GS.sta.qmsg | 23 + CPLD/MAX/MAXII/db/RAM2GS.sta.rdb | Bin 0 -> 18145 bytes CPLD/MAX/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb | Bin 0 -> 36170 bytes .../MAXII/db/RAM2GS.syn_hier_info} | 0 .../MAXII/db/RAM2GS.tis_db_list.ddb} | Bin 191 -> 191 bytes CPLD/MAX/MAXII/db/RAM2GS.tmw_info | 6 + CPLD/MAX/MAXII/db/RAM2GS.vpr.ammdb | Bin 0 -> 615 bytes CPLD/MAX/MAXII/db/logic_util_heursitic.dat | Bin 0 -> 10208 bytes CPLD/MAX/MAXII/db/prev_cmp_RAM2GS-MAXII.qmsg | 13 + .../MAXII}/incremental_db/README | 0 .../compiled_partitions/RAM2GS.db_info} | 2 +- .../RAM2GS.root_partition.map.kpt | Bin 0 -> 2658 bytes .../MAXII/output_files/RAM2GS.asm.rpt} | 62 +- CPLD/MAX/MAXII/output_files/RAM2GS.done | 1 + .../MAXII/output_files/RAM2GS.fit.rpt} | 455 ++--- .../MAXII/output_files/RAM2GS.fit.smsg} | 0 .../MAX/MAXII/output_files/RAM2GS.fit.summary | 11 + .../MAXII/output_files/RAM2GS.flow.rpt} | 104 +- CPLD/MAX/MAXII/output_files/RAM2GS.jdi | 8 + .../MAXII/output_files/RAM2GS.map.rpt} | 150 +- .../MAXII/output_files/RAM2GS.map.smsg} | 2 +- .../MAX/MAXII/output_files/RAM2GS.map.summary | 9 + .../MAXII/output_files/RAM2GS.pin} | 6 +- CPLD/MAX/MAXII/output_files/RAM2GS.pof | Bin 0 -> 7879 bytes CPLD/MAX/MAXII/output_files/RAM2GS.sta.rpt | 1576 ++++++++++++++++ .../MAXII/output_files/RAM2GS.sta.summary} | 46 +- .../RAM4GS.qpf => MAX/MAXV/RAM2GS-MAXV.qpf} | 10 +- .../RAM4GS.qsf => MAX/MAXV/RAM2GS.qsf} | 11 +- CPLD/MAX/MAXV/RAM2GS.qws | Bin 0 -> 613 bytes CPLD/{MAXII => MAX/MAXV}/UFM.qip | 0 CPLD/{AGM-src => MAX/MAXV}/UFM.v | 46 +- CPLD/MAX/MAXV/db/RAM2GS.(0).cnf.cdb | Bin 0 -> 20498 bytes CPLD/MAX/MAXV/db/RAM2GS.(0).cnf.hdb | Bin 0 -> 3649 bytes CPLD/MAX/MAXV/db/RAM2GS.(1).cnf.cdb | Bin 0 -> 1261 bytes CPLD/MAX/MAXV/db/RAM2GS.(1).cnf.hdb | Bin 0 -> 930 bytes CPLD/MAX/MAXV/db/RAM2GS.(2).cnf.cdb | Bin 0 -> 1267 bytes CPLD/MAX/MAXV/db/RAM2GS.(2).cnf.hdb | Bin 0 -> 1012 bytes CPLD/MAX/MAXV/db/RAM2GS.asm.qmsg | 6 + CPLD/MAX/MAXV/db/RAM2GS.asm.rdb | Bin 0 -> 1391 bytes CPLD/MAX/MAXV/db/RAM2GS.asm_labs.ddb | Bin 0 -> 2681 bytes CPLD/MAX/MAXV/db/RAM2GS.cmp.cdb | Bin 0 -> 42303 bytes CPLD/MAX/MAXV/db/RAM2GS.cmp.hdb | Bin 0 -> 18020 bytes CPLD/MAX/MAXV/db/RAM2GS.cmp.idb | Bin 0 -> 12219 bytes CPLD/MAX/MAXV/db/RAM2GS.cmp.kpt | Bin 0 -> 220 bytes .../MAXV/db/RAM2GS.cmp.logdb} | 0 CPLD/MAX/MAXV/db/RAM2GS.cmp.rdb | Bin 0 -> 15283 bytes CPLD/MAX/MAXV/db/RAM2GS.cmp0.ddb | Bin 0 -> 79054 bytes CPLD/MAX/MAXV/db/RAM2GS.db_info | 3 + CPLD/MAX/MAXV/db/RAM2GS.fit.qmsg | 46 + .../MAXV/db/RAM2GS.hier_info} | 21 +- CPLD/MAX/MAXV/db/RAM2GS.hif | Bin 0 -> 587 bytes CPLD/MAX/MAXV/db/RAM2GS.ipinfo | Bin 0 -> 177 bytes .../MAXV/db/RAM2GS.lpc.html} | 2 +- CPLD/MAX/MAXV/db/RAM2GS.lpc.rdb | Bin 0 -> 509 bytes .../MAXV/db/RAM2GS.lpc.txt} | 2 +- CPLD/MAX/MAXV/db/RAM2GS.map.cdb | Bin 0 -> 16089 bytes CPLD/MAX/MAXV/db/RAM2GS.map.hdb | Bin 0 -> 17155 bytes .../MAXV/db/RAM2GS.map.logdb} | 0 CPLD/MAX/MAXV/db/RAM2GS.map.qmsg | 27 + CPLD/MAX/MAXV/db/RAM2GS.map.rdb | Bin 0 -> 1255 bytes CPLD/MAX/MAXV/db/RAM2GS.pplq.rdb | Bin 0 -> 246 bytes CPLD/MAX/MAXV/db/RAM2GS.pre_map.hdb | Bin 0 -> 16024 bytes .../MAXV/db/RAM2GS.pti_db_list.ddb} | Bin 191 -> 191 bytes .../db/RAM2GS.root_partition.map.reg_db.cdb | Bin 0 -> 210 bytes CPLD/MAX/MAXV/db/RAM2GS.routing.rdb | Bin 0 -> 1410 bytes CPLD/MAX/MAXV/db/RAM2GS.rtlv.hdb | Bin 0 -> 15843 bytes CPLD/MAX/MAXV/db/RAM2GS.rtlv_sg.cdb | Bin 0 -> 18021 bytes CPLD/MAX/MAXV/db/RAM2GS.rtlv_sg_swap.cdb | Bin 0 -> 804 bytes CPLD/MAX/MAXV/db/RAM2GS.sgdiff.cdb | Bin 0 -> 15067 bytes CPLD/MAX/MAXV/db/RAM2GS.sgdiff.hdb | Bin 0 -> 16458 bytes .../MAXV/db/RAM2GS.sld_design_entry.sci} | Bin 216 -> 216 bytes .../MAXV/db/RAM2GS.sld_design_entry_dsc.sci} | Bin 216 -> 216 bytes .../MAXV/db/RAM2GS.smart_action.txt} | 0 CPLD/MAX/MAXV/db/RAM2GS.sta.qmsg | 23 + CPLD/MAX/MAXV/db/RAM2GS.sta.rdb | Bin 0 -> 18274 bytes CPLD/MAX/MAXV/db/RAM2GS.sta_cmp.5_slow.tdb | Bin 0 -> 36400 bytes .../MAXV/db/RAM2GS.syn_hier_info} | 0 .../MAXV/db/RAM2GS.tis_db_list.ddb} | Bin 191 -> 191 bytes CPLD/MAX/MAXV/db/RAM2GS.tmw_info | 6 + CPLD/MAX/MAXV/db/RAM2GS.vpr.ammdb | Bin 0 -> 615 bytes CPLD/MAX/MAXV/db/logic_util_heursitic.dat | Bin 0 -> 10208 bytes CPLD/MAX/MAXV/db/prev_cmp_RAM2GS-MAXV.qmsg | 9 + .../{MAXII => MAX/MAXV}/incremental_db/README | 0 .../compiled_partitions/RAM2GS.db_info | 3 + .../RAM2GS.root_partition.map.kpt | Bin 0 -> 2673 bytes .../MAXV/output_files/RAM2GS.asm.rpt} | 66 +- CPLD/MAX/MAXV/output_files/RAM2GS.done | 1 + .../MAXV/output_files/RAM2GS.fit.rpt} | 673 +++---- .../MAXV/output_files/RAM2GS.fit.smsg} | 0 CPLD/MAX/MAXV/output_files/RAM2GS.fit.summary | 11 + .../MAXV/output_files/RAM2GS.flow.rpt} | 108 +- CPLD/MAX/MAXV/output_files/RAM2GS.jdi | 8 + .../MAXV/output_files/RAM2GS.map.rpt} | 156 +- .../MAXV/output_files/RAM2GS.map.smsg} | 2 +- CPLD/MAX/MAXV/output_files/RAM2GS.map.summary | 9 + .../MAXV/output_files/RAM2GS.pin} | 30 +- CPLD/MAX/MAXV/output_files/RAM2GS.pof | Bin 0 -> 7879 bytes CPLD/MAX/MAXV/output_files/RAM2GS.sta.rpt | 1576 ++++++++++++++++ .../MAXV/output_files/RAM2GS.sta.summary} | 38 +- .../RAM4GS.mif => MAX/RAM2GS-MAX.mif} | 0 CPLD/{RAM4GS-MAX.v => MAX/RAM2GS-MAX.v} | 2 +- CPLD/{AGM-src/output_files => MAX}/UFM.qip | 0 .../greybox_tmp/cbx_args.txt | 6 +- CPLD/MAXII/RAM4GS.mif | 27 - CPLD/MAXII/constraints.sdc | 0 CPLD/MAXII/db/RAM4GS.(0).cnf.cdb | Bin 20110 -> 0 bytes CPLD/MAXII/db/RAM4GS.(0).cnf.hdb | Bin 3691 -> 0 bytes CPLD/MAXII/db/RAM4GS.(1).cnf.cdb | Bin 1256 -> 0 bytes CPLD/MAXII/db/RAM4GS.(1).cnf.hdb | Bin 938 -> 0 bytes CPLD/MAXII/db/RAM4GS.(2).cnf.cdb | Bin 1259 -> 0 bytes CPLD/MAXII/db/RAM4GS.(2).cnf.hdb | Bin 1011 -> 0 bytes CPLD/MAXII/db/RAM4GS.asm.qmsg | 6 - CPLD/MAXII/db/RAM4GS.asm.rdb | Bin 1366 -> 0 bytes CPLD/MAXII/db/RAM4GS.asm_labs.ddb | Bin 2715 -> 0 bytes CPLD/MAXII/db/RAM4GS.cmp.cdb | Bin 42140 -> 0 bytes CPLD/MAXII/db/RAM4GS.cmp.hdb | Bin 17646 -> 0 bytes CPLD/MAXII/db/RAM4GS.cmp.idb | Bin 11939 -> 0 bytes CPLD/MAXII/db/RAM4GS.cmp.kpt | Bin 220 -> 0 bytes CPLD/MAXII/db/RAM4GS.cmp.rdb | Bin 15349 -> 0 bytes CPLD/MAXII/db/RAM4GS.cmp0.ddb | Bin 76439 -> 0 bytes CPLD/MAXII/db/RAM4GS.fit.qmsg | 43 - CPLD/MAXII/db/RAM4GS.hif | Bin 578 -> 0 bytes CPLD/MAXII/db/RAM4GS.ipinfo | Bin 338 -> 0 bytes CPLD/MAXII/db/RAM4GS.lpc.rdb | Bin 508 -> 0 bytes CPLD/MAXII/db/RAM4GS.map.cdb | Bin 15920 -> 0 bytes CPLD/MAXII/db/RAM4GS.map.hdb | Bin 16721 -> 0 bytes CPLD/MAXII/db/RAM4GS.map.qmsg | 26 - CPLD/MAXII/db/RAM4GS.map.rdb | Bin 1244 -> 0 bytes CPLD/MAXII/db/RAM4GS.pre_map.hdb | Bin 15572 -> 0 bytes CPLD/MAXII/db/RAM4GS.qns | 1 - .../db/RAM4GS.root_partition.map.reg_db.cdb | Bin 209 -> 0 bytes CPLD/MAXII/db/RAM4GS.routing.rdb | Bin 1485 -> 0 bytes CPLD/MAXII/db/RAM4GS.rtlv.hdb | Bin 15323 -> 0 bytes CPLD/MAXII/db/RAM4GS.rtlv_sg.cdb | Bin 17681 -> 0 bytes CPLD/MAXII/db/RAM4GS.rtlv_sg_swap.cdb | Bin 802 -> 0 bytes CPLD/MAXII/db/RAM4GS.sgdiff.cdb | Bin 15068 -> 0 bytes CPLD/MAXII/db/RAM4GS.sgdiff.hdb | Bin 15980 -> 0 bytes CPLD/MAXII/db/RAM4GS.sta.qmsg | 23 - CPLD/MAXII/db/RAM4GS.sta.rdb | Bin 18289 -> 0 bytes CPLD/MAXII/db/RAM4GS.sta_cmp.5_slow.tdb | Bin 36337 -> 0 bytes CPLD/MAXII/db/RAM4GS.syn_hier_info | 0 CPLD/MAXII/db/RAM4GS.vpr.ammdb | Bin 613 -> 0 bytes CPLD/MAXII/db/logic_util_heursitic.dat | Bin 10252 -> 0 bytes CPLD/MAXII/db/prev_cmp_RAM4GS.qmsg | 106 -- CPLD/MAXII/greybox_tmp/cbx_args.txt | 26 - .../RAM4GS.root_partition.map.kpt | Bin 2613 -> 0 bytes CPLD/MAXII/output_files/RAM4GS.cdf | 13 - CPLD/MAXII/output_files/RAM4GS.done | 1 - CPLD/MAXII/output_files/RAM4GS.fit.summary | 11 - CPLD/MAXII/output_files/RAM4GS.jdi | 8 - CPLD/MAXII/output_files/RAM4GS.map.summary | 9 - CPLD/MAXII/output_files/RAM4GS.pof | Bin 7879 -> 0 bytes CPLD/MAXII/output_files/RAM4GS.sta.rpt | 1588 ----------------- CPLD/MAXII/output_files/UFM.qip | 0 .../output_files/greybox_tmp/cbx_args.txt | 25 - 248 files changed, 4495 insertions(+), 4809 deletions(-) delete mode 100755 CPLD/AGM-src/db/RAM4GS.(0).cnf.cdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.(0).cnf.hdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.(1).cnf.cdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.(1).cnf.hdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.(2).cnf.cdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.(2).cnf.hdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.asm.qmsg delete mode 100755 CPLD/AGM-src/db/RAM4GS.asm.rdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.asm_labs.ddb delete mode 100755 CPLD/AGM-src/db/RAM4GS.cmp.cdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.cmp.hdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.cmp.idb delete mode 100755 CPLD/AGM-src/db/RAM4GS.cmp.kpt delete mode 100755 CPLD/AGM-src/db/RAM4GS.cmp.rdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.cmp0.ddb delete mode 100755 CPLD/AGM-src/db/RAM4GS.fit.qmsg delete mode 100755 CPLD/AGM-src/db/RAM4GS.hif delete mode 100755 CPLD/AGM-src/db/RAM4GS.ipinfo delete mode 100755 CPLD/AGM-src/db/RAM4GS.lpc.rdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.map.cdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.map.hdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.map.qmsg delete mode 100755 CPLD/AGM-src/db/RAM4GS.map.rdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.pre_map.hdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.qns delete mode 100755 CPLD/AGM-src/db/RAM4GS.root_partition.map.reg_db.cdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.routing.rdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.rtlv.hdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.rtlv_sg.cdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.rtlv_sg_swap.cdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.sgdiff.cdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.sgdiff.hdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.sta.qmsg delete mode 100755 CPLD/AGM-src/db/RAM4GS.sta.rdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.sta_cmp.5_slow.tdb delete mode 100755 CPLD/AGM-src/db/RAM4GS.vpr.ammdb delete mode 100755 CPLD/AGM-src/db/logic_util_heursitic.dat delete mode 100755 CPLD/AGM-src/db/prev_cmp_RAM4GS.qmsg delete mode 100755 CPLD/AGM-src/greybox_tmp/cbx_args.txt delete mode 100755 CPLD/AGM-src/incremental_db/compiled_partitions/RAM4GS.root_partition.map.kpt delete mode 100755 CPLD/AGM-src/output_files/RAM4GS.cdf delete mode 100755 CPLD/AGM-src/output_files/RAM4GS.done delete mode 100755 CPLD/AGM-src/output_files/RAM4GS.fit.summary delete mode 100755 CPLD/AGM-src/output_files/RAM4GS.jdi delete mode 100755 CPLD/AGM-src/output_files/RAM4GS.map.summary delete mode 100755 CPLD/AGM-src/output_files/RAM4GS.pof delete mode 100755 CPLD/AGM-src/output_files/RAM4GS.sta.rpt rename CPLD/{AGM-src/RAM4GS.qpf => MAX/MAXII/RAM2GS-MAXII.qpf} (84%) mode change 100755 => 100644 rename CPLD/{MAXII/RAM4GS.qsf => MAX/MAXII/RAM2GS.qsf} (97%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/RAM2GS.qws rename CPLD/{AGM-src => MAX/MAXII}/UFM.qip (100%) mode change 100755 => 100644 rename CPLD/{ => MAX}/MAXII/UFM.v (83%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.(0).cnf.cdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.(0).cnf.hdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.(1).cnf.cdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.(1).cnf.hdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.(2).cnf.cdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.(2).cnf.hdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.asm.qmsg create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.asm.rdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.asm_labs.ddb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.cmp.cdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.cmp.hdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.cmp.idb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.cmp.kpt rename CPLD/{AGM-src/db/RAM4GS.cmp.logdb => MAX/MAXII/db/RAM2GS.cmp.logdb} (100%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.cmp.rdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.cmp0.ddb rename CPLD/{AGM-src/incremental_db/compiled_partitions/RAM4GS.db_info => MAX/MAXII/db/RAM2GS.db_info} (72%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.fit.qmsg rename CPLD/{AGM-src/db/RAM4GS.hier_info => MAX/MAXII/db/RAM2GS.hier_info} (93%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.hif create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.ipinfo rename CPLD/{AGM-src/db/RAM4GS.lpc.html => MAX/MAXII/db/RAM2GS.lpc.html} (93%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.lpc.rdb rename CPLD/{AGM-src/db/RAM4GS.lpc.txt => MAX/MAXII/db/RAM2GS.lpc.txt} (96%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.map.cdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.map.hdb rename CPLD/{AGM-src/db/RAM4GS.map.logdb => MAX/MAXII/db/RAM2GS.map.logdb} (100%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.map.qmsg create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.map.rdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.pre_map.hdb rename CPLD/{AGM-src/db/RAM4GS.tis_db_list.ddb => MAX/MAXII/db/RAM2GS.pti_db_list.ddb} (67%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.root_partition.map.reg_db.cdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.routing.rdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.rtlv.hdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.rtlv_sg.cdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.rtlv_sg_swap.cdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.sgdiff.cdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.sgdiff.hdb rename CPLD/{AGM-src/db/RAM4GS.sld_design_entry_dsc.sci => MAX/MAXII/db/RAM2GS.sld_design_entry.sci} (59%) mode change 100755 => 100644 rename CPLD/{MAXII/db/RAM4GS.sld_design_entry.sci => MAX/MAXII/db/RAM2GS.sld_design_entry_dsc.sci} (59%) mode change 100755 => 100644 rename CPLD/{AGM-src/db/RAM4GS.smart_action.txt => MAX/MAXII/db/RAM2GS.smart_action.txt} (100%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.sta.qmsg create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.sta.rdb create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb rename CPLD/{AGM-src/constraints.sdc => MAX/MAXII/db/RAM2GS.syn_hier_info} (100%) mode change 100755 => 100644 rename CPLD/{AGM-src/db/RAM4GS.pti_db_list.ddb => MAX/MAXII/db/RAM2GS.tis_db_list.ddb} (67%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.tmw_info create mode 100644 CPLD/MAX/MAXII/db/RAM2GS.vpr.ammdb create mode 100644 CPLD/MAX/MAXII/db/logic_util_heursitic.dat create mode 100644 CPLD/MAX/MAXII/db/prev_cmp_RAM2GS-MAXII.qmsg rename CPLD/{AGM-src => MAX/MAXII}/incremental_db/README (100%) mode change 100755 => 100644 rename CPLD/{MAXII/incremental_db/compiled_partitions/RAM4GS.db_info => MAX/MAXII/incremental_db/compiled_partitions/RAM2GS.db_info} (72%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/incremental_db/compiled_partitions/RAM2GS.root_partition.map.kpt rename CPLD/{AGM-src/output_files/RAM4GS.asm.rpt => MAX/MAXII/output_files/RAM2GS.asm.rpt} (73%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/output_files/RAM2GS.done rename CPLD/{MAXII/output_files/RAM4GS.fit.rpt => MAX/MAXII/output_files/RAM2GS.fit.rpt} (86%) mode change 100755 => 100644 rename CPLD/{AGM-src/output_files/RAM4GS.fit.smsg => MAX/MAXII/output_files/RAM2GS.fit.smsg} (100%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/output_files/RAM2GS.fit.summary rename CPLD/{MAXII/output_files/RAM4GS.flow.rpt => MAX/MAXII/output_files/RAM2GS.flow.rpt} (57%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/output_files/RAM2GS.jdi rename CPLD/{MAXII/output_files/RAM4GS.map.rpt => MAX/MAXII/output_files/RAM2GS.map.rpt} (80%) mode change 100755 => 100644 rename CPLD/{AGM-src/output_files/RAM4GS.map.smsg => MAX/MAXII/output_files/RAM2GS.map.smsg} (71%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/output_files/RAM2GS.map.summary rename CPLD/{AGM-src/output_files/RAM4GS.pin => MAX/MAXII/output_files/RAM2GS.pin} (98%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXII/output_files/RAM2GS.pof create mode 100644 CPLD/MAX/MAXII/output_files/RAM2GS.sta.rpt rename CPLD/{MAXII/output_files/RAM4GS.sta.summary => MAX/MAXII/output_files/RAM2GS.sta.summary} (78%) mode change 100755 => 100644 rename CPLD/{MAXII/RAM4GS.qpf => MAX/MAXV/RAM2GS-MAXV.qpf} (84%) mode change 100755 => 100644 rename CPLD/{AGM-src/RAM4GS.qsf => MAX/MAXV/RAM2GS.qsf} (96%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/RAM2GS.qws rename CPLD/{MAXII => MAX/MAXV}/UFM.qip (100%) mode change 100755 => 100644 rename CPLD/{AGM-src => MAX/MAXV}/UFM.v (80%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.(0).cnf.cdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.(0).cnf.hdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.(1).cnf.cdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.(1).cnf.hdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.(2).cnf.cdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.(2).cnf.hdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.asm.qmsg create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.asm.rdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.asm_labs.ddb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.cmp.cdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.cmp.hdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.cmp.idb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.cmp.kpt rename CPLD/{MAXII/db/RAM4GS.cmp.logdb => MAX/MAXV/db/RAM2GS.cmp.logdb} (100%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.cmp.rdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.cmp0.ddb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.db_info create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.fit.qmsg rename CPLD/{MAXII/db/RAM4GS.hier_info => MAX/MAXV/db/RAM2GS.hier_info} (93%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.hif create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.ipinfo rename CPLD/{MAXII/db/RAM4GS.lpc.html => MAX/MAXV/db/RAM2GS.lpc.html} (93%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.lpc.rdb rename CPLD/{MAXII/db/RAM4GS.lpc.txt => MAX/MAXV/db/RAM2GS.lpc.txt} (96%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.map.cdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.map.hdb rename CPLD/{MAXII/db/RAM4GS.map.logdb => MAX/MAXV/db/RAM2GS.map.logdb} (100%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.map.qmsg create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.map.rdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.pplq.rdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.pre_map.hdb rename CPLD/{MAXII/db/RAM4GS.tis_db_list.ddb => MAX/MAXV/db/RAM2GS.pti_db_list.ddb} (67%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.root_partition.map.reg_db.cdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.routing.rdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.rtlv.hdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.rtlv_sg.cdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.rtlv_sg_swap.cdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.sgdiff.cdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.sgdiff.hdb rename CPLD/{MAXII/db/RAM4GS.sld_design_entry_dsc.sci => MAX/MAXV/db/RAM2GS.sld_design_entry.sci} (59%) mode change 100755 => 100644 rename CPLD/{AGM-src/db/RAM4GS.sld_design_entry.sci => MAX/MAXV/db/RAM2GS.sld_design_entry_dsc.sci} (59%) mode change 100755 => 100644 rename CPLD/{MAXII/db/RAM4GS.smart_action.txt => MAX/MAXV/db/RAM2GS.smart_action.txt} (100%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.sta.qmsg create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.sta.rdb create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.sta_cmp.5_slow.tdb rename CPLD/{AGM-src/db/RAM4GS.syn_hier_info => MAX/MAXV/db/RAM2GS.syn_hier_info} (100%) mode change 100755 => 100644 rename CPLD/{MAXII/db/RAM4GS.pti_db_list.ddb => MAX/MAXV/db/RAM2GS.tis_db_list.ddb} (67%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.tmw_info create mode 100644 CPLD/MAX/MAXV/db/RAM2GS.vpr.ammdb create mode 100644 CPLD/MAX/MAXV/db/logic_util_heursitic.dat create mode 100644 CPLD/MAX/MAXV/db/prev_cmp_RAM2GS-MAXV.qmsg rename CPLD/{MAXII => MAX/MAXV}/incremental_db/README (100%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/incremental_db/compiled_partitions/RAM2GS.db_info create mode 100644 CPLD/MAX/MAXV/incremental_db/compiled_partitions/RAM2GS.root_partition.map.kpt rename CPLD/{MAXII/output_files/RAM4GS.asm.rpt => MAX/MAXV/output_files/RAM2GS.asm.rpt} (70%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/output_files/RAM2GS.done rename CPLD/{AGM-src/output_files/RAM4GS.fit.rpt => MAX/MAXV/output_files/RAM2GS.fit.rpt} (77%) mode change 100755 => 100644 rename CPLD/{MAXII/output_files/RAM4GS.fit.smsg => MAX/MAXV/output_files/RAM2GS.fit.smsg} (100%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/output_files/RAM2GS.fit.summary rename CPLD/{AGM-src/output_files/RAM4GS.flow.rpt => MAX/MAXV/output_files/RAM2GS.flow.rpt} (55%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/output_files/RAM2GS.jdi rename CPLD/{AGM-src/output_files/RAM4GS.map.rpt => MAX/MAXV/output_files/RAM2GS.map.rpt} (80%) mode change 100755 => 100644 rename CPLD/{MAXII/output_files/RAM4GS.map.smsg => MAX/MAXV/output_files/RAM2GS.map.smsg} (71%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/output_files/RAM2GS.map.summary rename CPLD/{MAXII/output_files/RAM4GS.pin => MAX/MAXV/output_files/RAM2GS.pin} (93%) mode change 100755 => 100644 create mode 100644 CPLD/MAX/MAXV/output_files/RAM2GS.pof create mode 100644 CPLD/MAX/MAXV/output_files/RAM2GS.sta.rpt rename CPLD/{AGM-src/output_files/RAM4GS.sta.summary => MAX/MAXV/output_files/RAM2GS.sta.summary} (78%) mode change 100755 => 100644 rename CPLD/{AGM-src/RAM4GS.mif => MAX/RAM2GS-MAX.mif} (100%) mode change 100755 => 100644 rename CPLD/{RAM4GS-MAX.v => MAX/RAM2GS-MAX.v} (99%) mode change 100755 => 100644 rename CPLD/{AGM-src/output_files => MAX}/UFM.qip (100%) mode change 100755 => 100644 rename CPLD/{AGM-src/output_files => MAX}/greybox_tmp/cbx_args.txt (78%) mode change 100755 => 100644 delete mode 100755 CPLD/MAXII/RAM4GS.mif delete mode 100755 CPLD/MAXII/constraints.sdc delete mode 100755 CPLD/MAXII/db/RAM4GS.(0).cnf.cdb delete mode 100755 CPLD/MAXII/db/RAM4GS.(0).cnf.hdb delete mode 100755 CPLD/MAXII/db/RAM4GS.(1).cnf.cdb delete mode 100755 CPLD/MAXII/db/RAM4GS.(1).cnf.hdb delete mode 100755 CPLD/MAXII/db/RAM4GS.(2).cnf.cdb delete mode 100755 CPLD/MAXII/db/RAM4GS.(2).cnf.hdb delete mode 100755 CPLD/MAXII/db/RAM4GS.asm.qmsg delete mode 100755 CPLD/MAXII/db/RAM4GS.asm.rdb delete mode 100755 CPLD/MAXII/db/RAM4GS.asm_labs.ddb delete mode 100755 CPLD/MAXII/db/RAM4GS.cmp.cdb delete mode 100755 CPLD/MAXII/db/RAM4GS.cmp.hdb delete mode 100755 CPLD/MAXII/db/RAM4GS.cmp.idb delete mode 100755 CPLD/MAXII/db/RAM4GS.cmp.kpt delete mode 100755 CPLD/MAXII/db/RAM4GS.cmp.rdb delete mode 100755 CPLD/MAXII/db/RAM4GS.cmp0.ddb delete mode 100755 CPLD/MAXII/db/RAM4GS.fit.qmsg delete mode 100755 CPLD/MAXII/db/RAM4GS.hif delete mode 100755 CPLD/MAXII/db/RAM4GS.ipinfo delete mode 100755 CPLD/MAXII/db/RAM4GS.lpc.rdb delete mode 100755 CPLD/MAXII/db/RAM4GS.map.cdb delete mode 100755 CPLD/MAXII/db/RAM4GS.map.hdb delete mode 100755 CPLD/MAXII/db/RAM4GS.map.qmsg delete mode 100755 CPLD/MAXII/db/RAM4GS.map.rdb delete mode 100755 CPLD/MAXII/db/RAM4GS.pre_map.hdb delete mode 100755 CPLD/MAXII/db/RAM4GS.qns delete mode 100755 CPLD/MAXII/db/RAM4GS.root_partition.map.reg_db.cdb delete mode 100755 CPLD/MAXII/db/RAM4GS.routing.rdb delete mode 100755 CPLD/MAXII/db/RAM4GS.rtlv.hdb delete mode 100755 CPLD/MAXII/db/RAM4GS.rtlv_sg.cdb delete mode 100755 CPLD/MAXII/db/RAM4GS.rtlv_sg_swap.cdb delete mode 100755 CPLD/MAXII/db/RAM4GS.sgdiff.cdb delete mode 100755 CPLD/MAXII/db/RAM4GS.sgdiff.hdb delete mode 100755 CPLD/MAXII/db/RAM4GS.sta.qmsg delete mode 100755 CPLD/MAXII/db/RAM4GS.sta.rdb delete mode 100755 CPLD/MAXII/db/RAM4GS.sta_cmp.5_slow.tdb delete mode 100755 CPLD/MAXII/db/RAM4GS.syn_hier_info delete mode 100755 CPLD/MAXII/db/RAM4GS.vpr.ammdb delete mode 100755 CPLD/MAXII/db/logic_util_heursitic.dat delete mode 100755 CPLD/MAXII/db/prev_cmp_RAM4GS.qmsg delete mode 100755 CPLD/MAXII/greybox_tmp/cbx_args.txt delete mode 100755 CPLD/MAXII/incremental_db/compiled_partitions/RAM4GS.root_partition.map.kpt delete mode 100755 CPLD/MAXII/output_files/RAM4GS.cdf delete mode 100755 CPLD/MAXII/output_files/RAM4GS.done delete mode 100755 CPLD/MAXII/output_files/RAM4GS.fit.summary delete mode 100755 CPLD/MAXII/output_files/RAM4GS.jdi delete mode 100755 CPLD/MAXII/output_files/RAM4GS.map.summary delete mode 100755 CPLD/MAXII/output_files/RAM4GS.pof delete mode 100755 CPLD/MAXII/output_files/RAM4GS.sta.rpt delete mode 100755 CPLD/MAXII/output_files/UFM.qip delete mode 100755 CPLD/MAXII/output_files/greybox_tmp/cbx_args.txt diff --git a/CPLD/AGM-src/db/RAM4GS.(0).cnf.cdb b/CPLD/AGM-src/db/RAM4GS.(0).cnf.cdb deleted file mode 100755 index a80855d6b114996bb71c0e1871b7302c0c1ef8d2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20110 zcmXte1ymc&_ja)YrIg}Uyg-5CRx~Z{w75eHMT!LX5UfaXcT(I76nA%bPjGh)A&{@{ z?|;7Cv-|AcbMLb=J9FmD+<6F}J$qJxhxYI8^6!-ThdSS#U2K33^t}9BJY2l=Qf@YO z7W91leDpj*+`N3;d_27T^qSwD-EGXj(|`VIZcEQguc<_@`~4fetc8v1zevaP|LC4Q zOI7(FJoCr+Ka5uUKlDU9&ca=#(e^?Cb8DwH?taYuU}`kOV*c8|m9UL3_5Fz2(5@sY zSVrlmjC8T`U^> z-|)jmfM{SWw%Dtv_GRUBm zFz_JJ1%WZ+(^utQfNwZl{yiaT@Ze%$9O5{wvB|xzP6-B7euQL5BJn}tt3@yUwj{qF zQzH^{fcu(q?K$d{@R0W4M#zfyt$TzRqOtwaq!TiIb)A6*R$5qqYa-hFA=CGQPl?dV ziy~KW6rcbFR=b7$bw4@05q!~gxh?CQd z*iOki`Tv)l8r}xxMl=Awl(%{UlzMt)7+cVi>67Fi9Hi(N@w=nEK2i%^ zycr8JY}ysFTWOmNiE1Wat`fYHq88dRvF^ED!Lwg<^vS^=D0~wo`m~croC75+3+jgBQvrDlLG_o$V;LGfe-#REwuh>gnc_+7h06WVY+4b0d0*>7 z&4+G7=D4Zw%Oohi&%{~iom9Ugj$WKh=+b;bqP)9(Ry9P5Oam|68ZhBJM03I})3gO3 zf~N>5W67Ji)%6snwPt^k5JQinqR zAuV>G#{>On^JV*rR1;V^4%7mt%){KX0|fkehYYKGILqA6i=$P>vl{vpmz;5B(?E4%n4vf6nu6Y7#E( zgJ3gUs)j)KhP~`J{GsJcUKwyO<;^^+dn@_OUTrJm16fqa(-yaDYDZFya!TU%|zR_X>oL_w{O zh}+W}`ygSl?MolAFzq!zaHDA?-RTK1Wt-PnL<8vSF@Wf^&DB~|J+dXWniqPvcf0)* zD3b|e>OukPf2*$BklhC{tJS$&u{{`A6-)PiR@WAi0MqtAX}1w2APk zJUiF&r~Y1Yu?`LRazo0#d^_}MV&(=ig5a=bin$?}_mk}q)jjt@#rEL2q3K;-vHCaM z;vw-^{tsCFQ@HBHxznFP`cEtyEEc#GNCif=Dm+hl_N*PSc~ryoOwG99D}7 zk&_$MQGg&Sz2ix6Y9Ms4?D&Dw_xsadzoCb_@5G%xsCHj;&oh{=$}`|4J?iZ_%S8VF zE;8VA;1-rsx98W}+9woV>452f?|zrJ1ZuN%Fxn{(eFM6xi|&1D@ta9jQ+jxx?T08= zKUudy%(KsFhi*7-5|23>O2YiYCgWjwj}T7ohOX~AhG zZ!qHEMe`mW*t+4m_A47Agf0$rlkOgbG+af%W6tCJ zxYWO`gn`$iqGQ0v$pa#8PzOoLN>}eGn4gN57e2QNGXYA(7{(eERNG}rRDNLT-coaD zO>E(b&3K_sgV+8vi7CHE^9IQ(V``lPU`<}$Pt77AFCW^xQEkT)f;Z>!hi5S%znS49 z*LuyZA@8OD%px22fN04Rbe~+^M0sXrpgIA{y=z)X0AdS2!pXwpv;c-GZpWOf-iKa> zmByzG%%D7m_|^OfP|0rr*FyoGxj;84clH^6cin^FVYN$2CZVJ=d>+%;+jAeLeC+~A z7tb}Y;gZnF7RF57FT&LJ!9D4;<0+lcO zr~oyWW>9Etb@2^`nKgn39{0T61P2r#$5xuynuu2}k@S%XMatX&2xkz_#=QMf)kWkOyH!4R5P@J# zZG>>3!e_gAOIF5~GD6Njk8o;avO?yENbQEv+b89>;*`xlik?=e zp6U-5FDJDf&tFYyhh|&t;5sm$ikh(c2T!+ot91W0Lw3>PAE= z|8`mWE&HHjIhuCyQ1H2_Xxb!EvKouPuYv^J6Y~QLDNX%4qxg^KVd)-{33>pI*ugxS}hn1}~fDS%B@W7$xoV~6ic(KV2# ztp@zv9`P}E29Bw8`}r9c`aNb6+i8c&l*p_tTMv0>t2Ja)s{p%KJ{@{<8rrE{>CvrU z^heC3Z}mkGkffQ>(?(J=Cbu9}!J`1hIf+c()yVnv!?xbhRt&*YYe=? z$4?jKUtVlLSlms*TO&2Zd9fA}m*u~=6Xx68)Q=U>^cIMh5U_I{W-_}&)e;q#Dn4lR z;$3iOmSnts)I^v6q+IxZzbWu(RoQYl&{o-xJ-t)T+wqg}FRU%Mev;NIsYaARfmF}4 z$@yBh?dQiA(Ge-o7$L*m9wXO+KYLk^LOC@>ozx86K7TC}MHh#Sp#%JPX{t}1iWxN< zt-Zg@3|pKZm#8PiK@YcMtN7366d<@=LXi~DQwGA5-qr6C`Izp>PNlxqkbsC4w^WL% z4tkm1JjBa8-<*E@p&ZHue#@ftcy8YJ>uKSyE=R-PlIIy89BAh&R=%T;x;@bFu7cT` zlYHduKiKZuez~@;9&x+egSttYrCrsD<5(JOCsd0%pzDy=Uq>5M+8)txZKKc@bIK+x4vB?_^w?8ZhGxyDpzrhDhO zY%H+cV?4ci!Bn&!{H<(H^dSnn{@raX+v1eJlz-A*htchjo#(lR9)1V!mSW9BCxp5T zqtGHLo8{NGf(6o7$GrR$z+C2PwOP<`8K9#eZ(etnSRPo}y+6l8Hv33F)KuL(@Gly` zgsG@p>2NWZRb-ZAaLH?^29s=X8?me&Y~`oOOq)x!>=3{bEAI_T-P9_mU_c>BBB!tR zqj`L*()ejqE52(wmaRB>weGN`sP`YT3g4Z1+v@C4iUrAp&*5uUZ8H4!z89hS`m{nr?(=Xz<7m6l66*Vt5omf+<|wv$j%Q>iAQ;_$cvw)y zKJiO(l~2xum$|Iz{s!!c*t)6p72u;N2k})%iePbgvo?5jC)DwM+DfQ?I43#&0sd)- zBm~<$wtTTF=gJBs<)srk*-sTL=vud~j2-UZm(b+fRP?JJNRpC`f(oJKb&Oe7IbqKa zw?1NObJ=fPA#mY~@vU{qvo&Sr-*nZ6d`HdNu*Q5VvjYvU6F{;vn%epqs=p$~A@PKM z?Cyc$aTh}ZqQDn&>!qq=1(i1ziP%i)kjRB5&GXz(6@OY@RDOB~pfBUqnMe;L-DrS< zSLUbM|1#5O^I}1keU}~g^k!wZ&xc&S4@p{a+UK6il(MUCNyvhLDl2<#5@j@!(s&|WQA9AL7j|_Eit_!`H z(v&**v6wVV#5++|5lXBoU2G*`c8xHreI<7WO6+LxPW{d^nm;#AQej%5aOx5lzC9yxr9qJ?CxGyakQ0UqJdLM= z_QPz5m6Kh1G-IlsiFN;&T-9JP(>%f^<{6pGy&3*u5J_Ea;tP~pII@Ur7eve3voPor z>g&%Is`Eb|YJA+oTs4@TZr1+9*{-Ph&2vn(z?x zxYjmj%hAXwQeqxNgh~ZP&~niH?)&s0_5R~6VjkNmCE{O!Is*+Kj)I7J*llD{3kd72 z6BFhn)v^dyv-wjK zo!qP@R=seFqsU4tTYFG7Dpf#^J1hH(muN;)ada!W>O`^lkVWE>|H*n|B=wdslmgZ` zn^c;J_S`m)6n$P8lXL5R?RO053&^r*CbFRF_d??4MQNM339d#aCnE6G_h>1KNTmxS zW19qj?;ffoDH^@G#K5@=Lor;}IzD8Sj^6WG$&qxUlg)SJpRA0k;A?zbj+aaO_)UQ~ z8I%h~!2e>z++JuPTWEQV_TDym#BWB6{*csM`NP&HU6d@f<->wiKWmb4-d=eCGJ+vN0=K|`qp9^@B%T_ojAm{ZN-B)s0 ziQ><@l%@E*h9b2x@aIB54KJaHyOEzR+CLVIFQp+OTL48`b<;{=%mloBGdcDG+QjHWhWYZWjd!zjem zpY2DNrEGgYlnuh)P7Ul)-y^+kiVVZLCAqh9HfXKC!El#|*>ipz&9+RTv7Oi{ITJW+ zF$KJS5JqqS9MW4`eA+-f0x2%)O)-m_%UK<;>c#b9k&c4bfQLdWHr=B8PAJzOo&Dt} zhwMBCD>MsE?1OB^dNHImrieG3py2+wc~asS=<9{s%!k6ofL*_Ge8xv( z{k|NDjGG&M?UeMN%=7XhvVTAA6&-|XINy6T+%IPH(Jg9j*G>*UI^1|=)-FtJ4ljj# zn-hoeo`BTDIRG)67y_0N5-+e+O9>m3 zjmOO`NA-&cp!__x#Q?il!^~Q)pL!a3+ElV+GW)Q5koh$NyqD{DettV)%2LdG?K~ZmuV{!%I%YjeQW=(w0EHP$96~TA;^$@k(lw*(XHcs3K`0B7mneNEZ)Kk zlyF~z-?(4YxGfkuqk3FQ@PBmN4z+BjWznL15b(b2uMwMuCdky?phHtlv1LFcTFakD zKZ%RBf{B0W)^3r%k3MeYZJXct=TG1l9_k&HMyGbJBeos(C$-VPOSyh_N5?=4#^^#W zuf%V{FL(Y#qsUusg(4u9wfp5i=8xfUui??B)DJZ-_BZ8z11n(pI#Uo6XoKXS%g49w zn#ud5{ZcFqRDH=RX8w5cZOPUhMFc*-ze-2>T9N_AVk4}gK3pHMij_Vlrm_ivu^;4|NQj6-b&ilN^Jq>K2T>19C{cbXJ4{|JUzbty!_;iv7B9V z^dso3{87&5mmOs4k&*#dIxHAkn`|!}P)U-RlE_Q^0%*oU zU{+Ts>ok3&yx8%pi?c2FdT?jms$O}zpqS<3`TT)TB&tYa1gKyu1pWhLT=cn{y~>ts zy`T37Vf%YS(-KHFdb6S0`FYm(W}&$zzK&geuS?%cjdx; zcZ){b-bgcXqfyOS)u(~>nH$2n3=?s4Q_gnV1o7K8ygBa6Hj@2gCb2i3oCWVSgkNe zySMitf6%~r5p=Nj#$+OIuGhqiOILyqA4g{NIrUX&=_VGtws|*&i=(|CpS*qpuP~3z zZUY*+GC(1&-+cXKc^36LU>W-|FV}Y>hUY^;Ez;ZxHTmR-G>M$6vRrdNUawgE`zefv z#BElcsIwUDesKR?=PX@1Qs->z*IAZShWqi>3$GCOFroupV4(O9^@_`ndxzI;B-eja zW$TS#nUYoe9Ie~LpWb~MK=ujdp|Fy=(4HHdT!*@1QeC(4uFImNAc&kt`HhRB8`kFp z>g|tjS7Xafw#fz?<{%ImvW;dlZ1IU+DBUz6S3J|lMS{m-nr2vY_K{@HJPQ zSXfG!Q32DN$S_gC$Har-Bq=cQ4HrXD&gCCZ#pix*OvslRe)1}BXC)ex&Y@(2@HA4C zOEM$Vkx;y1pukyL=1XfyN=k)E3Ng~@m>oqT-uLX7xb1hEi~**b6B3s*e&%8ORxZC} z1m3YQZZwq0%8uo}`{lQ3wP8N=?rfScYOF;u@%o{f@$$o;=3vEq=`fda!)aDz`oRBB zL2YCQHhVcKpqp+O$jQg3rjejWnvUtA<(-dULb-PnxE7#to`$>k7b&Dn0CtgZ^z~3k z!|NwJyv?2mx&*9>IKkeS6AV>Y=_<=p3vqZ%q)Ycque$5uw!J@g8|7Gn51!}~JU$T~ zsOC)fTPE60X}t^IO5CeQb3#;O@$Jn{g*OGM3VM0?N1mUsvQ_Wpdi>rSqX^EZj}9X_ z6rrrVlIi;B#2##$fw)7pnq_hQIl_@)PERsT+iC)hrZ22bYy%?F7>+LUyBJeA)A++f zNW(Gtss6;o?w?xFcggp}C8pGfhlh(%hOM^CMo+2jemQp>vJEm<%2_(5i~doKy?B=R zp7{lS$D7?nO&LrEH}@~?Kk2@7hKk&uC_Y>HX7`FM@>7QBU@K?b*XJ0iaSQ^pQso2V z;Famf@V7wKx7V7ENvt_3L(i{W(zQr48aJYVhPq+sZ#XGE-qC*=B3$G}W>>y_J(#=U zocRVICm6+hmLGrJ7w~OSY*LY7&ExGSMT}8c;U|6y2>Xksmu6=PRK1=Y)8k5fo^*ct zBv?A3Xl;r89IJ4RLt{QBZ`ZLe)cdNWw?CXPNT7@=FZXg?(ue@ZFO%NpS^E#iQSsz+px+MZ?Ly{wTMi zN|aXBDW~m222!dbTBDwKWyNcdeRS-&b~hrko&*~xaoOL99xeS||ngL7=G5IBTUrM-qb8H1mm~zK3I16BILolHOLCu%Brmf(% zHNUrT^>;lBM|02BQL>?pl@|Q)9d_jj(Qye--qs^}>%k4yhF6O|s1^e(J*#c%d@a%1 zAIJXeU_#?0GSWgFX6zVKby_YpINZIY`Gy#H}M=J2Gs-;s4ZBpAUFcB*`AtuNj7@Okv^8yi zqSFS7FK>5zf;U%}`_I%icj;=+V$+I-$Uy8LCzvT=9&ZjSBqI<%t?_$_SRAv)VaY$k=|`pl0)+q? zkj|jYNqTvoehwH}WFNs5Id#lAn;+V+oxFa8P137R*!ytK=ey(;?*;1FeI+lpztk^< zx?8vxLL0A+%uy#w^AkvqOc8u;ix=A6PC#dbJIyw=H4&q$6j29#WCnuk2wXXZPr# zu#-ZG6#hy;0~T}}6S^5tZ{w_ScJgoTaILDAFtyDK@_pd*K~Gr7$n4FZLZ=nM|L5bq zU5gN>Ezf4cgm>0~BVy!x@R9PfP{}w5XNI#wv>|OJOvb+%T z38bcYgFKK3lBQW<1_JpIpryXHta%=OC z9>#gon;})hsgCpS|$i-3@o=I>V`CowP>hYBOQTCQF$ zU&p=T?X@{9P|76QD5pw`oQ{MEYM!{F^))^Cb>(2Nnaxq^&Gh;b`*weD1A}C%V4>&j z$LTN~qndt*yrt9krPW$vAdfFjCx zes6!wkxvuc4Wo%XGI(aUx~&k0^|TE0H00{443$qH=~R{6mE*G-$7o}SrT*KP3h4aF zsKvy?4HPyb7zh5Z4089{yl<0e zF!zh?q@!r{1mba@xgd09I@IL-%kyk-&eNYGct*KNs2D0Q;I zYZEo#9#-O~lg)wF>4(l>kJh@T+_;bAN{$dpSRph-a(c8w zp26e=M1mLr>e^u#tbO6D2UZaH9M4y>A;x}|6S_ukylz zI>IN~nqtuL&V-B?2*??KPZ*dwDD604q@<;5}x zFS*Enxzg#Xfkbx4h`nnSXZsxg6>fLQn;RP%u-oNEfz;f6-rdNHdP^d(<8Tuk?sPXw z9Ne9Jf9~H6>W0GS9>fZhJI{JhDY+P%v~gV6Nc&9)z(_vgEA_E+mvRV|z~+J=go`{=Scbqj z>MSe--L>!Bg2Zo`uI8CdG5BJBqL_dENSK8u&-{)xkg# z?lhd3NoIY|L7S_3d@Fl0z0}RQ>$j6;NBTt?F?O!if^>60=-&Y4mxkMpxXJ)t=&sWV z;~X|WJ;p-4Jvg_-=kH*NXnZYc%^lu`&Mxy5w(HkmYS#YE(n&b4W@2`_p_=K}cqOAilVCEZUlATdjQC-2ImI zaD;hB%rE{;x zluVE~vbcQX$IelkspRH9yb&GhiD>jrO)%t1?v7?U{iYWnjQ{&W9~;;?3s7*JZ20c) zT7fXa6aNs>0cQWax3xNQaD-)5@)up6yXfX(_Q6w*^-uxoklo%ueZ$-W+9{G2r8VJ@ zpNmQ0Y(>JHecO;gryG+ed9eo>8}p7jp(!~Q^?{MIgQ}3-b7?{`ROejAqgyrNV=lpO ziSTEB6$t|~cfM`n%@xLGts&88*e1L1`X$&58Z5pjujSN$TU}mS>HGN>Lh;&wNp#U! z)Mq|^UVMYo`4i^;*;*{C)>TodGP}w*bz~nBOB{&ZQt%tPk~79F+}L>I+p%Yn-s@22 z@pr8i%oX9^o4cyF<`zqHh$o5>_T%&p}Zq5fvv#ZmJAkdiX=)0{QPS@;W z?{Bv+Xe3P42d*9?kq$ly63DEc0p~nRTBz&J{syQm^v+kpw+2WSJ5aL*oNEsqMm%f)fSb$!X) zQ%a*1Zs!@#@IX?L?g8)hj^E9DZ$+!!8;Ls)?UAJL?w`Y*5~lJs7_Q?AH=`H$*$6t8 z?7gC+^$_nYZuJH6EbUVHe!LGX_f58h2C}>9urI$`NDi?_BgAP=H~m3*c|EOYR2F~q z0si7FBLGp)wNv5s$5#a_%vA4D1^{#S!ZX5{fFWE{_xQy{8?i(^wMNC0?nBO3Ip!n& zk}Oe@ZfQt7_=W8k6TQ%2lTNDEJ3PO@kTbw2_j90_C}xAdDSi4)OH%0%gb{1FU0d1s z*?GVpE{|?h!wf?x_Y$2a5bTcU6qB}Ob-_Ld5}V>$>iWX~&Y)@h)c0z1DTlyLiS**C zEg5lUbpwxsqovq}%XwnZ+$TWYkn|IRfuThZU2l<`uTRsAnz1wc6d)Ro?KiqWCp^$5 zS$hfobB$T)2x7a(CeLvjg=Pjif9a=%;)za#x?w_7lv(}gKvI`gab{%&6BAr?zA;fT z&o;w=kzz@;b95~K*$m^t+t_U<{vcWl8ymO%aV7GXPP#PQNmY$euk%_KwS8CMo;7sz zKCzBV&8%5%8<9!a__KX|!Ih*5z266C+x11j&8Xb`M9wd}7qM;24=Q^E3f*$rb;hl} zyOT1T!8L05bQ*ft*~+mzY$jY9v1Wo8ubJX>UaT>BMdh_;VSgI6t~Uwq5l@P<0vI3l zzszU0*RxZe0G(nurA*4a%K_2j?O#*-r?R-YntrTMrst;!vTlXNGFXj&C@>4kM4c5r znFV(^%D)WT7!AYWPGgsO^U05>j*Foc*CCxFo+_QVu-+g`*!~ZtdGv%^pq>OeKPNB$tG>=$ zrxrcE4sf~lS95A{wdGNak*a1CPH{)lUyDD|eM^+L-teg1nRivmPU-fBzl)ZZB zOIl&5qXi=G11ycTPiLje;6FC5?9pX#npM zAntd%eapG898~;frmSTsF@t<~ws4jA?qjQ0JhH-mk%V4n<7*U8{YDktvs>!MklYcS{Ru1P3JNWvd~~O% zzn<@pOWzYdSE!qMF``p^P2HmR%gB(}lEb}Qp24a65dZR3#h}))8S7co5K6Vee-lJ7 zp{R}VTdwK+HEpEk*8H<)K_hl2)>?rbL~`-?_v}3W%ho@?Pl{>kx|SDIMbu#y4<`5s zRnC1LR1tP7t;c=Vc_Z3oVI4^-IwI@kAUB+0W|c@-Q6j2w($4TxTCT#={bhjCbAtOk z(bJckhnp(o7%aSS+&Zr%3nyS?Ufe1clpupI~ce zUwN$Qeo3S7H>Y6u#T$DNHT8;by0;-g5p* zFE)Donv^mU5uCZR*5bgcm%ofhD`u9>40|?ex_;UYYrqiE)&Tha{KdyNX-zu z$50W3$#{{{xNMY{fp;ma7yD`Ag*nlU%Fn1jMOXjkUP=oBv_ktxSv({J=hXvJtJNO) zQ?h|k4wj8~)JkjBRlQf*iTbr)CEw{d&8u?Qn7zRNNHt$ZqWS%&XZhKB4T7pvR|1I? z?<#(|<0x3Y7}5UOyDR20O66fXt!v^~$B>?^^mtY6Uj>N%s{lP-;s7}qMKMN#LO9*x zQE}UwYz&Nn*5D>FKb9KT_o&I$9U-=2r&kxF|zO|X%JE3nwThUACs(yUeXAgCK&f1p5F?3 zB~);%j1wK*c#yCJgh=nG8+qx_Me+Xk|EJLT#a7WzJ`;RR(uF3aXaUb!rgS?PqGBea z+uYZue*>A=&(G}AOz*w2LIL%xj~)$^1R4bZA)p28RS$8iJAC2j{V;x(+@bw@0h3wNq;=1Jvm;s&P>|J2Wc#`uck~LzPz#AM^hR03$Onv;O;IuW6X8Eu>rt9Hu!H;|D>8~{< z@EG-NE~CTwA!R#2@=VEFMEli>eX;QJfgTz`R+cuwNv7nj75A&95jINnV`2XLmcuyM zy7KKdhzTeREk|eFQ>LMY_)0imW~EU7^-d^Km$=54Od(!z;Y{Igp!UuAZ+-i3g}hV* zodIJ8Hubt|97{jtZ>_P9Cw+wCt9tfpw%PGgvdkgVV`gSE#6t1~>G6I~*fE`vYI= zgqQVQ1k0Jix%)?2q41RL4N~jFmF+6;?I~(mQUTTMmEt$s`hs%wbq8{@frB1ytsnab zqj_qD1cx0*@hTAK+DFRhDf0b6N3J9>a{P*Cz(2WAW;%luX4ix<1In*cFDD;L`aA49 z=XGWlZEeKRpruEK-hKW!}}`NiDUI zgMT|a5F+$3Z_y8J!AT$S0)%!8+Tvy5&nQ%S8)?rYDF+-P-b|kacAQlWV)wn?TsR67 zvLp;nl%0p<(M@Xc3M;V5c<#L-Vq*Avcd5k) za*GG9*&Taz0!ansROf#~rRqKDru8n5nXcYb1vR(F-d6MM{2Bp zLV>{czie1zkfFd0XMumx0-Q?E@}b7%zAs$9A%BN{^2>Gbx&5KKaW74m`%Wg~zKi|2 z&JIpp@!Ddqeu)0VKffix%6EfL;k{kwA+vE=F;{Myb3Rj8OKE9|`BWP6J@P8=TTFhv zA9NsNA8|;oF3Tgk*ZAUoRX7BMt1gv=fA8p^xBnVI9YUT@Z6(?9=|QpNuatN{2V$kT z0dh^Gve+r-f!@02zjvPf6s3W)m^yrok14vRq$Zhb1#*`vpXQ|*c5Tg6pO(Y1p2YVS z`6r?nr+YE(nc6!T1Sp607}5;fV(STN{kQ$QR!R%X*qpO!@d90sj>p3!x07aKjDn)5 zsKr1?c;kjrSt7s_0~?)A#H(+A2dK2Qw+?;G$7cyRHf=`K0X0qpmPb#EM|_y~F@kw<-J zu3^kadCln;A3EsyAqd6YF(am?pOanA8%B)C?vcf)q1Kx+p~p3OS7f(Bh0Xe;FGEHe z&Vp))5LNmbC(E_txv<@;$tP+0PXu{g0^%SWX&@wAw(})8fBBgv<>9a3AGxmp=q|k? z9OxmZetJV?3FQ8t@J1_{nS9Wu@r$Zx9t!c#$@hmzukqJldQ0k)BlDl+*A@I$Ti!=q z!IxuvY^kU*RQm=wG;r(jWG#5W8egPYmtH~}GL(B4wl7bBM530Y@XKoP%;`Q4)LZ{P zwsSHuqrcw6&V1&cWoup10F%7u(Bsq1LOkR02nP%augB6pYe1ZSRi!&m&?V#~xTe6a zr2w1=q)#F}Rwy1nC^$)w_2G(QsCcPpA#A3;E6{c^M z+7J?ClU>CAgDkR(s()}6-q>I#(P8P<6V<`Rz29Ci&FsuenSIBoe7x1FaK;C-xVs&i z5^vavcaU-bF|pt-37ZfZz^oOmLFUXkH&Kbf^TKLG%Y+g=962-xlPnj8VSnaWE3dOg z_;-M`-OI|lmyZg3;&iO2te?8jrd=5*Jsd^?x!WJCBch9ue(CKAuF%TviZNh*W%Bia z&v;cdK)WYQ1j(TJmB`TI?y8AtboFD}A71L&g(5O&hPcVE*XT=ny}Yu;rLWni*=%78pnY(>-N?zCBC#QvrclTn@+XXj-lCzkrNRJDUkg?Ws)W41^q z(bh?!ql`VmZ7_b@412rHesPtc8+0MxxUb|np_r31?5CcG|#(Q~mFLISV8()Sr zICygpquet425;aZsL!I11d(}vgS*NDcv~Cx%v7XcN5J&e?9K$)WFwW@s)XG=HOc%} ztUL&%8;|(m-Mjk)<3*|zX~QPCSU~)5g&R|IS7ENu24}V}LginB(tFXZOa26g3Nr+_ z>_@G&e+7A+q~8a3nQ7A$JJ#BCxYtL%UEELjiZ8^s7IM7V0%h2sxQeNq7zI-dTKp61V@gIXZ&1bM zjs5q1fJvGacISR8KN^bhJ6fE+{{Asu0N6C2X1(h`sq@HZcu=FRYtFoD*aYotsH^{B z+>|3J08ml1f|v&TsUtXY!%O2^y=X4aIubS>hI{gLopqYZ3tYB4nz512T}2`jz1IWy zUh`j1SBv?%%DnsPztXJYl{qLVQ)4YW!8W^>8h%B;j|vpb@ay?~;JWB4dP9wWP`p4Z z>@nRcOGoHh!Kn7)CyoYY^xH(^7UwYO#OXuL5xRg0j+Z7kqzg(}Y&tkhgY5JQX7;+K zP7NOlGKxo_04`UZ^_0e0u0wM7uM$3u{P>4&->$x2aoRSjKUQg?xy+)bUm&{0bDAI* zg6Nj}2~z$MU@Km#mCq8&k9_I%dkhHTi;(LlbnqY%&eHwYPjG+F=}+E2BZ`U(9s@rV zZyjSxoroT*%^dIitWJ49?s=#;jSVnIKcZTwER_A$@+O+cRgCw9s(2!qSwutE+1WnX z651}(OzV;(JjWvqt*C@RH!rFmM9)eu$ z0C)e%=!8!tN3LEqL*m2wH5CO|Yth#GEKIuJWVi~q-5#p3gFa102ufIJK6fjKEsSn* z&BOS*hhbYx&kL9!HgtE}GUC~*d@X{GNG<0#npg!swW(@`#~&q_U%}Em>>-y zYm90tQFcU zY-di)hW6P43+BUD)MiqG%!w@AY`gaP~VSE>dmVp57sn?;8=OQ}CEGoQDT zMjX7LJu*`2GA~cJ*Xj6WT*I!pmQp4P*$ zwQF!esQ3i&ani6n4uPnvy>WOEr;VgGnr`#mCA@WXTK*~TtuozxTFu?RL=qhWM)K$+ zTxVix-&Teg>=}s$t)DR>K-*37>Yh;HGp30)?`aey`&P^6>^sWmE>V6F!a>< zen&OyW6Di_N6A09%?BH(Be)L~Q8C`r;7{rZ^cI({BC0zrjCZZn2QxCAK$gR165x^|DVSb`~T^YFP`x zZDue+ugNDdVnkuV)r*f!w&fkIV6pHc##=8X0w2Z+wqajUwB>|Bl>B)1*0xoRJ>&uh zE_u5LeI#Q2*FFVDSY=ibbM3>OoM-6sg8jB8*qYVouB+aI#8}E1BHyJDt6*L$(gP*0 z_n>)jHuqu;CSg1ewK-Ma*O;bd|2obXJhWPYMGAHw!w;j0bCh43Ft-3T;_E>a9 z>^27EpC+`;iJa`5W6e=%vrzkQ4a2IC%x*pRcvAd@B4@`ub55X;q(<>5E=adbls|(DUuTkDQ`Uw56dsX_cVfH`I5S6)*w*3B+PL244sM(< zA)QLl0I&2Gtj^QoYS}yIs|2ke+GnI)DprxWVw1acpl{L1;wA?_c&D%|b-iJf3KTL+E3?)0AX}%x45{_Z+nT$L}xxaF_epU9r!#zV>0|hL}rpl(FLryQbJ}B32dr)Eqk7BOk$hdXM=D z{WJG8>Aog>P#r#PX}RG4Sv;^)8;q}q{&{YE9M_+iJfcx~I&EcQ=ZlVh8=TeCm!IkE zY4sh)iu-h3uR37-rAj*Xg8!!;@Yv^*@^9iXkUu&T@ON_b$E`Hu-%A~QCvjbbep*GX z#=&wO_-9Cd_@r|VtqFL4&pj^wq5IGt@c_K1-zrGDz`f3o$J~5+8mvT~ARIN?ihAkO znP|UzJNu8*7y37fHvK4s)gC$%EB9XCN!sI|Ie9vX>muz@B266s__xo_$~+#%$#yXM z@OfcpjQsWI@}|VEznfRWpFscm19~NV`eovVYsw}2*I&|mzXowXjsuMDx8#i76vx4C z2dGTaJU;%s%Msl_`Jml=8n=dCp-pm+?c1c$wl=Bf7-^+=-SOwF7;F@5=>xn^6B+MP zV}fX>Zas6OP)T?$6w)7Yjiw*;^736-j2m3_jHs;{JN#dRK$a^28* zt!%>?Pu}pqBX!WCQjahQk%76Z6( zMIYsgt4&hi$pfp`VS=a7h5Ek zR&+%tZrgg=whd=WfL|zxPvA38noNAHm^2c`LwxpVLBT|CH^3=DhM^g&M8O;3mR81E z4^Lq?GDeO09i-<3Q=7fxJpHcn2J1=Gnn!#%ujaD^iiaVV0G042Yg}4;E;a?idG-AI zKRo^M+lB4J*sy6x-|fJjtFcSGxmV@8VO&$LplWibaW#CzLd+4>C(db!U+PBJ1Kcny zpQ&MEcj?S`muSc8*5Qss;g}!CdSmd#(5JZLdabrFSE2Sk$(sf-+A+Sj&^LWW&g46i zV2NU-Lmjh23ie9{rFi6hHtpF86!z()ka)PC_*x-uD}^J$7Je-qDeuaR#@KSB6_%|~ z>0v)3X-snyX7q=i?jrjj?*>3H>9Q62bGd3+m;9@rk=zntkFw$^veT#)AF0}}%8Kma zHBaoyW7&#~Tf0(*88jHtCvQaCpQ0>pEH6y#p+icOhuNCRRZ`@CVr4r9vFOO^J z;oSbvN6XTco!gOTSl{rLPIz<|THGqdJVLM2EUked^gOqahee_AGg@PqH}| zk}USzZ*aqY5u9grCHl-}bn8$>sNrAM3XyeK6H7dg^K?vK=2OhE4gQCTFKeuxj=_Jq zg+8zz-ss#rt2aJ6Rwa3`e~At~i?262)EOQ2Bv?K7-te%vw)!^vu$EZzJ8!TVeWSrq zH$X*sbg3%ABahX4za^bU02$#)D_o=D!1ay9WIdJhGJP z+vw-*-RNWg5*^@~D*^Xc=}4Jp=Q`AV#9pai;@-piap9|H|-Y=p+E8dGCJQ8 zowT+MIT)NeHGY4G^V!y6CkO7*$j>_^g=cdHGDcxAcp z`V_h7dcI=zPgTeJ2cEvlp?!IKB%V98UcX1SVEs0{epC6A$|tDars}WrcrO>6ywXUXwQ(=2*VF^*^hrx zZ{t@Qg94FMhE||w96vi&*v)ns)`rxEB)@rY7b*3~*XQ&r*AR8O6+%LlW zxkhAH|E=m$voNcV_L$jWW`}5jnH^?!@D}(P#Do2KHT}PhJBSf``5;gg{-!^Ed@=Z= z-T1<|@Oh0FrzpQlWxMa2hCbsC;}@)L{4)B`+mx8o~;i=$7O$K@w`XGbM3XvU&A=BAwr?8KacQft+%?Z`u|tV`v0cs z;#pOyRNbsT8fG`Q!?0bjA7O+1_KaDaN6$6??&f~1g`LS6O);SzhVfrp&=q)IsnhCs zwTU}u3~-mi^Aw&}ey7ma@x1YY%EzZ`np<@y?n!Lz3_zXNf|U?iH=B#JE3S)QCp`Bt z;MlXadekcsR~_=8gNhX(xjfq4Dt<$r+AlDe6Fh5zJc|RLXNv56O%9>(qJL#DeW z8y^5uCDDuTC9LXxFX7w(J=99N4&E!@*iJX(0mLBmoHcD`x4m-D$uweLub21(qQ(pv z%2<-DV!g>5_|=;>9YkGB)MyL!VxGCG>W07Mm%)RjyX33wgscJ!a*x>KkZyY*0fReraQ zt91N(!y|0e%(D5i8kJOR=30UN!I`3fSQxAE6>?j||Eo@3vlC*u6c1f>znU3J9dx0O#2EU^!c{2OFM7ryPu{!7YtP==rJL581G=C!U* zD8ES8&d?Fv(TV(G)$!d9j^LSHu)~GQr>hRTp{HHr2)&Q0o>-GxDrJzvGg{LxKVk>! z(82gmbHv9VPrRV@$yBBtQijh%l{wOWu??9rdVx5q6XCLZ;Dx=$1CEHax z5^Ims@qWsmRhf9B-%~%bz@FD%Q~5|8`58U>J+5D>d>@r*Z+Fr0%{m&a?f?BZ)nPwP zcY{x8BiN8OLm6D+n0|1DV33Pzd7C2czoNXKu0Oa1hBkYRGCH6mUt8w;vD{N21AgVc zgYro_eoRO9IHK=$$`+55wPe)nWL4tpFw}dpJxgd{$%{} z{{a91|NnRabW^=c98nOT=l8|0^YkEy;1wYRwKzc$lNh|+dw08Vw|jZJ5(VLDWucW8 zwkgtt6bUF%h;6D=X+nsH5`q?1T4-UTSR}?Se}Ld`R=LgI;ljMxH}jjF-+XM61Wgjr z){nJWC`v%QyrUiI7i`bh((%;{JE#uQMO%VsGiBl=dJK8#7ql_un3l|H$IZ&;X4cSN zKI6;aIxsYxPQPF<#UKev;T#)DG(*+IbHe-MIiik+aQ=K_O)!BTNSMyDrOGJN3fac# z9Ekay@^7f1vJib&A3K7|x+#vgZ=-!>w)8_ntmzg@8Q(SvQY)DUNvS+W1gw$3GgMV=jH<4!6R22_`O)jk!6&$}C2TNa z4ADxwxvPJVj9T`fo|8)S1pWDXVr>9f^$p%y(MT2?-#;B*UVuMOGECxP-bIe1U-$rXqI3$< zkN^B;-n@Bl-o0neIbZIbxgYL_Ly3ijRZa|eV5f(cebCpIpPZ~6?13U;Lc&5KKv@@S zgauGkOcW>#dL|Zk(WSegM9EUcX$CbvW%wmeiS z$-lac{jb`R{i|reo`E{zoeCxE*Be0}Dk>mdhbcQHB}a9do7mkVj{An&#z;RZ!)qgo zgMA2m49{RYcS3#0_=v;49w{S>-3{m_>L#jqmn1+XyY5eCjpt9zlY|2ux(~i8X=*C% zLs=-Pb*r*kpR`_WmmKWu?6_}0&__QysPnbAN!@oYJml{~et$iDOLCX>>BntC@_j)w zWIs7LF}zrN+gSX3&x0=D>*E(geqSG>cQ@Oi#rF3Bt-76Rhq;HRYNcc2uC}itdw=-E zqJ0W~rT=-FSfHxYfMD$bl6!+mTRp2WH&GuHV)rHphNpYKpuOVfhi5_T+)OolgguCR zld^D!fC1O>`i~%HOn;o?DUr|;y&7}5GHvEbkD>)l(kX|2s*Nc{WkG-Xir5%|^vTxX zr%kz(p_S@c!4u*1s*EN0lH&-w)bp`S!mzusW`@0qol2|d6fV_M)o`ssu&vvMM*i{wSf(QzHH+#733{;JC$UX z90KVi5+cHnJ-Y>>9dQ@m&|1z-Qwmeki{Ve#>}1U+WIS^OwWnh30%ow`*k$;a13n>ClCcOU>D-5qejq#J2K$D&u zo89>tuJ%&fmxL=8C8)Wq=Efx(BdXC)5q(<7O$!)|T4qr&K8h~pEn<9~Mh~<~{Os~> zdF#%;ux-dw+mR{I;!obVW2qJI{{#K`giS7EvSEP~9pO?FMVJvx9hUQ@r2TEddP`@L zR!{Xp6vSzsjPrjX4qKbtLIV;jL9hfj9YQ|Pz<-F~TA@V|Uj^q4^LbmGt38>0WXDiD zb!;86v_88$U%uZ{8c&4a+K~1b#=@@l>AZZrLdkE2^h#rVdEpv}A(#OtF2mVqV@e2r z1JU*yEPB}1&ng2d#RTkn1ROW4;>`C$!qyj;cI(0q{wzx~F|#*QeCAllf6lE$^K8i6 zfDt^mJ5L+O^vuSJ9A;y|{4#T7M==^L{w562mx5e5Jb#a!4EQctYj{$2M5NCitSbqe z_xQh%jjzY$bRbd&QN$vEz=H4rq-N#;N zQeSJ=9@7jyP6T%XW4(KYg;rFD;HB`3A2A_N;ksP=Z;m0L5?aKI6$+D_{_PzandD;S zP1K*hNmgAS=UdbBzQreKV{A{YBTKW6H+iqpWxa?bc)n*pn3hKph*7;JM<^bReWhb= zkfG3$=NgE{_9IQT=0VB%_`4rl2G5gQtO0OylLfpf`IH%1Gug^;=XRuxU-zuVuMbx* zr+%icj5!AuXeL$2AGh&e(U}zmhun5G~X1;AvUQ7*lIbwAOUpMwmigqrp z{X;wDAs~M0*?Sb=k0pgjurr;aZIn;>sAU;*=c>MuJ~gQYTNCv^Aa(OUHyRA5du$-t zCnG?9Khqu|xBAFvlO}U+KTK`a=-^ZFkR6%&W7<2uP~n`SCv`cst>6Gul3pO=Z-TCI zGhVx_$>+QTJ#V40wP4lTffjf`u#}*xrMktFc1JfWNhFhZq9OxE<)5oPmNt3>L8b#oaZbsSGF42-kx3t5QlKB~^FRE+uXnzTDipr7Ve1wOz9383* zuBlB|>U8PNp9$8#a+tw;n=UZdfr~_O_c_LtJh~&rB2j@VsIdT7kXZFyzG-aXNR)5& z?u~smcC#aIuNt8+8U0hVU2SNA=7%4BOXUQPQ|he z1t15PU(GC$Bti`Xwc(kEcaCQF}JLSIV!D zc&(izfip=E&4@jb9R$O*>NbBQ~~9x)Io)xhU* zonXr*FFHI(=SisFYb8$}^ceW+go;sJFw7}kGc@b%x|lwsmt&)R3zv+;4WF0iOw9%o zY4n$5O$zqzuM1vUbZqV(;Q&l2a6jqEtuWzQXC+p9lXmvfKW7D0rz*xA1lq;6i=WTf}ksf!zNC4`II_ z{i~j1f9{urfm3FEzpr#VTY!Ytj=t#h;#3lJYB_uGWROfwf(6#(XN&jknA&`Kb%SRT zkpUG9BWY&h{_Vo54oriJPLFQruikS}O8t%D*~Vk9rB{b41bS=Zp?kZ%A`8gXr2T!C zd2Son-3wCuy|t$aI201jKCidYv8csu(YvC5a(`dV#vAAVszm*9jY_!pR&+WadoTnB7d{oco@t*4$tsdWX8_= zl3rMaX&O!$*T}2O;ou0oKCxBv>@>JeO=N)aVI*{I9Qmf(@cdI1k>AYECTZ0?xAdW_ z_3>j1B5gJDo4+i>D<7Fd+p1=A%9_e?ey1|MQ#Jm_JhKYs*`eBM7dEUPTF%%JnDvn; zZeh0ET733OrL8P9bK9XjjWS`;Wv9J9;o5c*ON8oB$;zR zluz7t#lr!20-s9?H2HF@uB-$^{6j9oCWE+H;7=S(7DFcwGZ65x=*xv_^E-4|cVw3S z*fGII`V7;WIbF!@#E6;?kqnBDMyEHy=L;$7RjGDgsiYYuKAvE45C-`;^{uwc^ z+6ZPMMTNI`iwLdmlSRO)bq=D3O1!cqb+Wt*Agru3i$+%)mX{i?7hjB9!3?W{G58l( zKMk~550fvNHthQ5+O$|*L%_GlOIcB`Q~A-++0m#`so{akoyL{MjoS=OWk}S$wc=Nm z^~U5dLw5|wDJ_%v%}IW#p}@dQ;_H5ztdP&UrLVo$5n@hEkUUz{-1LH*lo62YJ z2M?l_3O4+@!tJs;9r(vsoI^GOv>KN)WdqxQ)uM6tkCB?R>p@CnNSC|n-oJ9SqTxuF z6?`|-COG0QgTMdDxYilOGua z283rxnUXI*D;8d0%-!M5rZSyb_YZmD{vHj0YfGsa!6-1heGykTq4d;I;Sf^gNqM_k z!oafGKdrQ@%R>jWUU~Yl3{`5y7&?FT@HxIbVor734%u;8Sk)_K`p`C@UWqeXteA(} zlb-o`jMIk?R<5#-eoIh#%`Y$cW>Zul*OOd0Mf0n*K<54iz9^e6_vfgz8Y>zTJN~F3 zRqoWUsah&~RT6qh<1xNPiIPtFmuPmGsMI1&-zZO14PA zBlpBf|GDT2hn7ucQXS diff --git a/CPLD/AGM-src/db/RAM4GS.(1).cnf.cdb b/CPLD/AGM-src/db/RAM4GS.(1).cnf.cdb deleted file mode 100755 index 84cfd7ba7b250861b32b7e025577c3bf2ae585dd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1256 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KDw000000040V00000 z004jj00000008I&00000004La>{z*u)IbpRa4sMaM}WwXKqMqAWJDd25t5Ktp0O8J zyJL?$Gg^@_-@yOz1xPF)?$f;2m)kAR;hqh4rRi1K-Btan%H{6%o2{y<`UkljMbTf} zy9!{oz3D|!4}V))gJJXsK6(F*JJqLoK|2RY%EA7g94VacTFo+ax|>@FW4LWm?DLqI zl;f8>6N~5qXX>ewI+Jg}}R@2sqw+Lh9rC;X8E4exLq*-JSMqH&$I#hn-Lxa%*yRa=pH z@)M)?gnh(y^!Ns(f>sLI9COq(tAE(p3B@-C8Cw|z(m{;Kj5FZ zQxLwJ&!{17h7R1K5shc0qyw*Ss~0M@>arRZU(^8X1sRuAAOOsyB@qb5h9|8^Kww}= z0RphBmLdQF;EMq3&p*)bYoCaa>Hx#K!>^-RA}EA>`SU zr*X1BneGhZyvVb7yI($T(Zz#eID$RbG=kx|#=8o`nnqr8P?US5R}ESW=YrBBX;v1^ z8@VN=(9)!{4U&j6pE(>MS_?@O-rxA7sdLsl(UhX!>K;N z5yd*IY36k}qDYfRAsg;Inso?w6p2H6vVDgF>rm*Sy%|SVp*tr@&-(fKV{DIW&vxwf zJTJ!6etfW7W{;fY1%9H=_#L5+-v+Ak4e&1P}!nd`JH}l)7QtLwMqxYPsy)5jRJ-35(9OT#=HQ^% zf?b`QTtuWO4(={GxacI(U*UJD^eM%_cVBY%-OJtklB7|Qh?;k&+aO8-e#McF2yDv_ zq;LXniwhJ1hN!6#H8KVw(JZhQ*iv723{#Y)grj64F6ubAWPf)m-QvdA7g>bVG z8pGSoLb}D7X+UWWw^}O&mTn8_i3)}kp3kcA86X?Hcf8+-gZcVYW8hi1oQ`%9l|I@* zJl{AhB)|-%wOlPmXGm?K0RR6SPF^$s diff --git a/CPLD/AGM-src/db/RAM4GS.(1).cnf.hdb b/CPLD/AGM-src/db/RAM4GS.(1).cnf.hdb deleted file mode 100755 index 10dc2d1b5235adbe3dd7117d67196c9ca40485d9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 938 zcmV;b16BMH000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I&700000003J800000 z000OA000000062300000004La#8t~q!!Qs`c?*dXM*e1sCsO;WPffIy|rE^}e-u6FWy@JiC0t z_RtX!qR@DnI_MTWk;pG5g#&YP+!-PkT_NJ`5Q&KL#0kv(vV5GRkppi7UWn{mL~{q< z06r@78x5|(_80@l$Vzi&39kc2XlE+piek|j!lljCGDi+=gE~wk+Hqtv+sVE`ozzKm zIU8njWarsTcJnM@{#djn)HQNrfy1#az=H)27o{FSz8@_D}Y-4zcH7hUt?;Mr4kgcnzv23gf7?Doh)}J2j z5s!dPrr!qqHZW)dLjr^D9ISo_xv(h=EzOC#RTXX4tZ14t(x{1RTvog>&HTl$*YARX}7`LE`KlyeB_q77d3*<{m4YI=Ehfq%q?idOp*VvaT(CD&<9}S|E zfGN`w=;w`q8-QP3GtCK2zFGoxxs@4^u~eCCbokNL2LJ#7|NnRaTv5AD!!Q)RDJ>Kd z1eA)G5E39En4l9YZg3ML@=%9B-$U?r-;Z@<@G7#U9pq}IZdf3z!Lr^tctZtgYK5SL+1p=BHUc*@P( zD8vMz!qH0He8x0C6#X|GXdy2sq}C)I0RFT;6!)}qNgO3bADebTT%GMdA<%3tikc_V zO|1&?c0GS^!SsAibC2SUD!|Kz{jLumS;-SeH}I8AMB@6L%+#o`6|um)YnAHAZeMTi z7ZF!sf%dXgw$Xe15!&@-AV85>#WD9DH0~}L;c_ndr?#fehb^6dZLwc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IR200000001=u00000 z008v@00000008R*00000004La>{rch6EP5WfkI0Gi5mhHRRVF~fP};Ym=h;1MTi53 zXp?Np(x$04jet|~W;_8eQ1J?tkN|<8booAeXFa=JmAG(0?NqkM_I&f>%#PinD2hY0 ztHzjL7-Jh?740n;vw**)as^X!0G%9vV&w99cA$%BN#5JqbTf}D*=pra=zg|cL1;tM zakei(Fv-WS2D=p^2EGVXcQStGzy!vC8F#;mn@19l{+qb_d4DwVamTvOW9x@wJBjaU zo{Uqd@e~vJ1wcmQo&%o5abVN!#KB`7XFBiXqm4WnIy}1=*NM+}cWNm^9hcE2gJGxo z4Dg8*Uy5SNZw7l7%^520kqZ=nDih$5)xaPgxD~M8qE7yKkU@uY$?7@X)^qaWVn}r? zHVriou?~!kjbI@f5}sq5hjJDwqahjNVlA1QKyAK_k8x4u?wl@OfgFG6M%w;sCztN} z(3rII1SvAj;8#BS4~pw@QBd~l9pf^qDgVFgd5(ZcKaiN8)Y+-;$m*ESd2#l&^@H%; zS;$xsn@5k9=Z#iUO@HYow`%$im0j)UH3%-7Mf1I^2&@9ANmERxw5NGogcc8vDe1Xv5@fmUWom-K|k?^P$^d$16(H43k*_(-kdOTwu7i_8wB{ zhgI|XhAUp{kDoqz^6bGd8<@2qdB&Z-6JYw9+3Ab>EaGqiC}dLdY*_W&Eevn6Jnb~A zQm1eLHoLK8909t@UQ~qSqWRKSPdJ!Ow!fJ14V`XJ$VIioXtu{8=h=?fG zHez96WhMR#{bo;%A})M0yEEU+&g^WO44NaNqpRIzC~5;DCs2WiJsHJH1aVkm2UP&| zbYu`6^xKd`gTP|!DXS3JuE?n%pA*w|&Qg(M#zG&5GTD)lSte68&_Ml=%C@6 zACPeEyuV<^((;4~g@YnR7%@w)8aji{+)r$BNnJn^SS&@dSaMV$Sn@SF1=&O!kdMdH zicS39e*%n3T7iA9xIWbk%}6*hZ#0t!)A^IT4@I0baq|$2YI0$`JbZX-hvv=_LLTLp ztLcWlN>5~GZt|8(|IL)Ym@WFft4>zmL8L6eIUNxwOFH-`1z*j3rlSY=)wm_&NV_uG z(TVam;NTSXa*F5EH9m2Poa%dk$}%Hi)av_&y9WmOVLLxbUzvThsieQa4^due^n$<9 VvpvPc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DvZ00000005){00000 z000OA00000008p?00000004La#8t^o12GJpvWrE6D+oRTi7Tf$apTB&G+lrSO3}1s z`AhzV13TqCJI*AXDT|OGQd;}ju^lg&@pwE=u(q(@LI2gJPcHg>gWtc}ukiJP-GJXs z>|TD;?PjCn-oB@@=6Sz$wnwcsXguTpr#nd+N#j?C=ixaoTIXj^a1R;=u@r50FCugS zlDrt49uFgU4sdfcxHWLbEVOIwwu?HuK>H(aS4_}dyXuayb&7n@F@F;AEYSX-WtcYr ztaFhYEUJr8y)61CMc%96#qhHBDp88)tC}J~t0@wgE}JKjX~8E`=PgI63(z2Sf@+S! z)CF)@DQ_c6ouK>)Qs)iCsS~&+b%H0n$iJ=~c)#uzoosTvGM9Hg08S z`qRkLGK84yOq!%*P^%R$VJ%D~#VwgYPutx@QYtf{)mU`~UH@)?oxHHNASPM~SZCFA zZaF*bZ*3c=BLhhty{b}-ms%17s|){>Xc$YNTnHrH)YL`uh-am=NX}v)9oR9}*&^%x zlW{Q^EP;+jfi7ooaTp|WnZcp1J1COqdb;iR;HVDMZjhdbj!0C9 zK5>>J8e%9Yu8K2-wt%WNm z*cqyU>1`i0*Wp)SEum!x^SH`MoiM-&LIv4K+!zkg1?`Xl^R88DAi96Qd)$CugZbJ`6WKxU@keOKlfFPId(@h__pteJ%?g)u h$pmf9nh#sP{Mlh@;h$2c_#oBBK&+Yx{r~^~|Nmd3%KQKT diff --git a/CPLD/AGM-src/db/RAM4GS.asm.qmsg b/CPLD/AGM-src/db/RAM4GS.asm.qmsg deleted file mode 100755 index 4989b11..0000000 --- a/CPLD/AGM-src/db/RAM4GS.asm.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595485253603 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595485253603 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:20:53 2020 " "Processing started: Thu Jul 23 02:20:53 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595485253603 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1595485253603 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1595485253603 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1595485254775 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1595485254806 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "296 " "Peak virtual memory: 296 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595485255322 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:20:55 2020 " "Processing ended: Thu Jul 23 02:20:55 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595485255322 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595485255322 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595485255322 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1595485255322 ""} diff --git a/CPLD/AGM-src/db/RAM4GS.asm.rdb b/CPLD/AGM-src/db/RAM4GS.asm.rdb deleted file mode 100755 index 57f2d3dcaae01730b9e99d35567f4c936cedd57c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1366 zcmV-c1*!TG000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*GeW00000004gk00000 z0027y000000034600000004La>{i`#+e8qTfez(+=nOD0JnRF*B!C@7O@PVpP}g?S zgqoz0+F^KM&eF+ItFP)#A?^$RH&DWFb^efCJJ7tq12=QJ+r8W0?%jTzE-x=H|3tSe z>khirYFTe!=qe?XQ7jAQEw|PUaGpcxk6Qm7bM#*U@IIF30OXcQB8tf}2-A$ydIg`~ zAk6(b1Rxm8x&zI(FxE6nt)q0TUIX_**B$b%diw}O>s5Sa>MIleXZ#EIVcfzl{20@P z3lC%}3!!B|`%x?v`(}kBIfNi;966tWMq|uA#sy|yo=3i<<6K8ss`hB>ROXr5I_(a3 z4%{t2kAtnO)Oo3Y4q4}Pp_6Cf8uc7s*Nfblfz7xbN~OeDu8rIGAZD!X2$gi6CTh%? zWZF{lX`xGHRzAnzmc9@4u#Blq-dRaUm^rt}cJ>^5Z+Dj*+p*2|cQKsesuXUCeh`T? z?AMuHU6r9f#NcIlJC^4%ra`JBJ-K2#i|>mhil+sE>0$RrIy^LROdAIo`W*~|lcBR? zd)v0%+g5+)bsqt*=LnTR}B5CroB#&ajVPK@#k;h@U zg7_Z1K`KxYDHnx^W1MvnQ6degnD%)-JH>uCoNRn7_(1x`QVh1p@GE1Hqm9Z$ulNY)w zxwO&II^3jv1cWDwvD8#g&jwP1aO$w9;upFbrT7h)ffl3dfZnfz-jf$1kbabim^L^Z z<2I~Jgx;j%s(#OOoyiLn^vx=$U+N5;7IiIkSf#myh#=rR!#*^Qw`uXLO*g<@2jXXC zsws#H=`X4fN5{h)AGG@XJJ54Sxw43G?ne-WXUw^0=T+ypTh8E@I&1@8(9xhj=#lGn zPwp?lKNiU~;2W+JNEB0{@UkC?WUA-xL`&)+ImzkRv(r2t`TrGl^}Mamzkp{B)3!TN zS|)T-WaC04)gv%_gL<(@a&qw+Piyg;5b=trjWD``#r2MVe5wbPmKxU3zC{1$e=wCu zRaUQjKKVVJ{w5iT)z2_j)g!NaP*qhfs$_P*g~7hC?d@-F?>k=`9v`@OCjBR>Ovtd_ z#$dMXINuKFF8}}l|NnRa;$UE8;9y{2h%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrGU5 zK+-NIP?HfzO9C-SQE;fQuVYYTe2Alyk88ZYlb5SAL<=WS0V~4|ASqnvsn`04Ni?c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FK300000003AD00000 z002|~00000005f`00000004La)L7kXT*npv&FJpk*>x>lDMTwwB4)SJN^T*vc`AmQ z(MqwMK+sK~v7gG=sB6`2gCFv+S?p!B$f_{?SckT8p)EJU9~=J#eF>;(C^SzZ52X*K z+#1y=dG3QSvE1L>yRy>O%6pGJcg~qJAHO+s=FC|=e*F0H1ajIVFUbBs){?kA_UBk$ z81Ng|Ah#xxU;GB5K$Is&w>aTX|x=(7=GUkg--ngx~>j?22&NlI36 zT!8fv(2P+wZaSe(SU7lkI`(VD8(8IBPLBstt=AI$H3vIfP}_*sUX8IE#nqpTx!)lG zs<@A-drtMf}9RQ$*CR!4-kGfz66R^M=sF4v56&43g&S z)(mq*6jDO-W$0nLLR7MMfJ;>bir6=aB>cX??s3a)C&>bfO5qc zEno^lhoZDaX;rtC25^?)N>`Oevb{B3$zye9}9KI{y z#thW7T&ORg84Bpk=(mKz-vL*Jn3y(zLdYrJ1-2-7n?K@xWtCBW1{>tvZ3OLZl+FaW z%C+tq+3Lte@50paU`~+JU$Iy{VpGI(5 z9%sv8c8@|)uo5~#*tr3vf@nwSbW{b+u?Wuwm@R2bcNxd=+B32HMu1O;c#~+xDd3Dj z8fv_mV`sZ3zXclMkBY{omk{b|*UzBF}cguP4L8JyA7Bfzky^Tu*cK%fsi;9L1(o=lam}e%ZW6?`Nkd z2S3;Xr`SJ(4RR5&&JN?=aG*|>BK(6h$*PL`kt}Ad}ecwGV z;qJoJy0E`$;5QsIN*B#$_TV%NvB!0VX4!+IjIENv_BO_tUQ z5OF7SH{sHe1w5ZMW&o-8I9Z7O!vY$eIo$s#9OHW;Yp4kyTV-EkQy|$hyq&#XOT%!6 z!PYsN(!L?cW#sYiQ_gQt)EqNWjWyXxda=N-c{^F515I5rQNlo1eFom&lcCJtZ%tw9 zjER!a(BHM?a02$^D;8qkoRN~Z^TT;u`#q4J2VFZx*y~-OMDrhGT(8S&b{8P&kMwtZ zOdVJ7Z{bi7yePa2@B2x{;sARt<5SMn8O6;abPGDI1jLSBcD_g}N_7yGGbfMwMXfud zK|W3sLwPTPp-8}kYJfdG+dx~&KhK2twjf%`=z(q#T}FG4y}dGs{h^mS-FvQx^KZl5 z9}%XV&%gi8@#fMOupiN|&ni8hm(xO0z zXJ3SASFtl<>d)1)7)fgxcH$O58_qjMMfQYD&Pv(moEO(|LI{8ROacD=IaUQ`%22|4 z5IQxaCCFBURiP1fk&FsHv$@Tm9^a|-WLXBb%%wsuCAmahOzaet|Ip9=QiPnW(cd*X zyvuBCun@REd=h=e@L%r597`VWC<; z0kj*QxValmq?I9gMRwO`_^1autwSwq56Q2c_pFigWLdaN!>YkTl6lFn`w{fvj10dV z&)C7o%~6usPW-kwTnAxhnuJrPMUD2{elFqlhhTS%EUm8hKXbK&^-8Q;4*z7D@uO*| z%DMVmw9#UKM?m+ka=Q!?6{&x~)ql)bCz0~B&*xhpcEg(`b+#I@Yq`|p+b(|w$Cz#y zyzX!xuS;kV{);Kz$oH5XkyvZ5ab;xnchSE{rjuOD;Fiiw(a8ZI~Zppu!- zY%!(Xi}B%zbm!>dO_^K}WjE4`Z3J8Ys<_W#jL^E&^TR{t4ZwRefaMc=>;_y(!m`O@ zJLX`X|ko-?B%aTj}Bq@0hVuQ{luVbUPp(kIl%maqp!P)!M=~syD+ZZtb|Z#{EYG!6 zNR~lG_RFy+hCRPzUtiGqIS=ufOqClhdw=|oDB{~k>(v8^fZTyZjtyp@^A$x;zG6AR zPP>@{52u#q)odBy^XnZ*6#h%{Er(!_)D&9PV7VIU<|)-JO6O>4nuM8|6S&R4EIcUj z4-CeeT63Cy(MFxIeJLqcRYydZsUTVpx=Tl3h^3Uy7_^n|$!6X_W7`2Y;=$r0(ZHm5 zXOn-7;Ja^|0fyO$kM_zLX2iVJYgx7P72o+V?70q>H5VZn7R!=L-e{D1PtzIpqR#j9 zl?OPe$j$=`ln(sDKO}ooS&N0w*yB#Euz41CM=|5fbV)@>>i$p>j@eD}2C&OB`ZoXo z0RR7Z0b*icWZ+?7U|8{Tf)+lE4FCQ2Ulr}8e^=js?ZrQ7>geO^>hpPEr|AF5pi2k2G$el`EVV|HvFvWtsIg1ID6?hC zUFOHegLl93LxlI1zE2CP8ca_}L~BJ)tGT|u$;z#Ic8_n^xSvy*IuTmac({UJ_C4$b z!BBg6VjejpH+0-06fGNuTXe@rFNZo$zyP7JSEZ*vF% z?v}dD_zQ{+`m+~oISeKcKo@FN>f0<#F{yvv8&|EMZ z{@5I+5;RPBDvr-rIv+XR^WG7{7gv6dsC2WQO+T~k_{|c~8=RZN|7L+dsDFyJV-Dw! z^2f~`f8+6Gx7hdtN;Lx1EaJ5sUYYd^vi1KK@S{tXjgxpR;3wC}T3otV9R=T{g(cm` zsaeki|6#+{a+#SjK^x-1Ok8Kv{U>4w+ATFgcX`Khwwk}av&x`Ad@7_j$=lgJ-3TTc($>)H&K8F<_* zTo`4u#THT4wPf325JB?!Yy(Rl@b~Z8RI_f?vvJ5za8!o6|u8i1j z!9CPHo8r}-LIu9iSHm<)sG?EB`QF;lVG-ko}_?ZTW z4+(D>FPZEQ6AYxvxFVcW;tZtr7UK)X?qnAFQM}6Y#DTuqaGLh=)xBV&_B0!Kbq306 zB_bpZX&RD*n~1f1xu{~n)?D^SFeiOWNHJgh@a+`k4d2JV`LH$@ctRX1MhBh9;`um2 zIZkNA#qzmjgC+%Z>%ul~uvLwipbcmcG~hbixh`0b)KF}E{GBZJ6&haz&IEC46Z`5NaZ|HEQgPQqiMeC%&^3BW8diVu zLbya2I!#?h(;G5#oi7x8Kwz&y=T;p}xSFP&6g>I9;h=2O5M$+Om^16*mf;-8Q$H+m zqMLsasW65sez{0}_Yi$WUv}hLsOg;P;%U65kXK@Khxd^fHvL%Y*2Iy39n2R%zqkGJ zXieL2P}9br5^Ysp&dz3s*^oFjivp1H;VGa+m1r(vf!IkuR(^337?$^nc$A6TB7x@O zp}%9o`o{}@-vIMbOu!p|Wj#9LXCT+uk59DDkUamDECHXe&mr}KB7i3d(xOf<0&qE$ z8*&7G*&L0#j0)IGnJ}7>pztqn8QN@-C9f8%~q#SWkTw?U}j$fao z@5c*MpOOa}H3oZNLHwKqsvxzHlL*uLnqJ;zKvpMeO0Q1JkB2B6IKI$ZyfN~Ct%n>Gv>TY56w=RpksD{n&jEPtM;udMc) zQU|XH`VC#W8`^&i9eQ0t77Uv*Ta+FKZjxJnda;LIrX77a04x2yQyitTS8@JZWgKhy z=L>a<9KO59!)Z!m-!Q;A|M_tqq10?Icwb=qX1@{w^jkl4U4FbwfU?0J8et8c>N=Ph z6nI|PWd%2!2)cbSE3ML}KnVX_UF-bnifD z0ON;#3~TM8qjn<`xQ>~EeobKC=Gf~h_8+>Q!*ext8rRuww&Ya4o zZD>OTb!t;!^VHOKa3jY&)^{@F-}Z|8fX(#%JL4magl_0wtBNsa7SlSbiG1QqaJO9S3N)}P8n%ZlKOe}+ zx1dctaDg6j4?d1r#OWntFl=kY5zTYTiR(qzY(p5l?Kl3)GT#4RuNzn9YxKIPW8ge} zQ|;Mj@Vvhqi!x64@r05V^MM-!LEe%8k9Y3Bwx10+jWGNn1z(kGj{UXW&hs9DRVpGc z`NY4F7u)phHj>dPu(9W(;aukZDSBd&VKyJD8=mXf5f*`YKQd*ESJG`SwdNbONkL#qzpKdR$eJAs#M3$2qMr>$>nq zU%le*{|;87^-mj7gstDK#=ZyYT^MnNwt>7Tr5FD7F2$gSen%y$uzeW$s41;m#qQAMezv zfp!|9P0zj?OPifj-eC?NB=)apPsKohJ_s4^U3H^lWBfgX_PN+WFgLzMT=eyB++ZUA zQ`KZX=yGV1m*QOYz z>mxHIE%^T}^f^&HzeQEJtL=mjVwYF+S%LD)#p2UWd^s$P;B5-6&b|wK3qbe8oUn%& zv2I6wCBRl2_Gr!}xOL@)lv`J7XBQvQWFPDbtT4%#08E7S5rdAyq6;CItu5baK7tLJX;ihfGhqv~^x(9OECzLo6p9?)S|ZLzdpvA?FbjF)&S=N}l$EWCRi zM89F#djmpF-xi%0R%&Cdw5JmaVdXR_rj7A`S&9C!gm9)Zk#8|e)8lasv>w1ioO(*6 z*j}4`YEz+(QAeE(#rs`Hg?o4MHwPM1!;i8aEbRhXxAdR=GSJriajE#>wY8y7j4ysC zOLY|oyz#wD5b~EMiPX_^`Rf1GQO^rokOW2DVxE7l`07H9tLAP^z^--|T~--W<%~Fs zCU5R0zcB#NzXksdQ15CULT|JMtumZ6yCrZDSOPB24Hj9n;2xvLeyaVu65H(LWwRnN zd-kU_IqnD_*j`Xbsy#k;*2tK0c$3aK#G3fy+{y-g~z#I)u=3_M4{H2`zzI z!?!=#q|!rBMB%F!Z;H2YjF~y|x!aFSYEfBwdef%YUSiTGV!5YydP*&MBTrjXv8)sG zwreztO`@U~<(7m?_%DK1f2zI&Dq+ebh@IP+1ty7%Lg1ysyG8qZEWW%I8)R@bl*0?e zacz@sQzf*w@<`DOgr;dtb%D3ydvz3r&@l!_Et1aH+L_M27rl-?97BCDkNadIg)P4D zJqo4?Y&TAgI~8oHzSItF$F!I)#(8aS(i&7;#%)!ub?iRb;0MVJQMrH_go#7T^XGgM?YpU^!PHvvLQQx;pl0e+8qgTejalloX>G8=hxXo!yuoZp&>0V zOYW-g?WX9T9h=4%6l!j+d&#IJCS9wW#;vZ+36e8MKz3>=l(y$xqvIzvlb6(+@L07+ z0BVLoh)G;zXkF!$0bzL*k>Wn&uBNlOnEll=IQKrFBV>M|9rJg%o@+znKhF<>^DiEM z1{nN=@`u%HFg<+0=KF$4$Ah`#eR6Z<2SpAI_gHqHj=^0cpwylQ9hmU4W}vh!vAqf( z=zj=?4J@H;CP?pt^YbXrsFD=mvzft;)eX;gWAt_Tr)jZMCvo?9RQa~_!3QWF`+No- zdy72n3a!d@t=>267gMx5E%RGQ1-mL}GLHemh;arzB%HMOL5+;)0M87Dt!40>2C|ky zwRqLZf6R)iyeL7C>XqK$a>V71ui;<;MVu8ILVe*A=T{RC>=7@6c10_znnmk;$*b}i zP37Sa&&o@dbLiU2R5Wg+l#~4V@{U_dM)E8T{$z8lqP0XH>l+(VW(Ufg{M-JVs$5Su zIAe=1NLDM7S*Z<+2)(7x!MM~y;V%kseWtU?o9KE;)2%o4kTG zK!JX&AYu{c7eHH6qhZmJ&3jwA9I;zJj=ur^g1Cr-DixT1WiV$c&ch2<#r+Zpx^7-? z?v5;_L{pLjwe4o|d3@Gha{cyIxh3IhF0#)#cQ37aQ%hETA-$t#FQJIM#4)rNwExsF zG&t0!xUkSkm_CcL#N}8`QdiZV8ktT4{?)1}N|rL@j+Slz2Mqj5^(~tje>S^@iWS!1 zox1%GIy_1-Aaz^Kq==~SA2^!In=$sawG^lXp+tv@m*r9C%xq`VxFb)PM{`h8tE!_i7ZK z?ky32G{4Q#S-|wFwXzNz>EGbfgbWR_yI@>6P-GvkEwOt2U|jHNr2F@;fC;(2J_8;N zDqox~)4Pp0N=!XhdwX)>`_p5S7t?{t51aiTz9)X!2snd#P_l(u?Q(A&C$h>t{J5mA z@fYVNUrW>MI1nq}gXWf(crUUc8rSpLmN?Y{sJPVJLJj)95l}xnyM}uXZCKsJCzovD z?=YQ)MqP)i6a*y>?dl!M*M}`z-pd+70A10+W3z6Q*r12Z^tyVq>2O7HGa*aJ&x|`i zwM=&Z0|89xJ$r|hR^F^fG5XFcX<7alVZr*xOM)(g^rsDA$_d0&dq1!;Am`3blp6%`yLM851?=WmtNzZ=Ar3P<3 zyuGK2_WkW+YL%vB*C&hj9bl4HY=eU`E7n7$EH56oh0G9-B#~!w@WE#(tr$f4p&3>W zb3VRo%d>jMnaaeHGyf-Ee)+iqvovC{%W%=VcNFJOe^j#5KGX9uaNJ}^qpzqsk9zOt?|2~j-O9Dpe1cE?Oz;Id@A3+o9_>`WiXn3%?OBci7V8@D(@U*ix!VRnesPj zN=a?i8O|d_dNE>92)KzZawiQE_Ao8{ppERJTc|%mR42Lxka9rU(C$;Iu;_?h`S+7W zr$yFn*-WYB0wJLyQ91qCA|c@fJ=TBl(f>yXuvQkK`>M26;H)b>%q74o^@9h36?0yO&!=IMjN_4BE>gyaL&O8Via ztJbS7kJb&^W;a@fk_*|Cr{S-v;00(Wp0)ydvdHFj*);n)TK z*5I>+<=(TL*xhErn4Y&z(WHH;LVo1T+uf7vwtpZQ69e1fqx31QyY=8a=}GK+Etf6y z-6>yoYcx+mmins`QNR37)7*h3l1|Mj1G9Z-AiN+iJA>o%lrr9^GOqtN%(m|eTrT+_ z*FR@B%Sz{#s}*1kzx$QXz-+5((p^8IFY4kTS6b?oTOUjB6p$KEH14PIVps3FNY!;8 zmY2UsD$Y`H4r1p(iSV3^Wk&O?&hWrq8Ik7|SBEwtLCFb1Mx~bR+x)+Suv%DOC&yBGX!YWZ^d>ufk%OT%CtAxtA6b}D{HA%Lm z#{@k)3F=|iKTc>?IdZuJHqw%8uA zYfMvcm2nN+Djx>}d)pjFJhtTz((>=()0g+ zr#ryG=NG4#p5gwEi`$#Wo~dkV_lxm}^og^l%mn7F-ln?CalRo`PRxoxboG|Twlz{R zKX7E{rZVSyXI=dS&7zkB*|xBq(6G8g)<~1baY2X+A~0z@?2iU1MwmxfR5bJn?Stbr zy18{ipNF=;9Adgm$w_tpCSPkv2EVVyo)6}3?Y=2@fNHLnshTLaYN$h^cjX7?2ZXd; z2qx_VC1jdJJAqgo*!^?;tcM#la$TK$D|vX}$xDxKmGi90HG95|mzfGoyQk;64BriF zw##l7UfE*cvE!T2d`oc}O1F1q^+dUDKh*(rR;9&17>gvdIK^!l542|Sd9)yiUl@wD z-$g6hX86rWkF>4q9~(!sa&q{@Y|NhV6MX8t4Cc6Yw0Q80_&i*;Wqgq|JJ;UCd!hm) zYH#98JOEv+-Pbf)aQWv3SklceZZE6;W>BAWn<|^>0LgdY z+-cy&3?}9T!7cb3bY=_`1AgP@xt&hQi6CGy`z69jZFXpl>B{T7>W66m)DUgmSrCfn zYN4)s0fa-okmegczz2(8`)AiwRX@-;5 zQDdn2XEmq%t9)hEy~^E&VKYKbfW3Bm`kNrBP#8(v8 z!5^-5f|>C<&0@=6QHddMF>T|9-e{%3gb*Y&Tu^Y{|2gV&@wzQrvYpb2WxjM*cus zqqL{3b+*4TM@y*dPE7oIgBU9N{{_c36T%NEb@%OvShPqm7rH%7VR=HKfdTxu!xdVbwt!jin9zAX2 ziq?Ahk8vh>x_aRivsm?)a}j$ANj-UW?=tv%#5Am`D8E=29Ta}q}6lwW4ak_7W_~Ifi9PsZ)Ff-ICv+81%nW@>$WUNu8 z>Q7IVzLGNmYyToKQkPyYKUUMlvAtmL>2?>LsTx?e;BS6P+QVXs?*sm&Eq=!XA9dQ= z6dO*&{*%2YdI6ox46=E4Tdvi+fD>}e;b}L;;ZB~Ai|G*J&&HeQ?U7I8Dz;o`4*Z%X z^5i5WxbILM5vdmnus22Ol}tBQgMQy?0+Z(r%%jtO7)?0euE^RSkFX$YFaNbz6_xp# z_6MO|Rd{E{VZ1+gSW)jdtmKtXs@%&NGwH)7L(HZCIXMT6%ZTg9)(~rF3*=L&cJ^=%9E(mFMgI_2{5$vB#jjTP)W=wxNCsEA%9x%9nnI_kX zkkcE6s#FbRt}jK-qYC1#BGo9LcxoGwsSB1^<@TV^k5^4QmoeEZl}vcxh;wig(p|4G^>!{3Ly2iUfC+Oc!Q-;#gSjK-AfUtfd5cSO3 z@@`3-0vwPVMWxRSH)Y^N(4#wnES_G9KJtp&)q#4EgoL}lZpGn`fhrkHsnL8Kq9&KB zYKNcbo;AF(S*qbPKZAmjpnUFk$-))|$c%rLPEDveqX7%CcJ%Jd6Wykv7jhD6RY zx5|ZQX+NU9Vy1LCu!5K*ee0bT1AJ|@`ZsKUnz_mdN~jNy?5G2So95n*Sde{nn;Z@;ncJ%v;p*o((`$AOWCp?iYz zdvx^yyQa-GifxoN0^I7nIWxXmWV0|nMFt*UoyoYJu`9Td*`O;B!kNWKo(u6SgbTL^oUTB&~fr-c(M|NV84!m@u#Q*AMFQ&Zz7$61^&$pscl> zU-D4fU5PPIoO?G+Gdf_x4B*ZkHGxj_R%g(54uOgBpi`qcbM_Lw`nZZRS@cvr7EdgIW|IS_f7J;-f)hYjaIRgQvz2}L9}*Ufx4{8Q>D>0_awnh z1NYJfqeQtl8W7@r?RWhp^~fchGm6!o&_~QRdz)Uu+;e9R7VyFZNcBN$56ie(_ocpM z?yyI~PQpJ6JNYL(RGN}cWpZZXy+=pzfTXA79DGB*2huwV6AJth`QBo8@Ue7xZ;@|N ztYISvKAODxT{0+6lUrezw-a>o!J%iuqtcq-qWX$ZvIsT8;!XOk)L5nd9ZbJsz3-~z zc*(3?MwA@YNi)-`Js(n21^!FAOZq8hmmkm~aFCMPt(h zhcx!+Bc8fHd;OdWXTDN;-`=q3tkv#i8lf-mhe}Mi@LUGr$H2ih=giqGOQjwvtsCwY zGD>$|ile3g9QCcMVQmX!ODl?JC1GR*Zr3)1duwXNhld$cq!2@v!6qS}5o#BDE%`pV zmDtMUJfl$9L6_qd{RQCU`Qv|P-UENQ{T+K7Gln?78TO2T6?Y1pzZdy0(`ItGx$eAM zZ%T>0jsID*P|?YZ8<20xh83f>KAa%{x*%g*>cq6ysS8j2z@OUo)uw4N^g!v~wWqfl z{*jb8jawsh6(@j>5jqz*ACuvn$uD%|ldfnDlcq!~$z~bXYenm-k|2TJrPkILT)x8s zGiyv1_bEuui0I6BD#D{}z;yBh$^hr3E${p0VKP`AQMm#MK^YT1Z<}J~ZX#WTt5n|S z!o~Q1J~C1)o;bV1yJ?KU^f+ggGkk8?yKkuJ8qLl^s+HGcTt=6chd+(K--GK3s{?^1 zmAWvkb4gDLlwNtIjo_sxwUa}{KgXUnh{&6(;8mq@_b$Sm3T_Qo2)RTO1xsp$8K=@5v?QzHRK zl{)^!FK5au-z$D};ZJcm*%=n_?tlpb6(eg@=?YoLOIEmZk`>jG2JgYOh8llC_rsVM z_(M>)=D7GnK?exNLemTsN>CxUM0k#Qo3rkvd2ykn>Q}s?J>b>s=j-?L9&uwUkJk6B zvXXg{zgSh*gq()qok~AgN{J^6Jc?8%BtWk##xkY4eYtkx2udHAFUK$3v#tCk>g)+S z{wd#&G(MwUU7s@TN-G8d?w_RoBdDz-BWqLsrTf3VWP4P2UhKCd%2M;I{A;lxq zZy-uinVFc6(O>D2Eqe_PAT)*X7HeT8^3N6*S}5x!Cqk1D(N%^FA?tQPKiJA+C;DK! zs?_V^P$zZBEN1Ut0=REYF5-8+ctMTtfWnH1%mkKSYSmDSeCk3uXb|K0h>IlWM>Zj? z1GeosR1WEu4L>6n;Hf#3qJTMLcHs*enV!0vhtXaQIixnmO=ujd=)exneMe`E(Log!Vq)=>Zjbeb zLd@_sVPf#Ljy3fzJTwG=OWlA%nlS;OIwm+?o8L2`)ahVmfIZKR1pA8{kQP|S=XNC( zkvZNW$(+#GJVqp+6;+ZG-k>rvAb>_RJ=N2LXZd{HR~cVQ=IRMp^x7v=vkRya!ka36 zTT8bL+*KNd(6m$x*AzAT{9MD?ny8`#nLCecTnhtI?{Y2gtJ7^=S*3!6)_d&b5cXVz z^=MtxMYUk6SBlp=-4ORgxDJudn^c2Wzj0pkh^)jae$kq%R?Ms!JCSV-nS%#0-lsf< zdd8HEM@QfBAGvp;g1+!9d8I?VOr>Rqn$XYoFd>M&&VLJ2Qo=e@Ru3MLDF5&mb*4rx>}B1o z;jWi4%RG%)GCQaY{Wr2&nw8(83Oi+*(v5E)!=)jeY4;!0j!(;jeSQnjs2@IVNLpL5!6mI)UA5X_>>Kpayn@d?iY63F&RhNw1ArkJ+ zw&N|uWLcO}fVfX`KeXVTZpxA+53IfK8!#J2=rKmo6?t*OZW1OnH4w$u+ree;M}HW*)8 zf-V)g?d!Z;9Q^E@gRgas3*1-IF5XIg!1Rw{<^64|tLPjMCk)}l?1HOR`qk6~i9cD8 znyI#lZVPJ*UnAWPO-$;blRMv7U2OHH{5IE~=z8$m%sD>E<0=KVub_;`F!%X$1rU8| z#^e`@_p-*DOFd6?Mdb%ppr4L3;$fJLAu&-3#M+9Cp=psc-oti7iyKW*? zE344C$k_I3O{lEmIyLy@X99P^3-PAihC+k%23;=SqVKs@YH&)h2)aBq8n9b`;8U=N z!Qu?F3j^J`fDY+xJLIBxV1g*Qv{P%h*apM_=&*P}zGXA9qhQcxfA-|XQ%WnRX^hv~ zGx%?EXkK#!s-kZP`Fo!}&0tn?qjQXmY9E&*+!xY-=91~xGIZuBLAq=26m%|8!MxBb z6XVAm``Nch@z_+MK(qNIa0j_~GY_qL8(H`F&h^%m<+O&54bZn5vvL5LJY=`^O5>Z7 ze0B>}BqU&K7~OLS6(%8#p1q~M^z^4dOMXLFo$PUusgEg8wUbuiA`E16fvSk-qW)xA zQ9RgDc1<@GS+6MPVuxs`GLQaPOD6VP#rfbpgjGY(P5T9eM78ZS~$*ublGAS#Ah5eE3hLneh>1|!))p) zP#>bk|Fj=2U=T?Eta6vnQ0Jf9FdJH@K@w@Hax7?6(jAq;=j~_F44IJ6*Dv7GmPTrf zh#jRC@*p+5(?0u9uzCu*o*5pp`UoLzjUgj8k%!fJT7v(IT53dH0Aoewkzq5&)JvaA z`Mu~DV2bRO-CN@!Q9oafnl4h2@?6onu@Qfvr54WrO&m7`PjsyyqxQcZ!e#ohE-OeT z<=mJal=9$Rn8Av42;5b_n&f-*H~qzl>DFXf0Yo=9^s0ZPgRC~DH2)UQnq96JN&&kM zG^7}eDiDKsl^+2RA))?X-Yn)&m8TBzTHjzcC4&lfV_Mxc?K#@*XPI`q{DlT978d@C z#Zsj!TV5ZKyljO6bbc4oeOANtNpwgJ4p!_YLpA$47A71BZ;rVJ3>ng-QZ>Bu) zzLpBFhE9|W>Z9Di#yyhD8*olC_~~rllkIqM(QA$-#i23HA9;u~yrwMg1dD)0%FT7F zd)!+)j;rYkhVAmb%Z#w(acl-YKX2*eqm8othNpG3-S7SMS(`87hfq8NZexe#I1QVW zwi5~n9ho1WE{$JQo(}t(xw`3VYkmEBzsSlJ5`gRYwb$gU{G8J3Qk{l zZ9R79OS)p3s+^j-5#@{d+_0L^u4<=?x%Bp590(jiz39BNDh8iXzI(!Q3<>Sz(W}19 znt@5>I2JiPUUJ(k$Cpt!=Y9t^RD0SZ;BtvoAXJ*`IBfde^VBJhU=N7mrFM65v(Vqee#k2V#?q_Vb`tN#y#*fCS@<< zu(Pj2A2&eAMXE(X@{z2`F)hVf(Z`h=q!peZytnRdAjr(`=%^S1dJN03zefGZc7itr zq;YK$Vs1|O?S%)2cht$KKUEGeLkC|YdVVc!Z!L!U>P9~s6Wi1SZNG+=VQ>Mib2nR- zc;1#WFdX?0q>dVBeE6cT?MG-E@bym}&1Q6tLj9M=@PvoC<!2ZkIugli^2On?GUwX%^5tk%jzA4gdUSAFRyKVk^`tf#yE=vAy zQxyJ0D2@%!3NikxQOTnUH~pTqofw?bZqX;}A>=Id1BA4d2(W`e=-X>Td>5}@S@)jX z9OHlIG?%SE%(hvD!fw(+0(qSl2R(?yTX78hCVz6w0!N{}DEilxh8(t&&saF)G?`h9 z+P8m}vM=3Ba$?Cu3tD_~#J5HpknJk6Y7_$fH6EkNgsY^BK);;E3P9x|?A*J7>hP47 zk2ELx?mOw~%ERkJx1CN%q6A0BIm~xl?d3v>s(R-}?tEawwiA5k-N)g>YS(**0XN!c z@E08TRPfnc)yLoD7KWC*CztU-HwqLv@B1ucU)eU3Dt`IX%wa^yUJ?aIlLf%{pteha ziM#k5gzE2~wUQpn4-RX5^)}b=Eq=UQhg$D2!7W1c5JaMek-27QfmwTzGkdFX;^MEw zkYIL-j3AfyIlBXpt$RY`=UJvtHT>4Yobl8C#6($jD6{C4SyC$_pPGi zCvW9jE_-QSx+VI0HH?3mR+h)kiotWmH+F^Za{lqO`1nJ8CM@7p>zg0WaxaTkb*Q?3iIMZQP{2 zfOxOYQoJIg-c(>^vsZMNdq>wp@O~?pE%=OqJdp~pXa#nyQ$Twa8JPvC6kQKSp{m87 zw=71sR93e>$9oj%iA$u^MBMz`7u`N(!aq55g8f{9A6@;lPGziQLN=)|iM=HJ17T|-RLcz)xff?N$bat#&9?O!%DqQY3 zHHh4)C|)ea=f(TjwW>O6d%wAzM7Ra31Dmr2zNuIZ8^ZAHLJY+#eF7v7dHRH%(~w3t zsvg|=v(+|TjPOdVOZ)NLi*;DyRx6pia2@u;?+fgrh373(Uvdh+sq_8F3!U&n;H z3w-Ex5)x!nC>v}-&5!e%!K0kNn-?DvPX~qJDj*wKA1=MIX*(I*Nm@76$hd~t6i*RF zHnxuzWQ0$?VPXf-SS@X0}#2+p5o`x@JKe*zO;erltUY5BNz)W;*(_t0!XUnAwI4?ZwD~ zycpn^NceScGsOBrLR-Xyx|Q;k2+ ziUCdkuojqK#u7Z~ODZMrfB;{+enelP|1)Ep(CkgdUo2glgYr;Hn&A;L)!lH4`0Iv$ z1s|27?5J6J$9;)b$g&`smYD6-^CNEyT|rT&3esx-tOGlNPl|x)6^aG`?-g(^)U~;pEhXb zuTUD$`1nkgM;XxG(jDVfyduGR`i7#7H%{2h_A(a$|BD$HuU`y2O?sRsDQ3F3*$vg_ z*)Zy3Hm_to>Inq^ifzYg>z42epM|LPOa66Yevee6r=`Jq#vG?p$+b?I*#KAl-x77A z2}mA=;eji!*|*Xl^)03y11`paHujOFq3pZ=AjJOiJ#`)UsiXnck0w4v;;G?TFH6{H zhfPIg5TUU@Qa+6$s{Sv4Ahqqs2eKqiFwZn4-GL*q+7l0wI*-?s-f?;EaX+{7E!+F| z2UX2M;^moau>X)`Vn>owa_}t@*2`r?8NDOY%<+2yJjDKq{8ZtR*|< z>QU0s2OYJfB@NFZ56me63vggL%ECZImHjgM*J91Sp&4zPH;9*(>Ec# zrdhdqBIs6VU?b}G=EYLlE=?;-R*m`UAz`;vn8M9h)!|dNT`2}|9^0@F54PD5W^MMs zQotWKBFHiK?b8GjxcxDMDHNU!uT_XOo@-Ge8mC!ab%LE$`tkbr%awLLcBA#fi+>iV zAPZ1zg5% z3)odp;t9Q(fQ(SlMMJm9`*qWB4J!kytt*v_Dr?B(PG~s=Ji8$RqdNjpN;i`&Dk$}} zS@S1z>NAQ*RdEx)&QH((tPXG;dwVhDL8U9FnZRL>w#^aHqHPKl&W6l@!Pgq5W zA&|&ptX)szsnmr|Tx-Vz&~c~H8R)T+Lx^Jc0OsYC^7hHoxMSi}_%W7!p(b?Q$jh6W zQHDweC-$hnV$7s$PAF7tsKOl+_cv7DwZk^+P3I{e4d z&%hY2MUX?rCZVyDa@i{$%EnA?Pv$5^Z{54&$rihqk|HD2FJ~rqVm8tIw!O78+qVlIhRP13f6Tbgp^S7SA;F_L2$r z0MR4B44SZL$PVn>1yI-+k#!Q*jlt+(XT_favXf7z;G0bkjyGx>7TAMV8_5G98 z;@ROi$QM1On;^qN@>hG8JQxOnb(KW7732}rxmFTTmSvrgJf^|)i3cy6$tMU2_XfB_ zoIAWgKV}z!5qJe|j(^t$ce{275K6y&wrhl zzywD<&WC^{bK_6CQ0Sfd zDugM}WW5OjY_uuKod*tmn;Jiubp+vA67@$r-TWxUVd@)ZY<^?+oZ-1U(!H=5frWLn z&M^|k@FnKcy%mnvnEeaOVS$St86OoQ-4s~8Dob6B<{NH1+;!Qgsa71=Byr2%%;t=x z&0+P`b*_5{+=Tk&?$17K^$yNci1(C0CrJIKUIBb?C+Ybbf@|%d>b7UY;p1^Am+#Y0B6gd?XGEtgHH<0{ zlM>8;`NsP^?_sk8u1SG+$>+c}?NxO@?gR#V2Dh_Z$DyJAyq~IYjz{9)&&pfLB-_MO zhAEY4&Adc+qy*tHm=X9WdUvvB(n(FU{P+#aH6s;tfrH{X-BuSkX{?E-uU+#F*?bDW zKXX%HHCK4(O{0KinR`i!!{OIVPN3e2(>l3&hGlgA0f+86=7D5g*)Q#hbxom6kDmHK z_dBTDKt;9~&cFnz=lFDI{arTgq49GWWvVbi3hbBs3wm>B+Ig>iTFc7cGi}rH8%X9> zPJILUbx!4E_r6)AFA+Gjy&~URfpLnIh@R4Cu|{%#7%#ajF!2~7I@BgzGi5u~SjcBo1}Kef}a`-KUG7v=y&U@M+pw=kW@*#_Tp?UhgX_e{KU+3c6nNnR9R=-2geN6EQu0X}h;__b`QCP(r)5tT~TG(JFa^;1Mc zDg13!kTFUieJwmf1vjw}$#F1d(@QZ5;1p33dllPNc+fF=bnx?2{M_vS0q{T%zXn6> z@5g`6+RrQy@pEL(#uh(smp#nLM`W5{^8#H@!xN|WkDUy8Kh&jnp?~L`=G7u_4*)dPz7@+$&~VEVkb$31{n^`xMNHf$goL zXBFL#$&AhDsdc&-8nze8p5J7e#X;}wJ~cv zBl}_Y^MBx9()n?sFQ4gV|2M|MANyIMMKt1Pr}JoXBWtRDX+8T-QQfa(C+}+8KYesM zFH^jMKXg_d5kK*3Y=Q4gK)3eHmQWHN{xz z^}*S`2Xha8OujH5+1-?|uhW74JNdG-{N6OrKem3mK;z{C(N__Dn@l%f&KCVn(KpoC zBHP3`I$w16U9=feaFo1*Q-^(JVOgPfWRv%n>dqABr^U%rndjyfmJgZHV$41+`(pTi zQS|uTHWO{Op;7;unxOqH^BetVvP}V->C}bIogBADvCq0Oj*Ri~$1ASRZj>)qW?yVv z@N0+qUA5HHX1;u1Ht&)7qQ-1KR<`LrcfoJ;GsOS$8v2h#4+*xf^F`6onIUcC=c^Bk zo4eJ-LX3-_$(J2;Zhe9_^W_Bf%hj0=8pUj0MZV}QfRsu3!|3PXdkvkj-{a+|$(J(P ztwqzgkcAbmjbD}|JBrWoIcfWDZRaW0UYBFZ`g(ui@G6%}U0yRwJip_k-=EQW6vN?b zL;Q{VcsJxRI3qVCFS*^x=1tMlJG4Dj+Vtk2sCIqF2s+O-o}TyCQ=isM{ev1yxavQr zkG@FOxUuN{cMk8$S!LLr!z~>NWcvxfkGSnsb{h|80$hYsfe^#iF(7=J z`OuFxA6>M?=iag~Mx6ewK~iSq`Xv;H7aG54@UA1ysjR2dSuNXPm~fZ)T$ikOti)}Y zZ0EKij{o=$Om+|*OrTqqFic)2I+z%ZTBG|n?jU&SVe$gE=Yv_kVZyylycM%HWN-#1 z$9K^)Oo;raYOXL&e7s$w^y@65E+-i;XZUhj*K513BtrBZ4snGq|CTS?yA2m*Zu8}O_1E!E7q^P}vWuj$HiBY0 z&9+&hf2PLfcgyBa)X)zc;fvvl?6@c3zv3c_`EsXx*;$tGzXG-{HOtqM<)^xZ{G&&H ze@U(GQ}2A)>Gn?6dQee4#DtR=`{>#vr=LCnYovRs>-W{ zAtUXKZk%LtrZb!CKjM>7>|Wfi!uHEP`iyQ-vAZ%`Z-%GFuzij!{6nAR7A-3evHXjY z9}%Ws7LeovUE>zn8teTH)N2=-~ zdRk=@(LdLf4CP&t%o8yrot33n=I4C_=9CpRqW+X^v@xzfbhu`&(uS|I>CyAHQ^{EF%5~jh?LEA75z9ES-h(j#0U`w)y1ua^ley;%q9u zF3I2Oi%IL9t>xoAu2J8pOo8DB;{Sltv*3=6%KTe+eR6D6@>>zc7oR<>=Ih2bUh*B7 zh%4i@Q3+PW_G(?}7H|BABy}%V{QO$SG0LD_Y`n=Z;m!5kebj^%?7ythq__CR6~)lL zO?1C5^Sb{L1q8+C6tw8f3%#dUNW;(nBq!5A?YCB8D7+j*(6;l!P8)cs7X5IH)ql$!RlkoMMUj&bNAz$UUv=wWo&i zY|(diKkVBkwhCHWbmNFm8zVYPL9xBfbkO~JU-jh6Ri%Yqc)WVyr@56$c&X4{txt+! zb(MH8?W5ahT3z&&oPI#gR5qIS8ii8{vJIkK626`6q* z1Jop+vXm53L7+Y;-T)&%V2rnS|p?w*~5Pr|VjMGCH8N4x?l{>=AN{*vpWJj2&zM;nE6-7Tp zdJW+P)dr2rD=)sNYxB316?wPq9DC+k)>OgqE#A<2JSAKmbL zQ1s9C*@k3~c1fZ4iA=lFMlS83=<7jK56N2IozuVQ33vN_)-Tpr`xeRcJPDhMX@B#8 z7d_G)$yAfT zoo!aLG~PFBw8;GIz}0jQpY^>rug&<|*ylg(H|y(V8~fiEee*uL={-wy*8et|&^}9} zX>sFBhBN;e@w6_5c2LN}3yNCCD*vyrkb7bO4`^$`K`(mvtS1@FCQCO9 zZ;+g=`YbUE&k+69eRQ*sC!6Rs3(CgCoE51AUJn7*gLWz55s-Ai6g0V_YK1FV>m#i-Hw= zgMis~ON~YwD|YXN5xrj@+IF|+h=G_NeYfW~T^pn@|IX@#qI^{vIoI>P?A=k)2p!7* z-;^sS7l?k74KW+3T;M*uIAbmdwbqK;uPy5F6uxAaLkRuZu7*e;$X zVNXS)5KOc^EZ5<4Cx1(>xAKJ|L{ylazFzni<6=kAPcwS5C@SyI>xZ~RwQ`8iVC0rG zYCbIbdM^31`EH}#x91H{*_zNnTp~ zdrRt>Ibvu>Vka4;3BFMvre5v7*pVw`fG0ZNCvGbArt|@S1I`0O=Q-{d)9^L|<3**L5v1ety^F z-!?)M+?~!+8k^W`XMCD%*z`1QyJn1g^iN3gUZO*>G9&Mfk&L~Ko{WB+C`ewkI}(k4 zheo2Zr*lEGSh_{L{3g|mF2C8#J>;kM@f-a%@hg`V=rLwv=Hxm*(7RC*7+EKZ_Jb_R zBpfGSu#oG1`;Mmha*F7@DFFu9r+-*=lHI|cT~Er4!oTbdhH7t_dZCIrRyJ&g~Ee`{$2r^vb| zNzO038fo!TX(Nl`_blxrKEEeU{S%>DMlp>)8lv$*@#CN6`x&|6iIQ_`pT;GmWvf2P zHiPiiXy~$i&zD)^e?xbynPhsQU-Hu>Ir{OAe+K4=Z|}Nb7N81(|>-=WQCx|Aq!vE>CdV_RHwmTAG=9cLE_ruI89;(L~1BKyx2)qTdV? ztykVR5P>!-#gXj!U+|j7`K9sohIz6YzUjjV9qBNxr7fX6v z_rGXmvP~bq(N7cq(lz`y<+WT`$G(S^zYUwL_IZ-PsQjRO2ZKQSo3+w`PzG&&CtY9z++&tl9ZyhDYMo`6TjLW$`Uz>SMmxUUcI2H1a7!kkG(Rfv#H|U@j~Zc ztGSPCen2se+%a26^xm@ylfc^5ehUL$iuuBCLT@B~GDjwNz&}Q`A!w381DoG1n~l>x zp+C=Czbe<6Mpgn~vSej;yH=~FEsWpgoF%D&zsa1;V~5Rk!z;77X&r%=WQ5!I%w3O-!8glyJ9u_w*igj3mR<%_Di^5 z{Pq;BpU&^wyr54qzqW)gz3=x~i--JP#C+Y6Xd3Sp{gsjsaxGu$jb+K$%IIEqwM*Wp z+fz1<&Q(wG?vQ@7+&PVYwIuJ>H^#p=FvhJ%@S1t6XM;)LnJ0H(uIa8pXJnSev{K?% z6m-I-0%rX^XcCZYcUX)sG9kZ$#rzV~=&372U#E|58ZQw2C4IhJJm8DTLZdyC3)vPk zcgh#;Oa?VZ)-2JvcPc$z_b*Y9B2K6Ddq%&NGr0J=g?8`lwu5Xqd|Wa=B6=@B9W;g( zt#2!++Ij{p`}b+Fb;745vujE|Fzq@_{q!Z{H0@$_s-IW1uSo*l+O;N3x5?X1=Sam8 z_ZwNukKih@A=DS6}}PRzgv|Io4-ifbkP2Mcl>lZ{BF!%vTA+N4$Xep zo0+`ZkkxfRdN>^3=kGiEw8J&n*NlvQ>eI7atlq_>b~g}2$Stqheh`8npt(*Be2S2ELm(ECaW%%+5gH5-fb3_>WV4#0+l+wg9@?1{OhA-) zj=<9XJYcgMGcS3-JVD#6LV~>;J0q)pqHJT`;&0H3W_cUBG^clW=ODB6lbVBAx4c#K zLvo$TnNR!|i7qZS6@J;~Cw|tm!~U0;wVA)&C{ER?@Fw9A-PTZyzMtguOWsTrlNh@C zu>pfuN>V@7{Gy#*pQC|dT6nLde=asUb1^t%*8E{~R?xosQr!&IAh}S zqYP@B>CG|4}cWS+D4=q8z`?Hh?sJFAOTQ;)MJnUx!K zzN-_G$u0C9bSpFRj*O=@df@oOQK(TwyhwBJ0#+<-k$jw*}*TJ zfkxAIi|o=kpRMO|?bc%O9~lFDYqu$W|2NY|jm>gQMxM)=PI0QqiG_|OPCL%&a<)=t zuA@em)U(;Br{V0`TE2HK(8gaFouK`#s#TfNVa~bdZpe{}>M5F9IxLEMdZ6}-rX-T| z5Uubcj16g_RfkDiE?upDK4-P!;N-RHk=SFPRa5?BfG`mEKS zZKJg2 zlr(2@6QbU(t!d6FqKO1LhcY5sbz^U~F7PMp>pP_R;?Y{?ps%_CudlMqo~<7ZnP3bv z6CEq9`T_O{BD|io?xk(Axd~s1rlu845yRq~lQE2+XrJ7i!^j~APc{#umG#7A`<1MZ z=E=^lXw+@M#~_-}JVqWC*PM!BwC$~JIc+0ic}$1E zwURAoZU|Se#Puuq)D=-mAO@~&J1J;k!#26oYBg|1y*d6MVL|3w3e8A z;}xxScSA(3MVJ|bHg#qEenmpltUh;rMf2qQmG#8rwd&=a$3Sb-U9P#?uZ;Z3BmCI- z`q;!UqkFOlb4P@Gr{ij!GufX$oz8fjGg|Re5Ai4LE7x<=StHIFUs(%JXS~iCt((f% z$7Z}fnl2^{-_ffW-KT@`l(23OFPO#ikLtcRe>0met=@PYEwuQ!MaMc!+W6Do z*>+@CrOW?R40~L>Oy{jyeJ&42TmFAVfY*pRAN>E-`_^v|ARBGH(Uxm&y3Ot?;7dujgj?92HRHKg-YnmVP)RZ>GH~3Gqs12 ziS?)2eyHq4;ar(b0o;546I!)ElbTfWp;jePcj%${IA18f=Rk3(7^U}F0s%F^c(g$D zG++^qA+ZJs+3G-86Ik7e#|(&YKEN=`hJQ9iM6VT|alOM;JV%@ugTI{K93?N{Q#yHIjuz;b%9R5u}s7)&Q`hYlv z)^-o&J=+R06{GY%85&U{5}KS2zH$!`)%-wxPZ1VId_8nD3%!{X@z;PUZ6_@3C8_pYphfWRgYB)tn3d@dq` zHg2M%U4RilKpUqtMMJMG7TuzatJpE3VGDWmSVcpCfbr7-V*F^(`}5-r3H?abV;T)5 z03|5Uhn4Z8fxFscM8oElXi%%}x`5Dc8WtLTeS8@GpO+gh94{KaFXJD}+yHnn9Oh0m z@P)!LqJc-3Wo}TbzFz*{9S!;x?>M>P2lD#=b~JE(Ge$JL@-dEv7z!~oWcA20$7hNk zN@X<~!ir&wCt;UYn()F`t{Y|-3#fU9ETBS901$u#eb|2dnL=MH8z&kzeT<_4XQvCq z_|Y(CZupUms73?5i*b~u1W7cMd}TEJXRRW6mv4;R@QO+_RIe49fT;2XX#ZK)6#I?` z!jHxFe>)oJ#xbJd<^QLn;SKU`3=qzKjH5y7r=1{aGyY8R0&$N41TVprt4N(rv7wCX zhNj$5<%1vI|E%0_h0GiSgmYwgH8EWFBSP^*bvQf|&>&+OAVw0n{ByhgUD7^U}F z-lneuA^?efucaL|0fGBgIHEOgzutsB?o)b4^H(?%D;l;MINj@q@>3s$e=&x=) zuI8gAAbN`MYGZA@bT9@Gu$Dm3yRkSLl_X0(mysi4TV5+s0fGjbi`-YZVBcCnAIWu%S`%xs1&JkKzJru^|^&)kui*o-@ryO%c&+fki88gL4cZ zV09-tIIUjHf1oUU^1cZOfFfdouLNSo_7Y^WWJAM;zX=H40ME%(ZDWs@IU<&^pVZ1j<3@hQLWRn*Oa=%v^HqQ`A_CSb5F$;*HVla1 zVP8hX>O5RlAxB(#iau0~()$F6C=3aXV;}Tkdjh`h30AKS7QIc|n91^&>h|ljA;6tZ z98+XpV?+=MnaTM$ru`$i0Ylq44+22Y6=OsL26#^f2#_)WINB5qnW^C8JcHofjQV-* z)Q3v&#y*BboJWGJ0#Q8={_&FJ;nK`^L&pGNJ$d>}M*$~dmI#GSAV_+iO@hxwWYEUF z0aH3(9wW1Q9(rQm1ccimHjZRv{`%V(K)@P}aa!M+%d-Fq0i_8DwMont!RIn8dNzS- z0ogel4b2fzIvmH=diZA(5WUdDBBlVgF@S)r1VZ7Qe?d#OPq8%tK^-$j@RdN^eyfD4 zFf3zn~21RrwEG}VEQ%iai&jL-HALjqNigTZ304Vh=T4#naju# zaeD#8q7w$92OAbCxr2tnNex0susMR1tAXF>7o$V%F#x-dj7Aw5H>xi0JXd zv8pzH6Kss30c!~aAoPTFm)j*N113T$iDg7=TU=wDI^-IIXpGq*WL3|DUfqudf`!3P zq=7O95U|pO!Z6V3M1eqW01|s>lwqI%1Q%P)7hH6W7_xU&AoK-}nfGsqj$D)va?u}q zg~pOw>kiby_+|5Hy#lDj1}Ec zjfgC|2Lz|Ex)XV50EEu-Vv71EAmAzaTt)`&CcJ)o*`Z>T-X{@33Fl*P)X|?Y zG@3cFXaRkEN7}~#;&o!H-iHurDz+vdSVRR6dklj2!P7Z15FR(;7JfKi?ydFk&+($+ z)iP^q2^s?g{he^Ba6QAPvKHG#m8QL`L1@VST_4bz!AO90|HAmBWXtNVzC=0wS$ z-%#5Fl2QetCQ-tAle|Y!?oBy`lbnyb1cwJ+UwCnvfmog+6-MSvZ4{&QJ|~kXw~=Nb zs{&DzC}CZ;h=^ECVlqA66cG_Q!PgfNUxaEvY_}&cGy@S)Q0}eu@Xzsv23B1s?NuPM z?EXXvt2>b+LanCQ!qFxmh=h`_4~Q?B6GX&zd+0;OD80{!h!TJ}?r}9AjSs|_xA3b# z0D$by+psIo;9+0A@;v3O=0FDG^Wspcx-^*?V->l#hE$Ic5qrtV>eKIt2KG%6f%7FF zj>4-nlnWrx7ZfLTcaw>RYh>P4(mn3 z*f41t7H)?;kq7x#;l_O1elM{{V}RhMEj_KnJdHL*10a-qeL(2X3MhG4yhxlXM(KUd zt%&F;0*F<#>AxDr85XdXK*;#Kq7-dtM8wPzd?gSUeN#eJ0Afw1Bo(9dJ|jOsiJoWQ z;~0KKL^Q7-Xp2R}`#F+2#?bh^M$t@dG%b3+JN642EV%>?5F$rV0bNm&3m^!rT%6S1 zO$G#jU>gGjEQ6Ke&Lxh+xo{X-6legzdGK)zeYRh20>V7^j0S;r5e*!VQ^tz~yc%=u zfYJ&GVMksYG=UIIfFW0>IEOcS84!58nG(FQ51u%mdm!N1Ba8&uot?tSCJ;*J!x?yQ z*S{iC0AbNZfS?(Ka6b0da`fl;k-&g_gdmXklw*fR0`(;yrUSx-#c)`A$Z!b9tNVZe zdK_2x0YYFpY&1jVR%8>`Pi^sC+a5(L)WV*4OrXfiVUUum*}vJzudQ z_j;A)GBOZRN0N-=>OLX@`nb-QGMa$s@n8`-;#_TG00C?kTRRCe$t@WW|l-_560A=n)0`YmZw2ujb zhjRu&t@1?`1`dk^B7iOU*aO(@#Z0m2h?#;mQ38xOXAiABkK^(3!t2%gTs0X31pP&B zM}M823r^s;o%LwtImaFysieDzMBOO`5Zg@y2;@~Aubzj_@qoapFN_xru(}iBVCc$w zcX}kP1S0y7VZon7QThbvq?{?J3x{K0(m1Y`(Lj*;m(_*`V?+e3G@*>^bRAy_gh{jf z5XaSh2?WlUTkw{k1TFS4Q&bmGQE%N#7t~!>)GVoC{aZ0pltW`!eqfderSO$N9PbrG z#4adM3EtQT5LIXE&g(#`Mg)McMRXewJBo6)wrWIZN&OVrpMSn?*iRRTXczk?Afmn(5ooUhk+~cQZvh)l z1Q6pmT?bA8f!No)#)WG)Us&8D<)Z+ClQIg*|4QLgyL00Pz$h({X{ z64#lfySo&QM*&ef8OO96RRZ5?j_8FRUTvX`7rbkLSXdE16D_mt_oZN^35A~4xbEI} z(9lWHswE z&JivV)=?1=%3~iua2|QX4amSnjv!g?L=sYowy}?)Q3powunz!YA9YnAB3VYg0dcQ1 z4_Q@4vZN8LqktGEZy;m3$rAnHVCHiI zZfhDE3=05?;X!-K{r5WQXV8eCqTKv{)obluUES5Y?>Xll46(jb zyQ-`HwWeA%ban526mP5?7bv{ai4qzIAFK}xV-qEisAN>s+t<=*-jJl0_6t+Ifz8e( z!5eg$AM-QrRb0L87KdE#I2ZWshc!98VI9+`g4cP9H+YDK@UnSn%?NEfx|KNbNA+k3 zoZv%3axZaBIyNLC&f9+}+-QycvLN{77=U)ujc}0A1M>o_+9oK4P#7UAud-23pg9=F zagD*`89Cqynm2N=qFLge;6|WgHHO2CLGD(x;!C5;FoIfTFL32*o956(=N&uw^5hYf#N{CdRM||@natmWO zSk$|6t}JC!LTzqnT@Q`+*T?0fiM8tu|2s}z9 zOc@g3w$u}7GtCGX;;uJMGsu~I2CCGF?hOpX}fJL0|!BdBGb^e~3Vq?!>hfH=%vEk;GKD$jx8 zAR0MX(JXNzP_T7}!!F{m0wW-xG^kFFZUo1XVuYzruE0kFtp3D^CvXn9Oe5e07yw0U zo`9D`97=)J6M!7>1a4{xRy0d|nVc0U;|3|q;)?oXc}Knz2@KUB6{k3jJ?5slAc85F+z_O$bc1L0ik>Y z83pD9bA-Xo6Bt+CQ_7}<`9?4a7tUIq5hO%DPe94BT_p2?4;@m3hc)vu0VAk9ho+?c z^c|!tAJZ1q7%5(R-i;8SR(V~M;@i85_jeU1cmh9PKobH=ppf@2hM=qrX6Lw#W)2}V z_zgLq4KZb2zzDSPv}ry5>4vDIkm%crq!SGBJ!x)AWJ5@%tHHd0PomW!(~KZg&l}X7 z-redeL>vmM?+F-Tnezfe0t1ka?Ho%S#$>2$AfqsEax4wt*G=o@vt}3{4&(E}E^_J% zr_BpHNMme9Knb4{Jf*A*lwl~MzmK30g~yccG_(u%h@rZsjJe?`i8b*D^PVgr zej^{Ju_>6h&keCznP27(Au8>Pmt}?iv&(6QKr!A3pI``}W{2FHGGE>ZIme+P;9lbxQlpXQhvhu4 za07S>&6wvphUtbF`Z26bse5Nx8X__yKVpauG#bJy=FJaLQO|n{jhJ_uo=!Ie^TaYd z!WntGR)&BdijOJpGLcR<1l_R=LtOoahUUxV7$RDo{C|CqSf(M?(jzc*91c^51??Cb z^^Ft=X01Qg$976542_3L^UI1ogf3?{S~ZUlhuJMRYzbgDY#?V_>m?CaXiC~o-$=O; zJZ%xsbX%q8)?FNc< zOYN|(|lq=||D>^T&O_c47j3Ex!$1X|-3{k#B{uoKm zNh*weK430DDI#TMpp3eRbIfJWYI1p7Z8N3Uc^VIBy^IuNl-Fe$_0b!+9-b&SN~`ll zali=WM0v7^EXros&W!-!u}N_VNBiYWl%3A88AdSEO^6r(cF=qR_JVwtgNZAPs+rhgLA;MpWWp0{$WXk6}-+< z-$eQKY8k=lwf@b3888Cgu&G7ooGa=^@M=-0&J7qL;>gq9zEO}jQ3qiG1;=?B=LR=` zr;Z_|EM9xQ91>pMrVNK0s5Re4pJ0UB2!DmOMhV8ZTF;^z$RIhPEKZK#VXKg+`_Ub8 zJ{#gDUstU{Q__A;HALH@o112cv&ESkh6#oMYD(nZlq=_ZibW}##zCVa`nJ)ze7T@y4{wYj0iA`$NfZ&W(L5ZA|EiV&bTxwmlTNNXNZ;=KKPSbh|k z8yjo`hkviw}4W(4XzVi4$nby^s~19dU1&5&T)KVNZz5pFK2 ze`OM)M4THK|1~3s`jSU*rhLXv{f|d(n5{P?aB%*`>jXpmn>4?cG(sqpMmc&JgLoNf z4A$$}8yrVH#d5P_qHarmKN1>SZ(cZ0)bYm&L*f$}1KSFUK(4fV1jED2sQ2Jy zQa%yEQAhb^&6Wg)#5!9lnv(X@heR~MR8N4)wEY5IBgv*10ixEEjS^A_Bd}K(n-}7q zA}*B|9S+6D2)8^zloXnh_H*rwfMyds0nwrnP)OIghe^HHTNRofFLBg^y>9q7aF>q! z8#wS=-xHoDE?0pO70r_92^6|9W`H@F>B9l;%P@lYC$(H6B1_E^d^kAH zu^Tp!o3>W~=LXn1bAqH>rV;Y)(Uq(j0R=FCo{-k z?v6L`<`KcMo`Uh7_msMr_iHy&tc%_dpG#P0s42N6Y4!tgA233B68#Rr@dlbSQ+ibF>kQ8#v?mqi6I(-azB!9F-Sz*L2nvCx;8A9y zPCz+&6vc>MMjFEqj(W;BY#=v(2N{+2yr&I?`e!*L`bdoMWU2B@nRbE^-Xi!9jS5Pr zRi5OmC@bc9Pr)gq{AnMsRY=rr$r*zy?rEkn;bWRNyisC-oL~t4f9K829ZN&t5vFuU zv>_Y-%9rz$%88f8D6bt?dV?>Q))|74dy>3i52fQq$Zbv#>|$$%5)6sx5tLz!d1Vlz zE%+xqK_3>@ulHOx^-UIv#@I#GuWH`VPA*9FCVkBR)zI9Ae`0zIMOdN)3KKP7Z1G_W zG@f(0S}QO?j(wj3toODXg0d;0W`wpaMvw*zFI61(hFKfn1osA!NL-UrR)!%Q7|QLw zD&JjRbzeuu2t*7p(Fni^D4`ByBc9({aTm{(;R757ia4q%za;#-w;PW9(l~ez?h$@H zFP-KI7mCb76ek+t3)&OI7$p=^{a%<#|U)o|5Kk%G=d9r^)teO zQtfxh2yalw&^NVsclBAVt}H)VbY3Ss$E!@hCn!GA%21gfKjY+!c5?De_bBD}SAHz; z>o3fYyC{}C$F6xcuBl-&P6U7b62#^Q8;zT4YWeAJ@*XUyXo256w*-?QPE*2XYO_$x zR}khmKSPz;C8COm3&?EAmz!+(OdjuSeEDL}msC}R6t9DS#$x56 zdE9R|FP*x|G#@US=dN2_Id{Ku^U}YpGR?b*=9gEQ=H8-t)+*C{kZ3+?mHX&}>Z1cz zndT{?skt?KUzqDwCc`?2{dVn zm*X^VDw>D%|fpKR&6A;rsanwN^+SNd|UXbxd-_ZNMdY&oM~TcrCtMDuZd znk$m?KScAd`ZU=RcSDMoE+uvfmoY2g?~#o`My$jwNeClI8z>GQL2`Q& z!U$4TG5j7Gtuf30SFsYesUeK?Cik4vkalk3;1Qa|Dl>xQ_Sp$49YJ!F9l{7wRWX3P zNV6ilE6N|<)RWw*%UzWj0g7ORNGzL+n~xOoUOfTH@ty)nF^W}^6lWoDmzdE?f}|Nt z86!kuS+5n1bf|XJx=xh6=Sn`6Y~Fl8&dokR5>-HqVSwhI!^od^<#d{#>C=2nWYF~c zwbe%|P1KmW=&g-r4A9&waMv|~L`!tE638zM)AWaCxoN}wK9gyFYBJ3SPNsSKWSaX= zrg_R_nsS9CQxKyk57_gAlWFSROz08k(EO*#H2-We&3~9o^RUS@Pnt~g$jLO{GMOgc zEK?GrJ&)@9d_;n_P#nR7svzaIZOH$ZF(Wl$rnWri&W+qRBUk#6?h#a1B6p50TbcvN z#fWUiW<^dhFUNaChB@R(k!B-jM21=w#{eoKt(xSujWak9($3ouU?u)%#=>W~oYVFu-67rvg+@p^i@VZ@v{7fHtMc7*+9nCb3h4fR#bXQ^ z?t7Y?f#%=zX&xxuAJMn`Bm2k?3%Oq(*;OcObefP4nuNTikT>gFY1b}MT_wF`w)A78 z88kXqJE5RBNNC=s-$%s>56JUnr4JeRKtxCEF@SuExa$SBM0v3Mn?qCnff$8AI4EjV`1-*R~DK&%2|4W(r(SdV)q*4%Y&6dZ@#pT{ANS1q*`>rf444AkVmSqX&T1D>r_qkVe-@Qw7g!{%W67Ii{M*3<0 zlKX}(caWQew0k76n1v5_`v@fWTz;=T!!_?Zhf8JCeVX|=QM{gyCfTPer%JcLo@Z;O zxrbV))fwkE9eBQEe0G1H|76g}IFj(s%9VtWJ}#uL6Jv$rG__8+RnI&?UJ5QU~!%H<^Ugwrr-66I41tQ?{ESt(xS4{eHi`IA7Fg6iDWO z>l?3y(pSZo9%IPM8e?B3dfFVspsRGQbYE{s*?>UO1!mo~eVoaeam~kK$Ttm>ei&~_ z+o(j15h59QPe9Xjx{e~qFwYn7T_ESLhPe;Od112>W}48oFo4AJHrG3fbO4D2sv*WO zfMlM(Z+~wyfc&B?@7%4LM9WmuVGSb{W!)Va*b7)9wJl3R5My{-AF26gVs9dWh!JBL z;C|D9486&}cAm6 zBz;Yzp=o8Y27K$-EtB(|c#Hs)+=+2L!hXCs5!`%7B@B+Em&`Y71Y?owS5w3p7 zA4>NJ^zF$H!-jx8PYd?!R)wogndo2V|tlB#KNGguI-@Uv0Pt_)#>60ohtmmUi9@nL*(k4|` ztdzU$lcVnU>~jZogGK^3LD&lLBIn>jh|y$juu^R9t= zP+{On=pUKhpiDLrbz8J2G!Ivl+a{19@C!rX5+v^dsvTX4KNJ4{?~;nBB+4;LB(chU zZ2OcH9db*KRg^2{p0Q0SMdQ_^T`ML9FM<(j$Xnze)3X4}vA_Yb_^@5k%; zcs-xb*Yojyyx*tnA7<|enum8@+;+5Q*EeVCzJ|W=Uv5#?Un!{^5*J&h$p7bcMrUF; z<8kcaz6{I})_<1s^W90}1DWy{vcgBR_}>_QnY(8DpRBrEJ73e>*^?EJ+nGLI-5_u9iISt=Q9r3)u2 zHG4k;%$=L2Jv41j*dCg*&D1wdd<@BerfIYQ!Vxr zj3xx-U(HnD_!H}kbGKD(6)FVueud#|p}VUcW-@bpPF^bu%ZKDTnaOw2D{umof3v_=u#<@!DbdN-4+y_X-$35h&gRw zekb7hiM^C7-9)TLiOG1lnk=0!C>!{ruwV98vjd!2CVW1IdW5v^n0w)G? zoQfX&eD%K9(tFw>X7#>p?d6+SJk&s#b}a{(OuQDse+vE2fp5otc@pgB@wMJavRWAs z6qGMu_|Ymm#Wj(EJnDE32ZkxSnsQ(fWG9G!_pP8gi6)plUfTN7>&J)ihV$c2JhLI&}bS&$yec`ubX37b>kpbJl&m0HY_Ii6O5jy!)qN>a z=bv1#-lnW3w}@L(J)eyx0p-Y4iS8f`%us6)avVE; zle5`zB``OXrbrW&_ybFNJ5b`ymZK*@qe=?QYd#l-?k9YA^QS#~Til!y-UKV5x) zhFF-u)QXhC83}@L2OS#G67PRrkruJfe3DkFml}kn>v;yuxbmwleNWb+Ug$YA6yakc z1TFN>mf^!DCg>jpdPNq$22(|QgGmF%lr@77#*-^HKuTJV$bn6xrOIeE+Tt_;jrSBk z>$6m}Zd<4b9{)`^XM2Xu^2>s_E|#u6srLMrMZcdFg`5qY=?+_pqE_vWTeYiA70CsB zO{$;e$QqIt@qITjI=thc;QZt+l`FJo7#Wyv%vt<_)U)P0nXIpnMh)zuPbrO(9UNLE zzN;|*c&rnRB1A0y)rQpmtRN}7kCe}i(eW~oo&%c_gpgJSYjDM3lKTZ|Uhp7_$%w_* zS9_Y^oBDSV{xzAI{I2b)tv*iJFq?JpTU*O4Ag@eQ++d-egg>(IH+K|b_4I461%YBN z-_0F0VOUqDB1HFSs|e}+X(v=v-&xlV8t3Tw5Z-pV)uLYa06ht3#pAK@o{BReuZPclNyJ!+2sa&4oCGKHar^P_Q3BszWIJeK#_<;QTe!Yq%$h z314*mrg{$WFMnS(<0`#*@-SfoUGdcxViolR&qwCcfTP1c#L4_RL~dlOK;~g3%pB}g zuV|9n|+EzgOAzB}(jgPU0f^(DW@;y)X5x-$N&fgMz@mmw=A^z++fD@#gY7j2l8L^d*^i^3gTdy3t|;qHXUOgF*0t#!Hr zWWAMelroAsELbDSH)ZX2?RTBi4y&LxidEcO5KUOi^(R>3`Ojs!R=Ja0p>CXf!bc*~AZkfn-lHFSg!tC{;K&8^|YG$DplmqN9wy+2RIrMZy;~ zV7);8WIzd$h(^{=xwjW`kAV{_!g*IcLOlm_g{`*J9b-FQdc6m7r3T{0`|^n6IMs>~ zD8vsW)xmH?;4}L2REb4JMs0ffojLEmTE`Hxs@5in9t=-w9 z^9hruMWdldmR@$yWLLtT`ixyze9?-r{8k)Ey)?Ki!70g^nCg*ya&Ub&9y0*Fc|9~=h;WXZ2gXvDW-%i=ME93LqUK=s2oufYC_m?5V)Z27$F@L98C#{So3TTiYHe?eC+n$Nm!A?+e< zNV@l2?v3yF8AnUBm8Udhva!aE&)vLV>KBTm3HGY*<_3ggto?J+(LcQ3h=hCEeC>ES+__3 zT6aIpV7w{NsiQo*OiR@lRMj%anq-BqqmPTPb>l{9+w&AF{F+xhCL^mCV>6lk;1j)H z5@SCOvfV?xO_uH{vLHS&j}|r^gsm|u=1+QATSF^Bx zvKciLVu)HyBFU|4Csy(R3@W{RBf+%(6p%Lr9X-a0&hk5#(-}VYneyjJcm#=})W0)E z(EU;tWa7Ohymf=(GOFp?zti*WBDYQt+6$%t-zG6m1RzbNVpB`-IBJw3h+2-iP}>2LLoeO6boixItj4}=HEkXaT!_@x7N7li^zB*ScnT9S&Toz-aSLl zNah60E>UH!<8co|b(2^FYl`;fQfqXLJr=J-uqH+7e zD7OR}kRF3_U)vU<;vh(4zD_B%Na4%6oIUXHgQa>Wh-RLO0d4c`$%)wj5zC?DD`{Pl zi%0!5ikC~xIP#0z-+Z#AE+!&__i%HjIc);tt?y6bbbQ}>Je|?k0-X|kF-5xJzsi%{ zWjiFHL$9A?tG?Sav}$+J)o#>6mj8Nzhr2wq@QD~gjw6|J{%{>r(5mjGZJVq;)X#_c z8&w+p9>ZC(o_YsYQ(NK5sxcv&os(oP#!z`?^TK1s0huBTNHf-xIEv(7y1QDwupAf! zPS9ktZ7+u>17jNhEENLli+OXnOUv{GRh>7`0u1)I?%d{aw?i}DP%EfpT#0IbOy9CG zneL`PCRlwyhVyd*Uh8VK&WFEpNF@F|K1)j`NYNMoY3kdxLwCt`jkUd%Yh&qEle_^w zJ-cL%J8&my-&45~Xs3B%Pj{ zdWdpjp`8|Fk)TvhSx|N+FR6Rpiy->?r!n^bWJ~`=Bps!!Fq^`g#5FO#H7+xo{7i?|T4}&hj3dvSiAF>z(lgB5r)x8!aZsh$F z-g;X2?A#+nVl>*hmwQ)fZe@$I(foa-_@tUYw{!>_v33~1C#e`^&4%jYfwd6cK6k9^ z(L&!m)e|D+8}dU{wxLTNizP!O*@KiBLt|JVGEk_4Ff(u+C7C}1aJLgF6>E&ZG#rLP z5O#)&_O!gW=rWykJ>Rmz`^GXI2&rZiJ;?o^f{a#6d1VUCgHD)X_VCR>Rq5x-hTm*n zIZ6q9pL1(TN$DkEx^nu`7Fj_?Tt{+uBfDk6_rgrOv-#V7)y8+$iY#mAB}J;;u(Y^t zSr5INu4ZB?X6)1w_Jy25U=&p}j>xPY(EHQh^N)wy1#GlrV~U)YYvnTe`a-pTQKY4} zPwo55wN9h$5K93j>pilCPaMZM5qGDyLI+m@P!L-weqZy?vl6i%b5A(ZyD`kyos>FG zxhmK{_7F%U0&r_e%Rfj`_$M8%%d+$fEI6T!4Aod)iQV<@O1gT?0LqP1-@_KLN6|=N z>C8-6vMSPz3nYBw!Y({!8zb4k2Qzk`Amj=a7?2L3uT^_s?)FH6&8ZiS6&B7a78D_2j!nlQPU7Ewa z9*iE@fSFvjZIM(O@A1!eww^#4Ydq_^A%*^htSMb|R08}~GQ;FAV}C0ZsQ+gBas~Ov zC|kU&eOvrtgS6i@pFw%g$96(D(WH5xZ}O3uLvh)KyJ`F7vBsypv}5k_W2#X68JFL)c5 z?YYU7OUP2hc(qx!*;*KmoK6qHG^BCsZe!VbRNk8&8>Q0>ZwscnD8! z!2yV5fK|XC4#s8?C|T5+&1(b z6tn}R8(M^U+$Kbwv)4Arc=^%&CoCk8 zicNoo9P5ykIS28>q{7>J1^alj5UHPG%Au{8RC){D<5hXv9=N$xoZe(LZA%Q(HAg!i zn5vXum!3ikE297GQttVoY8o$>{<%e5ZL z39-W@M7&^H?Y`$!5BZHP=pVno zD+#$l89t0c`*Y)%%yNE7c~-_d6tIdQ(+bk6AJX&az8KG4x%%c9xvji^YcSAd)kTIt zn8M6yP?^5TU;QgA)r>Uwht0f!Vd1TJNYyK^P_~G^W1v+@*b%?4=>IC+tT(hbDR(%x zQ3TO(FoGfCs|H_Ay}sU$O_{HZttVo_9v~%K>R6GyX{-f|BS>%|>Op6{#rSLKFoh&c z5zge-&6$Ro*O+?{ngiPr(i84+L)Pv6jHKcCTr;(lh_9q>SqX16f8{%2-qqMpTC8*= zk1Fz87wkAqW_($|PW7k$HW~|zu7&rBz+0hry8w*!0ABNGz;Fbz>o3z^J&b$6UL?W1 zZvAO`3|1nsP;!D$GO=(qL+F&lQ1}OeLgUK0=Of}q%oXOm5_toQRoTRG8ohc!Fp_!_ zL)OxOx{c03Ro^`8OCYvw}z1e54NDcypuaF|3MW@PK83_<8c4Dsm>cV+C6g;bY-+!L3QxWnT&18IZ}Fqm$FEV}jdITN%ilGUbsLgqFH zIK#b@j~k6Vdpw`!zNye)Nn4RSnme^Ajv1Qm7u{Q*a5mXb&0siZrZ@c%dj?ykvf}>=rQksZZ<%V zd=**;eeD?BysyO+cI+aA7#;TC62X;Proz1O!qDbizDtitW&HNvxuNM)`wafa&YRSWMOcn5I{ z+VwU0&(>TP*zoY>_X9QVcg<7SZ0LE_#LaZkou+B7A_BkdA>CsiDb8agcVMB({>!Z= zl=pj@*3oqWk7OZ5=_%;OL62C{=-<||)HJg3nIPU*?)HG9U|lny9Wp|8xG5cSaZRbL zjU6M%)dTsY6gP>J%cT}2O0aRYMoKhG;p0M>+TUQkBDfK6oII-aD?`r-B+h5FYC^ji zfV)w0q+O4P^j?l%6sa5;x(KYI)}(q{2i7O6LwpoCpxYJ@c|Sh7)DIKamfbWx!mA#g zjeT{^Cl{*Jorly)2KIa|?Ag*CvfO-2O3&FCIvT$WTwy><7r1~eyO}Pagg+hYUl`oFI$kNRu2~p=QM$^Tl}S{pm5M1iZMF%0gs2y4_Jx}j zZGrb>T5yVusJTrF<3#1mQzD+xB&!Mu!q)olFD8k<5H{<~O`-(FnO}C-9pV?-IVY$P zgrcF!@l~_vC#ptl53h3K}EoN2oz?5F;@2WzX)YbX9}w40{5K! zGczs?Y09Mr3ieEvnv(r4{Ago@k#OtS5}L2tpUO2Lx@Xj!Avy$VAaQ7S3{jCoxl`~f z?8V#S&k9_|^T&V^?DUG`2s5PAz2#H-YrOc0Os_ziXviA@7OE7%1~*uf%M`D-siwDMGNa{n`e8x9-`5P%8D$oYfov(( zIa!rE1}&SJk=Uw>ofv8<2ZaVRF{O8l>HCUf8T|{eG+(I0T2j0-;}g+QG5vFd%h%T9cG#n)!+~@ZBZ?{ zrFKL;j&djW55SN#YR@6+2tLssT@FHSfOEMPKL%HKR-|6wFBN5bUeqev%3I1>rc56^ z3y(=47uFRRvEFY9JJBWzfn7Vwnbh7NG132|=@00)=2Sowx;}(?{gV+S^+IOw-rG-e zypj;2RY`Ex1^#!{pNbW6$s3c-?{WLfolV8XZEMZw(s}H3)|3_~e020ppPO}U!Tkc5{bb8C zbPr}i4=j1Xl7-WWcx!U56*2TvqF9q6NHB#rgP#k7HGTfqN(_TuHt6)9C{TJ;KCNI1 zuJ3-0B605^3wG|aZCuyJ@WuyEU#P>3U|VSm0s8OQRxmNy8&>39Kekt3TI$?VObbu= ztN9yi$puX%qoZV-ink?(M%hf6KNZ~EzHQq!u{e7BQzuXB!}f=XrGfA3L|C^6d60yv zJCOK}#x!tU9!ee22>phcbWzKVTViD-k zSo%(t+_P44$(ZIAscZf|lpmi%1KH%`1!)NtUxKe$&LkEq$Lsn&^kvTMc(-m++hEWT zxTj6x{<{CkeMDxJ3X*%O{i}pPvfC=$dUtzpJ+}wg1N-hK8NYVH&hyf!fdQe{f%r#Bh0kKj^^y zDNmT?w$pmwlP%sAF1_)pC#D`1T&VFa%s+oTVne@d`uu~6m=TP&({u*dz~r^~hf}T6 zRNnieT~o75;dlMi5^5{ASxT*Kgq=I;6>pq=CGKSQHfH^2p<9ccRsM9`;ZB=QI1U!I z`|Pg^$?YdNv3d1l#;Kg>{7W{;YMc^!&^_O&b-&kf&3Z(0%XWtThCD#`bqdiyQy$tbtxPa7Ki&5q=ykU7BrH_`tAmKB!p diff --git a/CPLD/AGM-src/db/RAM4GS.cmp.hdb b/CPLD/AGM-src/db/RAM4GS.cmp.hdb deleted file mode 100755 index 27f7c437b388876f0498f346a279b964a82cdee9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17646 zcmZU41yCJL@FyBvgS!L^?(S|OxVyW%yK8VKxVu{d4-M|_?hk#y1CH;1S9NuDwe{=n z?cJW)?&+DS+L^(CfPkn)g8ZbepO@Gt*D!Z+wRUtMV`XPzVPYi{bGNoLBV%J{BV*xW zW@Te$V_{_{Q#E(-ur@U(`)O=yOU6p3Do3ViZbBw$X6^QA$-n(yaS#xqLjS`ckkJ1( zzmoXh+zax;A0d}SGV48Kw5o6;qvDSfVs#krFZ|8${0W7DQ3Yy0c3B=X(*y*V6odox zo|Kvj`uvKMx{>^kP4~qIoMj|f2^hDH-)TqaWT?ua^Pc-Bs0njutXd zhHqv4RX*q5i9Y8iXJ@7!mus!(7(|?5G zFWKwywp!b`n2(%IhKz$)(5_@aEYXsvLO7SY>-BAD0J)%aVkI%^d_mdLMTtLOED(4Y zrf@Ee8Vj>5{`BZ3apUR?9%3-Myaz?|NR-S6ETzujg=*+-iJoUL^7@Xg#83f*hB|&# z8V=sicumzqlnIb5&!oZk!(8G9tt1kR>~H;AUJK6~ z-DW&%5&^!pn{M5W{#4BNwbtb3A<0_*fMb{p-ldK)MA7jZ zX!=VEI&Q6dJ#a0FmK1pz26{rAVGR$ zsAc08BxnTrx9y4B2uq&#ohR$_pO{`T+%~;_h`dH;cgi}1Pe9*Kx}m*fN>jHsrrxaY z4SyRtu{blG-~2sv*;Nh-uBC4~2_WZ)t{#V>Y#e4|bc>EXBq*LIV7897=b37&#?KLBrh3w+x>+EVqUeE0uog#yZVbgl$Sl$~q)&X7x^C z!RO6r=oV$KaufqwZz>y$oM)*+N})L)WBpfV!i7=ywoPmP8)Hvx$6;_foJS%Y56HjYnlYoBOGd@Cs6!<;h%QWnBb5*@0gV6d78?En3&yoYl zfzMte#UBJIfrlb;*%>3!NBCEN59!U>r!V7Nomnz#<9VzDIKR~EUXmr?%}jF1gd`$& z+z-xm7g=xDQz~QWOGtkM_`y*->f;PvuvOd5z7RCdXQnLFTOFSUd*D1*ojI*LlKeFz z^u1PsbXzBoUtRwf+c&l*B-D=eFL|5peb;vOduHF)W7ChuY0b1d&i*PF^TR#_u40_?fmap~c{^#J>c*QxI#N{kowkMqYS!m7SqZ!N3{7Vmv~Bi#X*>jjg} zwA|+0EsJ&TX_B5-T?-n+uEQuJDT$Tf=P&|2zayXN6Vven^c?hclPST}pKV_Od0e`f zC9MqeM$6@w3~i^2(k}IX9FXcFRs1FUF7&p*TbO6x|AHjXe?bA1A6GHU-U}C)TN$$x zTV2M?vL0iP_L)pKt`vYW;%fX~3uI)QpsCYy$0RmtiFupiqy1f#el~M3EF@&x38&3TRQU~g2( z)2u}IQ5Ob;45h7K$nvu9Kh8=Qb>qP+h|uwe%QCy7_}aF35&%QGL|>^a(mR8AX}f3&zcS2! zMf9tEEc9_}+%&%xn2gJVhub~IK z0hP|#=~re$_V>mN%LRtC6~}{5R{GCdNDv1`{uTd?;rWN_XU$rZ&E!naEoU&_Wm~fp z!nTcG_tTjdr)A7m+XWsA?9|qt|8re{0?B+nu<_HiISh*Tq|iekSow&Cs@Q@qiIRxF z^Y&oHx45yOlS4v5nKCi+SLLUm*q-b1Oz{khRmLDmO%Z;Z2mmGy_eDHhm-q?Ix{{dW z_)U4G`qU;HW`9h8r=DSPW#CJnd0RWG0)L(7NCk|2L=@Z}6FwihR05xnKO2W#!v?r##gyim(EEL2>J|CeNO)Sqil0T%XvprmFCNxu?BvxdRI7eJ{I= zisrq1e>S}78;fH2XSv>R$;5hldHL#}dg*qfN-2%9&T=LDWwZc3va#UquKn_G_lCR(4(?s>AWq+ zSE&Ryg+~}v>mw7lW*@KxtWBf4%1tFvydzR2P-!wf0hQXptd$>A`rBUlj*in0#Z z=LCjI3ms>Ee+Plj)30r}K@*opPY=^x?^AdEnC>y>_TMw!4}Rjo+0LghhziC2fR6GK zO%~lpYIqq4$oDt#_kt)y|1Gwa>vjfhiDq726QXTI%L(K((2AjyrqFrvW$064RE z1XPied?&bcOd7k743_FPm{?R});Tzp6ju0B1jrQy`n``osOq*Y*&H7(zt}J!yl27~ zf<6%Fm+jWP@ZU2Au)-$jevqDy;*!IOj}EJA)*1Gve1sZ5L@3%a4P}8un!kxBhsj_L zcyhpN)@%!OHjVbUTpqRJA*R3UrTjH-)gL~y*KOF=B*36TQ1X;pX)#xff_RxR9+1p< zu7RjW(DT9BZ1DHn-C1dh{!WN_wN}aX*ciSq{&4t)BpvYr2X`*MzyDA)gz9Y{O=eAy zkPu#7#x%L%sbc@b&-a9pmX8=Nb{vlBt4E=T*f#{m%zgf^ahFdZqB~-vNdv!e7_n>| z$T6*H;7El3^38f21q$?#E#9$VtdRp@x^4C>8>RghR}CGG{I9<7GOcU;PHNF8^r6=j za`K<00dW`6ju+>{Ti4c|%)TeZ$S8g)F(~J$c=v+JVm#LlIg1rCaTu%%pw_gnr=t_w zp#!5rY-YPQ$|KxIzEhRMF-dfgjOaQ1()9RhdSsL!c;(+7vT1K2b6xG&Md7G`24Yah-%<>W^R1}Y%ABs|AJSGisNwU(9_F|zk;$YvWs?4nK! zdY;G)m!X4Yi#&R4%n^V`bjrpaJ`E>e3(ee9&g$i9dQ&&NlNbM&pCGiIfA>D6g@$d2FBb}l$W8g7M7qTS}U{qTG>gP-`1cYmV&6V32zo0@X2#*5m@{4)x< zZ~3LA_rG9>jxIiN{)w|soPOd2=Hjt=$laKdE%|~ZF*R3rrBJy_$x^b#7XUy*7_J>f zLfaVEy43kVr%H()s{QpBo#FLl=5SrZ-l?vp#f)i~%-hT6ZAo-PZ7d&-d^C(UTGdnq zwj=p>&fkQxemE&O%>^XpatvZ%r08<<);0!HN1&gpW)$|uHzF-~Lhu*Yh=Ma>VjY2& zZ-9dZLP7F@)uf(EqL4!z`t?$wP8<7~O$x+g`vde{I~>m~#;qNXd3($sNX-6X7y0ChWQ? zLO_EAc*@tEDiqBcA7J`c5{B$EG~qI;Vy#ZEF&p6JK;vR1ozr+SbprEY>|Z2T{TgOT z2m>_n{VEI#Loax`WG!=~TtFnaTf4~uPs5Q~e4IOy+gCAt9VaU?i`aBriL?|AY%_FjEW zsAFupgecNl{_VvLwt>qkCpzeO^Zn)Mrk7v zy%tVkx593D?|N7cYVGLbI(RbuWxTmUYWF;@!oA$o#>iQ6R=wji%{_`p)9cHMp>dtm?_GAUMe~ z=@?-x%C68m$?~Ai20TT#rX0>C@_v{K9z7IilISF2qg&%e<%C-{T$RM&bkfd3Qf7)& z2p*}F_7t6>K7xd++VSSZr8r_M0Ho~H;BW$G}u9qj`1L1k{Rak ztC~_5PomQk%#ID~WAU?zb0sLjz*K2*#liZvk9C;~^Utd0&rhW%K2;u*_8o;)T7l8i z3o;;QrE~EnQU2t&86ttPAbg_ROMMZ~dYFVeXd>&#bK^f!hpw2JK;35x~7Nk{ZWGk`ogqU@LxWSm#bf$D+5~p(9W_N{q$72 z;G#WrRSa|A_;W8y4F_i)VIKU~Oqm+35;qIF`tUba^*p>9?o3TX{3_|s_4=$_N0am@ zx;72Xl4eizfL32#MlJ>;#-?}vUd5Hdb+X?nIcD;nVv%?~Duc*gRsEzh1-qa`5jn(@ zVp9YWnk8{9RCOXfo?i5y;O$jHd%KoPR-+4Zq5*J5j^9#1%66y$%U8mF+Nw}Q26@ft($y*~xO$APMsVQNI0UdIX6 zmx;_$L?XEYJc&oO`>N3wiXv>CD#HnR8L;Mz=*j+} z*yNLPC@E#1R8Dn)Sxs#n2w}m#Xz>~0jf*W<{Q1PRsc>HjQ^tyR|2q;c{tRXtuE+J~ z$hJicXNmiD4PHqXB@H5rt=r$uuK&J-uwTIT_Q;f?qIDzId6_R_q`&2RXVHNHlkJxu zn=@=Oz{elem9oSv>K?quSs>U$E$Q!q75r4(>U-Uy-`=Yk3cQys2$Oic{CwGuNe9MhZaQ3Y~35VSP zq>PNa>~D;_uKD?`eW7G*KlUcs-O5sg@r!;o+kuT8K}PGa%s%B{jLjl9Ja+;x4CV*b7oF{bLY-s#6tzkXKLP`vo*!4Q-Z zoGSDNwp>;VXV{1WbsE@dJMQ&Gh@@7i6)pZ)x%84!_ja@_QE@%5>A6*Vbw*i0N@ul! z);@a5~SQA?7DDZ^+r318|riv=LJD8Dq=_ zGuHYxIz4x%)upZG57%-P_*X~~>;We(6%t?FKCtgt$hrR>lA3VAz3|uP3j)LfX%TW?P?rELLE5d8NUU~wfPmjOQYo$U8#>B8%_tHsq-U@Mm&Fk7of4MtF zCZh4F_Bz(qM`jo+T!GtFO);63zkjLedjo}a-NR2#VjILQSd`_vJ9HGq0M#X{O~z%1 zze%$KVd;nO#N4b-tt>rXC8T{{A-ERh$SJ}<*0BqsH~+e7&ys?->wAV3!~j`+%H58U z1(n6L-Cvtdx4%hbP|j9d;Pkl*iMB`Uyct=%LXOb)KdTTm3*t5ReE+oAY|pxV({$;9f1TXJMA?+4Wq{caaabc~%q_b$)VsW|O{sSb(}R8k_e` zqDOYF26gwfYmjt0nCXQK0i|{Sbm#C{!K+?M?7np`i};l>unio&i!EsI@|T;%aCb}d zRA*;3)3w7pH7tsh!>ye=X4}aI9?9K-oKOYhP96lpT&bdv%JDCq64F1h6viS% z{<8AACGrV#_-|^`B}`d1$ztl}0i_Qxw-z%BSTRwupCoTTCIC`|K!jhiIWA~P)7pxRlr-oT|PU%%W>;%Ww$O^?{e)&x~6)=EvSQfMa zpt`j73ZO&AN^%_y*2&ft;nP(S`QcV~@P+YGt5(fltQlt$!cf*YAYyVgeSUw+E{v8g zUV>piW`YlI+{h?}_O3%dD(w%ung(eRTY^j0M$fN1chvNp9@qJLjq;;Gc78gYyw6m7~x<$u55>T<+MtH1+zILKPYq&%z!$# zdeN^e;24&ROZMT=vhxk`0}&`pN&OfM^F+on4H4X8NbyFt*BLEf7EgQ)XU{wL5e5;rN^N?0HN-ln@gw?^36X z0JO*tRy5J!UYS5#uBJRvk$2RYMCljWl4~geIG||MF}Z^@zS6KjW0093PbU}(&U2wZ zK9f5ss#IuLpNB+h)ffGa8u8TW*I(xllNW@Bj5{>oh3P9(=Krz#w5ZYuI2l61|ru4_(bKEIZ1dvM{;Q|q4dcYWW!Kd)% zCD(1BvF4HwA-zKmRpuKOi6ibtfclV!5hZIb?QNXxWEA)fs_J*@E1)-Q^PQT5K|l^0ju z=`_VG)PaJvH%1;G_qKHu2%>9X~U^OBPihX6dENBFND=4%d z^k8ACVN~*|PO&F3G9BaT2MEG==%0KDaH%kY#t}6x1vbC|f+zy8eNBzoYOJyO-F8AhaPJIqHOF! z%W15S@OiW^0FA_Mx7*d@PtdyoZWIQ{e%SZiVwKgDjK%Cpz9g>v6LHko&DadVdTtvIapIaLtbW zMl(v9f3T{t;0}dq&213pT#y=zpHRTb^9}_!SP+a;?s2(CAsLxb6vqYJQA8Ry?IN|7 zsQr2KCw??`+8)@x!ws}l?}2jBnu-O6AX+~1up}J^LV0;Wj5z-d6ky1~xo|8e|4;{* ztZzown2LZzQ;wt{{#}0+oCv!1lK@Mvw!HO5eWvO(Iwjj~IOt86NMPS}@QTz)fbj4m z8iWPN&G0Ik=8eq3%!Q-VK}Fmv`$HLpCdzQnpKO?WFgDmY(NqvJ{Jc9an@DKwxyH>zjU5{a~pNgmC2mKCOjQZhh!z51cc#sr#IpH*SMBdTNhE-4=(^u8Vm{O;d`Y4^oW4r z0D&Q(YxH_C@N(2L`4OL;;GVE_4lqZ zm+m5Y%(VEla7%l8R$JggH(mKCV(=KlaHA6%ECAf(t6A{4InTKe^`TFq{j$5GjrMep zE=lghGyrL+4ccxj)M#qXB|MIrl%;<0{S^~P;j{g1+G|RgJ}c>8C1=hJvpsjcj&Q5{ zzaB>0Wg^GAVI}CG$S|&NOCFvzm-@|Z^`82^uV+(_S7Uu!kB@zQTVtH(KHdDdH>p-o z!g@m@Xe!Ygs{$lX@J9sK*7fa>ja9|IP2Js=0hZFz$7Zixi?-D3xC|#ci|g~O{mt#= z?P%y zZleS8`=jp)D+4CJtQ(rzG2P*Y5LshmiVeL8AZF-1ZF(Y@*<@w}0B=85dPer)Ma;A6#dXl=;Or7{nQ2QD8etP5$uF`deG|P3@y5`u$>9@0?G; zlh0iJ68EX|qhSp*L)mq8sv}^U>0zWMz@x7tpk3R%>tdpP(M;B|ucwB)WMf&gYP_sg$I=KtL!?>lMeeHcy+>}%MGR~j z^6_(qbwHQeSp0FHHx@3C1|jW^!o2H9q2L<)<`tNY{;4_h37^w9@1bVSP|w5NZ?e>R zHsKFc%Xaj8zhAD`i|lL&@?$bEe0H;|vKgsj%cyNB)$aRnsc9}(?f=eSJuHcBsJH;pm;r*!(kQTsk2GV*^bU5_uU2Y#0bE^u1+I;5p-!d` z9ZwFM51&U5KWDc#54C%~c2yOqr>VF4VjDJ_17GH^&a0X%9;}5JRG+B|h%+r09u@^% zo!+C3l+~E_v#g&ohV0GSOVur&+cOmeQgc(NL6d~Hjdpf z^;HVj>saf(t4&@tM8=!)6$H}Gs}+lu&Z~@DlbYa`#PHZ)M-1Gn37%(5{rw#Hv5 z-P)0|zi$ZpWqjIe*0NEdZ$Y`OxoUdEy@C&}r|NfrUo&@oB4-(6qabdYm-dgmI>t7m@y#ogm}*EVBa$k zXdtBjadiovzuflqeH*+ywo}d*9(cao-yXRpED(CH@#}iLtA^0rQ{ z|9CzV-n^aYI|A=F3;%L-{8&9IsPij$t99w@+si1Z8XkGA*&kdM^7VbTdqOJ+w9x%< zJG^#y^?xbX{j3fIVt)lqrrd)5jZa(K1Om$Y)tT-J-oV3e4PHudh7kdu{pXD3ESA(&Vl&M|aHJ-0lv=2mI*yK`LaCYxzMz(ntt}7J+C>_G?KbcITkYmeDb8RNV z{a1r-(_*J-bM1w0xHp2!AQYX(idZZmcF8a!q+ZDQ<#HZ&jbcVfwGbuvaTUrQz2zLK z1#(76vyc(y@(z~Zok}|_P&U1p8Opvkm5UHg-q^(G#8>dD7Qw;Wy4Sw{PS;Dmn zL|q$#T{8^wP!4`Xoe}z37$5rh7pfL@EfTTCRpiwvxDBf%5zET7(7#1Q7iw(;u|;`C z$e=Jj$U{HagUhObb|xQft*^sm&VRq%)n+yxb|dSLf2YVf1LKU3Xm_oMF2vd;?3&-qmUdw+^yMb( zn$Aq0dSM>a+B@vW8{#{Ep~x$h6&#LLKg1;|;w@89Pawn06x^jC?3#Dsn`*EJlhrlj zj7HF7ACx_>)iv`>dT>M_UAAo^!ptN6il4AufL!n+;Y_|x;Tu8A2h%7&!fl^wVIK0@ z6JpE0$Y8(P#HtI0Rc7~GCve=1LLR~5Xg&&acP1>QDfNfzk%U+9oL!wKFNfDRf=MZ{ z{GfmN0=vDE1D#%?-8x_18O02wl#&~IX!qYFCC28qakb?>jcp}y?EW4qj+l@+()G9I zRTB})!S&Xh`KRRctP( ziSEnCP4SeE`aR3i*wrCfm1tLid{l!Cpl;~*MC-nyY!?5>6d=?Yqjn6e#@2nx$d;-^ zs#z*Lh*_obv>m9=IOzgQmlg@ZJ zx8`+4IhPn+g|SyLyUu(fvy`#Oy8D|rlWj%s$k=2;#IR1ko4YW*%{3EhqBcHr=`ho! zhXU5+if`ATf!%41TV?MGDb>6@aeEjohFs2%0GfXH>q~H%%Z(^s{XbzZc?2rBH2B+( zC>5L!>URu-#4LIu`;|rwocO31TR1!ItRw;rwm0Q(R`S~hEenp)*29dA9E}g!Ypsk3 zjCn(Hn)#)`fxxZ7B%ilptl!lioOT6A=%rUb30&)`NXW5$)N-fcOMT@_$FrC%ZTYu? z>N^g@NMuRkSCTnWMX3lBQ`gATyD&Cmm{m?E+<;xWQb@)`89tlWXo1}z^A_~@Pq9&> zd!k3_&A^e|BXZgNL3T5lmF!vexN*sfg+%rZ2s$f=s}RDdyx;0zTT%77H6Bl#d{M*c z#WK$zx0UDKn>`(KQQv73UpjXHC}^EMMQ*F~6DR&4{e_ghdCA%@42JI?0Uy(TSl#$e zY$Ls;=MR06{apGTM#&X4r~pxnG*T1ftQ=K;k7G{OXvgWb+!^AOYpk z9h7y{92O>>3H6fcdvoNr>HGU`2JmE}<2~TzMC;5DA9U%(K<@A}Pw@P_EQ0pgQ%ERu zCPp{F>LJvN$cdpPAstP}zi<)m|B|W~h-Jmv|Fa|S!k%P>({p}fO=zQ1r~BnzU6(04 zTXkOdM^j+io3X~lgY0ErG*Zb@@{{OZwuaixACrU5RltY<+?gpolU0AxDpBRW*iYnW zVrQo}ED=dL{CVvGw=~*KDmkTSwtfliBo(WNoicmfG*LRbW?Cw9>e7;lveZ=kv=p{# z6%`8&x$$+*wE|Zx<%rbwe@V9<_$pgW;^XV)GTz9h|q%P`5SZ9_(FveBD-sKaW~5y-1e#YSy1(VJeV z!fHH*LE$y1Hp!1?e6(4$_ago~7vxuyO#{vW2X;MzwnRo@sQK(M%|+Qgzuo@zg;_g>_Z)EbMMwxcQ7YR-^UR&W=h{6#m*xGTJ027Vg5BcE~ki`IT>?R`QlQl3Sdy6AOf2>2^p)pe*+ zzUO3TkmcI(t>xKIUSBy0ayd`^E{#&?KtO6V&UUr0$bqY$<}F958y0|c0>O|v$kB)SodxELLsR7xV0IC5)ZntMp^NlW>_U7 zJ;7GB7`KcE87lz-`0&k5cSRh<3`h)vb76_dDIHFZs#rvY9H2Z<6Ip4- zWh5Lcs9>XOMeWaoMUAo<6m}O$?X7nbNoCZ8G^uQvyTj;DS_dZuww@~w2P`K1Yzvr- z1#y$H2rtfYmO5=G=Th}S{wX43!mmzzOl(1ki)SJxIW55Rm?jg3i!7f6KFH8Z@M4gj zv~+4uh=U=}IRyK?qOqV#xx`b`c~PS9iUsm(d5 zV>KG10oR9rz@nfKO6A9M9SVfgCwR zLTVrusk9KOG>FB;df@h0z%kX-q}*(0hFAQOnyAH;XLvDq0ACe~rJ^B@&60^N!}24!76J37l=0fh=S({6Dq3oH9b(pyB~ zgVvx-&Ty~xK(hmZQmPC;eaf9>=(zrY0iCf|Ryo1_GZNtgnt)NIwJ~A5^0cdp8y_cUKqc>hd zWC3O3=~b_@0i&z|qm2PFIixPRq%J+AE+M2Yze!zENL`vpZyAISu!KK*!;R(!d0dl) z-U0e=zDX0fZ(mh(QQZ?ga{pWgjLMWhSMLY zJUW}RTUh+v^)2aTORZvM+Cy73%E0i{XEcf z!DXr8Wkv2BkU2Dp|5}b*pc<~acLW8u*b3_%j|dDCk$r`T?4J|r$9#Z`ZW`D!PZiBS z!M**~jXs*hI8Os@tS?OeadAFi*0%77^hbabqB#)aC$~lzz=ew7&p|X zn-=&Ap)ulLCWsTI8bs@e_P}hd+h*o>I`ik|_ioYOHsJ0M_E*cC!4>%5znt_;V%J-z zUEr0m`4%BDsG>e*(7a_$ zL^6bbWRu=9ih#7{(ot+R4SMWbO{S>&QSdC+)Ysb_ss`RR>VdSmv-$AK#CdlPpJe$=KK&&OM=7`6&FfF^ zH-SmpsUDcwQ@^frH|F2O@QH>;zTtZNxVJ|zb5~o*zHnsHgUmgSiLXS^4@I*@RA1jH z>Gj*Aife#dr|moXs8QJxLgzuWlFQI4o8-dBlDP@56Gxb(9~*7EyET|HRE9M)i~|Tu zuF^<}Z}6T<6koZyyKxhd76HoX-9&7Ok{(_)_SPQ&?S1=>-qnblqQT;SQtQ;|sKX1$ ziK$Ii>>btTeWWqZZUr0MLrJ-#vZ`4qGV4D1Hi_kfX-8G?suJt6G29tFgchqXlK5vI zL`lfX-OFg+-)*E_89X=A3gwg9vWm$-hVjG6#Lo}E#E7mXFJSiIofs}jdAd>fTRY&U z)^}Hw39F9R4WsqrTS{Tcq}DtX zt}U4FtYOWix&yP*yfjan?ESuv6EMLoQl6Iv-Js%=l4=RCwV_WJ#GxrF?39(vo7ck; zZ8o$u1p2`zbM~Muh&#tUC1#IcN@c_w8Q%3kKAoPtiRcoD$$nTe??D>?;CiFbAa{YQ zpNTUWFxn1LS$EU^CU$i%-gh0j0S&L{oY?2v8&&YlhOe2XLv$?sHTkaNu{~h)8k_|8 zmJ|tD`6#6Hjl}l(Doa1=LUjT%MC?0>OaT&ndCuGf4O_kuti-Cts8Dpuo+1}KHog*6 zm}%Qt+gKketBuRrHe@g>W&%`Ld6=+HleNt)a#6Mx0v{x8K2FAdR(^8h5dExe?sR4z z3`2SMp(RQ}&Be+2xeLOZDTIHTz*ju^Uy@l9qY0xBoPEI4Q+%Yasy=I*gT`bS^QV1} z#P@4#X415p%uSSP{y5OPck2!MF}LfhA}c+=74U(G`0nt^OH9rpsrjsM60+K7k-7Vk z3y?r>2O>QAMAbG05H{nJxQ5q5?zv>{XR=*$AB4cLHBEHR`9qQsy?cS2oK_)7{^3_8 zevdpz0iQs>s)u(71I0C7$eHiOH6RCK{TY6JPP!eW6gtFFx?iJo38Qk50s1gRo&*i$ zCv||K;DIG*%P){uhQ~TT%$X4W=n(|{mA0@8r5jh%w~($_-MxiL?uE#39;uu}_+5QJ z+D6vyg#nI$i0sw<*Y^3S&8|fHcd>?VGI7zF3oN>xzyXRnMV*3#+4TznP!16L6=O4N z%kPXTI%>e7QRP;JUo6@?i@0dQtCP5>#jc$)HGSC^!|{e<>qN2#0e-tAFdnJc25iwy zt-jw5waZ&-aE^V;6^VY>-p)@pzVh@rGj1~nuTTN;LjX}yuBkHTD0s(VHcto4lGdKSx_?0> z;hd}Nc9Y(ki!cPJ8h8`LFv1_c7GJ^3jixIHNZpz&|%Pf}zte_Hs5U9tt~ke{R|V*U*956;|j-fw)|a=h9 zZ{T||!oXY7M0wDk)Sn$HFrovKJgiQRreo*^q{J@$@sH3uRXMwXy-}n-7{X9cIGTca zP8p=PruF&Vy-~hBQU8qsUKk(8lHi3{P!_Ip0WQ#$c@NhB!2G$q3At;%Y3Lgc9**tU zjs?|jC&BfIk=#=RTuTZZND3f_jaXV5Db@Q}<;Rl`iEhnu*7w@`S-}}rq(B|Cmnrp^84^P?0O)iZm-zW zVH|hyBp=J7@4uNGw-uJkUc7P_dT2(~q#=K8Gj!G)qBWm>5+{M&SW-E2dy;)UV;INE zgA`0}Q)2^Buc3Qmi5u z;Do(C`@4L_<5NL5#AWkCF6cMKLBK-RmVy$SBJ^BV{|e7<3Ro<{4j7R7?ms&!kwGa3 zY3yehV^2?CN2TeIglB#IJKXz;&rMF}r&pJ!7unletE)Kywm_Vd{$`_4645q}SIBJ@ zM3kX`{r&ZMAo=5E@jH?|e7+E?Z}Cy|{IKdq;-I$Y*5|6Ds;oyo+~+}CXC9NXS;OM; zYL4qp#5_wWSyws$2Z0aV2>rZP{N>KSGa70PD={4@G z^o?jvi=gC^-AI9#8E*f^O~v`5%K~nuC2^y4m#Yq8=2j$L?!NV)Ds=kpL+IjaJ^ZzlF-ud(bbef|ws=-ufB}u8iTF3g~U4 zx@k&FCK{a?;+EKs)pkegrd)pGg8k^7Y}1(kq$kC_qGXqDmV~ea@#J?V9<0H z_;iGv9)eA0l=RG-6+b>ck8^)~ctMtETH9eu5*4Hkrn{uQ*1$HZ(5j%Hjx{=N_dT__ zd6IU&24U`3VbNw{!E=d)V2ULfDL{nN0^zB(vJ0b?l`*L@KM#~3d-=`W1WTZhRD5o$ z|HAU}@#bl1*dE>%rf6||IpG*^*Ke6mPlEXtj-C^+`9kIXJ4J^&>t?>|@LXf+UNzpP z%YePP@4V7r>hNz&+tTFuQd^w^lm7C{y%@v3rAM5#P`tb4fQ*Wvg_VyzV}+pf;Y6H*p(mqRlnR70Em1Zi^G*`X-K&>^k zp6`?h&%d6o`pInG+n+2fQBVI4dHqcPT*W!FL?>`|^+z6_BFeuE!gyIl_msX$9UC1_ z7MiOfE-|8?VI9EBje?4=zbYW>ZY=_q?oeX)CMX;UEZUMSLXR<&6@|f*svuXG-o|ki z#Q|-)b?*7UsG0f9P4_}GH@A>}@kL)4LPDXKt94~J=a4stz6q;O_z&wP4IVq${2{DM zpH<`>-Y^u9Yp&OOF@;Z3L0nYSb*3w{Y^5!Ix(;P>){v#U)mnD-V@_UnO2<9rlRs2v(}fkI`;SSrcS9Yt(j!=U|N^z**7unlvo4#l!ZkP&AgcsJY^)oyjos zU~k)p#R+T6i`O3{S6Sj}L&r*4jjD-P4zL;GIfEnVS`AjR=8X{>v^9xkL1dOBDw>?G zE2t2Uh+ZILsFL%XhGrhGppO5_cz<5IiGAD%KXCIqE?J$rnWpRmJjtto9j+>>uY4v! z6;G10YBT3m;`9`s>htJ#*1ur@QG~<=f9S}8nQ+GOti3oovIO-wXG-7R8;>nMh^SD`%Pb{=WhS1o`_Fh{x&IYwdG?=|{cPB#?mygcv|= zp_oVS1sg`*#E3L>Qb!%}bC%&L!^L!(nf%Y2r(UIAhMU`j$P5z-{!@mEX*6%IX{u|c zUIv3@J7yPPF%vqeYbOC5PYt#)bu*zmU7*7l`gi+)!*E6qDa{fr5~YOdcXB_Rn-2xs z(j47GI(HU#2#4aZ^DRdKmKhg|T}*IgnabEN-k7vwAdN`haNeUvFb=OlVlllxyi(f? zaZ9K{v(PtDxraObgq>`n`QRDJh96&Z{0!Dfi>O%^ImjeecW|;X9z|dbhs)R7tj!pb zBE=I4DY{sUqW3!ouUrRCsu?$h%IXy^e39v;=VBN>tHHp}XpZh1pKSlUoW3VegPv)} z&IKZKl?|$#GD=j?{Osq>R#ua8Qa2bQ?~o9h;0O}?HnAL#8?=!eA*zt2Eh+o%sm{i_ zm63@ccm|5s=|^#U@e7pu(LvI6iBcg-X}WOt^(yMvZrDG6rjjV3vrm%?G*LjP%Wdls z6Oj?Rp#4l%^)dkPGk|wkd-2RSBNT$ zP#v9k_+l3#9*7Xj2_a*TjvK>D%e~4Y45X2mq@7F9p&If$7-3YxNUIriB?$r3BEWmZ zB2`M*lxHC#$%v~bDmV>fx@1*{Dwn4_iq2}bUjGWZM71(pJeDJ_!`cczRt~V5I-~g5m0_ zqh6|ynn9;DF#FJ=jhiqvh#HLMs8%|tix^$PnBC=7)%Z$MTk261XujJ><+C0Oq9R$5 zWt*pi+pSI)71h)0F&H=_?I%=MUs#&|8`8*yuYAXrHDE_xGkc9~~0G;R?;g1!^vv_57SeQ3=43E@-8M Y3&oh`KiQ&4YM_jGVMGo49{>RV|Af#1;s5{u diff --git a/CPLD/AGM-src/db/RAM4GS.cmp.idb b/CPLD/AGM-src/db/RAM4GS.cmp.idb deleted file mode 100755 index e91cbcb68c991bebaf8508e25c675f069561356e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11939 zcmb8UbyS>9^EMcq1R03nGB^Ya?ht}If#B{81lPd{5D3oT9vp(ZLxTI@g9HgOxH}BP z=6T+4zy03*?LT|!oT|I;I(?nf)!lVI<*#=8Dz2k5gUkei1a_>P~Gi<^`C9TyK!)5_HoWMKtVH?yz@asf3JfjU;^Kp9Jr``?~% z;D6wrJyT=<*E|EF{>K(@{KtBu9A;zbzLA3sLNO#ieL9q8Td0+mtdP#oH9zD_S;+5; z?Hs_qhUmU5VD>y5AhNIE{dm|JmA}v*i4p7z6?n2DsvfX~=ydD&+vM8Zj;9N`S;G$x z$BrqljjiDb=_Faq#CSZ~*r1jmPoN`Se0qG@n%yHlR@mKtg>iZcf2FuGJvK(qN4Eab-Fgo$4By)ucjr#) zug~mvOY3*b=yx0TuG=+$V1J_(C8m)KJ&~~pB)p>?kx)`i$YK*xaLwORgGeYIC*dY{ zFoKQFziz1v8$g;FPUHs^R+BrJz$WLxg~@_6w$(+J`oqeQd58zYfx>a}_Km`Eswfbw zejdMNHcSUGVvwTmrH@l|j2~k@$fN@+7ds_ovChOPffKhx(*r(?WHRiGUM6ZFrCfuR zB^b8ZA-}jE86O<)bw~ruER`)_IC-H`~GdxO+!|ni<9SGvXv{7B; zmd3CZB$UU0WXC7hgTrRkdF|4h!#WnKVzW>>(-?eCR*YR z??Wyu+u@2;@qV;-nOmsCwt9|TNfw2Edgqar6_y`|g*2YplAILb2^|bzgY#I+tSzHq zUx*Anj?!19hjw~8`Vz(Cl;3ou45Tc221{UOQudi@MdPFnwmbR#ekJh~KU%QNz7Zrk z!SC(Gg#x3zc&c*J80TF%n~u_m?64NMf8!R}a3iE!sVdQs69n0=T{lM>($5epaU>YN zO_yYIo-|qc)fFn`v$AhE!F#9r4&GArbt%GnFh!xE7-rv7e7+Z-?RWPrTrqsQN`xeB9 zFYOOp!pi?g_1sK`QK25csi87wN1sw9fRRiC>DG-=59sD)2_B#2h4T(!x4;BhQ0Em1 z9?}2PB>r{(t?^YT_4{2KJZ{uut(qLiQ$mC_m?47HzzM8~i{O9V&kuNxvmw$yI}^j>E2V$le`aY_ zdTEb6f6zeN5*6gjQURN%{T|y!`yKzck`@ul%?I6T$qJY-;b0pcX`f;26*;%J4!TZ# zkUiExE0wc@nGp=Fsy6GxK;yHo3g*#L1G?y3Det4-5Llofq+ag4Jl9sZrQ-I(?ePNo zVfNVkqXd9{X#Xgb9%A4gr!?pjgyG%d+(-)d7UFi(P$E(Pa1#6vB_{JMMx%;xgIHQr8U44{A4Je8>)*(bga8pQ zdaC~)Ws0G2`-c19e}2yxNAF7%HdgWXK$cx`^oTh3Tk0&DZx_!c=P3x*x}4MaZ0ye)w}Zv-D%9~qvx17Nt-C*5zfe79T01y%G7JT+> zA7ux_Wj9!m)3mVA82<`LdGXp?7s4>bvGC<@J}kvrkfXSkT);A;4mJ9_V$6tVkMbpI zP##F6NNm1SnG(B1#}N>|i;dm~#wTE&SK}RhuKJAH6*h#=U!uTxBF^B_?R#E=+_!qSU3%(|~?h#zZJ?MO5a66s&(qp!jb}6Z`dw zc8u6cM7Nm0jeY*PI-n+8@G!UrZ8afOY^cUd5xt?Hvj)q2LX~d%y_mGpUE~(~FCJP` z@e|IGV6`#SOByL^a1GY}-!L8A)il2!@_CQMAp9bu&tyg|O?HowZvsT1=LZ7+`MQuH z75h%H@ppp)K2V1u83>6YTB=I{(xh2Tr&aU=VwS(Xg1n2r;7q(C2Hcwd> z5+`TT?FZq00L=C}uvi$iGj0fS0w+jY-n(|uBSN~&D1ro`yL5+JgeW&SrlbmegzH}D zyTQ9m8_aP*p1F7_QMEx*>g;+Jv z#~6Tcw$tFTYW7_gRBZoA=FK!{{MS2N<=5NqMV(}#yj=*fTpYfXQuo=AtygPs&~KH~ z#qj^`T`Uj*fVBO%Oy z4W2X-LTATcMzlebXn9y0JY77O?)C=)aVb zZ>tN8ZE_o5;M^2a$d6`2?%?lV(<3yduAaE0mcyJ-O*_`}kVwiV6Y-CTnsb`gXa zqy6blJ`cI=a<|Oml?FP1Ss}^d&$$YFrhgaF4se1!!VR0_H7|f!M;SfofEIyI zi+3$qLFjEgjRwDi(2fy;9Kyu0O{4%_y?nSE`sja}fq4a3HZ0JWpom_o4WA%GZS+}~ z{sLCQSGP!e${l49;xD&jh2`>tHVi;j2dKcB#+ zYBQV$Ir86~C(?L$|iml*oA`%*fjk46^p>LRaB}!1$lUE{19L^B}GENvjN$EFZ~)TF(Xp-9aN?w<p zp05dgbIoY9uzC*gJAa^0`S4y^h_5bTwH!NnasNz8GhBjbh;{X|G8{qHJreyShlpN&p*ffD5B?*guh<^W9fTBUZ6RF1*r%C6!qHK%w zp}H9hJd381%^hD8{3D*;pO?7sBB;k2Tdbbi<$_8Df!}h!1@@>!$uY3u>-VCj@nA(j zK_(Kz)mKb*z@^JoqM+vMKNjFabv5EZFJ|P;)n}PkRNaG;k6u2dYkFoMCOM=P`dko{ zcdoo=}t}g)&nG#3oP*7V=PPJy5d?j5}1Cx0BpF>)X@BYdt zAJinEw&Z@5)c4^p+o-0wST_36jdmnx5YG&J0@ZKoyK5>By2`pLXfIYxk^(hbZ<13# zlF2@uDi|QvY%`J45WS>dB$9fM{b}9jF%`0s;P?N^&oQ*Xww*rOi>GUMvzIenG*$|D zE&dYpz`>;bua-~J9m1^_EGBOSW5MF26gcR(2lGv^wC6T6(Yo<@d(n(SSQX=@l=_(V zR5@&Q7&?*T&d!5}pQZ96V_sZ3B2@*@#nVfo_~FeQ)^zV>lChADSXC$2X>cUDiZc%U zQIicfgdgjoc8%z4-Be<9fYi_nVc%Z2Ud*@G`319Zv(e@xly*y=7tv^#b#Ckr)XfIf2mVue`dK@T4vQbm);2J~>4!pn9aZPX0RQW7%O&x% z<_z&Bu$)^-WVHk_jfIns4`8HdQSz>fMzfRA$0Kk!#j*Jq`sz3A;R*n=a$7BLtG0_- z!idK5u>r{VWJV{JQ?XNj=5BhIuIlG2UGL?+hwhXLfArelR^;{BU-W<}MA;cgEtDyD zQwGd0ialU`l6Nb4T-d5>RkZhXH+t6_@kLxMAY#=q$C`@TJa@eODXBec+aAAcj(@@J z0x_Qlznc>{tZplW+a%G&^v32TieHe;J@^dmZWo9Jb`qPVdkma!C5AhgObc+9Z#=D{ z85?Vf4G8ZPzs!6)v-phZzD&_&$)Mwz-qE-uaTZ57vi9eCtg z6nHn$DHB#WI%h0mZQ^isU4SE2o{VI?9NDmhVg)X!2fr9Lv^^5`G!TxRw~oRV+I_7# zcjX>%bl-iq?4$O;=+V&h+S~R#`rBKJ;kh{E_N{Lthmk7GEC^P=xY>Bke%D&5qttyz zqqThNGyi;4#orvk_ps_W#S{7>l!#JvxV-PI?U27edzw?Ix8E(Pu-K$>qmB*%dZ>uW zh=Cs4lxlxB+9|Yx8feUyakyLAHGrlQFTXBI3Q1kOaoWhfm?hP$3cYNYVthNt1coj8 zcnAeXgkQ2$%#}1BseIOBS+yUgTK+^{J@fd-8W5Mz8t`1o_*c<($Hbzl73b)qVZPt< zsPCV}Md~>#58uH2yoOG+{X1y!v0QRMD(ushOE%Ga&HZ&THps$_?Uz#HM^ySR*Y%oY zBGuv@9YjykZ9fWLD$IMI;CeZD;e#gU{l_xymM9vgc~U4TsT+4f za+}8=er$}O<>q5mxex8V*=&rDii{gNI)Gt6zJ4gZFZ?%QoqCC#-b0P43K@3Bu8HF` zlqEIuTs?bjyo{|0ecT4spJ*hC4@3KY3kV9UF1<>88XBNZsiIEp=Wwg6R|V*(?R1w4 zB+3s4Erav_4AxQKZ=PcD*ep~>)ji{&!7TY?bU}InqeW!eKU)h zSF4tr9^ixSlU@%w6+feSEC|2JnAOqgaeudPB(-0DvX~LS|9;kOkNW%FDKxC#qb+Tb zCF*h~1z6gQsV)v|)?*}R!zl$fIGs8cTq3D)mmYHa6%N^WU2~`=%Uvsp=NZ3!Hs>tP zG|Wz4_o~@MMYWPPeH&;Pg9}-@815dTB#HqyN!+)TVzRBX=d{D7z>jSAZ^W!b%>!i< zGgn*tKN4#iE65@MRE5VpVw|+nJB*@(g9}6N;D8}#;dg+*ua`OT~7BUt4|m4m+S>v~Y8-}R!O5&>ASZH~(H)IjgU%nIl!>@3uP zAUX1K?ohk4b`%w4`Y_}uy9;%DxGEBy&M`7FRoe~WQkf^6$V?;Hm}&xmMVb`kT`4y0n>XD0kOumxzta05ruUfeU8p~ zWy^Irm9+Jd^eob6|I;yrmL8{DR%rM}#FHMx0L(bK7Rq&uwr6(F|1Jb&z z>J;^eLmTq|XRviy(QbELY&h^hB89nG`c2e)ja5}87;@+5KOb4dc$n_i!ER{rwW+4RlD|;KIT>RxIk*Q z8UGC*qG(GCjiS-#N#BGThnbKdvYUD{*hcFNanD3ud@b{YH8mUhB>3e7-TRD_QSeo@ zf8+!Q^dZnNm-{~_uufI zzNUR=3^~1ujoAK~#OF&h_uvdbc?!5Nxv8D$zphEyq>TnQ4^mWRf<`i9&}jQ+%XdVp57mB<x)J&1siP2`|^-XtCh>D2UQ zV_Y5)7}O!TAj^hrBTd5xE$$h?4ekqK*RG1|9Gcf>{mOFS*&eRfdT&Evb3#2#Itw$M zD&Y%s)e={WrgB(Kcc81}w;!|Y0u#q%pCAoeURe7XJi1D28CQUu`OiS3=hwx@HvKxj zjuD$37y@p|HY!8J62`26b{AIfZ)+%3{MA%f84vN4)XIMvWT}?|iMk7@S{MUvocS(ldt_MX+r?tC6XWj1JNx*$yZV*z-xPB!7l8da zsLyRXlX*%yNI&RtH!!85mNZ_!SzP)yp_7}}Z>6c>;`_;Z8{_k6 z5ntQfqqp&A8>Ntpqd&-18zJ$j7>WUv8TVcUxg9^!RxCSk?2+}#&_ZPpYFVg7*etk% z$GVSH!Oax}sf_=I+)2X`pY|njO$=`%45pt6|LHt#bWm`ZHIcR>Y8{qz$j}r|&3xLQ ztL}dH@QeNi*JtGDuq)rObyM?^r`XEp-n8w9NRsU{m`|<8R!uc##OAP;#MV=jBrQjP6f34dnwa{SOANP{u zcTHq-!N)MbpDezS$ymR7TWuq(@vc-Qx&0ZW$UVO^#|dkE*b7IJ@l-oN-X0fJ=qxqK z1+>!pji8&_CDf_yXiKu(U^+z-dV89#rQfkX>u=a_r!)JY8j1Y=lN51uQTxg3`Gxq6 z?LZ4ua4F@7j9kZzn_$DJ%yqSQ+JpPz*c&yW2+n}EkxZTA{12dNSJUPsx0!7ngUCn2 zrz_60{f}MSziq(0Iz~jjQu;dU`vp!@1OBhT`4{h&5Pme|Uj^0YNn<}1^q*t|PN$Ab zA2Cu`JBmf&{i6J~b6t?^61F!|(WE!C%)Avce~Rxw!+*#T#l^&1>Y{QYjz??~3= zxGa{#2s*xD@H}QWyvIfK+>d|6wT{BSnIUrUrs@0GxVD{-01>za*Gqr2ziO`Nx_NqX zyZu$m*fX6Y#_3uQ2V&@}aKWi#5&_obFZzCqDN>%X^N4P*ArMu=TwgP1a*49oja^el zMYCIu_QCTvsshFb%}$^7GLm&!@u;F=TO1lr>-356iUsR*;*ct zayHM#cCE5hiyl@GKy~8EX3}yn03DF&~~gJb<*H@9UWL&8?8e4 zc7yy!|0a*0T0)fv=;l5N+*3KB;HeaMBiUE(&`)*Nayidiu;`{j464jATE~NC*+P2# zn}p*x3R+H}t}yCUw|n>>{ajbU<{}j$4t1u@`Z@&jPV?*>SbXs^!YublslomYSZrfZ zv)^+}jc#fBjFHW+DK+q>ET{rT<=b&nZ$F<%@lssdKF;bB=lO1K3edM5Fg;?(%z7+= z@V_nj;4(YEk4^kqB3<|F1DV|<*Ei1V=Dg@-Ot-ieb?$o(({@vv7w!>r12R!RTqf+} z*4AyB740mR;a;wHz3NFF`^BQVk{q_l-&E&(Zl?u%(pF77)QTOh&5>fW5p1XUFq?Yit<1Ncl(fmDs+X~p3)U(f#)ch&xdQTdlJJW%};2u4BJ}EJ~ zw(cUPFLY=B<5e9mpV(va+YJ8z?pU%Wdr75!pQJ@0Jh2ucySfa&9OYiJY1g*$1=Q(k5_X4>$>AVmmYtMoW!%A1`?Z3a@8GAse#s{ zaqpXr{eNv$iXki~iuNPUe-0j?G)Cola?NrKJhTVQaeQ6sS8pe=BbGWc??|)wx9!bE z_DT8wjcalCOnejeWN_E$pxsVV?GdH;iAtTyJC!Pp$aUmO<+_94ouA4X{4-9%AhLSe z*G8^Vgl1rTv2(R-+-ttY%ha~DvzklDp3u%C|0(x=!RavAGJJER9Ycvr$5!L#>0R{i zED{Y!4s3%N}exQ4Ee-X@FYX7>zpiDGa9)Qc&K>KJ7nLK`sc0uZvIU4A!H&vRjr(bdv~tA0Bno-K;sacny|P%| zjTq!{121UhdvMEsd2owdl*P=-t;}ZIZJKil5SI`b^wMWSfrxJM`%u;+n^iG~AN&IbHi(?+W0QdZ4?Fc6t(oCv2W%5! zR{)KiSbd%Y1NY=AQE!7!q#p5xe&>kBmn-bb39qX`&tE7_E~3za9@J9Pa_islGtnh$ z=i8Y^kn!4(d3KD1>Ql-W)xq=rraf<+Y3s-X-i#Ve+dN;SpkPi#B1 zpF*hH$nbHM__Lj0!M|U2=czWPIJdGrmi9N7-z`O|^6GTN5w)=9Ks{Mh?_7`mRIdnt zo*oY2RB(;9Lm&L-D`QL#?s-*oUM@FcF=gh}Glsuj!MG5- zWZM`ydYv3^lTrIU;=Pxan*%bbIt6Y8i02p5IYu5raZ*-fopvJ4osVco2He3?534hm zxvKSU6I|jkG)Tm21)2QxbPa~nzBz=N&1JcK(1jiR_ ztbD_US)Sp(whr$k)Do??JoP9a$sdfwhi3e;@W^Ml3IJC=4clBERc;ewLS?o|mDaq7EIY_B}IQuHmA&!p{_lCcEH_{`C(b}9(cbc z{TFEin6qM))KP?8!ato!h!1d2y?F*Ul-<13h5rhlo=&I8w1snu2pZ`sAuW;^=8o*E z9~%a@H?Lwm4!vAAJwRp9x~?S9=(DJ5Z8_2-Duy#ilZkFaR`&rXEJUdYALNGYz8a6s zMUA%V*=*@s_+W|6th_i8W9rnN~Uai#=r$zJL0E<&2o37Lg))6hYD1NFB=Pe8{kJA#z zep$`dEK=r&X{_Rkyo9f=u>fT`J4cJ157G+3D!gm>W8_cM`hv*F%9P_)#&(z0#2G)j z?66}<+WorCP}6DBe1sC$NcnZ1-kO+LUmY>p2+1MZWDqG`NC;DDkZ zue0?!oVH#kD%`9MB6x&3@4uUmp#8Tvo&RL2kIFM{^_~G~gGbP)zhYgB{kS^qwHVAn3*p|5D=8&@v z3)po)5G<$0PYxXzHQ{8wA+b4`h6m1Qhec;L0A8%|2X-r*sDP^vmL=w34v1w}AF$hg z)7Z=^9L>$>O<*9^(3!s`=V$G#Co2#61S-5vAE}A&b#L42R%JUWwwjaY zM*Wy)m+e=nIwgE^I1YpZldE>RN1FEz?y6HX>&}DzOMaGY1~&%`Jk@r%p3TSl3GXOh zNrTr5u7d6Zn6Dny_aE|B*S5>Ms1^MslK%HEBE)db_Q9U z>R|BLjJv8o35L#46=SY-@xh7HBAJzMhnQ4<2$tRSm)f?px9(3VQXf1q+jXuPcUJ6JW&qsFut6zJfP4>^Onk!^%GP2B{j!Q^G7KF z(`fr@D~^nZtHp!og$Xy9>zpeSbHy^MwiR0%9)D)Vmwi4T0@hDmd~NHmsFbS?=K=^q zf+vXDN3WKaG~`8;M%cUB5ce^b*Ey+UDkl|%_b~;=%PFaE{bSxSGhPwm5^u?zDm=SzgTvBeKVBQI)jH=av1*(9-iz_X z!U*f0o$+Wc^Hf#$?e8!LTr}Is(s@7U5mVPAp|61*kYRO@4V#3bMbTUW3kw%tY$$S% z=%Ngh@-`qHf40*MGiSLX$ZY%SIYRDgz>-WcBllA9(&LFHwjkfgBty4}OKb6Cx&x8b zyD=dF{fl2)sGT5ian`KG^e2_C(47+wP|ba>PGaR3i2~f`9ud{zo7U|-#U#GlPNywN zC%Y0&<#kG7Ei0eF^Rd@0LLaw>W-{=^kcELGC(54>Oi#FPHe9X)HInLL)i!vJmlt}~ z|GXO$_j>L*Ak^DB>f?yA!aDtxQ}1ftjxApB8_z>?US-MAkcDYvCF8+S*53z+!Aizl zriH*O=g7#Ju9JY{kfVuR`+f>2bDrslU$@1+n!azU(W2@es(}3&z5%&gMWC@%@Y!DJ zgAv>gbaQ?k`r^`FJKswDV+Q+$uzS0Frg~QcihJptqzF_g(-8J~H7!|TbA7ZtUZrLJ zeM4vR4IexH-5lNJ!O4*Q;@B)AT;LF-xNY;g^O&FbM`p-GqeH>C!A!S-q>&B(Hqr7R zd89b>Lsa?N*14H>!y4U3i>XTm!$t_-(eDex0%Nsdlez>Mcht-m#SHDY<)SsFvUVP8 zk#&KOX`3lllXJZIMj2A}a#s-Xvb|2UqlSjl9x$$gLf7Rx#<-MJp+Ghh^27KaI({J- zcvQ$}8>nJ=5uT%SX7`VT7@woSoQ$vBN(cDsdi@R>5AEIy&bep~R!i zWQb%(8Sn7zWNFwh(aX$v{Z`mxdTMjBE>5q;L0VU(ut#MRpO$PotML_RQedv-ctnZU zYZoJjC$zP9_qBa;$Y*AEjBjhcEau)_zI0yT_-s-9j0Tsfe7mfRrA>j`#Y)K1LepKg z;Q+4Kgnw*j{H8XA#j;FMgI}jV$qae)&7NZq*Tf_n1Hh^ozvR<$LeWfXv|#2>(!kL^ z$;?XJO(+Stuk)KIo6-nEl&0{lfN^`+AD%HY!QMXWFe^ZbUnozHJ%q<-Gvc78*XR0NLkdofO`cX_e52N<@!Wl49k z&1l&T;ff@x2)|gRyEl3Nlx%x#p|QgMO$13;;*|eTf!dDYj~r%z-|7FsC!_x#e8M}= zPyG+KaOM3EPN(j|`s)b~jQxa@j3rL`7xh0>^q1r+{vo8WrHX&KYNMZ`{67RW^*;{= z)KUL{47wJ768Q^)@_&GY{r>_H4;znsLVNb?>B$?#PrY3cP4ac8#fC)zQ2+L`MucwR zt4sn+>qHv13G0nx67*kYuRf;st;AO(n{IDfR8+iv>KOsl*3O97ztcmH67g!;$r5o> zMS%kW&zR!8*uVHwj+QMhS$Ap9bwQ}gFGln@@n1#~1cmWsCRF^{sxG7)6jQYR`TgV& zKFYR6#ub+I5Ux_0#@?d++u=pAchLm-(x#Tou=@`m-#sX~)_Juetf$o1qbz(F)cPC$ zs~J{x$UEU`caATTENGeER52yfa5a-s43F~_^3n(a@|9iuVkMy zw9YQf7@MTi2fj+u*d@B3;w#oAV~`^;CAWX!d3sq14N*B{)n%0IO<6_H)vXCykUGA9 zL(UfKy%`qzlMp}Eano07Aq}`L*Xrn_ic_zmLxw7jt1cfSMjd#VG0dF;{taS2=1U`S L!@I}(C#L@w09geh diff --git a/CPLD/AGM-src/db/RAM4GS.cmp.kpt b/CPLD/AGM-src/db/RAM4GS.cmp.kpt deleted file mode 100755 index 29f003afa5c4ed239b360be1ce9ed1fe2cb471cf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 220 zcmV<203-jb4*>uG0001ZoTZUbYr-%Th2Q%t4tZbOq{Am$a4?30!DeB5ENOdXp=+8Y zsUY~{tqV*<1;N*Q?)RMwcitIawKcTtDQBz2+v7_aH@#sv1RJtW4LWB$<_y21w0?BQ z=|>n~xkM%&YUS}6BJeCuV~(d${j?C7KsrmfNJPprlw>!mA-v0`14sFaFRJm}DnF5| zh=6%V+sX_|dsjK~@LMw-XK78AbVCf^itLn_ob3XToD$b1-b(F4)4i7UV0&tB)ch0j W&>dXo;DxOsntXphDxy7AXptApoM%}8 diff --git a/CPLD/AGM-src/db/RAM4GS.cmp.rdb b/CPLD/AGM-src/db/RAM4GS.cmp.rdb deleted file mode 100755 index 8974ee569ab67123ae574317c564804011a2a9f3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15349 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J0T0RR91002%p00000 z0071U00000008wn00000004La?0svDWJz{bHL$?87c)DvFB==eJ3F&IJ5$w_S?_^0 z&dRE;so8pMWp>Z>u269+>sD1VS(&vrGrOmjm2F{!KsNu3z!H+-ALa)#5gtRe_r!@4Cr+F=apLvC2OoU! zd-(fZ{7vDxb!+NH{FGZ~=k-R^skfSHKR$0Ioj-)wFR#D5P9XW*`eS(e9i;wk{QXwX z-*4jm|JlFaz%T!p)31H-!4Kf)2awx$AbGWZ7Js=Lw>t`v5tptyD`g zLxykhzdww>{nGaQM&%D8)b2$1|NDUPWBA>QPojp}X?5zg7_YhK*0=ru3weH#)K5-3 z>Q4DJRajXmOc!ShbE?$n#7U&etpo+vJh=U^)lF2l9jn%H;PdC(>L_m4PnxRJYBkz# zs7C9gUQ@^2W{n(dtJ_iYNVPhraiZF-WT4dtwJN0I*(LG}LD1HP^sPm+C zl0;{k$1TuOKkM2`*2rG-dEAcL?N+TGb>bs+)T(vQ;%3K)1Jc!-$mPtuvMBNU=Skdd zH!f7Wd-xaPT1VaPv@|tfV_MZQp@H&LzCJ9flYAucBOmRt|j$zEqtYu zB#xQ+8;;_`8x!nT7^DZRB2*qv5T2pm~=WTTsHM_@Ats?_oH>64|GD-ieSncRsakJD=WVEL zS~L1<&wsR6p3Q1Bgt9Py96?=}-O8Y@fl_*-Me!8>QAhDs>%_!n|G)JjjK!~YVN`Y7 z2WQc_nx0PL=;)wrTjGJXe7*=fcY6A9Qt!mMFUQBqn3-z2W<$3f!sB|UA3`L%3dX2? z_JlFQGR_x3Gl@0+352w{-G+7Zs;XQxVKN|WOz(#~S#F}**#Xnmr(OSl0)M^s{x2Cj zpVEJ?-hn}=G2h#)<}iQMVs=_8e;$dzqf2rM$ z&tR+?E|n_V`m6XaF!X`QkUhZgUBtk#Q%_|MYRk;^DjnXn(;(l8F(+zv5*&#G-!!eC z?Z#PCeRUNZ3$@ArFlngk_M#+eG~xz^_o<5`lrP6h*B0?>BQTUvIlPKsxM^c_ilO~I z?G4yR*Vd04j2}m*?P-3!AITqPy{h~ULTdLVTJB*Vy{4i~JpXh@@ zhi&7~Rl`4qB(PDCrVgJ{4L&LXLq8atQChs(&M9_Me-y(xcX1xmiKksI#M(ZOYxQGz z>VOcGs;JRw#xtraGBiZc<<_nrY4=~>{5!1j6);lMm|tpN%TUV#2aHK}rj^M5y;)k}yfx0+43Q|pf? zo#oTG_FkL5?zPob{GWNyLzu4aznRq3nL)Cg`Pz>^908i)&J0FNGhaJvp9q8aPCvAj zyn%d)9N3wo0PN@J)-&S-SCfdyJv1DjF&zwwCgyCeq9zf;IY6=3ju$;VPJM*B{RGCz zUjW8iR0C3LWgA28>m(w}tqDJ#1%8;OLGk}EkIXpVyjO2d?_%zdqcF-=pJscPbLs87 zrhmO#J8vA_HIuo<3u!;hoY$MQQ1Fm9FW?vUgBdVYXb!ZRee%$jnRB0w`b)dx7md~M zNn~X^-!(I1Oe+R6*nRTT{q~qfW@Lw92yMW1O#ER68n*me+=wu#dUS*^XJ_ByoT^zz zpi}hxrxm4R%`cc@3+0zQ%p>@Id(EToL}sb+lJgk$Q|JMlWGo+4KOGUU#10a@ z3`58E{HKcqdkiNv*d4J;LXADEoydYjcdj66e5ug3TuTG|uZx{!z z`u)}fd5Corwgg98A6~ZTW~bX7-A$W!chzdtd{1egaUWkXqp#`O_PKHvyM*l`a2 z^^Q;=!Aj3WowbhQ8AHX?RE&V$zY>!8esPlo{Wv4zCtQ-;=og-FsUK%#{De!A8~wr) zF7@M#jGu5xa-&~(!lizkk?|8QNpAEDPq@^NGcta{CCQC`;R%=eaYn{ZxFosJFFfH= zKhDVb36~@{`h_Q4>c<%wKjD((M!)d$z$N2gqf?(NYW?yLY|b#pUv0IbBfaO_93}~; zUA4R`+>SqlWXAn>pI$p0K8Vv4dF&teg>zT*qc};p0b6hUhPzLr#ff;_tF0Y?e1;6f7;V!jwJMx4`wTRJ9QN5eJvzUR@x1%IQP8ezL(sZ-_VwO!Pv=; z`~JKACp+%@ueRyC{y9Za7-Xz8UdTT(}0zZUmK_6E2WIp%;{3!%^Fsm)ZTR8t4B`~|U2N&Xh zA!U9S6Y2X^$`bccOne0uBnc;72 zahFu;+^6SqxK|*GyD==OYvDw6wD$mcm1hwqk@*Xhf z(;M{*&tPI2A~VeUUIgVKPB_u1lXQ7w8Wp%d7)ssAe%Xr?UPKok@et_@RG-^(yB5B&N_?+PPX@%)a!rRa%$Rn;i`IIC6 zvH5X#5sEYYm}>+EyK(yq@m1;Xp@kEsWUVDbRrJZ7M}2q;+3C}!u1ZeY4xciDo??GG zTx^9^7k!FOyCNl)0+hZyWy(j)r>B6fUe|a^9d7ni`Yf$}ZH7mwrujUBg-dB0C$Akx zdGbqDUzcF6%8af4LG;wrui)?RGr;r83=|H;Z8K)-&qGRnlzj*Fm-+Unj;p=U>!vV2 ziSIv47^cVZed@5$!om4Wp6U#J;Ba3GkobdtNbmp!jQ$Z~A3yAX;|d2bb`6{KZ8Mc_t%jSmj=K#tjdfOC^)^DqIW=2+vpD&7%`V<86z}RwcPo3U zpek>xcj7~}Zf=S-38^6WvAvV1)K28?)?>$R&QUgC+{RsPoliI7N4VT)-CXF=ADqeW zMQ8Pfz50~z+og9wy+Ox!a|E(^=Or8Kd)vkN*=k{Sw!C0sNt+#JZeycq!*Y*Z%)L5B zum*~%ps%dyLof?Vv+Aw_a&<>771S%r8Z_*9ds=|LZ*Z{)@MR6X?4e&up>u6wiZ-UL zEF$e`7P|i|0A~RNpnM)D`9Pn5t43NY)(wCV9ySA5XgEXjh!?TEN}oZjzvwnLyL7iW zhZ-)Hdnzjv^PEA zho|W~z{7YKrsS4)xA!);fUmN-u~Vwv-(Nr2TqEGr6eq0b=VzA}7M2Q&b90MJ3p0g< zm4*4`h2q@eY_Yh!tiduUC3+cVJ6+!?t!}L!tlqC2ytTWvc2M5jFW=v+?$bGeM^c+=$?jQ!wNAO3zq+dp2A`Km=ii7el zYU%C!JLM{n9aPu1_dJeet04N3BjYu`&7HpZMAMbY`h{Ny6}x-Y&F#&vK!|qB2m9+A zn-x~i-d3r+zP%1aUU0GT$twtlY! zVh=WV_U_~VT?pOA2AarzscI#R3@8+57St;U;Gt6CyM67)4=Rhj{oS|M%hiM3`&D9J z+uX<7u4`?SayXW8!hyK~0IzuZ;gj*iy$_^mv%5Y=*nd!7b`I@*+NB)>G!zi8y*sJ+L<9b_}ojh57h0eoWt6-n*~8&gu><5Z=)R zSGGlF`)T&@+Rxl`@20^-r8hhKCjVKrkP%P{*WB!!+FtDkP6*?!&p}w_ZxGIz&l=&< zVhEw=eAAmob2y78CP!p(Wg&#qg0pYw>zmKz(T@@6&O&+28w6~3x=woWIYU%RiVXWI zC|HgK<%oAVZ%4ILTnmePB-)*3YHrf*!JWunhX&V`i%0|5WyVc%6>Twc^KJQ+MAB~J=3EwvtD zc~YoHkeMfyRsXATFE#9eiT*qfdnl>>hbmelEZGJ`>Fgbwu*of2>1A(XzCXFq2=h!E zSQ{^RDE2%0dPx1MJ@Mup^JE~dV^f(=MV<~v&?ERR|9Mi__N!w|7;z#g3sk#ELtj4L$L!Wx8BTCbSC$oFC_ zfx?r*DvO<*!C-D?)|x4KK+6LHD&+yc2k*SeNUJ+DH;n9(X^fEK1nk_y>?A<&5G5($ z6_q=2hx@H`y!KmY6jfZhX`;-P1sSZR!*;9D#jw$1q@vxbAL61*+YeK9+Y1RF8AKGr zi`Z5_t!ENPO0-Zsim~?nY~1N*tFl<*sDP z=H_dL(r3S&)$IT;Lksz)X)$&L9E9~wJxZ@c6;!=>WvbGgJzeY-F(R4?v4?6;lk^U* zqD+paH}o78+k~Koo$)1kB=Ylw=&G_eRRJX)O&ag`HLsUYFRvm6rFwcAmvfvQ!ka=T z1pIt@sY0`bi&o9WAqF!w&$1O~=3SpHyZlF;aZd=7plfBetQ5stPrq$x^D3pTQ4vG zR?W1!KZ39O<*hH_2M-I;1FPe8ehYS3Ko^uLsYPc%C=!eFbLNGJTz{Q`Vt&OL*RDB_ zFMN>dEqCX#+DR#7FKh(1Om5)~5BvJ9aH{N zvW0ySc{q*M^NCW71nAA|bxLU>7QC!0)9l|&Kae&$eb5)Aai2iky)K5hqTJ~iNEgv` z&0-+L4*MI@6VLHNVQE?2!GEtIjEC*bNt_S_Mmw1iZHE|_i|WpD(I#g^aYfxJu84@m zC3ReOcAZm2F$7cskTy1_(I81c;dg2aht+R7{Z+4!k zLT~gbG&yj&Vujd5qxV6K(RE_JQo8LW;yu!x8RHXdAN@N{9#w0Y@$iYa>b1CuSa0#N z>DgI@Ou>KXzSIDE;rSjwvjQjchGtYgaNdvt6qtw`#y@r$Q&XSC-*&yJ2km99 zgMQl@%BmWl=`(=4iEU9rHG9)Yr?-RtA?cZ+o6YeEIIP-hL^Zu^O06Gb8Cpl#C2X)5 z@D&e}2B#!wGINO-My;1k$~FyGuqt&rC}{_4hFhxgUi}==ctl~T2{5A9(b~~%FZ89= z=H}(hN9vflnYrl)YU@F@x@97LQT3#bS<4-pB2I&4Sm{VV1lH#nc$PIdKkzy~xa63Q zN-ZSi`NwN}C9+Q;%_XLz=tW#_>P6?P-S)J;0JvOFYTbIrIT8AjC-j)93gE&+E$_Gl z^=zFQA4RO2z5HE*O_5RzuT}euGvMq->kU0n)nBu-8?2FZV)#pVu{57YSTx$eqJ`AS zsy7_;^`)yLvo121n=GUZQ&??YOcP=0lDSOS9D6g2)b9a+l~z+pZj*p6sM_L!3xk7= zSI!gmzLStr<8gG+R+b)f9pP&Cm>U%gk_?+NFO>aMlycWRbDkVim-Hl=tp!d&RQJ|Q ztieAj97j4ESkn^0=@lzB8-x0-2&?hG5+|+nrs-H=k-pvqZyJWlN7jH0vpwy>&6q&` z;oxH^!%&m@CHxpx=A~-TH(C}ck7Sz~lxWa5>Z%@udZWgAdADsy&D2rmzy=coEHXfpXfIT(~HCi)um3iTSYp=XXsYeJ;=4m*9}yyH`-1@a}6q zO)C>Ecn45C91u~I^h?hhjRP%aA=ZZyWRay(YR?NP(~)D6Ny(JqS6q%ZNt$ub(Kdsz z%;EFBR#Hnh>OtX^9<}P2eZfS>Id;RL^GGewccO%KWZO@sUNSG=2CV5kk%iX1^s_aA zHpkNAp&919f8BJFjjFB4&(b&S4c3ex0H|&8(WFPOv5r z%9TvFyXVjc@WjDOkaVWI=kOFm(9|6`Lho1htrsg(m7nt{%n{xTEf@tv@&@ zZ|yfjDXBng<}xL8CvWYHjU}xy?5>B>f5xZZ+}XsMCalD>_p{&&o(!F|+93JI^^-2| zW`VwI*Rb0&){y$hr@hGZm=y@NTVlpM(38XodQvXA={8ya!rx50q||oT!W<>_M>GRY zl2*5QWKeSkX_amoIH|Rd`IxhU%pV@j;{^ZNYhw&}hUlRcNK@bn!&j3P`%J%98E!Y) z2!5>zGnWok|4ubyS8VIG%RPzY0%j6ezJL_LNZNd$Hr~}q^=jivbc{_h@Y-NJCuhZ_ z63cLdUSVyhvVUJ|H9y}`<;V@NGmr+w@1L=e9?7S>)$I8Ayb-^j4rj96N%rk?tfM4m zMXbe#-IEiFFy|SJ?P0xn^E_4EZ~==aQ{R~vjy>Ege9mWTKO7WiXRU=w<=!WjbNCqyoKtv! z*n`5#itQT$QLK%OT)Q<9sri!SfA)<7!}a&EZ64!eOINm)4B@37X1nw(L57qei9d_X zH%~CD8K-%}>f-7Fy?bA8hqNd%JkPw)*Ro0Hu~xGUJx-!M$o>EDKKo)dTCH=7jXYSG zbt_h~Zydjc@L?`tdJs+$Ra~&Xr_9S8d?_7q1xdR-UB#?u7ssy|s}A>NshgVPx~z;KDaNQqE=gC4Ad+K9|(m*GUGZ+1ahC4Yt+2Zu@k46*Fgp z({QZ1j|HV13&uz2mRJMcupL^PoQkyRoyAK`W`1u7d)Vn(al+1o{fF3dGm?vi6X$8V zO1ZSQK59z#X*T7?*6wO)Yt)3gCXM9p@4llhmspf@tGvE7a;EIjEVY&0d(|whQdne| z3c@%aN^IVna15P5&(jrwy-cfhx1=M}CNnQ&uXH!4YFs;Q*1zULEiz1-%Z+v4 zd05$e=ivr)Fcpz@iNqLTn!z>T@MM^ic~SVPv#*@ik2|T@BXI5pJwz~g>_<+NxTa6_ zVA+ln-PcC7q}6VxlihXafXa3Z**CgkG-Y}y=p30jx+GU*n2O%gB-VvoYH0X*pfE#2 zx}LG72udtnVN&J_6SwymfOs;D&AhPD+QD&K?@|V%uwZ8RV1FJ7nYmVHTO6sN1lY6> zDZ!kCb?m`@H%N_0r)VWRWSC<*+bH$nHSnaz2RL0uyTen-(J}Ud$VaZiGNsDAP`#jX zI6p(BkCi|$kK92v_=ZQ)Aj2Z5*~3i_echf*KRBt;-8xq=rpe1JAJND!pT=%0YTs;M z25QR&H}-W0l;-l!6gBh0mgwXHOaHV*;5v_h<`83Q5q!G7YHB4a(ldBWxlT@R-86jV zkVm_W>^Q&ooh`e9F*B^*wpR`r)rv`a$j_15HrR)Dw<|zt*A1gdnU2?=j=@}5oj?dh z2*z3;p@}s_XN{-q+gt{F<#Bx8v6lYz@V=SBn>*BF6tXEcSSD=Bka^KVckPGRzW*+5 zX)dQuof@*K$T0g>z1|6f8a5ZMrbydE^5X7R(3-g_> zugo!6T=#^rW!<{Q_^Lhj2At?%*IQREhlrI<7u^eH*fw_7Jj`LYZ8IQucD3uufAtuu zh_o6QO&69Ltnd>!v`HX2>^L5-wM=)5fq2_l|oX;y5Y8 zwyQ4*cWZ=+($?!WmxM0@6CT<|7GdHR-PWGel5loGMJrdI^BG056YIN{+;-)joj&ve za0SZDILEGb0{c)$Tn2B6ikeYg%)Um|d8*Rl3QKFX_@b z7R{|!EN|~t@QR1k3XephU-X2lCb}SrwhFa}rZCZhQW1QrM6dg$J=ZmI5JuDR^ zu_O^d1g)@(Sjk)&z8<3;&63Y^B6Gnz+e`&X42tsQ0VJI={A6e@3fY(w92GRrdF~75 zil9hlVIBVsHj>W*VB9p%OPc9s!84R30toJlqK<{n3zFurh6wXn z$i}#7UKBLDjh`d&S;&Sc>R9-^Bxx4B6C~xum4y;|L#UglN;8Mq+ofIw9Tw<(-S-fk zFO^glGJHM7krFL6^nzfo2!@FkwK8^2OBL-VlzT)vOtdJK&?4gz52>S<1R+9XMKcp> zL{KV%;~`oqX*Y$~BeG$l!}O1bXsM+aMMDg8I?q!UFdr)$pA0{thA7qalAu%s!<-fX zg6ZSowA9m!f;>T!h!s2)@;`Q>mqq8YBxni~Eyxo@3)t~+dPNc~XcB}7P744_9%VWo zE9F>*uP4(SbnU&hX-OmA1wp0=3W^19EtyPL6w$UI8Pc9C&Hj7=;w=b51sNhJWXA?| zS@UduN;K0;(JYrs75w7SnG2c({b5E6q6?x}PnXe#=zU4FJ7uAN$C59VuA#iO^prSF ziEiF|XI=AIGkQME(!43eLWwpgN@8PK66fBK;Y?BZaw%5_DITXk>je^MmWn&S6=Lw{ zS;W9LBPIxXt>`=D>LR6A7ZJtOly>y(Z~8?;Ci;2~u8#vrxr>#BSb$gQ;3r)$^=W+3 zJNImf++q#4aQ~C|-rqGw)^Fh~7yRHMtVLs2*PB%%`lH^0oBg_h)sN@qqn;#fbvqf? zkJ|)6xe|>wFIS>9NwK>WX1u7p>trlmIzGoC`}pxEHV@B5U-r;b%eu z5yUcwdq7d=LKA$*o4=KQS9)qLcdmE;+83Pokk`H~zBB;m@|_zwA*x&eJRiDG znt#Qx@QVg7ivN7nzBK-dqL0gqIR!x!|M{r>jOiyF`a_FvIdNHQN5bEvyRRV1H?;n5NcX1nr>#nHtoBM8>nb^`DxMYQB?II`|{&OJu zS;c*Pd1M_{e4bb%y|gQ0hGr;h1jC*;*5vmMvp*N7UT|IGgK`-c6g+4( zI_ZUo+{^l4fBjxIR@gT$0$^?#d$sZ39hKP~&c=^@un+KqhiYM3EUdG&@o_|Bk3P1% z<3cU9u;ll=_fO8kxJ8c$;^9ioJ=|F*?^(?knF~OBJs9D0AY%nJbFvO;kR7h>=bbd=rCg01A%vXDp1EmDeOW_T(p9)D~?C`6u=aeL`m z(;t)X;iQ(%n3FxmT)vZWJ|XK7W8aUJp*WeDBpLSQcR%}#$;f@2c$XgC&kP?jr8x*L zyr-H#f*qoH+8{aOofYFFO7uTMzgVKkD&apGA6245$a9Tk>=C z_^1+Hgy5T{N;3;fe%!ccd}IkftKgduckh!QA5qqH_sv=8EK;Gn5K*D$ygml;czl}v zn%%?5?xHvB?yU0AKEx&m4Y|3i^VCO6p11?oJ(Leg+~HUW!LZw);-P(rEMV?C)u)%e zP%}buGjicBCnYoVUA~4I_H0jHHEW(&E#o=iLryFlPe@cyEO=mcac1Gc-LTQ%G4|Go zGb=PDLa~SzBwI67-*{WIrc0<6G5!@z1q%z^4D)!}vnI=`79Gy_^&~_tcpM{PR<<=^ zmP4X7TahqEx~x!Xxe zTwU8_{!fbnD<_(Qyb`DR@N%{MB~8Fn;(?V2O+j8=8w%E}jnOL{p3Oc)M3<}vp_yGH z$}2$UJnW@mSXUn!91{CO!_+I z)sye7Qn9|O-El2-;C6s%;__WqO59aD)9Vo@G`Z7TJ@UgN?VM!y^r%l&jk;s#G~e>w z97UU?IooKgqzUW0nD74_X->tDl{BH@oz@CesMDfjB~9per?mp4xjuWGq|J*79;eCz zq`C3ISV^<`oz1}Mxd3TSdyJJdVT?O17a+}P-LaA;tn|Rykg3vjH9FQV+wBV1RXU(Y za4liH#MvIf_uoBLIu?6k-m=A0;X{<8r)y8Ra|?6@<;Qrb^f(1S-U^Z$KHo3unV$3n zoUyh!so(RxBDt11esP?%xx)upqfXfixlU=j2}8%7=BR1Qj~7$gZo<-W=YeV3#DLKT zDQx}#u}fAc3wHUbPExWUw7WcCz*0pz#Bp2WBTG1DoQ|iES+ZE(%t_qiBTQKN^J09| zOV|}9>>3p*yf{wf_i#-6BV4n*tfIXJWx~XF-9d;l$Fea}CiK5N+)Ok{^o}E~fylAP zcd~3|?jWX|hik!VcMmRDLr!QLLA1u;gcg;@b>^}btr)wiD3cGw>^mb#c zhDDo~R*7PEYMdlkP3$@ELrxIJGqbrUl&|Yig;Y}5o_4cX%$d$vW>6poe6UTav^l4 zvsZ3_3ZXLt_f(8aE`)^}xWj>ZYJV*=zkFE7?eK`k^Hk@T-S%AeukM~%QA?IG=ilM$ z*eDY^*4<8W%2I zVUvdY(b3Wp3foT91N`C{JyBt1`6`JnnGpuHLFW^gA z-vM4ejj%;H#YWopqS|}7a5#agDMlH?|Zb77s;nt9}T6fE#HnolxJ{FKy3n zR0uRR#m!EIrCD_c|GlPT^bTox+Ke!gq!sAx*9)9qUvADSW8>p%`@ppjQ>3ld~ig?ST^yoKGA=OAiyy2ob+xavDTC>$obd_8doI2h*~ z-@Ate@A9Lel#zg)G>-)Aq;3!}zxAFO(T~jz zb`&nNa1FgQeV{9*~44<$(HE^+*#Cv@;5l$38sS}$pCTG>u}IiH4OvZ_XkIh0xCQm z{mQQ^Yb|Xrd|mbKWR}^RRTkD&z$mb;#f$>$n#?G$uFZ@B>l)1{u&&jN0_&R1Kv;4x zYwuR`W)qbr?1H(cHOd-s$dWb(31Ga7NUT`O5LA{km0e z#$vpvI9SpTy&_xXgLfAX9?l)?%$Z1jwH{fHH_IG2tGMYMBJB3u#JlVQWjM`3dtQ7V zc_-o3^Z2J9eDHxG*_ESs@UW06hxK7yJ&%(#4|0ERbLYS<4r6KEMt@e6=93{OoVU_UT>ZEOdSrt;wdd;fW92ku*Obe~&5Y}8>@4xsv$6GjX+=cm$5k}V@8#KM zOUm-N*7F2g$Y4}f?0z>-m6bwSnS)VXs=@}N3RX(4FZj8X=vToy^ZZ5{_sul)<7o&1 zs~-Pn8geP8rv5=1^z8UMDV$IHon9u>6n_`>n!eb;S{mWg`cV3D>Zj8@e4Gm8@k$Ek zvHWHl=hOb*K-|9$#C_a&_)gc(~0#IetD+4&OESv|fsR-0OjSf5LxG zLw_a!_qPIZA(|U079ZG1gFeL%kBHBwNRdp1;{JI6-;W0P_j3XMDUUi0{ns?)1EIPM z#r<-CmX`u?Kkreep}hdy&!%w*Sjq8mX;N`=pO5>I01y6RfCnD4Py2&`v>~{VJpXn| zsmB*e>-DaO`>_$}3*oy?+*bnS{jESbUJbR+T-d?19+_c$Id z6c?(Gp9%1}5Fn-#pgBa#KMTNFd(jhz&|l8HnHMA-NhkV2gh%o>eJ~CES^!@tE$0Y( zA3uWdUqW#qnnQ4f04+b6j_N!XAM*Kz;(jPlZy~swirYZOz62%|qUEarSqs61WbF%q zw7(GGODJtfXU_%jh5Cx`4Z!_$Am30Pp9}Ei7ke3n_Ye<4ao(=;NJho?u>dW<>d~a3 zgFt!zcA&h6Bf^F1<0z0e)Ca^*7%rp}|52c9A>NN8?wf%+`r))@eBeL&U>XY17wQ*6 za3Q|@`)ly!wLrc7+W@`**i=YfUJn3<;6h~!!F_Ll&p(xN(g*%hAm6V8p@%S`zZ!t+ z2H<`y09OmZ{j~sG2;W~1z&+AGk3UUX%~rRq%5>2i%rw!h>-+VQ)BAO_Om4X|JurExjmd#N z`CC8hVEQu%vIN-lpC3qomRYslGcV<;>w5;K-Am$j+yMw4me^+aB7&REM{&}D)Mo|G z`eRkg&CRinIj#Kts6d;Xn1lpyrF8f!*L~_q;X$7v!Qszji;Wj+G8odPg?QWw}KX1hEyS2S-MB-s7czet{^`p+Ij+x>t$!gS&8=N#OC2?e;*&g|( z>c^aI>?GYH_R%sP8gnn%`u@{Jaj(0B2i#wMz z^!=);aS^noo-M9O;)?~W6~+}$o*1%?^WzN&H{G_!nu+UJ(98FR>Y4k8J4%+ zOUr7oI?j=hyA9raAmq5k#aD|E7f+`Y7j`G+(XqBnzn%^ibgXRy4$}0#z8&_m8{I*M zSoP!z{cYG2ygN3gzdl!D-3u@t%pH_dIVP0}_^ebkl+4o*%A zE>41OF1q^#bP+*{2)Yy$92^`fIOyWw2hhRAbCcXkhI{{T&+q&>k1K)zVE|}m@|!3S z!DGmhWzcHHFxdnVd~)a_pg!V|`FM~5vxD%N2y zK&LC-euiyqIhfa`5J|e2W995e@WVYm#|SxPi{*$)6{7O-g>0nz{YkJzyAQT)ldhVa zz?EVsJ6S6;6TSwuq?awD+NXT*fYF2V*&6fVvtmUTE2>Nl?iRMWd9}FCz90W8UPgot T#!gh|;p00960^O`Dh diff --git a/CPLD/AGM-src/db/RAM4GS.cmp0.ddb b/CPLD/AGM-src/db/RAM4GS.cmp0.ddb deleted file mode 100755 index db1bbdbfb06f9a6e13fff01ecd894f9fc6438ccf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 76439 zcmV)6K*+xl000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D9=3;+NC007%30RR91 z005H(00000005UN0RR91004La%)NDZ6j>85+zkPOyX)f9gw(J|aNot9#ogT{(+YlXO{%(g?P%;73a7G#;$PKL_oEwlMscEeQ`8mz>dDxGUui~Z zSW#3u`6uT}YG1+ZBXt#Bg+HF$QL?DIN;<{=rwAFrmA9mdTP2q&-^-JV!vuo!t=x$( zpI>?D>Wh3<8c>od9^QFjPKXOCs_QE_)n^4YS+9nnI9Jjol4nej`i)@ruBDz# zBG3FS(Dd@z+iL2w@;yae=|@pizUuwFvW3#{H?g&%qGVB8Qrd_kw3MH#J4#oYXXKD) z!c}5<@`aaXlob@`-uhRcmCwhOT8ggHs`f5VzEWCJ_tj^mABE?iapCQU>KP@ex0fnx zN+&Ab)ssrY>ds4%Mfsb0Qt4LNT4~JN+r53#G`@4|@Gasmod2_;*y{g&CFk3d*>NrY z54pec^M~0@uJC{T{Z0f9eE-6qKO``Me6#YGPW+(BHv)~yn@;=hd6j^sR9NcT|6Y+a z`Y^~2c0(U7 zKet&(A1Ys^^)1U=58m5>v2O6qMEqwwW$}!^Z}g^Qn~xK2{2bc|`jUk|yae8DC>+{% zJ6U-?+JP}pdodpNVNT3~c}LTn$)}}ufN2DUqxK)Dn3lnI{}}6NYKk#b=Z^-<6Z8SdAAVznRpi=+ zMt(QW3Dvfa7Y~8~B4+3KTT$Ym<(;j)GTQu_1B=s3rT}E;L|7aoY6eu(daa z01aay_c@HV{KX!VZ4;Gy_krHNDPV2CY_)Y2y2MqPUax@!Trt{B-zwdcEZf6`mGm?Pt!#nH~Uf z0RNfQYECD=STWo{ikm-xzTN`aXn zb%jDLGsC$!>NkTD{i?uwwWoGL#q_Ks!zjLi;@$f~U3?x`skNsj0_TNc^q=Sw}rF{x&p=uW?b^`@=IL`wnuYp3qs*7XsI)xUj7B~l=+42mbQhD!4?QGf=OR-7p_^^w1#RN%8xNd4+)2I!jed)rqG<90?dsf+E*HzaD z7^^fWY)Vz1;trfZXI8wi5@<(eh+!UQ;q7cwKGX6%Y266m;!p&x+3Z%5T8+2w188yO zP+`pp;41Js^zn^&(*pdzU(7Awj7&|E$6jPSHj5{D96UVVwN(5013>zr_P%P(DAUM1 z^jGK|tC41HB?HVXpzssjpm9gyyBDT!Z2iz$<1s~`ofiSztL11ig3k->7+Pz{B4!wr zss) zPp=iA1bfCrRttDGXTf4wW(D|vj!+A?UP>(!VNia=a9Hb5?U8G>ru`c%#w|vWF?!iZ zGCGx};kD;kx3oda`Y`ftczCGw2d%Ajn--WoWwH6Odqp`3bo@z?Ba{f$ZpRKf- zXwJs~{18#{EyFfyaPubJPq*GRUW11KO?Fm}xwK(Esvdt_WUb}p=G-{P4A@md1N@t& zE+gQNtSoV+RnTW_&IYD7Xv018U28RKogi2#Fz`#0X5`a14^@Bs-bw~$a7{t&7Et_w zj-P1T`n2wiCze_Py0$zcMecHQ==zkq6!Tj}D=p_WX)HY8p4|u1hg+(#h^MvY0c+Oa zYqd4qBVyfM4j3 zY45C>nzbcx6yamZ_BZtMd1^P*l0d7a0SAaZ56=wU--&iiS89o+)xtfAAR`#@uW8@@ ze9=`?(`Hi#8gE%lvuf}#`Y@p=72W;Rs;6nEj>&i*L%L=;-cc9p6U~0iU6kR5uRNtede#EwPxITyGFR zH9?_S&;0Z@+xW<8X%C&RM$s@Vv;Ur-Rga4+E44%*;eXz&WzfCc9{ij+1IRUN<6d0$ zguf{4Ih2&_=oQ+XB98l3;wIKEoqCQkXXYOuQRYSn)oGy;c3$l*hv142IeR&@8+C~!S zrdEYvRBHTI>8Jk8g3C1-8X1M+`HnJF(!Dsc)_Spv8v{VQ=Jo*pfr*L;cnd!-ndvH+ zD8-1(dP7gr{^m1iWg>srKS+|tO6a8!tHo~GpfwfQ+)HXN)N*%}dH%_FA>@Jg#Oy{vKjh*szV7e|;{ke=}X1d%3nz2et24Y)LCWZlqria zk^2FX0}OnRw~l;2d=j+;XtflFMz}8U(#(Orx++f%@Sk`@#aJqqThzi` z)F6yLf}Dhn?)ywl`xx-H1*5wA-m(0CtE=X`J}Q=G>FoDY=2Gb&@9Ff6dsaJLdnwnp zPjF>(aj`3uWlbXYrYu3$9`-dEGWA2Yg=*~1Z6{Ns94|%Z#5$KD)1Lq}Xrh3V?S_hykT!rBd?^$bhv!bV{N$ObcmbC+|!P?iV;JM;Z zYH)ZEZOo&5Ew@(cNl5sF3-jil+|;gV6kl^ADfy!?c}!Gor}DKFTB*N7YwaMs_7=2) z%QS7_PYT@%PVD>DYM`}ti4|a60{g#R`AjcUq?5!IQztG9i3_SRquuOFwJ$#qt;Nxv zDtKMU`QEghX6~P~JI}jsrPH(pTo6h9(=Rt^b*co?({yRI2>2-S+Wzmw>GHs_LfdKP z62O^4Q0&|k;9uaB@-_64#n*a*YjFpixW)zCaV64;i+k>Y#!4>ieZ6|o!o~wAUv{5d zQ?x-noaZYM$KB(y%N_678tb%XEjO5!XEJ?TM}E=oN|ZPT{S7UVT)E?yqXy5SM9E+n zOThQJk6ib#;=F-2=t0O-2qw{-&zv;QRZ4SS6!ty>f2@3`G9?IbQT5HIt=*dQmZ)72 zMR4Quv}#~n_v-3_RyFMo(sxLRZ<9|Cr2%o3D`xOf>>8=jSKNk_`z+ZnbbINd$U0xd zc$M5Q#s&D-@KYVK!hBbBUJ04 zz{r_^{1kia%*eC_EX6Mi1N_%K%OT*OG;NmOHZG&kTJwqf-c>;RS{I0%6UBq8BPrpt zGIXSSeUDoDz+z8Ptnb{0=1-U@tfh&>8fcsQlKIo${i3MFVa-l3Bfx*fY}JOsvqdde zxT5g`L9S^JKhn?Yu36*Gzil)^BVM)RP%2Yx4Gru*)e7UpC4>8_s9lf$?3fRh(bV)l zGJ@8e85J2~?tnKp?YoQ;Y=0$cxy5RciKNZYIk$oyllZ2X>~dPcC!l?s3-i*A+O+@Y z+_Zj@ztu*w#T1e&$f$hHFRKcxZq;7T5#UQPM2KDOVbuTLjD< ztRVBsx6ZU~MiH9OrL|>hd$Z>SnPm}a^%o4K3P-kzJ51N$GstUKFfQ@^GaJ2s5oKH= z$a_U+6m^ya_+Oi;qT~}-k|;R?NKeM?v?Z|ENS!>~Jb<-tBTBq2cKMSX*ytuObFdk2 zQQO;M(-`@p%nYr-VPKYrH)~(JlkcJ{SR>!!C^fL*Fj`WtsObD2BX2Cog>@9Kw-+Y& zwdvxDOF8Hk0=Yk0gItI)@7^8*RB!J&(#mt@%(!r^B~4pgPintsu*@sU6yay)&ta`! z^b;P|;zMrk!v`A0Cv>Mj@2dIKTg+M$u*RVpWbl+okh!1dQ;XM7^QnI^Yhhq5n8}(; zIX~H&wF1e@T6{Cm2UDJT(5vVG)_!((rI{6m3Tv3n`<8{lXW1w1>HNWA?QW(Lt`U4! zuEa2PJ`{7QFulv2mhR`OX|2i_SZnh@8szgO zR#IrMgT19cnJdv48g=uKAm@6j6P%FI8c7k(n)Aj*Yg-)eYR)4+D7;3|wE8gm7_3;^ zz+ho5H*C`l6m;!cM>>eyqP6GX>NF^H-9DI(RaA35A~R5Cz^Ctw!fX~AOow|Z@FA_# zrNGNGc!o1XewePQ>ppnJ_0Wflzks~u$zt?&aRw@5jJM|Kye5-A>p)@g@JaM?&QXdR z(#X23Cu^Sr1*U_|oFPNVwAB>Ya28Cpp`ablTd)MFdUy=_7P;jNW3bOf8$}hmP8Fqo zj;|ME*sJW$uxiH*qp06&1&+n5Ey)prjp5=4QLK)8-v7h%AyPXl+xuA-1}{W-6-A-# zU2r5m+=f?~q^vMKTxxE(k^4(><)lni4DW*?9N&Rde_wiU2t%SOFe;RqC7-+xk zIgGYsKOwZwbJtT0`U}^zpP;%%K4ITpOS_s;9aNgZsy~izp+oh3-7$8puzG8g%m!o& zbUtd`VhZnni-L}>v{GttR^Y9JXIwcq(b`#GX~wdimam=~$PUO}m}GOZ@sxDoLt)Ja zm2hogr5dZC+3@+}Y1MrN&K4_z^CQTLnNdtCu$eL*i{B~= zulE&7nsc31Tl*r`Y0O&Cd30+r`Od#W8KRB1CTnMkp+zu~`p=_o$uCluQ6;SvTIXMp z?#pu><_^J&J7#rdKojcGh~K)NR=dK`wn?;N>L)Mgi&Se;7j}+98!MWx zrDQ*UqkP6>&uYt2aJ~{Ksy;PiWE9@;Mk9@dOl=w9Ht&Ho=+&iw-Qae*^AU}>vXV%x4%+SvY$`?$6YFPa{zabS2j@gh5{vgOh%yMw=8^Gj8}} zggOjG{cWEpY?1|JmfP&#*T>y0QT5224+hf@@Z^X^KJJ^a6K25t7S2lU-)-ckJ8AH8VshOfvx;Ae&2(+)_ z8D0CwCSGmPEfH`V9~f*T&KgEL`Xv>eJN7<}HtIT_DNr|zPKPLPbl%7zxg`$_iuks- zoL@lcnkewsHxVh<#C!%1umg=>CcgDw^w$!JP_X(EMybHa&16VD3!5yU=>=5nUs6R4yBib`Vt(OFF;u6{!7+`k*c1^oQdw=UP#Knab0&^Qo zQfN*qN`7Ru2 zrwd$kb=G~0t6*yxid)ON)0`p2C~wgb)(Sl(g1(p0k`-%=s5OzcEP5=ood_MyP;)Gv zd68=(RSi{OCk%pMk|X?QK8QPs0#ZGZ+E{y%0A7IFiTRr|WNI+8y2XO2?mLSYs4Raw zK2IFJ86mYD!9Z1ATCnCDl6t0Fd6hQE>;Yfvk$oc7Yx_GzOIN{~uDxXhWO&Gb#?OD0 zrM*dJ5Ei(j=_ug=tlAZ1?%UX#7IsmsL4WoZ34^L2T!H^=joke;%vz-z=-%+*B`BJX zSwX|+M3WfvQQUf$yVIGg&*h!Cq6?h59j${Yk=@ex0X5Tt!q|Q0ePX4Gn&D~IpvS+E_aR&kW zgLbUagQ?-n17ebU8o7s}Mt&-9kZYTh_Z;|!24!jSglsf7<1?$0Hc2Tk-4JH$bNnv~ zuHx&?(yfj4mX#_gcQ?=+N1|G>B81xBP$uE8%11si#LNc@ey>BQ=NAQz#hXY(YjMCd z<`Il|FHTe5_`dE%cM4hMG;)VC<7nPN)W%lvjjcCQ+XL3D-D&Wv^?_?kZutz(6Ckw_ApfJiQ-=Gb#y3^0$1Z06L;u@iag2= za+c5LwVP&f-$6MOkQ>90$9656N;em%*;su44y~8qKl2=BCHK^uN{#v`Fe*vv3xjQH zg2EENsnnpc0y}~sC~RJ%;@OYlf6%@23q@<}zA8J62cFab>#W$scFPc1+@Sy<*+F5% z{5EtatiIIdO3Gqi&<#iruy(~M$FjOQ>a=%|sc?Sb;^){z(TYEQ#wLj5P-+*2O8TtjX1KfFU7Esk<|0La^!*9 zkv-t4e_W-{DPy~1zBwYQvoI%xwPDQT^!9^H8hQ_== z%i}6=L4ID;(ipzR-4Bnq{CuR5Yqs{5xe%cqipryk(X410=<&j#Ru$H{q}=w9iFc9& z8h$B9)0O$EncL3DCB`O#D_)fFti=wh6XIH=(_S6mt%l;7RgEUhwN6{cd+G31mai6k6*%9>o@`ugIg1Y7zD3{Ot+j?~a7NTV2f42l-$)aW zh08|F8O(VK43YOU#0oDBaWvuO4HTeS6Q6>B@! zmJl2c+J_ND7sd>tMW0m4&Lc}mQ*cwcZ-U)x`18VyOP`ijo%9Pcd- zGB_xLjP=L=pnAm@iz6c@#bOniH8VBj*0VKr3SMx%*VD`#KZae7Rczkf*n>`V=r3}& z)KSs`eWv{2uje1VY45V7f;<(stb$yae$S+w+ULh!u|jnS_J%=uKa*{@vbNIl#J=v_ zjVoA9H1blYo)4OxHGVLqy`X|G=MLM(8YR zZ<;cUS{G8aJL;X5)lu;fT41fUQM*GWD~g|&gm0{&Z67HO^Hw++{G0KMF;p= z$jn*vYkLL8s)Mi=#@=G)gxorx-x$bL@@Wx!>XhFVm>ty1paRyd!Ru7ft(`hb?n2~}oH>o9=R&CWj!M+6d_60# z24lj)p3J1o_eDC;@dq=h)w)ksIUS)Xff%7+Ckf~Msa?{#KR4fM^~GIW`QW5E@Tq@` zbz~&EO9#HSvdU@B|3J+UATL|IJH0P9n+_g6Wxdi`oyO`mv6s`Hws8YR|LGICe1=-- z*`N}@STM2=;Nd=h&!vgq)mRW(dj-X$;fm%*Fk1g!C{@a)?0tm&Tv{Twjcu>vKS%EB zT@G10bY|jNpg^N0yd_8b4dgoWh3=OLwN|sIN@Ee7(HK9&WhNb3LeZ+IF)+lzpYa_t z5~opn$+IQ2rl|r$ryiSO1Clwr{!(f(MS;udrlvJ|xu>|ct!W!Q#z^Xsre+pK=AdHy zbz~`>AF66!)%KnWyaLwtp58OmwF|z9tDLs1@u_7+N;c9(OX97!cIo(@1O3ebisUs` z(2K=4X~Cj7)>l2XzYVo{O~tnJEm%RJ6O%*^gVa#>RDQ&qc5wzJIHthCj81gUxjN7o z4#9NddYf*T>yu7gU{76Z234j#RddoG#iv>|w9YlqFw)IhO#2_IuEjqq8=Jo_qQB-T zFf<{e^VXXnqb~gXRrNDf3=sn3g* zbsg1>YMU}!3BL2mWp(nn~$=6}TZkFNupEi@3Dh=o}osmD<5rE>&1`t*{JPBLB-`wxvj@`aLL(YXS~ z@{oiT$v6zo;k#haki<&WmQJvv2u50<2rH#5q+EwYs!4{-Bt+u|~h-aVw6 z3(~qVdf%uQ-^%hMrmPFDAJd8UbyJH_aX8b8UyII#7B;YQwxwaG2Lhg|ESgkN}XG^p-Y&y z1QFJB?30LM?TYbAj(3&O$kD_aS<#3W&r^j~cXiRC?MJOvTuHC9WCWd{Dzfn zCj}j?ma++YyLO6`^G)7@7ijEZuQWT%Ek|WeXQLqnezzuT+WkN_BT$T~(Vcb;UL`YR z(e(_OcyoC+n|Y?j2&(X2^>P!lBE*p~TZ6?IJJKu_mRBXd3qz}iKwH!hQDEFE-ZCC) z83m(j&Oa_b;yUrqc5cU_&O}Rk|H~|upj^LdFeS*RW~q1@RUtnK!FbPqkfCeuzB=E! ztvTWTTA(o=e%`3WL^`$LEcFV=m}{D}6yD4l_ot?E9~oHD{rY8B%ZJq>f<0v93e(K% zLn)w(@|J=+46y0WuHzjB)AyI*t)CGiDxN~NjnOFjb?r*C)~O}xl8jUQJSXT6MxoX6 zuyKg1xwhCma2|#r`jNUCw}KY6s&3}W6p3@*(i~)dpA^I0zg#}|TDy`Jn>m*d^g(7y z%P8zWT6CjnZj~JhG3P@28?2EZy)qrZj}x@E(7Ttk*NmSt4i$taX0bmxf;*CABevmg@t^%BP=u^`jQ=75F$;66E31IYNeMg)7QUD3mkPPt!Wt#Q@Uv_0T) z`5MxQu)Gu$+{lyHJm6%h+fs*Cvj{siOg*9!a2&)!sz1)Q=>Zb2#%agCv4Q?&E+gu)j!5jgLrEDDmsJW z4&0Um8PS=xGdv&HAeY78)nd#T1jskEf_}fXpa_BV7#N~>ChN>zU&^yoyf7)%m^oam(MU2Vk5_h*! z|E|Bb3+(vf#+NM4IT!vAJw+)9NLKBo&8+IZ&IJm563 zhSK6GTcs7mM6ry&J>a4J0ZRJwgiXQCTvL~ zd&sZ|C3!6^yP5F@L3DI;DE-K+vIC~+BLi--(q!i_c z$t#@FP(gckcEn8cQXw?1^fq(V$*oaUO%)6MPYv*H6RVLA_wM$&a z^;!G*X0-k-*lb3Vo<*qLxwPc#x7xyXSHYG7_QqC9GyktUsNl34l%n)9>mH{?53x@e zNfzBOjvCc|LpASBw_@nFW-$C{HHsfoXHWzGGgLi61oS@n zc4YB2o_G&3;=|b&r5Z@lYbu@dq`T7EV~}mdg_9LAL4Hho3-8R~4GqkmsVB(4ZCh{c zV~#uu+srz*>we!vM;^s=-zjj$>KdsvxWf#XOW&QN`}N|v2b}0(UC>RIL^JMc;_PmV!&U3-0@mDX9VEuDk((2sP;+9qE}+df8Fo8whGo_PcE zbk;)J@?C))gYk@nVP1yTwj|g>!&WG;o7Ey2xgV4&B5HeMBjznF*mcBDZxnhX=5fDZ zE1Cv30d1*%p}|p&<&x5R9I>wH`Nkb*R^Gv0E&-c>Ff#f1S}A$TYG3Rbr|rBr@KDV2NJwz-wH z;&f|O^cB!cDfp9(nwJ)N$=Uz{%US6k$v4>eHL}iS#FluK- z|AM9up`*WTA-m>m59jh&CHPLShI6RJ%(Jv3`LEV>=3E-8L%=%`#q0j{>0S6yafi1| zTNl{Kg=D!rq zIThK$T^^8SU*lDgpqW(YwgO`hB)>z(bI(9t14YzJxZ;^2KIsEpNpJ8#b|!JfTDaYT zJ=57}y|=|-S3TX1{mo#rFhh-)vpbMgt+1@@IdeTh=!2F6?Kxw%(Bm($WF_~DPWK*) znTib~pONZ4XwqA)+)e|nk^dBbif7v{=X2$B#^SaMX=>N=G|*US4bZIdki@o+u^jv! z)k3-v^BOJsFxooL?jY&DJGcr!4EVxOhMI7v5 z?*no@7Q~?EiI&@!!^@ObE&7?Ebg`0ZpfM#P0gSCJb~RwvtwFDxV_gNsP5I_}$o(2- zvlmsQraVL*U1GDvr;MrV9XcimDRV*3m6W*1E$JhV)U*5fUBqJIEm=VO zE86cnlY?kl5%tzQ^eA)pW8rH&A;xnPJ4VsiMhaX*tED;|Wg22Z>%7$UQ=YSeBE;u^ z_eyr7?A`j(xN4iMVme{}jm#7WY46*Hxm2UkM~ShYG&nsn(?<-eE~Ps{c{+R}oIGP{ zKNsW#*5>T%LrKpoPu<7p#LorHbGf!dJLQZS)&PyXHuQ;QK)Y1(TUk~fO_O&VCstSUr*p88ubmw2P(-Q4i zl<62hPF&Ibl{3ZB?hpdjvZLRkoe5K5OiQ)?W0;D0ja|`*o4hN{xT~hX;11IIbMtQ7 zX<)XfmqcCwSmSMdkt3A1yLz?${AQD3|D!YbH;i(0&(b zVa~bMiGO-a(k&}!+9%amMCFC+`y5|E+kPmp)9VquQrvv7oYpT_U^Es{hRE92FsZ@M zN9-_ls@GZDoXoLxMX5a6i&|Sp$+g6RF3#XluT;KeDQ=x?l<)gQOWGbhP!3Lt-Kxfr z-lHi=(Q}lb|2)eNL5GXC?D6(76u(uUM#GL>r-T=_TdTEF`4|zydRjov5X)X5SBU>XG>7$`RMI9HZ&a!5x;N z7!@>;;uSeZhu{BZMbpjubKtFqqHeaPR4&DIx=vTlr5gi^7sYruSvT98ya!37VNoq z`cbk1YRYpp`;Z|29kgel&>@J4q!67vhM_huz#FEXNQ${^v<%lgbJ&~Eyzf~$nij5j zCR$^0qk4;ulEXC$TD}Rq4J4l{JZwi7=M0kC-+9l!fjwl6kji-=5oDb0^wGa;AvN=l z_amgvT9k6;hc|cup3lmV_h?2+fqiYUf*+>3ivBak63wkfmDl;v>W?R_-?dVOf)vZ9 zZJ>&kKGW)#Vb&QosEi~}Kw%G29M8UnCO1;q!7=C$*wP2p{npH&iHQ`L*OpbUhv&Kt z+2$2#N~E}EZ7V7wTx~rig5@(Oh~y^9JTKw8C2{$oPHms zf(X;h;>Zo4k%}n16LucHAqg9m|9RouL`nB9^k`C|kF33A-LP7&R+{t6=+Qhu$7%2Q z5)`9YMtXa6lXV8-;^HHRBD%4wn{TG20ng~d=g;2#hVbkEr;OpBDN@qjTXq zJw1=62+k2mtabc$()cAW=}oe3)<~V#c(vIumP3x-v!~G19p@;#<|WQs5w4gEoy@af zpvlaQ)H_XVck1)2Jm(a7I2h%(nl^@fl?ZjZR06)oDmE>nH|3n8B6MM-4p{@xzTNd8 z4dk=mDo*QTm_vO?+4r`2ty}iMXN~WTA4zTYH z=EDa9V`k+$9Z>r!l&TZg-ZZ6UHC57HY1Fmd8j{s}j%k}iQTDPw2dI5KFu8mOY%u6My>G6zoJlWq? zTdj0{sWr&B>BCRXees5#27qj@4fWV6lh1b+{KX{)i{j=OG#0~n$Yc!4 z6+pj*r>6Krhk5#n5~`)}V1*T9Xz_xTBG?iw7&tRmBWc#k@|p?_O(buK)aN!-Vr($f zJr1m;cs!OK)>1+A&$hyN+k7mYKB7X9U$#@6^Kn?b0}TmAXC~}tYNvg1228>mWDRFO zQ4frOzAL^ z%-3=`o!hEj*>wgUAYj~wW{3Q`f};7WoXWJ7ubpBM%(zJR-i?LRyU&YWMWUzy?9>+I zJiOrP)`+v2amT66Tf~FR0&oX;Frv7u{k%Bh=Qae>TAT2Cf~%44agBglCPAqK%U7co zxm^_Se!g{z<(3E?2(@%Uf~m{zNk*HWP_|NPa~m&F`#Bh>F=i1pcZF+Afi&uFd&O!6C?eSTnN>dV_WaU5S!P97pR4(hYFfu|=|2GgO~rv&*mt|WpT zfDgY#&q(JrobSNyplUm^7?*bj)4pnI5-YNwe}vZRJ_)9(U7aZ~ueKx(w5E~!-LET2 z)8mRlVN3eHqKdY6h?QS@nubfcIgB%l7HlK17WLAmZ zg$~qGgL~*)Op=Vz7}?-znzarY%E%i765q9uH(tOM&wuPj)qOr1;dMj#kMh%ghFgmdqpFzQ^4nVHMA8V5Q-kiGFFKn|V zKI=6}oM9SWhd-~n@-!6OY4_a_nqOaS+(E{XFgS`#9}mxX$9DnLo;@_4sd;0VEkd*wwo_YW^;^FMuBMvxSBTJK(At{sjcI7JymTkUWoxkp zXF)?yo%u8C&Ob)W%lo<$oqq0l{UjyhHqjc`83tXU_+g3MSY3L9&>K%eb|YfT(z8&Rd^#hpBN3nLt|{C znXh8H<6Jmp_0$HP3-Cth=8wI9Q@d`d-O1Wjuu|v%p3Z79Hb5<9*AJtH_0_<`31B_} zffpT7{A&QmgOl=!0zts+?xrD))3OQb?2zy~etM*Km*CJGOe3uwA*2Pm>>f(cY0*zYC zsX6m#McV$sMc9WH7%EJbpEGKJZ4S24ZTt(?TYGgkR&XF_@BfSIL9-PHPxUrEE2~(wC)A#U$#R`{&AU-XGHr@Tj_ziRM#JgohOLz2!w74j z_O;}Ast}vR9JPN2J>9fNPG^+YYym0GHZi}4v(^25EP8)S2{x90c_lt3OsB$@(qwvr zd&M(?-eqC%5{SH<3&R`i)E))ax}fj|chj{mz}KFk@CG}z(}O<@<|Ba}+QA_E`~Rd} z55}qzm3Ahc0u#=cf%9yPJCa%|X{~{(F%HlCD{K6|PLS~vS}SkxUwl`P^ZZqyaT_z2 z+EekKMHQVL!eOi#NSgXRi;S;hTCp_kwrmZ9w^Q>bwjyOHI*F z4J@MudydvRLS%%g?G5gsbuPWBzgg#|H`uAot)MNZ&Klm}-nuq#`w2A4a1jZ)Q@aId z$HbJZckTIFEt#1s^DC>xnZ!aEJk?<^2x`$p zRgZ~U{?uV0FRz>j`}g{0rK>TbMCe#JN^)?XBs8c0^z$SUx?M-8kd;DP?CI|2t`E|o z_rUnm`UAzO>XQso`(I9-ef2RHl`5-Fon57o4*=)<7QCm|gY6JJ^QEq|2=r4Nhqm4h z(K&dZryb)nH3E%X=x)C0u$GDz`b@h&jI_daZ4Y-(!{*V(ftN%rGqp4ER*@h%|JGRj zs1&7npvDGH;#v&kJPpoEwR{-wq16RMFr4oriOYjrH*#nv~3x3XQjpU|Z@migs zlc$-B37K9X!7Th`6m4*?5!QIzsxJPK9sZ307(H>z?6kMt4596?=Aj+>XMlhA<^cj; z^S@ccw%v!CHBW85ZP%w|kTqJ<_J9YanLwYH9u?MB{ZpwcZe*eSd7?Ozr}mWDIsF@^ zP-m})Y1VF{%fg#FJ;QT!4xVV%mT`4cJWF>D?#`RwIct9)G%^D28Xo>9?LVY`93_Y5 zydUsZhBH~Yvs0TfQCJ&=iW`AqVH?Zer=VhOiDu2ixi;v|1@oq+d^M z-L1J(s$`TdbVdxo^j}1%nxY6{)kq_HB<}cL7rq}5n8i5Elmo0 z?D-iV^y`dj5Z*V{eY)Bujl7I_fIB8K7EF&eikB!1GyM24+M7bnLch|q`D7Q~1J1f} zXycWD-v4wa{Yt-`W z-MP?C6g|nG!WxGBvOA_Zx)wac8MTwcl7gX*kh>X*D3{v5=DGQ=f@OoF$TP?DcAycz zDZ#2}!F-vly%Owe!D?Tf?h))fM6S)W?QyAV($<20vX|;7?G7H=1Dg$`sRNgZVAmLB z1AurkEXc@(BI+d^T>|opD56|SuvyS2kNrJU1A}wc^(<-d$vpfkKVU7xgub*Zo>~B_ z%7V$*{~A0&@M^(UH}UL!W0NJovhB2|ZQIyw+qOAv+qSJ~+qP}n+HLo=jcL6(CtlqD za3k)=imb{H6;&0LnQN`oUc6PT9=PdQJ0y>#)2Gmk|H398bCWAhwntd7KZeoNYN@+p zP=LUGP^6_+PSUGYKm2_`+h!vvsxX_Z!O3T`Oqtk4mtOn#+hAT2)}IS6*o)yqZOQ3- zcGko`aJ6|WeRH#rPpvd$AhhQ6nQ?D&JZK`J0-~KJ-pKX)Yni#@epx5xKKL*v10Ry4 z7`5QmlaO^Vt0PG=6T2Jg*R#`&%+~5R;vJFZcC^QQzF_}@@pW)^WSc%~Rwx|HqEK*K@ z&xg$^XF7d&_eQ_0)GI^2UFuVjT0}OR%ZSNSl2hm_T2^6;;fv=(*vTNuOyumj8{+03^lpQF7NYeHXCZ- zSG!Qo3x<4UcWfc9C`Ewt>%<_eF8d(XL3XqlEI4@9L->u%rxBpb6OLF0(Ne;9TVSul z8REfzfDBdvIZWyyJ$SgSxi$Mp6c$JmfRcQTl2&0EHIpadzimxEwgfk#G}r5uc7S~M0@SNsr%kpPT>jWm4f!f+nTDU zv+Q15cjgU3u(96=r~_Kr>%6@*)0JmDRqZpWl-*)_X3=Pr+rt**gS0Nd-v#da!J7dr zIWsklZC*3tTu1ch&lMk2zUa?OZ#{CZpbJfX_lwM_bxj1nh@EC_^oODWmNBN-_VzpR zTJsM6uvUFede$=7 zThywnmc(WQ{9KGnRul@QdA?Jq#(DgD~&oi&8Z7B}pr! za32)!5V9-2cOS|H%RwIir%I<@&249SlTr6H#s^h37K)xvRysztpRCamrXNyk{CO>L z=5oLNBdNwO5w>Y-IkT02XExgm9Z2U{k}vLfoQZFGFEr`aK53sq<)Gb#UM;j`;KD zv~XFS;%(mC2#edUHSN0j&$D;$F>-;Tnmlu5ftMz^=aZ*?!ltS@OW)_3c1g{i|4+v$P@y2!+5F%Y3GJ+hn714~oA+PaKH?zJJW zk8gDfF3;$M>yFO~RY@3^@Z%>!ShQKJ!K*PHA^_{;2U-A`i;<1V0>7$%$f7m}hU~8e z;yt1Wn+k zP)B}0hq(D-u{y^YM6tZ(6U`0OQbhtNsslyJ@HJ%DDcb&p5V-88?+zEcbyk4MTn)N3 z?r0RD7~M~YVD}6Cunc4%tihCKy-f1dRK{;%4}3^JY{*HJmhcD6c~8M?MVN0X_%(!v zo=|7RN&k{7*nueGi0(R(YSjulTxNSu0J?EuEw`o7uQH$rAI15R`{-oFz#0hsT*OR` zzS{!SJ6~)ZGF@7Q$SsI0Gj4VnHm-ciXvfy61Y4sISDE+(!ot5uS*2lRi%<4mpb?Dy zsR^}Qe34ZCU_{qaRG)30ch)NMcVf?qvSQY-3eO~^eTi3AvHxFfCCComjxhnd)Go}F zz6xd)#4B0-4Co~N%Ey=!cDi#4+j7<$rVMBvmaPK2H>C4X3FIIse4|kbRasCVr3XN? z?-V9x#SNJjfu=V^*%ip6Twe_!59?_+8`uFTCDY*u`k|^!-QX6lVq73f)WZAoW;H=Q zX_n72RSbp8PmSvE2L&QFg|(6SzV`PyII_W$Z#xk|sp9uu!mGpc2aAWWIB|_k<6Z`5 zwiA`|Md^+{LLQ!(kplK!9T25g4Ckw~0UHfO@M=HX4+y!BS1X4lGv}F9n@e?P&Z5J9 zWT%V7CaN~ngFd*|EvukH>yYm9G#9q;@t=uz{(YEJbB*IrPDop@$^dVSELiRl(0dKv ze%I}{-XI(F#GLnoC^?@Fk&2b+Tss`7qh!0)!GUJI_!F4+FRh1d&Tm`BbZj?YKHb4r zRgspMc?u>GQ=v<>tei8D{g2Te&@H@h^b-9Q4-=2Pde8&~V{MBRMA@Hb27hDVytZ`l zcN8w&Yv``SUZ=VJA!O7j9Y^=eY{Bjk*Lc&MlF_=gM<1;mx- zQXpopVACM8zUH}=itW?!HnCA>u%V;EU%=<@?0X0I(FtQYA@U$idlQI49s z&{48Ctf--Uh456Mz_G@TidG{_4DY~&!bsDY;$7lYuPpAd zxAA-$RBLz;T>uvyIPP#nnJu=fya&To6?e|f%4FB}S1;1$dkL_|ybwBUdPW0!Z@dkw6a`i- zQgvtHbTiOjy&%@N;)cGUXSveTF|tSF=D|nMUvV>q-rTfiG^g;xi;CZ?H#H30KeYhNuU*K=3g1loPnr20@iKK7Gqg^Gm#_oLRgur<;Z{zGd%1#B~K4?aV@_G$b4s=|mwI)Pwy3I|5paq#3eYsOG{rU5AQj>I=$Y_6DR^dGIM*5N- z6;(`}cGIpU+;F$&$D|7VeBgD+kX_+p2J4k$7rU1qya=oX*700znPHc}_`*z=t52Dm z#h8ph_H2yCY{(iSgePq=tDb2T9{KDArTcu1R21Hx@5-{$K}SVPn37!mDiFIJ>_*`} z#_C)fjCSXwik?GO7kgIqW6-)ax5m?Nx}-{MC6b?hs-8`B#{7*c)Vb1 z@LGPTn^iO9@!-Z;r(2Th`>&q2Fy4t%b{sQCypDyQnB>jzqiY`lar&4{}ymyrfV|4^uMO5G*srYx*ro~=4A?x!z)Eq|BS-ra%(RcP0ANqFQKx`ZQ zT0@yM5EarR%{-x_SlIdRRf5%y`OJHQCES-rzBeeZ_jj^mbNC+EUCTP znVHmPt7@DhioKyclY}XT$A^JG_0bqM!VcBHPF+a3!o})MZCh5e+OJ(7KDN*$IO;2{ zkJ$RT7_XdJQl-j=2vgAhrL(5_RPI+ur5-X72( z@7-_PZSXa1Xlkx}P(pKjeT16}lwzusdOx+p+2qZAzul==C6i#Th#REkigjA;m1IF7 zcyy`2E59S2b*vn%OWUs&@NZ4Gh>d@YlYAl7h%LOES^oJq*w5bvJ_|VdD~G9Zn-ypM ztuT8&L9nc6u%8}x!fXV4xD2m?k!t!GW7c_4vpa36skq@wo?*?v)*jLN1(&Qa03mV< ztZ{6nMQKx~f2$HABkMWKF0U%|m^RT2I0wOt39TxyKk;oS^H`I zqNc8pb6wQ%clt=ts+HQA>S7kkrOOt?8jvOG^(zI5D60T>sth$}yc+L~Xg6V+tQl~S z0Rex|Ny$fb6!)-~N-517vw>|q~^deJ)?CJxy}w7$RSDT8qZ~> zOt{Y%Mf*XYP3d@&A{`8vATDbJn+Z{_}jsZXiWG{ot#NmL9lahFrNK_rjCwbhKV5U z%XC=imcBV$ed?cO=kbR0s^y`vibIgy6{epM4E;eM;)a#J+ZB?G1}bs#(Q=?1^w!yWu$;=@bs?qp6PHM0HWX zGa9VzuwgfjuKOyU?HHNVJn>n`<10x1U$URw(UWoC#K!*JHnbIG)L_$o`L|AX8eWYu z#B~FPL>MxA7IGIKqq0L@Wv&QYqYU#i@jyE-9zcb+$y3)V606*73s|SrgDDSr1GDBYE)-GOB@>N%`SzG+Yte;Vy zngUc}yz%e$k@%&L5CIFPD8`FR0ZaRyri6R=9$H%}d8VY<0Uj(FtlPT_`Rn|Z#kdx8 zjpS|&LaY5=u?58u-Gs6 z(2*6}x{U;_ue#mkYefRH${r4Q?pGc&yaF(Xp5yX(!e1L|#(~i43aEfNizAu8A=(_@ zmJKw~;`6`9Fh>$|@)mq=G-)l@W|NP8I~{2Q6W{hQcm7FJS>~8Wd=HEy)k|BC5Vu`| zZ$CQgWpV)uHqAY8=cWMhiR$tsFEjkFJhoKLv0ivqW^Bv*H^|kl!N@MueT7%)!(g?_ zM&K(^9x}o1PZFHWMjQMIi{Vg5(*5I`C zejO&};iCKLEinMUrUPGSUF3Af^_N_;z%GZUf)kWGLg6E;`5LxR8ezrLjmo9$!6`wt@{E64HG%09~DTri1821Hsl<`X$AMZwz1C$u_k`2*3u9){0Sp z(rb>^@#~cOy~;87VUDkGrkpu3=%k7xBS#Dx@zttoWcer-^xebmLIL*mCExn9q@R49 zY!SyNRMv++#avazcgt$c^{N7PfHh9DAR-&srDNO^kSy{&@U3Wii0@ zmo!U({*Bs9PVMtM^&Uzt_T-d+&$LQSd+N%w7C{I%?DDdT|GYo1OsXCl2FYG zx{ltclhR?exg%fSc6~!-G6BkB@)zupSGg@%A>nPMM8arR|FW8qi*8jjWQ^&uf1i^ewbtS5AEz#X0J_G`=GuP$-Th~+dIJMdcz+1 z!OwUFC!z%`{2VMV1;`%2yO~`C@5!PXqS!Mk*DgP!?HD|M@glt)!GD=c$AY3`-iI#s?yWFh7f^P}*d?k1Cz9Mu!=3mFNqJDw+7awhIfw!1Cq?=p5_uY1VT6%5pa=x%&$_pgm) zQQ>RR$Z%KtBZSj$1UD)ei0lp_BgdpPi68wHT}4@<1|Qq%Ok!jGb{;f#sipnAfrAQLhk8Gd{!q zH^6UX~e_w^*@1p1Lw7u_JjIzj2_kk~w3hS1hto|KWMGtG+?$#Hl z^)4nD`}*Jy7Lt5vRquzxZw~M8aKKmi$QS9Ti4!J`RPg%9^@wCP&z~=1&hJ@$(vnatDtR?4_cz@3W!4%ZALA@L+B_B3edeF*Yegp*lo975zRKHOtxGt~!WIwM zQi+E=PRX~jf=AisXWdk?+dttvNC~UB^1y0Ks;UOq=;hpW7mS1PEUa*X0|%}S7Ei;| zEG8QJXz@CcjyoIesAu?YBk$4W0!G@(*SfeiEdPq|KQsGMRCSWJKm4Ognpy}BKr^#r z)$r^gEU$YN63+lCF$y3r#LBIaF5zHiaLlZI_B-1fgPl2|w65#W+^EamJvVDs<0W-1 zJRN`~uFd6-x;P&c!v|OGN_CgvZ)*zTHYs0k--b7Uq8iRjH}k#xfnaY3cIItPaCdiD zj4kNvMvhvcAaM6Iq5)m7fuIn`b0&*PX9^Zq)5nZGh$ry#mMVJ9w);G@o$R4W%i*76AfYY<-SsQ!kwU=fZ>CufF&!i>#O-ajv0t6 zo_^gs0d0Petue*dj{VH)R?K$Tg4?8(0s&*ZyyZ}U8Rv!!Lx_S_Vw-Ot|9aTK^0G*UE9c6J(VpgDa+dgeOv7QBEoQbUQAu7caeAM z4W-VcQ)x38yIo9Y%A68&Qp1!3k10;D-9ao7;Qz)N4Tx4;JcjoLgu zTjQ;BrIAl%2d+!Sa`5&8IXLbb^uEzU0cgtvUYoc_z-TH$h8cV-6QTX{_i2jSb8VCG zswOqV4_^P$SfZk#gc0?!gZ*rsxCI!kV%KnmS+~~vM>mQW2}^-w$`{OiDjua~OJqBU z(-QP1^=CL2Vmp4cpM8vVgZBQKJR6m6!&=xby2vsH&(I0DWw}Q9k{?j!;eck&IdjOS zff*X1kjnJ~bAO0lM;7*TRYba0&j;A5`{8CIiE{HjP*brTc`gLSyC|lvLypyaySRV5 zW1%w0el|t*Xbcrus-5z}b;R6!GbbaoVNq>y8UnKU+}&)}B2Buunt|~uRI){2APcIX zi*KH|QdIoVXV~t0MrAO&yKMC^1$r@VdJ6UQYrFdqYV(pt4MY3xpP&*+3rFx|AEOrp ziK@4;b51L4He`=@Kt_%dQ%gtOvt23Bn;U5&M7>AnsHDYHO8d?;D-Z1PiR@GxVd~FC zpUd>)2tw6EOxx?Zk9Suz#iG54|tMD7?Wkt zQgND{+HVp60{`2fEP40NSY99C$apGN$gk?DV%Xbep&5rfdEZff&q;IXhdLEWxXAgBm!qvZz}Svc-1UC4#>8EPeOZhlrzA zq%^wB!C0sLbvFq^KS?~8Wd~iYo?i%@RjV8X1&CeYcE5!caqK|f!!ll-mh)9VOl6Z& zQBpDXJkJI~s`U&UyEiz?su6atf{2VRwIK3$;s@{#~>iQSf z|714y=`Ly~6w4u4zil{Hxt48HChIo3>gJz)$5{3HZ7nObKzWT~?Knc2jqXn*a9XVi z8{s0hQ2GrwRqZ?iT&^S`bdO42D@DULsFB_`2~Vw*A|WdBPtJ??^ycFE#44Ff{G&1O zXVXy}qv|AA)@b|+GmyTL!{GXb^E8p{8W*ry)NFLJCHJk~pcwzOdYxCR!(sevVtzp3 zc^TwoH%n`MMqZZWVn8u5vA_67>85G(k9!mW=aF^gw_og!H~c5{Qh3Q1-g@npbd83* zxZc)9-!%2d_C7X;kS*fHonLWp@72yJ*&<|wEV!^TH%;;7SLC+LL zv$#4%C`Xn--1cY^)O~_h`H3zR?~qh2dr?|0Y7Q>Eg4v}OQu$dGsGZ(;l?o?Vx4tz78Kr+WT*Bs$cvxs%jPr#K3vM|q_*e4lly1zaRD&VU z1z_gCWl$Dk0=#+D=?+@I$Cx!r&|#kmVCFd%a{ypJsv?#(Pqx^r{9o2qYnZCOuFP$x zcR4iQ%0eCxHQLL9NJ8cX#)_UBwCkK2joQ!QdGAlX+qA@^*VIexqCHM`rYb(<3z9Et z;_|rbLl%-Kayv*lKBPSjG5LI7 zJ;78#eIn5uDG9f}31n*{Rz(@xEd5<(tunlkEYsoJ9zv~aMi_PAKD#gGS$s>~xzN{A z+9^J^E!L~d+7wgIm@(8Y3_q+ca%o1mCqO>g3Qr~7eip{c|L9h1i`~cz^ACB;3YV%O zlo4o4{l=pki>C?TTPj?Rq^HlB8aUNyq*DAAOq%y&7gL^VP!C@6e(iJ3C}dWFVV%%B zLr|@=uBke27m-Nu;@y{ae{}N(l^_*k*okTw(B}V!_{LI{F4;WfPx}a6;Z7Fcv%Z^w zPL*t0AAIB1Df=U#JdlvgO_S@;6R`03;N@b$bOQ+h@y#eOtfLGJu0+HK`Qgw!Yau;| zI4wu|tha-eZ(qi_X0iN-$q->Q+CfI7U z2Px=+rTUQP6$_&{2)mfHT%+`=gO4p%PRri&Y;bN4gswE_9L~lQcU_&B680Obmm9_D zM#kFTee=_I$m_q_A<&QUdD8+smx&Iil!)rq1UmpfX0HLs3p&61w5WIU!KGh6Og>Fa z+l2%!v72>%a0_S!o>Y7V#1P#lI$WH7r42s`5cz+6&LM^nzUA*=&(qUHB(ztS53?bv zorKz?v_E6SWF9s*cB5DN5c*2ZvWC@Ho}%E}l|b`;wjVGVH#f#1;7tlRJ@02ikt(vw zyUDP-tg3t;zpVB0=J3CiWSFkc{lg0}Fr%!=relciw`HGPAe3Jj0u|9F?`=sO4I&$} zSDXx6Y7F2d-(y=oT$b^?RT<6_!+AZd;eAqcr{6o|@`HfL&>}a|7lV(mOt#|Ml=Ab4 zlJi1wb81ju7!mW|$QZ23#We=5)xF7d-)T`T*_w|)b9mZES-s>> zq#eWQ<3q$6-BM$8U!0at3Rx--&pKjQ?t*a&XvCX!i8dRler7*119z#EqCkkx1^X^w zN>V9$?}kCH>g5=A_eaO@H{Ha~|4v@%C?qYh1v!a~vT0$b^R_2X-y}~EP24q*750<) zZdnSbSnb8)*;s?2(ZGwz7TbM+%iysyt^eZXei)*_j>~>ZaM|>KhCd>Z=~Mk#0{!Kk zw55t3U7zr!B!^Nn*A&1b{yhE!+tbkNl_j#=%S~UerFETHb(3e(0&p3pA-qI(@VDMW z{o$5(U(3b1!3dZQw*A%MQ)$HTLzBs*5Z0DOdumkd?@U+wp7_N-ycAvXyBEVL$Ib7m zs89H78LAID@l&lFiaS{qx>ORAlUJ=La)LL(i#Bz5(z5io&E_AUL5fEgx2h)G+0e*iv`4q2=r}Po^&0Hs5yF&H- z@b8)4qR-H)w-?GBN6rMEV|`+ywU%)kY02Nz0_fRhFIIZpY7g)?;h& zW_PsdWVVJ6w(YZ32wm!d{IoXkmX3@>DRm`c+%s^Re`k4(V?B{nEuaVKoH4nz1rUwe&JUY45IBHi?{2Tm(!H_@v%6G?=7(h8um`8_X+ed=lj}^O7WQP{*j{+ zTQM~r%@~Cr@N1)@Syp;eC3Vy;`e~m)2Vm09Xj-PrFpME zo7Pa__Q1Sh{*YjJAOhI7)ZaqEu3$H)D+JcFRZ<&1*IQ7bu3!Ry*G_&@@HLt5PX6}4 zu^SYh*&xxt4HWW=*JZxpT}SADRsiuI)1}-oda35G|2vC7_=31u0LDdqS!>}2(d#_F z41wSO|J$y~Tq*xVgZ^hxGtXH@ajw+gB0+Pp9Q4nxf786q_a6T1@Sjay+5PwbxXhJ0 z&nW&sm;bky|2<&;>kiSEfJ?ZuiL=kzHGxu00@sTi_nVUit>x0w4h4{T=qEPj&cYZ6 zIc5||XlF!_3nBimSX&;8OF^b;N4Yy%F&0A_!z8KHMDS{O8hrGm_-i!m2sDI1D5+HF zJMs5yXp@x32J-au2cF-i-|}rIv2#4*C$K>2GeeepA@ze7#h#jA-n_mVSe_T_5-F^vno)qfg*! zUXezZ;NfUX#8{(R>38GBB)dg+PL8Sc_wFbIW|{^OLJXOdS9VU$HFYMmfBQS>1NRZV z79b-TlP6LHqbp4?@(0s1>$+IGToT<4P;2vk{(PtNW$rth*Co(7(j4zZ3Cd0Xx~%TQ zNbK{`k~ABJx`hv_CU7y{I`>yZL)fHKPl&MaU_``l6TdSBUf#=1JTjy5!9%TiYI-1# z#P5zK^)<(l*3s1dMj98JEsQjIa$+*Y7Ff>`9>IVafZDb6fx*uMw8Wy&WE# zli>QA=|&k~vA`as@wp!-_0iNuItpinFu;Qc}Vm+;&-CJ$T*H7Jj#atNegqG`~SON9^mp{TLreMldv{~B9|D6rnC=5!Lw zSikQ+kbcOWHmJ~|JW6e#F-aD7^z5viC7?l&yC$9O{8cBD8F(5N;?O$|5zMYeZb1giKUW}>C6YlL-u4uAEMG9m;rc&{hlrl_7|$sgMHCKVQ5|JvTnAjY&lg z#@UdcqM4y2Gi}jg^j`JneCYoD?J;EY)x_aZDc)YN?eLb2oJ2EOWb?W2W`R^14vA;- z3TeRrNyON07h_hcO%+j|1v%M5O1b_Nc&l>0VDSUHzGz0z36`QCE^?t@*}fMEUMNG% zKeq(^N2Pxo0u{od(?=kq_#Oir>VBdelWpcEI|g_>)rO~wV6u>qxFm- zAlnXvV8{siyTMb@@;EnfI@|Q5SF{_U)V=+vE8*o0>fq+&P4>&Dfa*De0msyrC@@2a zie{6D(*GSQD(96pqgaO}o^~1b!6w!&DHP8cJu2pO7V#{tZWiI8lAo6^YCFVf4DZ60 zFL1cj#5WQWt2@H>_ZDqbBP0`rDi z-38nkdk<=!rXgn91kjK>U1x_UA>OlhI8G(;9ZqqtnMg{C9RM?^qiqF98PH zXx<6R7r;Odu!C#3}qCWa6dqjL`(>uK2?i1-azQpE*^sSI90o zo@Iq*G8BzB^G+rJ$hdd-bCjIrvqujY z{Ity>O3ZG9Lmk$aS(|1IWqadd-u4f7Os{KHU5y*$w#ea5?IJ#Y2@uqTOhZVt*INCt z(bNvqaH(|UKUN$kl#VY0QVpVi?$2<;vyo4FRSsmlhC6u|m-dhw%7>Yl!rPJNMj45A z@eyu4u3%vOYn$K$9eE6u>cpdm(^p_2#6vD^n)VA>Ef9ghkRxdoyIlW(3M|ZnxwQ$I z9!5R{e)j4Z6l7ZLZE%nQhHOUT+{689vtYYtu%Sr`qlZEUDyiZlO5-?zBdr@x`72Q6Jy&Tv0k_xuxKEfyO+7m~GX?NtK2V2R;x6SZpdxNhh_T)&j z_GzZNkrELEMd8sWk0DiCs8()89$o@uVaxb5Cn%W4oZxW2iUEginfpOhabOO|oW**s z*c5)%ys~>>u$K}X6j0(-A=?loG6TkrURFmCisOZ&MeSX~2i949fe#aN*&8#*d|jd` zsybr0-Qm%rO09?0mPhoCt6L=FW7+ii*j6%p=JQmUJh_(%ys6dFaLDY;Ea-*Wv|LwK ztk8irZ6sLYEA&#wGfvPYIt=kH))6AYMWRlvIa4T(-UTd&(cEVV8<>JEk%^K!*I2u- znv*C(Stj7IjzcIDgAFLR4sn|@j!QA*?#x_t36gdyPdG4IDMU|BqvW8dk}eTjEhVKi z>5oS9;%(}8mVjI-$5zYG=@I3r96DNeIV!5dg)&LC9X^kc^c>f8qHcUR3E~R)utA2d zSahu6VYSqf0{585gv4r~lcU`}3B#Om_HYkZyci+@TQ@cdq|AxFXd9DIzXLdQEMFz; zrCtDzO(zlsm<~Kw$j<%VcKm#n0COfS!FECf6=HcZ*2pi(W_8-LZtZ$T65NzPC4}XY zgGx3M!{wC&@<-6nn)w#k@w_cQp~o$O(J7lfms&%C7|gNoc#N7Au$$eOf;%JmhlK-g zlrc*#81Yzz1Y*dvbZSK1Z2ClHLXkD^#!bl|ukV++#8W$Y6qM9LZwBt>{oZ_^WsNE| z9w=JLxW{BxJn$F@+1aXJ^Q0hM@VEjvaJq^iGoQ())ZbLK-DDftFtz&rQZ(*_3Pk!y zksXsolhqzGL9h3d?o6IGLx`jO*Cj|l32E<1N>3kD{y^~H9Ymw?5b4S8T!|gyFIPbn z^HcZfS7-Fd5cxV5RR>msP%XM{F-XVlkgopzX(xRi5;RKn?;mje?=`$Zt0T_2a)4EG zjE-nB&JlE<{)N65o}*-d5s(~2AE1-z^^ng5Ka!!69Ae>uV3=+D%M%g>*+~`7Yxq|Z zku?eJFxLCPAo69;^a_5XSN|Xm*IMLp{F*}wpSf`bJYgJ0i4G#B+!^Z7#h*dr;wd&0 zVu2iJ-K>1;sVu|7mHC8rNivLeg3d~jwa+%w;r4+Mv2+v{EI3vt!4x^IjvH9{lo)X( z#$<7({8#k_0iZZC89fFT=+H~?yl5s|>;_qCBK-+WU;z$wGa>d>P4L0!uCSXejj0)PFp~{pSOb+&$P==8=JP%C zGldZczRuDkx-HEMa&yQ5XTL7i8ZPMOWekPJ_k*|ra_|gQyyQmAWDS+JOj1o5$=6G0!1(8l)NCgoa|yr{eT6v6d3(A1-Y2XuAB|D503L>oKIm z1~I|Q7gtpgbE(OPr6#&!{qs;}trbdIN9XF|26UlPM<2uwNbOPfdPL%`c|)U3f>ulU zx^Ta=%0v@at~U;H4vf_4!`Sp`l-aTO>U-oSF_WoZpt-~nPx^(=<18@bt$(mCW9t5a?&CoZ?u<|2mStuu z-@`~V$oF!>MU-InAq4hTv9LNIYXnP26paZ#%!IW61j@@99S6q2>hhs}MEf^ZQsTwN z2UPPGi7mqIAo48fDv*P*N=)6M&&DUW=cj@pQSLu=*Vvpe80YsBr~8>A6khCtIb@+Q zrYzluaHnN;@?(}zaq)TVfK{ofiF$h(4;4#4C|e_5e{B(jZq=N!MUUN|^Lf~)?lm8GCf$67TkL zKNpx0Lr9TGNqPyATu(f_7TBXG6Yj+D>LxXP9F-T_*igpNOAb8L#GM%ZK~P86G>=8Q z8nN>dA>7Q;7m7r5->kKz|3tZlYm-Os#1D3lU_5(IZjP6ZPh>a4l`5yn)$c^ zDlCz!mqCzD@z_0Ul1f*4iU!OQLTk@$vp(2|dbP|S z^Rpq7hP5&1EG9pmU9`7~wXiiCe)?az5Ma3}Wu>g5!u8c&!iMY4Dss@N!&57U6PMUHACp5Od@`$zY(eDVI6b=u z9*fHFW8h_mD~ldHsMGgNmGPK|@H|`_%JEx?7Vj6FsZ_Plu8yHiR+}LXoZZ2ci>+ls zUs33;C`jVt&_BZvjXi>O;zQs9Y&q9Bco#E7Vvi4?T|H}<3rEnH_D7|er-qd8snxK- zgiim0KPeO5*1haQoR>(Zb2yw;KsCp%6=zGXcc$lW$yoXP+s}jez1K*=O>GY|kKxHmi~! z@J}6b{ot1K#ssHA$z*~<1eHwDB;C(JzEp2t=@D_4H&Ero(lwfbDMF*T2?_1Ewe+6i zF>;>+Y_&AlABBaocD~^K80-)!7TZo(z}vrfQuKSHwI$F>l~hn{dRHYs2|Ht(LyRxn;fZEV7;|1t9Et#d(s)%cwkx|;P-jV0 z(MKgAkrdbY8;I*UtQFzDI4wv`mcUX+FQ^dI9Q;gdjQP9vEg0|UKc zT2Eb5EzBnuo%ESz*=?kS9X_FB6l!89WKttWg@)e}Y)T{HZ7MXov(mYWqd9+GwcjU* zH^H=n;yO>-V;nvD47F^e>kyX>>suP_(R$%f2ft~MBaClBu{3Vpg4J<;NC?{DG9T!4n%9b0RN~B|KW#h39mh=$8r?Q^&8zKgCX(nkC744_af5he<&b*b zM^Mrxs85psd$(@`54@^+xAdkRVBmZ-4pxbXYo9UI-cZq(pNT#Cmi!H^AYnL6ZBeW- z0&I8@cHBk_tD_OH=4-LfuM|>hvxGwo4JaAKDk-~thCuwPk4_{7~Xg^V|QL()jtg=MFk}j|7KauItC>QRT*_k6b9xB1JA-LyD$= zwO261DMSajZZ=dN)P1CQcq5l$l_(~Yb>{3#n;c~ zKN)dju6urX&-oBYJ_H{y_M)HnTrCUh<-CWtuQynB3^00>_f=1VA^YvO?j_RaLjJ)I z7C7F%7mT5HlqfDJyHpyVGqai>>h&bDn(HL@D?+p}w(}a>iSVu_Se8))qI&#vyAcZH zI-Vkwxr>Mhq=AP86qU2ck3Tjz-FXB{c@Na1Lr9i1f^+t!FaLmRuDhEX>`uU@AM>hg=sA`c4618=GpKqMts+0@nV$}J|r<3 zYi|mwP-(l#ji-dvRiz#jPBnul85X)18||Znx2S%ZYO6wL#1cEGhiy3-Rb1|vgph>B zo#!*Ck-6gsxSCORR!G{_JGlqnRo6(;W9~W>NLr|~$Jw{{n;|KuM}xD39Eyn<`9tAe z1ksg}^pqf8t~>t#zsiBUa(pYA>T=ij1l1zO6njs`^i*DX<4}%zfG~|x;`*wA?Y|4} z>-#?4ZFl028$DJ2b;zfy9u0oKNoDv=fusZOoZF3terC%bIq)kvP1x*KkBiAeM9RLe zk<97Ba@o`PyRFdR!C}@W=D^RTNJ;S~&wqv_YiEM0>v#Uo04*}~5%rhj?Po@@bI^F0 zK@bGIUjTUp?U{yYkx%C0P`6hSslCn>(Qj7h&JRpP&ZQwcze<;ao^=5~cb;9#H1?=P z{n}HL*@wv+gMWR!zM_wp!!jEZUGJ7JSu`-Y5sp0n2?xUmC~^F>QCi37I_%y1iY^N@ zCW3AF5@PWr97W!CocgJwx#l%~9xDt#-9PZFg~)+7%9U?5vL#gGKH)VKKpJaZ+K3RO zA%x+^wf!==&qSa32prWs-J#aKSU=si07E7N$1C$-0cTOAgxJrf!3>&B(~ffx^AWmP zpE8^pcivTk)EyIS0Am#I8^HJ;DTMc)tcyH zht`L6lj|BA;HV{8`ToJ}*g%g%yMT>F6Z0@9%k{kG4$9yd^qOgR8DpTu@`<=m@?guP zykdfHcaqHIf! z*{@c%=wSf9HT0FU5&_eW}9&dcpUJFy80=Y4);7Hbq zkQUkl_+uKPMl9+^>nfO*ds{JU&5U2!FU9MxnHI^JKN^i*DVQp+XbX9~;5dj29Z&q_(|))9(F9NcYi)}xM8Lvu|6L&?uZj|)@SNO3-~^&L_q^Z8yvo8+2$(E8!%yY3WFMFO&5Rq@ZL+-Og|%ZOk&W{Ud?|wvK2XM4QoE z=)l&l2MoIrVNut;{~vqbz+G9ibQ^SR+di?`v27cj6Wg|(6Wg|JyW@1yamRMjoiF#@ zfAPls0X6m>Yp-3SYR*}+sssOGzwE8!HZK+hx;%>dB-Ir(ddhO~3OW1`Bp%)r3>#=~ zIC}03`KG!~lspXgL>61l>Zu&%R4`mV3)V}I#Uyxz#H4gB{Br8;y|12PuUhd; zJ=9^NT*2gM616!YkDXOrh?;GsjYkGaH2yCR_u|cXRQ>e+>if$CJo9OZSnuj9V<-dH z5%lsh8Pi&93Z)~RAP5GvyTHcqO4oFBy{TttQeVBkKn1x=oo7ITLV%S1 zCY+l!HsXgzrvuXIKGQBUu9B3d0OD=dJ=@jPJEJ(*tuqPjT;d7yGQU?2As zUaEHrB2IQ83=f7pQ!dGFHcjNgTx#I~kj*9#X+~Tb;lflQL!B}NCKU<20%|RdNbO@SFO%)xKib#!F4g= zYP}tx_K-cBuiU{}0~?-4yBy}$_8CZ46B9~yEY4B(T-GidyT=CxEt=F()$%3_y~>qp z)6>4PU1u|SI9YZ5O{sfXjL;S(6RD~^IsZ3HDSv%UB%l!g>0eRh;ZnZ*My@L>hFlqI zw%xvfUh&_|BcS)3Mtsa>9jQ?3V!5%zCf3OrJN3v6rQBl-eOBC0Ti$pbR_cm3}z>qk@6Mb5oI*zi%zc^_%Ce_zj0|qq55n%kHpt6vp4y z!0CIyeX^-d%Ioov0o^p9z(GJ3Qemi>Qgqib132BmGwmHw!^hrunysh59ka*9nvs;_oh1g*(2t9n>$Segc-!TC|4U( zgsWTKa>LjUtRCT;CH*EdH5oPPjcnqETUL`jXzj?#hcTZ6FU7+rU+CJ!@R=kplxRV( zvSL`7dX#CM3 z5#_VARuX$@@?uJ2WOy`<`hM~*M!7mMe8L5t?h*8A9wzJLQQf+Zk|XmIVT~0!(wz&) z91~r~CICo;LD|RFql=`rvxI|cJr2BtAN?Uqyl>?e;An?EdG6wQgYCkQmW`LO8HpQX3ymNFCKv?$j^ zN6i+j=RY;q%FixQRV}1=BKP;fNhiqEt)L0TlLRl+v_r{xlWI%;(|CD>*xspG2!eyEMP%~k$3uQ$BSpz7b+)N(~G$L zs!%TpfFqZ1hLMZjvYCpinj%T@f1fY;RJ9O{+=Uy?Wh;J(Ncc;swd)+%HL|IHqa^i z1A7U%6yuZAMBlAHtY_&!CxTzY!ee%id7_9;euAnKmpZ&g*WdFqChW8HEA$Xv014sv zvl`FK7(6%rMM(5tkmzuATUFDRjGw&o>`O-Kq)*9Js;~#w8zT#0@I#sO6-z55OUY@y zLiKOOs!&Ysytso%-5Tzi55|-nrE4dYY7RWf#h_-38lB-@w2N`dSX>H&=;>N5W?ZS? zgz%Zshtx2e$8BFw+khrzx{c5Xw%9FN)IGc1`FfpjdNoV0!$0XqcPLXNeAU=n`*>9d zy_Nv3FmtgB(T=C`svw-*@`x3 zO?U%?D^to^>3f_xf#ewIW8g98dbMjO<*#*=Pb@J8iVUF_))CLx?H@rgCWC*bEy`b; zy|4$^bL?6Ac%$)Z`#}8m@gRE;4Mut+s>ILC-%~=xaVgpz2WE*DDqG)a-PdxdjdBPs zbAj7P=F(qcm5nC9)I?@@krRRM72&S*tOHoBT|%E|5a~VKu< z)OV};^|=L%x`ocKcD={doH}BDK)rT zPL68|EB?)b4Av(rEl$w4NyV(WL0eyB;DiP3OEido9zOh-BW*jTvTOsbt<|DK2lJOF zqCa}>2g~{Oh_&LE@Gwt_FTdY*LWy5YlfJ7pz@|x+nut3g;f58CLc|5-Tey?~mDx!4 z_27GS>gK)4^o$R^MSJ^wPZF=Xr6ZU9m*dZ5&J!s!-(xOkF&TL5PumodYcGTcQ7d@(` zIaU0Ad@z^9Q~&g}YnAp3zc5M@X*M6dxm_xPiKqDL#0%aCGdJEg->sYFSi|RBTun66 zppePCiJsQwP^NRv-KQK&ql`{ty#E?LH~4b9(=f-nl=Gl#;Kx89H#}T>vE?qYq9Yb7 z7toz9;71U5p9r%c3MX050y2AUf&-dwjvqCeOjDpPxRUsG*BjTCt|1u?Lu8Vwa&GRB zd086r<})OQZVjh7o&PkyqE|*GT|*p96?XKw#Pt|h2WcHuve@H9V-~MY_{b2lYp>y& zI;PrtKF3|?+qU!+1)fPED)NkOHOVct<#U5HD;C;;F*S+of960kCuqfcNhjw*ghuvk z&(hW7jOnA0E?&b~#mO=h#t3YBU5I8c(>6PW=OPUj9ztYNZc0b5CVtCp$kA$YB{f88 z@%_}p$PM_d1`$OkJ7jm7_4Smi_d~gFv|`rF*)%SK#HR{RSc5E>lXlhq%Ivsbxz3Ig z+B&@WCGw$BIQ=|8+`0GJtWI^=FlYQby8k+Y&p2(A6!iHJ1Z5^8>?P(%aD0 z4lQs+GoCPWkRpx(`rgK|G&n zO^!ZyE^_EUc>_Bl2JaAj|6a-ih*^tW0@ zkOeU=TvALb`W%vvP@nchvbb<@VRG;hoCui-laKeMZ~+M9aCN?U50n%uE|fy9LpgDD zEG!`SPY?~v8jLGXhw{ncZiMK6bLMc{>CPh6lrf?K$xAk#Y(3Nf!2YMGI3x zNZ|h)*N+0^;K27j+2f6j#;_W=I8bfDH%1cufZ z^)E5Hzb&4pEqUT5>HP-VubTTB_^Hg7d$rXMKP96%r-nQ|Es0oc^|)(0 z)adhhzEDfZUqKDQ50=Dil2KM#Qt$$vC`q|HN!vJ+p8Px0Dn$VoNx_uswld7d%S^G+ zmUvQdA4$%CvH}6~@a6lPuPWpZkFz8KB@G*QU69J$sz>?5xC-G~6lgG{k5SPDOcB%MwL zy5O~Vgjkp3Q{dip)g2Pm9E;(&w8bob$_V+hb6pZxFGtDHMqBou8qcYXlS&if@~Mr{ zRV}obG@T+IK$HzrXE2fnOWlziT{N;h-7nIrnDGsf&D4)Pi{F1|Wu=yQjXg-SQAZ31 z>XiLGVU*s35b$=V6<=$qr(#(E9Y+MNQ|0t=W1WatvWuR9z8nE1Vz z_)f-z=ru^obdcJ!sbL2qT?p3->tuy2kc}gh61uQY4C+oUE(ay@U)<40IPP4M==*%{ zbM2uRv+gG1pm)hlUC3xQ#9wj3uy83=;Iak;bAief`7_{mMNO6O7kdfA+|_E8 zUIL2{lh~56J_~?7IeHYt!ZgZrAoQsvB(=XGs3@GRE4)Y9m}IYWCHvqFQ&O z#pn70A3lz8sIqv;y!4x@Q>Gr)sJd z#AIDnkOaorvC|j5;;5}rUAUz2wHlo-9>3rdU$&CBs>+$XDf^v{s#mEOAVj9a zLKrB5j#%;?ts@PiFsD;G@Nc$qp^5e~|K>N^As4H@ZjzMY9&XEc*4XYPd) zL*C+o6&S@Y0rJ+1hdyw1Oqc@KeP>1YXDau|>#dI{9J7t+#Bi&o+BHDgB3dRV`znwc zJ_3CF`UfZTa}xNo%k_6L&6lb%7ST48r)=njJ4Ws?R6j_h>F$(AU$UY%<3*f$<@nGn z>rV?8BlH(=!3@GKgldw?ne?_!zR!W-6t-Z(vA{gO3=fffY3>HH@_^0;3|s@WcRak{|Nd3jf2s^!Hh zP$fGQQDT(yYhC}s>RcM9;`6y{VEcoIFR4TNSVj>R`6rhY_3rD6=s(SmnA^*$$tRvi z`W+God|XA$gjsK;upy&%!z7?$n@kK}Yk2Al<(xexIp|A8q=QDXa}kbOFJRK#U`clY z!DYURz;%Ut*dTo&@mERC9yLPY?OKwQKggY!%AHOw300=pzjG9Q*Vg_Jl$(gyn+N)N zT9`?moNbE1DSo%fW$UQR9tJ4EbuVUI{Ur} z1>4R&9)Yi)q))pc2GH%_*+RaLbYc^tx9|GSJABp-cV)C>RgjShEsQj9eQb1Uk5NMsl^R-b#!=j<`r;Zl!NeAj0scx zr*h>lHZqJl;*v@5vY9=Z_cvM{VTCQx85p)txT}+_Q->S6S#~wB(^RpsC47j&u17YK zrgay1jW0E@+aR>aFpuW2vA;lAbWU}(xl#glB*B$4TmyOEJlzr`ml-zsSi4nHzd<`| z>HIAQDjmh%E+&`#YS`~4h}FBVY$vMr7^-6T+m62&Bi%s82VGqS&G^yi?K`>(d7t|V zkUA(h3oG*-)_!HKDt~Pc$l=2z!H)Ahs~+?l=fXP8t2aEiu`07m4{2j5l0mR1ZhMIaTofJ3?KKl^L6uJd$a=y-2J z>-akGm-6E#e&PSqpwv=eeD2(N38U?*>&@Y@Im~Bb==(I zRg?6`SFhc||SMF&G5@K`lN$;9F+UQDSz;X}DHrM7$) zB;`$18Z3dq=(L?$xGg2Jbq38)s97llb-r0*3w>ru_{u?~{~%44V6xT>9jE(^ zTl>x4B}i50ff~*hK4{0y%!@jyQ_;OK7{VCto2C@@EO@?FF{bxPtg0e;>?b*UEOvE{ z$fyhXZ5I;rXb-ubAQuJGnZ&_(K{v5m+zb$!oz`3wTERi=_@gJ&OY|8dvk~`4EH&pb z{1kEc2txwddw0F522~?&%W$0r?wm-9>`37(&C|2OAdp)gNn@G|&+P zQf{f^P-=IJF;~$`W9&|Y(+0i8^3?y-B9v4^xe^5rFfS}y>S8FB19;Tj2{stU6!hsNypHc)cHO3~XeW4STU5PQB%^W_CSf-&h%u^it;j2W+MSYI?@8F`)zhgiJE=_E)(tOkFxI z!%LiHL0;1BG2VVl01-j9WP{1RhJI_He4ep~$h0dH*Je>r7*>d8R0=6!)({j*G3?Hj zeQ!ML_>}nNBPm5v+Q4>9k@BV6F{Dt57NUprhrAcF&=JIh89uI296*UeGe!hQ67(kk zpM37;O(ZLW6yekNhRSc%`ok4ale3F#+5w|4W$nQp$a(gV;S)u;FqCQ~@`)NGu9Q9P zsV}BgR>s%@+bEtwjGX#2b9^)Bv+ZcgV|)b`LU>GP4#d=KF=U`&7YXh7byuv>$s#M7Sl|x`@7qj%ls_W#xVIFLk z1r89_d~4NWYgzM=Hh^ZX_+AYH?Tz`;yV+8>qFg>gojPlxq~iTtzBrb{5S3Z@N76Q{6FteHU#qI$GqrTFy&O&Ui9GRzlE!y@nel9K&`Wcmm@W3NZEuaPKu zJ_M^eUfZU+I`OwyQ|vv5tCl9{mKgu-TaeO(+# z`VK^8V+WF*a<#TgKtABFo;(A6=~T5ARb*v62qg--rg z@fV2&(F^W{XNE=u+#gP|74j zHqSoR;lUi+9@N|Z%pt1^V4pphiYP}Q!wNThAI4gTWLih;i`|CT_DthbRBX_uV6#R| zM_8sfx?fz3wpn~N?Fk|tGH?$EnBlK%{YoV(9QfA6j5y3mEH*s1TNH?;N3DShO*Su< zl!iMda1iM<%u&^E@sqYODxj|m2AGNE-3CiTUy?+d(nS&LwPkAR zG%(6aP@w~tr z^^h6};u?*ptGx36uvkp$Lp56UaB0*gdR3)Fgy02ukiI+*iLPX)$dJWPm6@1JMp9;E z6p#4eJKMT2ExeiXusLRro(>X*Z)wWaERZI9sDz zB^4YXJvXBV*v3+U6i+pFdlFNyMHxmR#Gc!_%DT7u9N#<>mhv}zMf6l|BGMqv_Xx#f z20)2|ox={YwsQ+fZ3rQX(}jw-!QEqGF)nlm+ogcb#llxCUo*8k||Hnkh=t}WvP$C>!|0?5p?CyxIx0yPKCSMgMu!giJ z^kNN$@z5+wX<{tXbW~788JY{`8oMK>2y6K(u(9isryj(w5;s$7T)X0g$%w`i0^bIP zH~bG@eGex&58>W<7v~^F@H)OGn{3Ku3amfK07z|!`Z1p#AAn!xFTnkVzeqz<%5;3PB_@Y;I-ffL^Q(R zvS>2BGOr|ObZhUzfm@iwA?d_)RqeAcVqfBbx&O99z<_lv4rBOo@`CH*fzvCO7p=>h z8IRc+`)@cu@|ZQ;o;mXvBlM5<@EO#wlkauTYA?`k%{#>7`YTiSQIo((h-tKpVa;N1 zr?)e(ZYz)Uw&schVft&zpo@DoV{pb6Zy#dcXbKYfyXA@A!0%6>xA_Cl+Sp=59;5A&6~Dua(eQzYmFWm+lb=Uk*DIeCfmCGZb_J z+P-ye(_zMj-XF%%SlC-c} zj*u24*FNU4_U9%A+eE%0Zdk)0NHJ{%3wCU^pBWp<%pepvPfIeOP+ss)V2e=mKpl!# z#eHDD^X>3!tUxhL-i}(I81#VsDr{4x-bQgXLzkY{HIjN%{JJuE*H*^UV_(A^U$KqNHY0YS=rYqF~8cFSbt+4QCCZ3-vfB&C*O0da?zDKV0e8 z->S`+z~~Ikil6DXvvwETi9G>0ZX8Lp*c5Ju1@~sofPvqj7?dQmm$B~xI>67LKaaKR70?ui_T&^X$~WJVH!T9YxXkQ|o~0it+Su=q>gyuJ~HGZ`a9d7OV`y^SCE5L|TN z7Wa-BnvjLjd3cgOxalN+aj@Z7Q4wKaNep>osL{>nN3lAkNxP9!>PhQIHu#r^^7(ho zRjEtbx2%emFsXlKRaWVL!?pXG;w9AEV2y#OaH8+NA>+jtRwYSYTwBLwOn*y{ z2(2^`{#iuX-t~!fapgiCr!~^qG~SL5!R|nTp?^pF*!ljvg8S+SO(=BhP$~Y)(rW}Q zOkgsmV`=`HX^!KP>P;D>V=Pe>_6m>vwrG6qhoEML-UA)qrDS03*}G$}o+*)LPo!a< zi!NbH#e1>d0*;Xcz{0;udlSPk{F(>^Gy@7wSi~kyBFqyGB-a1&l;~z=8zTZ>D4)tN z8PZai+%GN;S)XLYzc2zeS<)^73JgA0x3VJ6-Wh5wVKpk!f{Rl)1bA7=qL8~CA@Fa> zcuJ@ZO#!JahlHGj_QULz=e2Lq8(ZG6_$)lg!YN)`|1g_YGVHkd$-Wm9Q;V39cqK-q z##TP4msXQ3mq32G#;Jb#4M$gL0@e@C&_Af#C>jn@5&@HQ;W}-d;QIPFfCj4JTa9nh zi=A@ABO8VvCW6DY0&p5v5t3@yc`qFY1K~o*w_OM#vXDo)kZ!O1u$&;0ywF?M@RX-0 z@{YRZ#gSx`E?_G9VZA76qM$(IfNJyQr)nY-nxw{$gX?5rDbWP;Uh%O7PZjA-TIBTg z$3-@PpUPwIH#s?5S3(>pccMlgz2w6Nk{=;?WVGraJ=#N@fuT`HTh0UN`Xcme0#{6? zQ1%=Mm^IU+FhXRwByd%<>Rf6|e&T3MY6P7%Gtz7l3Iq!>NsvIGmEv`V(121SQu>`^ zM!RVnDibb}@E#&saHPATA6~Yd%GmsCM=wxtoTh;r*NSdMy23lvO@$S$oJ0gmp%! z3^tcS{Lg7y6aR{+1VHt)fh9jU=Q5;E(tcet*0;55ATy{hjjq7|o0dN2S zX>#Q`*(_DrUQQ22MS>>hmDB_BcGynyvfECJW5Q{aYx%fJ&K!4uL4Z++aCoE{p;z5N zz@sRas>n$8Nx=$vJ^R_N{gv7{pp6Q0?Nnp$^60v_b^O(SLbTxYApP{KOE}zXm^iJfi^~(!lE8loY6#g2 zvT;xYS<0hn(&(tIB(@$XeY{eL+RH21m@q^x{l0ten;?iM>g1nCRDfwcO<6-sn(0wQ zq%lW;HuHd@i29K{bF<1VpnB%f=*bX$$~fOIfPO4~vbd*WNY8E{aU_ogx?UI_i|`nB zj4f_pxsq?1V2mwyv^|XbjkAKOT@)Kyh|Z$~_kkf8fC1rTiq^|Jl(Po~;8N0SL}~E% zVt0}qj0r(IhNM;hQeZWr(tW7E?s1H2qzg<1X}#{zA4OzTY}Ug18(s~!6ftnJ$H+uK z@k#qqYZ@i`IH-21cq1Kf&+yzBe81R{RHKL>1HNA?8*^gCuwU(*u(bHuVJ<4VVrbW2 z$V@bE#fELAhT+g!QuNO4D3H_s4T2|NipJ82c8;h<68?nw0br_9IMf^y|E35w?3H%8 z0w$&odwJ*NQz`Pkf^tk(KKbRJHNT@SR`VAqv1^n5(oF3jo2Cw7b(+|wz#6ZLd;^oW zTSSx_i9u~$xH9U9W@1hPrkr7J;uO@^z5fEu$P*r$>Nu9q=63W|!*lTgKj1i#Djb_< z6RJR`dYLVBGP2vYE`cr0c>1UqMQ3gn6^o0Lfy9zI0KEOa5{ey-TeqC--l!3vPEDi z>it!4A1j-Tnv=iyHWop&ph>M5DJRz7VN2`m^r{WJ7MFI2*OQVW(2<|+1SC9#vb|d9e z)ig_E=zbz&4q_bw?Twup;${jPCS^Hn$5aus#Df{yaBHH`WDr+GzuEaKcT-}@E80X> zFoaFRmy-?ZyfhBYy<%vF6O!r|JyiXp;2IMK>CK=0GQlF2$&oU>AAp!x7F-Ac@9To* zGJSE#MTl%W_DnfU=;nm0^_slNaf+z32;RRxRnbKN>&E2ND&&x@I>B$`4N?~CLt`U$ z$0aTDBSz{7Ke;4gWccy%3u>XKJ!uEDbpczHWE6i#9uJ_sl}#2^Dk70P;64yM4S=?FK$3zC_l@Vp7?e=(Y-FoSbMz!zu7TP=Qyby*|Zqp zu8gz68k>y+vIhu+B81Z1jFJAdLF6*tIjY}8?W&F59I7{)XnuP?;a0ELqEc&yP@ig0 z(oALC@~i&4Y-0rsv^2>>kk(*5t-?AIBa;fp6NX+GFfHV#gqx07wc%k|?Lq$e%>zs_ z!+HX`XJa0Do|p&lUx`EGFM)y^d4}IG>0l)~;`=ES^z%nSBAUn(B%Bm z0F+6g1)>Rx#advZhm@vWL(iq5)5^nYW99a{w>v2Q<4e`7>s9Rm<@yUfP^hm4vj&pQ zd{QB@0FgnOO_4VToDEfIxCiMteK3Q|=`?ckAG3h5pGhyRaLhgE6S#`% zLN&;uUW!zbwlfI;6(NYf9~7!~76I<15@+)~jpsZ@G2C0zLdbLeM9~H3XXmR6B~jWM z)>G6*^x`KP+sL465*mx*p}A$MOsx$dD#QPdSR;~F^ZKV=do(UGNGZ_5t;+$yNQT=f zk^d@W#dzQgH8VtNM8GAGw*BF`A@1c0oDIRD1}-d!L8f-eu{xykz-z)kVbqcz0Y+7? zu#mL-26}OYQn5C#k~ssK79^Hf)~h2x&()$}j+4olCLRI}o5<`5T6D@ym#gZ6!gMBE zyZ-7&=Q=^m824L9E&HTlKH@XAzA_~rLqmtH^SQlm@%TA@Dj=<0aLEw5C9&)_I%ykA zfdUkfJ-`%KR*v4I)2f+JB{FLH_FfP6Qu0sPtebsi%&`v`tK85CLtIPxjR-#9Z!&ab zC}>6<&suToT-u_B-EuV8U2xPE=QBN}a7zi2J^F zsYjVbOl+~t!zqBzlQ<2%(v2#VEOuxHVmZ7zi!|&%PyGv|YJic1l~!8O*B){c2YsqX zdkg^xuiS(P_zM|8!#HW0e8;3|L{Bq{+HY!LR6n@FRz)pxqniJ|YMsTXa*d-pn(ZW-CyDvuEdY1fOOHtL9J zVr-J}Oxt!BwK&fx?(Tr!nSzMPJLN#cb9IeX&T=iQO$lU(gO|ma|Bz~1XWAleE2NYO z^$BDdo=JS{SAPww|6bHyE6mk~eI#Ajl;E^MxOF$5)YWQB9JsF6B5|M*zMNR%D(DtT zeqeWHE5tQu+BTZ$_1#W)FnJD5B!rO&%YA0iNYLsfV^<#2hr>5fvF{u;)!^M8YK(KW zHD7^Y6K6Ct2>VTk=RES{eSvUu9Q5cdVO(t-3|%eH#E6U4P0p;~$Vk^@K|pYBgc|XP zLhPW$STu!2e{OS;2Ht{95c6uV6roM)TKek$h_yFdV7CK}mr*rzlG9FjxQwH-NmlwW zoRQquOc-8#(Kgi5kBBY^Lwpc4mVIa4D}tsvP~Y9dZs?a=gCw&K7cI?9BDV^Z{SEe5 zYS`EDhjcZXRIS&%c=yn7dU8t%?HmuRbV?-SS7mA(>NzJSUh@*oLuPYVY(qNKndXQt zyjNvBpN3oNv2l+|W0txsYJ>?XBu4i$(oSnv4;WTW>bWUfsJf*;2{N0xfutJMyJOqg zoRsf|XJn#@#5GSM*{W6p;f@&R`?)t*sanfBT_g_aAl-MW6k;{K`Tp%__mKh1+66_<;Fya8ND=E|5$;J`qjw*Bf!COcL1a7( zwOXU$sdorOQn3yb)DY!>BTp!uDDWW7xZeJ|+1Eg=r=y8IomcNbm}MKU5nbKg*+ZUh z-9LY0d=i>6Cd8vLz{C!lx-zj0Or1Cxd!g~c?R2yreurvdQ2^VgVjd?zfv*I076Kmc zG>`CsBI)6%mBEjVw(H%dGPjN9oyKYwcIu^L-mvrcV@ZK-na^l8@NA(+P`yFev+#?-kt;ZO)JILo)9@It&L)2g zkA^))R*W-CaI{Zf_+)gxxfb6xzMnXW!XEd_Vkg1ZTtnF+czS$eKSM7@5;wN%ER$w! z@)1VV?J4JamwD8IvyJLU@O|Rb_2G;v1sE_e##}ZQ=@4CT4i1floG2rT==@hJXvv#BPc)wt8o7zNAaVhG939pe zxOYjvIJ>`pX$I~^Z^X990I6+EFfwrJncR#~^cy^KBd`aZxv(gFSha#E6rokM1RKhg zrA;$BT^kQR&o)nu04!~)9pKG&$z-kLTxWcNDq*O4WWzzuK*ejRotS_WI6oP~n68bZ zaO^y}kf8pMN@?5a57}kmad3!8p^f_+Oxc}hXvvO5muWfO4izN~*C-f0cEd6L3?|*Y z0c9I;8xHm0yD~%^72>X>glKSv{4m2G;^fd$RPU){>phgCJe_HdY+z6FwzXYc!DU#a6`W{x0mT$(#Yc!2FH% z{*Fo^n!@mH*%YUkxM7Sig=2_7(bFT)#;EhMfJxG4B;NICNWY_1peNW*YdQ>z8d`DUFfj6!g#>CHXO3-&Ah zvD;J(3aNfJI@Hyi?9C%W?)5tYCePL(fyQ{k?pl-Utn0G$M{h+e6zmU1<%s%SPFO46 z+9dYwUyaV$UXYEE%`c7VR*JwZ6g<;ij4=+vi$^r|DX0ll`e3e}JIl5$LtRRTdDmo+ zBKovd+q|+7_f)n~37OlU=$;B;l}2fP+zM4Fo@1D=@&$H$dLn# z!Y9>`X68&vI-EMoq~Di0#8>P9zHF>|gO<}Rv(Gu1bt0$`{5xy(6EXyN+%@a)uu0AJ{sC-V9302M!p9{7#c<%gR>(I zh-Irx4#F*#M}j%~l}hg?vHeE!Z=h|=Mu2R0*Izy+&2DB7IkLpn=aHu$^?sCOAfmu8 z7wAW4Ne&@r?`JBlzZ2l|%Vhkdn!m6Jo7f`25pJ=E%rskFZwA;jEt>N~H+XGCWQ{C< zwTYzq^(laQl~>j$nkOY(G)*#e?V6)d6DNJ;jt`ageG2l#xm>0xeq!loKsGgfaP4;E zGt}Hsi6LDj>p-&tLaX2v;|FHZlv0J7b&cKnsf< zc0l^$QqR?5PffMofbB?Dv`XdLddOOY zb2r+F<)2X3*oT*cY)#u8lll}WLV%@`?b24|O}oP+D-SE;lhFOJ+<&-9X;$bSljzDi zz7Teyb$F6bC|Wz(xMcX>cA{&xD~cek+ONJ?nceqG^-9j17lc;dsvH&pX6RgVr~u8| zSy%|AoN*4nJHJ;7433m$`XiHMZJJ=jq*QA0hBXmhUol4(O0SQ#!n1{3W@{Su6NSdmn^!0hjL zFa^@6I0<8(qYJvw^$^39X)VZ^-xa3Sgk;PnQ{T*+8wHvuS9d0=^GT+XJ8*20(n0A{ zF{JkRfO0jk26!F%Jv$4BLiKRn2NWO%W# zdRS~7Z-Wd&Sr#=P8C8vZ7Lid4Pglb+xTO^8;ZdmHVbSo+ZT=p$%5)V~`U5ydUzLA4{4ZTumc>Ky*@E#bl7 z3v}Ur2a`N#XmwKRInv>>uf@q|(KVpEy5w6W*w25`8f&Ms)n0b+@w_ONMfyBu%PDB+ zBLnh#0=>JXAo8|Q{v-y^Q3ZG0B^|6X!LFr1j}{i$lX8Lhz5;wKDf(M7k=iLiSplwu z3_E3>nocN}-&Aq+Uxm)12(;c`z_?M=nF(`NL-|=~fTEA#R*8I&<|dL!>LYC6 z1UeB7!y$+d~_ku{wWMM>4Yg_<5(m7(u`hEW75T;-6CT>kK$0E52X&ohh7@)s?W7R#dIT>-E0& zRjOOT-reV=D>&F=rCIX4qKqvhJXhS}Kt;_8SUW}ihVeTvU2S)ETq5c8w}|8rm~&5H z<;0zdRs0<&bQr7RGZ5T9E~rZAaVIQc)uT zVFBn6Yz=JCZ~;v=ou_d-@|<;mNMt*M^=X%y>8o|Hoabxd$lVd2*2K%@^JoAaZ7*YD z`kB`$ddE2@V#cq~p4IbC5sJQ5$MC5&5=y={+v&yr>>A4iH8Gm$Asd{FLESbpqjmDW z8N$v1f7sa{mpTdyTfGUQ%(f9Qy5BQg%VmSp?4Cpo=YvHVZpPj^EyNkOB+pE>>F5JR z?KUZY151X|AQ2E9BWM34$H#G|SJ1%3v`r^eJHLq1PH=@eRgW$4>Y8V-3Yq*e?SxwW zCQvnDj{(8DAIS3h*_eF)bT}v!KLO>K|B?^R4?r<(ytp63wCXs=K0GAr-GPH!^$iU zY@DOOZ9vnNP^wrb^EcU$oH3Yu>uv+%hN$*G{7~e&Y*AD~7_= z4KN=_*wgRa8!iAA)y8_v@lCM)Fj*_n&epy<4a95FqlQ{iutb^K)nsA{H+%{#s0n6&S00FP)LQ0C;;v*(`cS6UL{PnNftjlYTzZ+SHSwNjVC*l?(X(U@6GdE z%zN=629}1i+E`^jq$YkyG|-N5JM!g`u;u=~|KK-@ZO-OIUTUTgP2wEfN_|-@FcwJ# zGc)3W1*3V?-VX>qo;t&#@|UrLfG$!s&zv+DN{<_CF@C;^kR800TQ_PfYKYT7?hU*+ zsZ^Z`wKFc%`1gP8y=8D5K@&D6*^DEK4U@%*+-uGcz+< ztmu7{RHeRD@+JTBBQ@2vJG*-`vpYT4Jw4setf=XB+#j5nN%OqfNhT}j62jd7SsX~J z89)739M2n2)98gkVHyys%rh=O))~?BFMyQGHc0Xk_>2H-`Dy0wYv>Z>x%@z=yVM3v zgFniI_smSAzR#kFm6%15TjipR?@f8{g<_~DM}>uiW?EUCzjMX6)q#e&@ixV^u#u+b zsxC`+y^dg~0`=)nTd4lqmPah{w|zC`p&zZYY@PLQH!MRpmhr!~rk;fy=GJK&a^S0P0W#>lgQ_A$8qxp2XctUN0^9ni}K5=k}WXuGX$PQzb zIy>IvJueV)utC_8+;EHi#Z|V~B9k!LJN;Nsk;aV`lt6-0?k<`o5WYuJ@Y&f;rNXpl zcC@ZkkQ$63Cbma6^$SjZDaiJy@5xfF-z~vYhYhW)sClJKB`2N01l@Eyji-)4;Q9~? zqR>i)VUfBO0(0%tmhy?t1*#2O#zDa3U2Sklqe}QlWcwgZ$)S3T2P7(O_wY7pCp6Vy z>!48CupvUw=-5+>WY2mw{I#WBBLw(D{8s-eP*MSqQS*kprHzy)XVd|a`U(c(PzB|N z0ubmfO#AvZ&5-;m3(Q~9D>t_Su{^kQ+)Q@cdl-Q+zN9EkLD;FxtnvA_OthlV=Ek7S zc)?AQJ{*y+tFnLMUK*Y3r&TCPdun8?1k6p1oe{+_TboYhw&|?USP_FY0yH&rO)tI@ z+sr-`fMUCOYVn#fB4qB=8!Pehy6)_Bb zx%2UN9up|oofZft92`(F&hxk>@1A=2wGjM~qZ}2rEvEbFI!O~&+gIDRZCw9P^K*?+ z=mi0Dr;a2|MNlE2mTn*a4q&$_u4L6W!coS(hbgZkY0N3|&iQ?KHFBer=0?ub7#ea3 zX1|u+jaV8A$?+*^)_2Fu{~&3dpv@0Z8Vhe!CAy)d(u2wQO6=wE zW;Tn5L}I=`GpYPqy))J0%^#2eCJ|Fdom+{ZygkVq2C{!ARIUo*K7fL7DqM2zfdAQs zS<-{qO_cg_PvTTKJOs&*w$lV-D~l#C!~SiMb-?8#iC~-h7H2MwCfb(rNG4Qn z67p}rlbX4U%^qy{sL9`F&D1I^AHyZ~FSg(HsO*A_dR`UT2JWZH;Sn;BJc6 z+OnOi!mxgh3f}>O@~;_6RS%vr+Z!0<2iF?Dl-khXZl&0+9ZcOL67u0A5Vqng<|v;t z2LPi8>WVEO2%b$~w)09c1gtnAQgkh3K@Og}*d1a+L+{A`_YioZU)#5~as1<9_SPAw zM7%iSa1bgOP(Q)MDQi@*=LyzSA(8xtV4{X#;NEX0CmZz^MTbX^nr~{VoGAOpsKHmNQAoM=emRF+B&Mcggviu$0+90navYX5`QaP1x zbTrlphU(M*Th~-htZC{KH^UU&ezE2|dlF3iOS?lVZCo=-K?9r01lL8_njW?4UCo<2 z{)bT_R3TzK5vml4(SttW(i^w* zgp3N>_z_r;gF}}dtNyn>129h(T3Vg6xw1TBr=8AVe0`K)0-DMAYSWMHu#B0Lo1X zJq|rTm$^bPw{dE9SN+n(xFpj8H6zTnmV^+ee`?Wc&K=wG-XNEEZV6| z!ZuA3v%QV4$wSu-QNF3C=0x04R~hyb+%T0MoJ!(kFTI04VWFUef>d1Q<{@rd<|+>0 zd-b?Yu?4~E!_%^*y0qL$<6BaL&j4ehWY=rlC2FPNpNglhgdG^c{Xmy5DzpDSg9_bv z@Q_$=QQ_zpVm6q>i75m7OA7D_YIWQoH6&yv+o|i-t79-N8AmX{Gz((YHF$wasY783+@?#wBMUXw<7e>!pGuG4LO0(6{Ms@dK8U}{W(vyd{5TXQCdLuti^kKL zP=Hm)O(-9CM3O`lF{~nwH+jSI=URY0lE2J8S6L;tr%;i;Us!pyoZDzDH+*>b5RSOB z=QSW?K3=$@weCx3?7!uU-z<pQv>lxv6v*rt zH5b9oBE-KDP-Snp7Wi2;PqkzSu+B>n#`s*xf%0XrkKD zR=g6brjvA`(k)?B#aCfHg$sNib@-r;Zja$@wsj*$G|Qjrsy%E?i(#GD3e&JCukZ>z zi9Pfw+#368cOFZy7L1;sl5zgK6eaAwmM)+T`+E#_g6wn9;KLP8Z(**3^N`Sw*1Cg&w)E3BfZ+$gn zjECkfC;Z>eE!&!~2BZq_9@%kU6bmx`zL-fok`j6O=3nW;E+4L{FYkmCb47_=`lnEU zyJMaZNdlKlGCRgnQ<#qo)4#w=qChGhx#SZtx~bl?rR!Hq8uoGD@l<0PpO^1kp)9RS zrOunWi`;Zs8{%&D1aNZgFT3j;#JfBN3ANjG`<8)2m&?gYWvuJ)cb(6xDtd2sY=}gS zP-h*J6;~_0%KK_{U3ndg8YVE1E+J|?$bmq#QOk4x2;+U`DTqhcJXfL@v&o2xDq`}U z^#}x*zcoTa&}F!1Ut_}N=WU}@O!jRBWoy{b?hE0Wszio)8htLHDF1SsRPTv-QC;w% zp=(ORJHCEttQtCqxCu?vBY26~)w9pU__Fo6Qa=!%*r2Lc0^gaSi6A9eEimApI|SjH zNrw_8mA^_Kz4GU@B!i(9^TgI7MTGf#ipv!fOhYsi$UvQZ0pQ;ibj}#?4&l1QMK3hl zy#7?hb@8XRd>Gdo0#OsgYcCi_XfC(`r3`KyC~2`*JY0@`7&?CZa1v=p)c1AJ!i01# zqvQg*zK3uAgRU;L-S{}KjiP^qV|)#V+TA#QAGwrHygURo7s>~9D{qmTZnO-dlk?i@ zb=LQ>Qs=`!{<|zD(*5?{r?1gQi80j^l1{Sdx2S{9z3Efyg44~l{!q}kBX>@`D4As9 z^-9x##?JUJG+O)8_#979N>5*_pOb3G*M?5xNr-0REkK>4k@hjE2BHE$YqP}*lo77< zgl%n7(OrXrd*he^MrWC_LSvJH1{k8xXk(CT-G4F( zND-5tfVUd|efL*mkv8l$Pev>F+6fJMK=su+AyCZm+t1@On~<#-e;(*BQkIkX>>HW_ zw^rU>VOzizVP2RzxI7YBDM~D>a5w`o^@Ir&n>&_QTa#kWnAMSV-Zi z=ud2FT;rL(902s>*8rGKlyt(5MT;BB%h}Rs>#Xo=X)l6WWCbdC@3ZUp0eSOo$A>H) z25Ju~>8LPU+i4Xnp4EFLoM6M)hKPaZZvkFds2w4k1YrtWvB3~khJGPU@a;}8Tl4ZK zef_H8SBNh3?O5-He3Ra`Zh-KsqR@8Thr%%G@)+bj?=eVh-)|c5kqk2XS`fa&xV?xP#6-wg{>(#vF^1xCK8*Z*qQ|NH zDQPQ;85%Hw^~H+%iUV(~}&K%|Ofw55;R zt6#9ciGo=RL5IC%5OX$GYOks6ncg3?bD3maVPp<(6Nwm}L26k0v&p7U#v9Xb*OhL# zC54mg>2k?MLp@@8ygAfoG||X9=9qeg0Lv|Cb+Ix4xT5WK2gDe`!YAz<$>_-K@j8W* zd9m`iJDWV9uY3x|<`-oxTi@6~hRfjag~elCqb^a6;hhm>RH1I>?(E*3XR-A^nA#xh zr9aSndRu_ibFmqD5x?r@;e+6CtyG7A9*6yTt=aFo=J=0p*OT=_UDISQuCKXooWLy4 zEIlKGTg`pF>v0Vur*61>4xj{Gt;hMy z6d{ke*olRc5r-Vc+JguH@X=F$pd4DyMt?-uWHz|Vf^F!A@LzhqNPBpOU0PFUE<2W zkoWaEa*pz|Vw`QuJ2)3MjLme8XA2Tzn(UbZP%`lJXc?_km<^i(f|hrnh&7O_llKx8 zq@E*5--xyZ*}^^{z(;28_)d0B1zbmz7^n~Lg`mbS!q;mirRKd4by`|8XKL`a+K5U_ zIlIE}j zMi>bCj5`T5S``|^Lu+WxG?A^DsF%+ggFg01NzB~utj*8#1C|}j zFV|1sYESg?b3cI~DVh*~Nlf?WvZTy**VPr`VdU;PyvKVd)~czEbstIz;Rfl}8W+e2 zit#Nto2z0b<0dqVnIz&xZ0G-Cw%r5*vv?RHN#Y&^)iLQqDj zkq#dAVeRkqTk2PYk=OF}D(iaEV|%k33P0`VkOm|#*#@<8lPJzSF9{;NgfdGX-b~en;{j+c?X8KDqFA6{%bHgn4Q4M{Y1z@hW zuu|K4z~o$z!PfXglq+S7dY;O{AAC@nh-1o@RGH8*6 zD=*C~P)!25Q}_WeiUA_!kVzmy>#p*mzF;TNXZ!?LwVrH^X(!6f3JSo43EK940w@QG zC+G*e^Ghs9wlGE{gmG{CQD5}~tSR?f`Os&-*@T$kUw@DZ(zBB4y_?{T7c^&ZaDcMW zr?3`JT>KaC8f%+nH3@%bwHB*Qe*KsG(y`|^&aKeUF&i9%kUH{jfzeeLF5(aovrY_` z2w@CgD~*xd-`4)@8bos2a3=Vpw>YwHS`#Add`I&}+NnFI{+J1=@P&U6=qxss6f1?O za97YLB1yiMQP0$*6slA%=GEL|B=uUCA_ixD>cS5qS-BnD^z&gY|im zG=Xj0aq|OLQ`IZLAgkfiDRcaPsY$ez5v;LNs1&WnVbq^1J z%>GpGJmg;%fI;|N5^7Mj(|rhh!pwqpX_Aq%o`KpFNozcB@hcRGFux*P3Et#`HCjrc zzexxV@X9t9PMlKH|C-7*)UujID)qvPel0{LR2tAug?Qus#}kXTmbaPeMk#1rDLxSt zoI{ANBo75Dp}TELJ=98qV?t|eGubgu#zZC{BFh{pO_$--m3NT?%&CufmEtt=o@KHnM70c+{vX(#2r8xY z65_p(v#<;YSh)(5BRFHhz4e_y=VlSh>sf2|OYSbP=`)cmN+v}Dj4VSW&IIg)vrAX9UpC}_kIwxc1!oBraU z5j>5@8wlhT+&>qW1`l}O-l>&63e?qON}`$(H9uk65?@dQbR;QG1u~IPO8O7yOL>ai zJSz6h5_9&Ev!I}(pM*3dCP^&5&n9Cx3uJX-PA#FMb}-3p&;Ptr4gH5|MTH~jo9)ww zdfuM%!8iPC3f}?T$pVg&4D(gHFvxN79U>+hB?+=Np_!c4SB)k`lH~+mdy!^ z4}J>>hRxpkK7^?4Oc6hxp}#`UsP7K_C+R_98nn@0pUM((v@u}|-qr8J7AkzwNGDG> zFj3M3wOQ&z4zNszo4%Ct3p9vg5xX+E9aRI7Z>ytadQIHse2fu!ON)lryueE;Y)u|T zjYi?%fCqk|OP@NY`&vm@&9@p(;jimTCIR1VJ00;-vhYtUaZEH6#apIR2a2e?h8bcs zB;L^SU`Jw)U9+G)>c`|(OV?27`l+fXlcHD6%FPj04YUB`Va!~+m_ouU$>9magy%KV zH_(=^vqW#`D_~}?U1UZTb}+2BP5B@+c%-3Nt|LXHj&1<5F>YC^d+PTzv!{?(?8kyK z?a6wORx6Gid3xKeGp{q(mjU=mRH(0T3W3GMC33t_mAQYFG@{-zXp@NJW`rO*0&fYf z*01Ad*vxKzm4Shk*xq+QzUB6x!l);xlGhy3Jngoqk~#_zA7?K!70npGbK|7NUKL4p zw{V|uQXdn3WB6O^%N)k4IaRy7Vr!(5Vso{d&hH%XS#`!(szeZWa@KY>f|6Fu)oY=#jO_$bz_F6u#$Q3bv#PU1i^^Fsy$9<4jD=|{QB=)BTI&@y%-CU+Ou)X>L z;#6``MM&TapNxAnw?;J`@}}5Lsxy-76nT=?pFy(wX*mGK@>sOCY>TESS1yUBA_yQk zT8Pr!c-?4qb>eaSrxEPcfkE8P(ieM8)1{%=SxoP8moG=ZR1w@zt=;TUWf_n-_H!tF z&?1@J-TBFRnAC5E7&{k9EaYn(_N?=A;3lK8wQLKKL=bHMJ>?srkrb$;irH>!GW)LR zGC5PLCPrx@l*VW&G)DB}e3optx7yAOXHdmmR4a10OxqvJ)M zl%5*AV21H8Tc%p)ok;w8YLD9Q2){s#zjEDNrIC2H zWSG-6G?489ui0V)LL|M0pdOz9Lbo?Xe2sm47=*$_x90lAwD0iClEkYCJ?~93AaK+ri1e% z9m7i{J}(pbKOBt4x?fYur_cIUQR{^a$@uN!s85TC4m37}-0#~XVD*^q3?6>lMsQ`7 z&#M{L8JetifiMaaAK1NQ&`QCmVE+twTXHMhH+u!`y|6Oeh1u08S57Q~OG86fkOT>`zh-v_BoU%6^0d zB&5!io~uiViKWU`sQ*A#9p#m4caGap4}NyO!6=16w>Yz%)(D=%Ig{B)m zjBzww4RfHsJ-+|g5Kevh)*{UGgq(1dihi?X@}YwK5{J+Jb8S+JxY?-p2p*XnkBZ1^ z>L`(Xfa8qaW-LOy-(@E7g(HT)+9W?D#TY!mO~7IL`U!pUq6gvLT*Z6!gXy1 zwI!J{gr_3~2w>RC7jRVr6wgQXGvd92J4Dz64vZB`&I;g-$@&U7eQvUKO;xrl^D?>Y zxXh2H7@IcQO?HlISP}=~zO}*WN--CJ8)egVY}tyXlzXYTY;1Hzjh&!Ye)!Q>ueqnO z9REc(ljgQ#v#Sdemx|40(H*PXZ^U;SvUF@MQ}d>{^E)jp_U-+jq%up%636$8GVS$zv<@!46+ zk1GD0D@+o1kjK35aazXVE--0m$O)E+$7ZiD3|<&t&}r}8v3?;BXhKZty;uB>yc&-; zUwuwd!$7(5U>Xo-vt+q8Bx@#3$m7%}k%FqZzvQ&r518vi2M_)@LdDyNKKc&jwG}~6 zYUw1tanM)j4DpZD#q1MDkh6ZvCnv?ISd|F z_VSO(>rpTYhOtx}uJF6^_H*Qm9#@P?6Hk5)1@&TZhE+|uL4+AtWQ z+TLyDqyql|VsJ{csG>5XcaBZMx@=bSFfEgi>QCpMcJ*TFqqwiN7L}8JwhJa2Y#el* zaGbUJ59V57l*qsR?>sNNXYoby+$8vOpgGXi*KGJ+{}cKDrqSP6Hg0v+Mq*k!rOBP; zGBYfD9!W;8%=*{)bVPfBwtuopB)ZF;b#>oml!`(^SHFf=I78E*mH8|yo+jPlAuiPhaBdZ23{2Hja=c zNnEg0k=Lt5yipC0EfW#kHIfB;4Z+8Lx}b*Het;Vhq?2qpSEo||D_;v|Ue)}$!KA*8 zSNU?*Al^8jd_HS%WgNg^i`SPKOIbVX@)%4{)kUQaXD%&t1*=5>X1}omj*yD!E8OWR zSk&#GMLeIzIJ-&Z^vy&i3Jih9Wf`7o-#kOKF-8djf7*vnY$Z+%CEF{#41cMi0M2+o z!Hutsr(8emqMOPxxIit%A);}%J)iUB%zVFD_ztKgbP(-t)JS2WfsYz=MqMZ1h94Q%;1!h%zCe3PWZ|iZVeNMDhQM z#u!=)mX9+PZ>Q4hGm^JYbX>5t1dD{JNG8FV~P5cL^fSvb_GJMSiJO+uC#1Rn4uq;@r3)@CGN} z+fn0xe(v&IA`$c7i@^c`D}9`AGOiMDt`bDEMmJuAR&5+jf>Z#ArR8X@ZCBE1bhZ_A zz?@zwCj}Gh6agt)8gBfebnlp3t&&Ws>xFl&@j5Xz=Y{}h7aJ1 zir4A5J$GGIdk4x^tsm+-(gADeK8xr8S18T<39z@5)oMw0x@X&lz_g0?IMRLqeB6tI z_m6(RFYDens7)?_D@grZ6uL0}%`ljWfo4aXtoYM1vF0f2r4^kZQrNmT0xr$Hn~oA- z(AJE@t^*>|Y^{={qbUO2&u9n2b-Xgucgo`d6WnMk9wsV>zAO=rh_Dgp1}m#K{mh^N z_}4(q3RCO^%Q42;+H1gQk%?E@Y?6CXM~@Gp=^g@L95^+b!GhC!#!yfP%G%av(DDxD z^{X+ZU+XP@aObUBs6POqF#aLmTdXIr>)s1|9;pSv;4p?qEo)8$MakQYofg*OyL=Sh zV)YCK8npTC!7^m|f}gAm)W<-h8VEHpI@bH9vYFr(nRwm~85dhqh0%rO0^G82K#_VS zLtFcv>DU3AHsN88g~Opc=E$MkGqA4*pNSe82gov3n$32Btujasqec_iTY;nVLfaHvx6ELgapsy+;fTfLtoL9UUyX5iw2T&}WsvZOSo( z&x*Gfi;H|C^?$mZ0sxyf>K60R@iXcvb>an1lMvefT4fObX-U6xy%E*YbXlIXc&s*p zTd9Yam;?0vcP0y5=kZ3biQ@g)nLk=zPJX+hgl&ll01G?_G4fNTU-Ra3;3Qa7QSGnL z(k_l=EKWa)Md*uma`}!97q|WxWl7dRa`_Ad?4L=o5Y{dog4cOi<&H9GeJ2QK{lv|b z*JV9T(Oj(e+h89kGWFBX;4MuPHkctiSRo+dD|@UW-NgRc_o>lCMp62{*FMlLW=W6h z$>^AOAiE^$G){c{N%g${H~hnhGuK7L+II5pJ9}vXd!1d4ezH}$ZXFgi@MJx0G}%=~ zsTv^ywHY3?D}EDiyBi3zPrpzqe_yg^f?PAb$lSg}9Kwt`>Jy_7SP+S7gKE2h|SfEuB{m`5i_coYMuDqFzuM)Cyh>byjNT8IE^BCEZ$C(Z;Hi6=NSI;($LD+^<#%iM8j9WIiox9 zYH&dB6sn!sM5bwG%~e!qznkl?)<>P9H#*;Vk1{Zz*ueN$lnjYSMiL6Cg^ z8PyFFI(bDXcZbcYo6du@^=33}kzmQGTwAKG^)C50`~T zSVwN_98#QtH}0X={coO!db_q6o^ZWCnBlB{_)2J^v2M3#^J3i_I))o0V@=0O<0E8~ zjo{42uG*rGaoOGNp7ite&a0mWpqv=0` z?I+{s-m|hgjp6+5oOqGxWkq3AEwxy}v=3b|0CPng7+<2hM!K+?op<9yF#12K*zdp? z`Z=YqYjnN+P8aMm{#uHzg3rqXE${sL>pXwE)**tB4;~#$9J0;BS+qxggAi|zFD>-4 z^aH)|u|Mir#EvHj8NzB*Zo)@9tk-V>Dr0CCCL~ifDc%cUc4_N4PT*9 z5Zgs;#brCfGzN9h_$wX(F}?03!C^cg7@L7ndodm?h`kq7=TI}j5w;*XhF{P01+SjT zRCfDJF9ybPlSK|eLZ2A8YbNS2HUbvZY0Smdlaz%l+EV?+`lp?UtvpVG$Fi=Fo}$bs z#1Zb>hFE#W&3sz-{tmM^59kJ0C-=J=&C7~8=g%_??Eb`q#@*LZsKbgj+=<1osEL+e zTFbHoE@F$ju@^G8nuS=?18cOZ%MDJ7)_%NsEoIj(axRO5fEtV6W$XKQw?)jD0P#*< zBi)J-EW*F?tAC=pk%9~>Eqny0?Ofo(oN&zssJ+p2XL}ke3WBKu3RpebK=xkhe~k&D8=W?1MLZPoa4B2n1|a~ z!{LPokJZOUFzDS`e{NFjy9&HkQtVU9V{p4cHuL{cKrf|c){bf8hYpl9>cP|-{kIa zZ$UHKm8`au;J&I<#VO8%X^JsTSw3z#T&H>vKDd+Ji8eRcY;1g(ApB3D5eP+-{Agc) zLhj%G`#^4Agn3CNJ%q(f17S>-3We=cAMABm zUgT2Mcu~wqv_#mpa5^V0KriTE*;3mx&$J$a=Acb$I;Ot2HqQ5#ljD##GCz34ET3(# zeZ203@3Xkba{nGOTkX_$=cPI}TBANQh~X4CR(SQ~O@(Yo3~N^gMypG|`Gh(%l>brV zE;>~uY_OV%KaDyih$?KZSnkA1kdy^eI$~fkLBbd8Pg$*cah0<(FKp$>5-S|fx7U`p zUkHXA^>E~F`jy?(x1&5gSBUeUChqWYm?<*cgJN5X>+^p+NFw-Sp5EDR*W@Gq*v%h3 zk>wLla&=E8Qf9?Ib{gVSBoX=)_PMyR7c@qPzqEk={70OGC6QUIWq8NDno@f?B0Mq8 zI!f;k2loPK;b>4;rK{*+T748)DZRvlLeu%0A>f`Ic4^S4~@p zlErWgbZzsn%zTw+P6&nR${Hg0#%o!JX=0yoY;_Fuc0xFQ)ST_bYD;%}ee$sZ0IVI= z>5@uW=yDF6SS*wD8A!EzpJ-&~C(g~^uu^Edt(HnODoWJEv5x8rwH`a9Klgji&J`3a zKJw~*YCfRz;hx>w9B1%Tm`yuxY%jJB<-h!{UM_5!` z5Nh__8FN3#8Itz*pLwaiW2h16&zvYfoeZS?ine%dN5nKs*tui^=EHqddpD8o7(Rg@ zoRuR|0Szs|aHMoEPboIIyGE3nzS3WARKQ9__n-#bzRaTYXYISTT7T7U>xA3WdeOSe zFS4BPtOr+l{Vf<~C)}C0CTy9>IbmE?}=WqJ`nRd(HACa?^8MJ&YOQHaQaryGz zrrJLMPqx{A0_jH#SyzDzdlt)tl%Ob`)_OUr(R_P3-mr>u_Wb(h1aIJ5X-X|?G>-%E zKw9CRe+}k8(1QqGU0OjXO`iU3%E4Jd`!Leo6GmCuO~VtNRKY&Y8m#~ROp14!aEc~` zG=`u4D z>4G3&w_Eibx6X0GOg9)ye8Vy3z%!;Dy&$uFn@3zv>IMc7Y>#jrtBqjg5VFzATF*U% zKM`jtObJAMP&38n-$y?AZ;Es1(+x5+`maVCyKn`wirGjz-axyD{NDmT9inLoUOpL2 z@lz`v!e{Tnw@jTt8mSfZ=9O@(T)>@}9t7L44-)v=-hFDyze+5#0ophJE#s`HZ>6eZ zpmXU@U-1N=J;LDU8OP$E|CBO*EoEz`Qc0P^xr%uMbN`uMXlowKgem{;G;JwcPcY{j zW?b|@v9mtM#d0F|9}u^p!QXA11r|H{%~kq~h7Vio?BKHoX5Zwh7G`6tSzag7gF>Ef z;x}RZ^L=R#NmUAxk7nusDyf(-*181%Z6)PY9lHDIBvxPw72TjHHi=~fngu9z78ZiQmg8JS-{MUzmZg*I&P>N# z;06DIG*9qcRM_y`t#+KnOU?$?Qme8=W%ZZ6sauT509eP%c-^<7{a&;s(QV0V7P8JI z`rkOwpWKdL*<}xN`Yi4XfWyLhoYWsQYP@k|A3UEu-f*wYu)arp6K}J-&=|Z&EE#jI zRc=2doM*rl_Ml`JKI<1p8T#N7BV~IpwWezM{l$ni?99L4YOc|HSlFgX`J-=brscWl z5@FvdY4f5atMvYUYI(n=YTF@2+L}qHGHXHw7C77TFT|OzsY6%sFvtA$FfieV&@Xm| z?}faq-#>Ui_wp8mej@X+MjB76N@lG`Om+=>U?@tSxOClnHMSklI&J%qFZ=52v)ud7 zqD67rNTQSfVp-V4g|SFZ)b?MEjQ}^yjNuE1hrA|5XW|)!FJDP?4ZJXM!rTOS817Kx ziHA#WNAB7wX(CtN7Bd#Wl~}cm@zlyW?0eA%&98dz+|rd0v;9FGsgb%CtuO7f^GVO`b;eq{LBl)Z2bJgAYAU;%(_#HlX<4?Lc3j`E^;5$`=I~FOr$u| z!g*X|=V`;69mt$A3t*}7Cz>hWUu?>|Hf~lQj-|vK04PIhnHN??wT|qmZZg+ zJ&`@=Z}!9{n7vx{>NHbzcH{=#zrrhVQhziv{ibh0H&}K_**@Zil1F&vbvu{BJlGZI zU2~C7qmaT}WE7y(@A~Fu#fGL`D*CV){LcE>V+@xiZ|wYo{tP0D#D8}2_j1`j+Ntqi zn`RTj|8>~hf>7J+l+Q9OP=x|cXLT#Z{W*A0Y{#&fiK(pZbe-RP3fGmoTn6nCDq8~m z@3*0~c=IY@kh`(Y@kb!M>dxeJ$O)P}Rfejt7E+6cvDZub=|;bx zn#rZR{(;6d>l80=bET~VU4XG&jXb4BtS^y9PDmdR@HlPiW0p@5g8Bx&!=FLHX#vFt z7GtNiJ%|pUh9VeQhUP8jyE_@FcfH<@$q{&Z*3bclWi1_DOJ-Sb@rP};u^*1(vRl{_?}KY>wv+E4vnA@nr@E|apV%?szzOkv zxgvskAI+MlYmJcIAz40Wu}T+$JqD8%H&(p+y3-*y)``7VTx4g1YSiV-i1XfyA#tCV$a4J0PJ?iF-2$8|)qEw*?Jx($@4WNkO@XW@zU3cNa{X}AHOI)tOv?>yOvOf0jGY-tAO?8~YrK?EdzUVuo^kgfK{8nmzo$v4FuY?LESG&gbS8{H@Un z^KRT6=42_|w35-@qEklBYwZW?;s9Uls@j6}KN}_5BG!MZ{|44p?*|iMD9&j+HxLI| zjHWX9&YyQXGN~yA*=Vh8T^$xLa~l_5trx4*;x$j`5u3ub9eZX@$dgfB~zE^7NTp#6&WeiUTGxzdkKb?FwmE9=25tQZb+t$>v zGFvIkwPfffhfmvRtjABCgQ|6Kh%}a(rO2Z5b*So;_LbBrlHi8LKQ2qZ9F%Zd1-d{r~e4F@biMFSO<(A^uF149}w;^i+R(3?3!#4NEJ#utH_5xWpO&kN{6d5f$ z!&}Dzwn1`ouWr%(CBs57!78+Oh>LvkX~sSI-;e(}|6eI~VjiEnV$Z63R!3r1ld%m+ zzwPYi&f22Q!l7FL_b6X?l^#JXQkWQn=Oj))Ailp+s?Zr%n zx!J{gHA73h)F1}mp0K|H^Br;B&1QDe1<`rm73)f!PMD!Aec{ z<2t`(i}`OO?xU^8Iw{ZFkhwSVnW?kKtTkB^oU*w`WoWcT%3A3?z0?839STjXqZ(R{`Yb9?Ad#0zN0Nis{#PHd&>cNfC zMZjOm(VW)1-^kv=_e&(rJ7Kn{E}olZT>ekhqX?BK8fGZC1mW30QuECUZrWzeF@)7g zzvC*HckhG&=O<>DwYJp_*FE>p>H&tA^TTAr=W%~|6Q!=(x>Wa%>tfZVZ}F@5HJL`f zzcJmB^%u{Da9gZt>3;6*S#k-u9=;$H4zVR%b>KHL7PhSeaX2d+4+TT3|4^amXyOyP z#meim{-d(>nj`K)Nv%AXsdoE4q_Sk$UppGE1Y&#vRnoSF@aWFilTm|iGGt%4DbwS5 z)jU@Q`_Bc0{{u;_-VJc}>f?D%L=es!K4^Ovev>QQ&k(HH zQH=Z2QrnfV}zeJ-^LN^GqM zWd{%ZEO(7DIPWFvpb5h(PU-of%1^B^GNzNUKb0kkw*D=XFHzuD7a6t*@bAk^pSk4!> zYW-};{WIQ8G*YOY#@b|4P9KJX$-3Eg|16< z(b`jrcrq{OC5iLsbAox_?Dqs-wIB)4+bv83^K=n_rwwmM_j+;XQ%+TJK3@*^>9fL9 z0#gpvWm$vP6+YOL-s6gH9UbBYhqXUiro@#g+>;~Mc;m_v60hG9zR@{ zpqI^B#6f#gAD&zcaQ9ORF7m%F3s7Uj=;uqTSorfwf&Je0LQZ~S3e z_`h`Z-QjS2-~SOcdKW>6L~jw%JJF+rC}9!2gJ`R>dhfkN@10nES!K28J<1A#u&la* ztX_Vb_viEd{PCOD^UOTYy));YnYr`2_nbTTTt;+8DRmC}u0#H`lz8+!L)aaiZ11KUnW}2paVT&^045RVp!dCR6xE;91z+BD$C`7LnA5BodX;I&af$s%XsGk; z@}B>8X2xfet|`XTSB^DakRz9U9a&!)Q*0qfZ2S})n0sbEa^bf;>A#n-dHVI-2xf#S zFi(iCy?wS0c^) zd;#K;bM@mCZDKRvW7E|!W_&d{DWSWU4vkg}9MKZd!gW&QF}# z0Z5z6c7OvmJytkqPPN;~uC`VunYC>`gnM~h{%hf!HdWwPB@(s%AJK)brb38Y-K0B@ z^mDrX7C)8MYn%G~9SiaqZ?{{F`GG1wVme1X+$TxU8*cm`kEVraLXfD?*w=BnH{%hJ zC_i(quOz46OLZ5=IEXIT~7z`M7^Y0hOy%HS4unQ4GjFi#wu7aqLiJO0d}DuB3uF^2uJ4p1{(cr*I@wrnHf&Y>;aPMQj-FW|Fo*=5DL6Nh6#2zi zYLsXTmtZ>Lb6&VA2&=B%zo=8kESIs~yvm=_DEHvGqO2WH@10E2`XcVNmH9-x-Hi)8xFEofqhS>t4u@z=AYe9~FmMmEEO$#yX|>y;Q#sw4 z*LiNg*~~)M7pgMit(7v;EgapW;o6Jv6>DPI(pb|8)z&WSdHv@kW4oW)!K+c&EBRVeA=kXLOe+_fR zAL$E>qv>#CN-rU&1v_eBm3gb7=oKqOU@5$URK#{AddumVn%&RZbbN z#nh8HJ0ARL?)(;)=@I6Sm%pNcLNzO|*Mw*isr7cXu2`gNxG<$heBS*=+_Gh!K1Z=y zJFdrbk$>a<&<5Au2R-4&RrC-Y;`S`$_4q}0lFwIREY`pjhVH{3k@7x53q+QL30_f( zHtP>=C9M3N=S*Zl>#ZHC3k*aLRmsTD#Owjg@ zX2l*_uh8L~rcb{iG~WgaR9p05$X~oJp>>kyRIei3s?A0=^K!5A*^c-b{Tj;Mvs;pX zLy}gs29tYnLF0M{0sv?26b2|Ga<-R23rg|_O_5Q^^U>znc)}Mg&5G;}yjTJmAJi|7 z@VN@rKS@5o7fzq$tA~lYIe}ss>Wz&c)(M^Bd~x0JSqr?CC_YeBBjx#cWn?AQe=w(8 znzw$ywv@e&vou$v(t9@=cQ)4ZPVY1z%dejID5uZE6GT*X#K~U-uh^W8qR@)ACa$QE zpyk;KwLi^Pn3C|*#yE5ZC6G_zv~i+yU(5yhE=kA~ZL&Y6kDj``t9!Ahjt6HSVdxG_ z*ZGBTcDAzLd?1WK+d~C~!j78SbbCCKSA^r<_jt%aDS%Z9i=u9Dw$7T_Y5uR?+jq|C zES`W;e?i63Ho+5|gJn^V(x{F^DZrI?|4P;vjrbIE{K4y?K!V83E(BRXaHR$oFeRvr zsVJA{MmC*W>F`fz3Ey*oXc_~!J5{WgrX_K)vSYp7q1@qIM62@eJDGFb76E%pOkV}og;0T>Y= zh{S|vsb(Gb?BNnWa}4vf!89jdeCFH4g=f`rg~pPolk4T4QttDLPE=^Czl&lmf)gojZ9W|S`?T*8* zZYcsCI^t!Y1umLMYTVf(8x#i*4}*BTh96WpmlM7e*L70jUQ|QN5kkA>i=cVAZt}7t z!fCZ#$msVQ?_^j;R+ic;qE+v-#6+C!mc1e=)L3^fD$At95R|Tupg4yj%TAG4CX5_p zeUvj-+!`b3yy!JCk#`Jdagi;Pq zb3-%K)~zUh`b*LEG9VMf_f9upo>m@)Rn*wZ_}(Bc+{6_=Nqqf5tAF-{VaL>T2j^!Z zHNbG#RFa3c8EL6N@ipei7(b%^iY?0@5x6eoH(Pwj^&mYIVa>##M;7pr37;$X>8+f& zXIGuOlC7hroH(hUvbg7!pAVwe%z|quZF7;bCelISyhX$mG9<69l{l`KfJwIcbEtR} zD`Gg!Ry*JQQ`4}d>%wETAH{KR8vSeOcF0SI&<}Ldp-iS@(gruEWg3>nPj%{vQ^!lH6XLQ6*+BsSV<(^WT9V8v4C2nIUByFcKqxq`ui6T> zg<|71ZM7s&;u?M-xM)ZSdg$buH=3CrzvXV8^mvrwBCK5t_J>^z$6xc136`)^|Irr* zXR)s_JRXhn*Uw3cWrz0{XBN+J57Hx_(yC~Q(c)wkS{GWci(wDZv*0@M%BxUU(p!^G z1nI8d(dyB9PCf*pbi1#*f^(d$7|Ea$Qf|`MNH^(BK_XB6TkG+c1)_2%-+)Q)JLPrf z=PxY`2%AzLKldA(#fOV;DIb&(f$NZ94O&3MLplq(bFg?e7qck6UVsA$nS>40wg*N;8!A!!7^ zwv(329vHT*J0(fBIkDXT^2w<44U?Ag`q{C5Rn{3?&9+6#)l(g0pJ}5^*ndI}r_sIm zgpwF(FP3UEOqI0#iUMF87jsvS?XRN%taH4e>cf)Q9x}j;XBE44@O@=P5B{$gv?pn= zT@Cs}Bo*wxLCA6iZ|$oqD}oq6gq-e7v9xpR<YynF(N)L<>_bC zXbijy%RUUzXHb^*9CIaT2hT2zDZJ3e!aAV_#kdK9r*J_#KDFYQ6W{xuOAPBFxK60y zY|gbr@b>1;C4vP(r^yLtr}8eJRRmW;Noy-wKA%-Pxq=1Pwn8lOfPBZNM0`%~KQQe= zYDz2p7c|>=CT@0I+cZ7Gj%$y;LFp$anp?NoFqaPN}&S1m1odBhan3LdRFqMnRxFgv?pzL4b+4M?^b z=y;|5QNe);_<-m{XK0l0$( z8~)_A+aVS?5)^pM6)@o+Ppm5af@Ws*;@Qu{tp#_}+9~fYmT>keo6_ZZ?NhxwztBHR zOe2T>#;nJ+N1xL$JRs3^sKvqdpT3)%#9($s=et!-U|Q?67k56b)~(!sF)eOxUwBvc zKR8an{TI#ZyFU8%o`oFZE)zlMP-%OUH!(EJR*)fw#2-(aq^*6&&oU1i-X1K|R8L1C z@J|#?F0l5~nbR1$_gS#IWw+KYWirr&;PY)T0}TK;VX8s{5EwVLLAx_gxOjgEz=qx8 z@D$#?>GhacK?jHI8$_77PkglEV`MqwUq+uM7zhylw*y=Vc-)Wn)uM9eC1r%W zSHkVP9w5^fVIIh{_^aTnBFR&MYr45^g!!4k=q=HB^d$fJ=;ha++z%;qB*tJh0%uIU zXh!IWzMCwR~2D(NEinKRw#b4 zM<+(gdlBL@-I*MgXFV3yDzxRv*1v2SF8Y!D=J!_o?``X3;R*Q#GNG_GuaPRr$-C

}D zalBXxGndeubkRT|X-0W}tHfO5|6v_#rJ4ChL4#C}3>`6MiDqiwMkOvT*i3n$rhHC_ z2(Dwy!XkAECVs+xd|W_MVq8e0PKK$)IAs4_xv+o9N4%fNr3p*PAf{JE(tI$B(k}6C z%Q4A1l4x2wud)dPLd)k{=V4~kuQFEnHQV~=z?@pn;Y27PA-K!c@W7MMLInXvQUe0B zJ683brFp$ba`B!#&3IX8#RXMtzZiT4$lz?#8Zo`51;~z=Vq2>kjv4YUb8UpWbExO) zP}Rg}oL6dZLBD+Unlt4zIgV!}kQxqlgmWU~tSYfX19rXR6-Zy;5ArdJPpesJ)I9!p z+QVARwBuaJ^jJe@Mj597=l1DWyWcv?hj^|mzN~`g9-Ry9_$k;U!Q8lv@mQ2?%d6!L z9DVt!NIPm*7V#uTG5?ya7TkZ#GyN@gw9np%aVSWV*GAbX--K|)+$y!LZ+E_I#!Dh7 z`DdUt0nXWs{TYAoMwQgPaYUS=HGw^D!_Dz6d}e8BwGktfz?YRVIYOpqg5vXh+(o$K zL_UFGWxEJ#^J;H-mSEO9Gb^5PgUs5OCKfxP^s}6d@xN^2UM8>UkIg)e#8t5pdqOp) z0a z*>RZpQQV|t6Py1@p6bsiUryF8!&0-C?a}mVQ5RJ+Bm85VL84m`X(ZUIn*aXE&LkF^vlPVPy7Ro(_)Fb9x`bT31s#(Z<`$@| zUya*!y6N$8dlH1+b}r2SDj=(~x)%%VLS&)`eW>)N3ckOU{$L(Z*f~#UzBhu321@Ud zlziJiLY)F$KuWNNzDspe=$!C8CatQ%YAlae)G+KG8q;$ zRed-F$$LSLzwrRHo}0Ldy&=bl`R5w*idkd}!`Gi+aXiY4#vY0>WvXY^V6?1#3uTf0 zc7tj2F!}ClF8t%O6a427Fa3I6auKSZ+FyfV3`iIc5@s{fVImvfX0&U!IUIPCZ}{7v z`}|fP!^fIyZm#AC2Hn8}99^mB=N?D`ZvDOM{cM+lsm*)?A@EiH2O(4}XvKUXt_D`I z4O4oJvGendgitlmqY>fL+gIm#Mna#)bFkuFg#8=3AHj@%B$}(x+!ZA}d4YP(zyKT@ zIaK&{n9{e*7%p1+M8Q%-wDXyhH_z3448G_}A+b9XFmRs6MxzH!?c(uky+n09k&b~O zP==C|+*5u(Ca_H#TW)~~XcT$4a_q_URD}9&(e}6TUyEu-Nqt7d$Cp=p!&?>cthN5APu>#99#B;N$fgKI~sp}59!YA%VwzRsCA9*g^IZ0lIsbH{$2a{zSBg?Q9bU1J^KzlJ|;VD15Nv~a)A zab8suW=CQNJwS?Xf{Z4Cu1~uhe5Z`+v|31z*ka5&+q_FQ%~Q4o;j4e8DWz=MFv`|c zl(QAM$gu2uwPY~!E0J+?C17mhA3i4jD}1gAqfzQ97SoJG@)X9UCuAgY0Br33Fh!ep zt9d3WsW6-JZ*udF@5lt&rv7*A=7#}M4!#5Ydgf^g<4`|kndb!!-SpPfZDeKQpa&T^ zvS2ragvzBB)09g?M@<{Ev~5JOwCtuaw#X9Ihj)|>E+jm+ z5-T4qXdKb+1<(LcL{n|eaw2CFWo44N){&Hn(UYD;Umj0+x(TsNGgj)i4&mJg{jfhF zB*H=dq7bq&mLN;a)VzQETJX8GQ)fHO7y9=$PUwyQbRkg2r;2HsqwJqj8|km;e){Id zUd8gS%jO%V)TwN0= zt(7+kh2S}oEInx!wzZ#LD6H-EkRNnD44%cgYJuW?5TG?4&N`Od{`+8h0v=pr$&WQ) zq>{J#tUTYo2=@KPNXk447BeFGkJx`YMvjFN3ZP$#gppVE-Tz;qh)ybGp4d^Tfgmz3 zR~eC6(jRP$=3U!>pZ_=`3I2X9!;Z(BMg zxhcF-SG>wNoqqfCo_EP9ux?qdaJa5Dxvsepan&4wgg0feCbdxibGNBqgEcX{yt{P3G^&8+h_UTYBEEWUj( zfsg3s=La|oa3_8i5m_Z)fNqiueToph!lK$x$aeO) z)5P})yi|T-S-kM#wJ``LdGNC79f!E@dH#_k($8%wOHw|>z$6OQDkCEhRhh&0KEab0 zo}C_SkYH{>_YQlR9DQ?g35O}&YhY7yGM4t3lq5fSOsWO4a! zncKej3!gdv)bfrw*u`5&Q^?h7Q-f|QF^l+R-+r>axH^xvN>PlBP0TUvbL8gphJt&d z4ptJA=4>e*QBi@Sx?6|c++@k4Z=F`n{fnz6f+S|hXFwN`X-KXi+ekv26>h5Yfl~n0 zQvxLgPAZPixvc`QyYb+J5s2afvuf2C%@kpaV>qpE^cQ||bY_V@v@8D5ZLw@|G#*-P z+j!8;V66CFB|8+bK`q<_yqtK|o;HESSU~UqGa2zWIu2~KW&mQc4wblXtg|VWQ|o|y zRHuKDy^+N*j8mj;nnV}wa(X57_N{At)rz~_Oa}R}Zi`+BDLebF1lK>WeT<~N2YH+# zj3suc9Mw`hBBuF!61Z{Acq^oz6&5_!AP>=v^ZZ8#Kq|M%9=uG0001Zob8lbZ<{a_fZr|cKUnI^_K?&zmq6dLj3i76bdY3^mNAFM z8kY`8q^jM2pCMUWDQMfn_OuBsn{(k@J`SG_qHYfj_I+%yQKDM3gSt^wiK#IrV~XD& zRGwmHFih-g%B~rq29BXVDhc>j$S;`U_#^%d3HDOeYENXD$gypP!ukAV1^um0^BwQD z6&xXFh@7uo6tB6q*ey>xL8B3lTb&$UsiYS5gAUg28$23y8m~+T-;HIN4M?tVUfn6ltNX5M{D`L8O~|zo zkGxs92>gwIs`{HYm7)ROK-uUP9ifX<9cl?YQ#qhRR*a7b(u6Q8u?>HD=;pdrb9hv~6{bE6kmWz+WRLdd$({rq}>QUdBRrY|s0q=tEt3!dap^Q=W--ZdJj zpeC56Wiv_{kSvivCKE$A7PXmUIqpA<^yzmvcFaZI>iOVhRRX6;Jq(pyKxO}j^sf^w zps4DHzCF6e^H*P}47n_Eh%|_k2}$Y1rqTgmjxeP=F<6%UV#J>YxZe!OxU7nMeJ+3g QQ|PR6XaT+X4zaEf{4)U{iU0rr diff --git a/CPLD/AGM-src/db/RAM4GS.ipinfo b/CPLD/AGM-src/db/RAM4GS.ipinfo deleted file mode 100755 index 482f1be80d31b726a34a9972625dba2ccbb0bee1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 338 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR9tRo%0sUo`pZ)5;MA&O=Rgm|v`Za3@`)hUa zCre(m@A~;_>PCjIl2WfF3z#$A=L;-|*fW3sd~NFq(zB+|ogP2$INPL?nx48|DQuhm ze35mGa=6yTP{@1afYbsz?FskJ?0F=#A#TCV)|spdvJQy~CmF8x9ATLmJTHC%0npxlj8q&w_cK7Y2ohX9X+Bum4VpKlx9Dy&lw USiGXUypXArxi>ihA0U{!Mf&c&j diff --git a/CPLD/AGM-src/db/RAM4GS.lpc.rdb b/CPLD/AGM-src/db/RAM4GS.lpc.rdb deleted file mode 100755 index 2c939fb077d3e64edd85d7f28250c0d2765656db..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 508 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRu3-k60t9U!Q-NS1gktyuRy|OZzk9NX#RLU zDSVyo+f}TU*O#tcwzTf)YSx+C9vjO~6qLTK73aJ9hW4F^H7QI_jTcYJ)+n7V`%>)E zhVN6V_MB{Im|gtS%u{oM_wDtDvaKgYW=!L+X!*r1({f-p>o3N8@3n8d{&2g=xa8NZ zl)E8kZ^dms6}&Mk>}VbT)Wh>1$S^Sc|6jqFl$ektkdTnjarfhgseBWru{=@`U;abD zU0weAj|USJ{O!M2gv*D=hwm3yAi~^iDqw8G0G2 diff --git a/CPLD/AGM-src/db/RAM4GS.map.cdb b/CPLD/AGM-src/db/RAM4GS.map.cdb deleted file mode 100755 index ca0a9713524825154c60417626eb50d8c16ea6a7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15920 zcmeIZ_d6VK)IXjeN^~NK-Xer((OYyON|Ye%iXKr{XIT+7(R)M-qW9i=@7?Nc_0C$0 zwf5`%JkLMzy{^y9bQ|Y0?hL6w)U3Hftzr0YvkDF6G7Q#LVFRONLS`4onkT_#Yw5J8K0<0STn7!yb|TB=Q}c$UW-ah9gW(( zs+*ona>N&`-pJxJQzfj<(gX=i*cF^b;nBNw+{Dlw2uz&dHB;^7zk)?@)Km$QrmC*Q^`S7t>jf@cF(W#ex;vEFjt^C;HNvsTx~z# z|DF4Pe))fx`2Tx8z}({xtQT)?4h{}{oWP~JvNCN=P1!Vh+jWGq<(WS-35P$4T@xb$ z=n&UlW5{~M#cJi@m_eB5kY(bK0awG2C9LL-dl6MQwD9WR4%Trs=)-5g3LrDH2>E9U zu3uau?~r@^fuX|b{gC$FrJ+VV>Exp7yI&kN-`cBS*|q!;d?pJmgLj!y!a&aW;-Z(L zE^U85TIQ^3$#6rz7=gJc2j8$Iy4KIAI8FR&Ek|CBX58hZv)AgN4jo;n#J2kbv zh?E6RdX*eHwj|9<>{6BTdD`Wi*D#7Ul|qyHOrsrN3r)Vyv6EK)98H^UW)EO;T7K z8}c(L6~Ius(JK-K3$nSgQ~H6URo-u596on5xnuN(s9(m{3Z92flZ+5`;eq`1l`56; zt$3U9Noq)E^4o_I*7jYSPm;~UR#rPga95Jj)}XG6bA6f&fsZW&Z|V|byBWyUVUf_+ zb5@Z9>9*>yg++IC6JoAAxrDGADd3YB-G7$tI(CH+3eP))YWKNouHoK(TcqhPbeQ8T z!#Ey|^=bVKYbbhu&4q>@{5 zsI}b{ z1gDg~(azi!FtR^vaxb2fBn*fO+GqNz4taN%@G7I7J?2Gu7@5P%E?3VW=lU&j?91(5 z-If;to5UJ->yz7wG9tbeUJ5W`B*4b$tB-)J`)8v!rngNS3RBO=4!`+gYt`txFVwp~^{gLPckIK2u&!5H zCKrSaO5EN~>=meyI&3WJ{5j**@R1&3*>CZ^*D0|Np=WTO7nboDT|@XWs6fByy!iAR zNdtA`2FtDXH#O_b!lg@P+*fQp7e>R@e4;$^vN-0aQu&Rc_`W3)yS0+v22;+zjv9MGLSyCi#@@RD81oK`Vy_f16^6cn)C+Hnd^-@h5~?kS=D zv|{ct?`iRTuj=Rgb(pd&BdC|_aG!j+tbPVY{w`Ug=LBk-pwNT#Qc)gkvbYgb=(am~ zz*N=G1_iGMB63qPDq>q!=3YFNcBi0imk{Lxf;pmSeXR_JE8c`_Ut`z4>+R(kbO&pM460b8?b7>FLqzJ99a)rDK4`=77Q zV=Dg4(`{OG6BEYqx&8sGim4`@P#JdMr;=!7arPy@SI~${d_rEkOVs+|-*niX$e` zjHPgU(0#PE;*l?!mkrB2#@w3?bd|!^rTGm;jwr;B^pVPD?0@`AWv@A*c37R+rV+|U zL@l8W^K>ID46k!$vBEKw$@#l5OfJwpnKMz`R1u0#YWkd%H zD30J;OdMKwC8T7~Jf4w%D)3({53D1*@kU-$kL}tJM4QR(k_*v?t)(+um2wC8u=Wi9 zvXc%-6AP{yO2(r_lz@IW_j!T)g>eJ;NkYymp&Lll&%Y}9q)7a~!!I}WsCh`4$aq=< zhB>-;6colM9({8jyZp^4ha7QsS;}wW+aO09q_-Se6o!Ts7htcs7^I(%&M!}dfeNs} zk$;nEVdf71wBL^EP@CAXn9o;KxXvuq^(*m~2j#ewk3yplQk!o#kuMeco8@WQ_c z+}^CCAU*6MQn+jf)wa|+)|SblI9S{@-mIG9!Erkjcd^j}U>q7a z)-V@cTnKS^ajGrFf_2-JGwbJY@YB8w|W@hXYdc6zt z6XM1#Npl*$Vt41=VQ0X}nv;9_=S(Bxv9k&|+JSt$GA(5SSkYp4d#{DawOkZi1hsHp zoe~_0@}guzdqa(v#FIK0qs5em99C+9=biDBTAeY)8b_GEv+EA+RW|3kQer|jPNffT zWJWY)JxiiN8mJaIZ95`zkLu?H%Srh>nyYpN*wmIY*Sh15pJ&d^+V3j(6<|_x$r5Aa z0(1ssAUkNy1HEM6yF)f4b!^&mCP~RrvQk<>h$%`lE5DnHYqGqu&xWMrBUP#0s#Voa z)7kh#J~&m2$q@|+S2veXcC(8r^R`p3Ku6~GKSU1>&q}(8nny@#&W_M7K~bsHo3MfoCrN|f zd~Sdvmdy`Jji+`wPn3IX#8ng{s;}}_Lt3595LCE|D58E4%QkIf!sV`rEPI()?Y&PWuc$upkp!sTRLwx5!f2lt8>$%}{1121+|oq9c|n_GT#vdi zJ2xYiyI^}7BWq|L)52`{&KG|;B&9y23H0M1@7~8n81XxzwuqF?3x7FtAzhPgvm0iD zKJX({0`dE9sZL+|pV+GWV(bH4d9PIyp@-iaEV$@thQ>XGddIM>pYln*WR(#)s%SV< zRk0%_sE^AP#pn4A%8N*=`d@M&7q(TP*5YD=Rb9`!k+88|7CFxRhgwe>hucG`V7TIM zS4uh&A}_8H!g1OYfg@73AO3dL|6QULblYaGF{rD|H_c|=4YOFIZkLU~uZ~EPR(vEZ zh8y)BXQkU&Xw_v1MWMLGSN1hhpU;f*A0w-#uB8-IBPASni26w_QMk=FHX{Qbr24nl zY|%f@*uB$`xLP6U6Cpw4xW!*-W6yLGKDK3ne#F-{r+#L8 z`<7*6?gbPfuVzI>X9cR-F#jEcU3~3z{?k|F^$lf)Nn|-wTbBlRtwh27Mu@ zi4J3V{EU{OHt&lOQYrGNq<{6D--H4s;eu6TK>GsU;U>wnlw{ljr|%i6JpKf$@EznY-9rNCRf>G{#W*n zpam@V3}U!W|oT9H+hZnjgHrR9Y^s9J$;a_&%ZR2}(a-VS4C zq--zoJhi~R&@D_aX_NWU3t=r08LX|t?Bf_k_aSQuOTPlZ`e3_|Xy3i#*Zrf}dNs6ya_*dz`wT&Yz;<`}amDfZZf z^cNxT*oD3l)iiWe{+qG+?U$(|R*VVfe;!5*NM2g!eQD?@czZ!lQ0+0_9EAiS{10xf!2sr71PQP0>#KxPoRzV9AP@=WHX zy)HYC1ieRx1c#K_K(g8kH#jXNITM}7{9A8MM91e#BD^+8gCC8IM@T7`_ZX#~{zoUS zumWkD1@*7f8*np4{uibC5A`&VymqpoNtgpO`qDhW>D0+i@j8AJ8S340-Ytz)fW&8T z)Mea=M54OG=KF>BX`9&`s*58VE(5D2evhmzG-yDp!Sw{s5j z=>+}L9U%)ZV-aCdwcX402y~Qrz)7^3zoGB-w~AlSrMowIR_UPN0yrLLGaF{zQR;`E>4=G@OG>rUy%&qw`q%Fj0Z`DX{ql*J~XfQ8N9cSo$ z0~f8Q%b}?U>dE6pFct?H6O-ZR!-l8Zh+ayRjDW8>JtDzrzqBFiF@-cb<55>hcJGV# zhHgXjJ_{O9dm2N{g_s#FESwTH0%HmK5E`f7rJ7iWxO611dV67tMiJP$q@nzbVFUn7 zDdDPAhs#jNzf#Sv>~1sNifpGR1S1jkQg4q(Z{M%GmB5@U>?BmR&q{Rd^`#_vGJ_~6 ze^B$R6G*t{^aRZ;%TmjStS?S za*9eT6RA}-qJlg~!R9Lz3`_bpk8)C>$A9=jy>=3d?KyE&yoTzxH|3#aFX~AMHfCm8 z_gNlCbSBGn<$borNaKF7ZrRSVbrKn&9O3SX{LrPXrAn)5#D|SV6i!%9d@uby$N=B9 z_T7t&ch3J(IEFT#4RMDCfc+j;oUvQ^!y=q=GfYSt|I;P&x7&F$8?&=K8BHD zvrt99QvA*L*89K(%sA;c(;o8Wsn_>W?8Q?f1u50(o?b`dtQ8+(76K~3U@41vnwhAB zIUi540lP^dSBhVrDoqpQ-4H(oJ)R#s^UOL;%ifc@mpI&r6~7mP#{c*Su7*m6!%bd>(kz&x7{&22KsNeh++)h){cK}- z^m#arS`*}anhqO30pOXf6QVm;<0zv`^UdYl%vu=iM8o(>i`+M7aiRoAEw+M(mHnIZ zUmQCdsVkg=rl|_EbDWWb@l!Am=j&!z0F0h^7P42e>?oj7zq_>dBWhLx*h&8b%8ZT(+KngD*RPhy%=>YJmZ zu=5(s~mrk<XK# zQ*N!J%_w07S-#URuR=wLN03W=cYy8cV!2hYn({$ z=VZi^Mp(CSdKHMS6R=~x=W$hPJ-p>uz0cfJB>8>UM3PWp((9MMmdAXuOD?R;LJB*| zx6@VQV_AC-bZ#nG&Uqi&h%nN@@^S_*xUxc6L@}}J)WOQLsHZ~?3>|M55Twdn-4;%& zW)ra1POzdFt$am2>+rjHR*Fp(56mn4)ptS>UsPYcjy`(Mfkjb&`Iy)tG;g`$x5PWY zZHk;9Yks!gQ~e{K_?tSOWqF;_RiAmR(4~8!fVKXxkhbaX=TCDbAkPWf zaPR(nBH-1HhV1Ex(CrYH50MhEJ9%v0cjS2Z+8E0J+4mXBHDL@^>XoEtba960u}`RZHT_kwtPOYvk_W`v(>&+Y`n^E}A2C(?P4T$u z&=2=a`TKEdM4OaKR|&(FaZk=$)`09$-v&$Pi0ax$vjFxUv9lUds6HZn4}q@L4y$~Xr3ASe4*08BZ?2+EOH<0H7J0El--Sk4tTF(b`CZ#EI4+zyO{FR9*vBiSjaD2 z4c%BgJMo>=)C3OuWnZ1>SzfO~Q z0&%l*&{~|o;LukpyyzcG_N}~%y?K6fSDefP7aP1A3E94aI~tUfrPW%dAY(>^l6c^4 zaW`2U!@d=kj160^mrCh5k~~H`PsQS+EWBmv?<4O3AOadh<--@?d<3sott; zD-99bDtrkqjk{5CNFhYPSqFW;J&fJF(YxtBfuF=WW^&X?T5_zDpv%5LSsBFDCO|Jyi@CkLpg>bULAIfgbIA|b%x#DBN{3AGxyRw=PL2!elk}s(bY70Cug{AA zO^Ik|HaG1XQT+SURYQpr8w@B;j5uig{A9l&`{>xf|yr~ ztTFMxa{{eaohn91Ns_n>3KXBDBDZidPic8{Ppw&_OI3CxafhW`Dn|TY@W2HEt=eoW zMmUL*Zkjp;Y1OmiM&UO-b>m?6y_hk&h=ipv9Wx2?Kz7dOS4z#@~)jA-R-yKs{)g&4k*x@giu%RoL@L5dTJq#q%2* zCD7BKWo=3sIJe_!fPnisghQYRqh`a)jv8qCY7&72i%{p(M%>N2tjQhUeQf%1iVMiA zt;{FkKbQqktlEzPr9ReWPSp89Q>3omwW+Eef1;N>HXyy=`h<1E=+K1F3APv}aae+g z-b}eKEt2L9^02_4+G0L`S+(^aBkE-wfDU+|&)un_cu{{o(Hw>1T`F&YV*dd-|qXj0E7qbOcx-U&6#Uok*-XG%Wb1NZlQQ7$v-*fRhreCdQT`- z(FJS2B0ZtwP#=@&aaoFs%q2mN9!|>xtu=#H5&RofmSad*d|XUpd5pXsNv|W8ca1ia zBmAbM4vJRfNvE`wSTYqW#}2=uFG-i|5p|4iC@MwcIns+qdV)}q0aVgnpZ4;LSN5*3 zFF49FSDrs-?`f#J1lf{#KNST+_+V>V8;u0pOaqPqDL2-#! z%nHH_{YyOP=9r>FvfUacDLy@wSW;s@@SJcX6? z^D?u@iQoUN!_{%qqZr@eRV$G#=bQ<}qHt6F30XC$Gxa39z>}BpCv&l?7%>&wDUBbZ zMAMIP@}PJBLVzWp%M1^_4_{^VV$GVbo=#vW<))7h?#EcEliT)72vt8NE?U94ve1C3 zj0R;@W>4qCaczwqe_B8&cM%%y`NIRMg_+)XT)0$HIJx0(D#>gHYw8-y6(QKl2Ou`RgOJrmzuV~B4GA_JV z0Jm=KYH-2@Y*RKQI){CAjbAS2Hq4z^-e=Z)NNqHc)p8u3=_cOl6=YgU8l>Y3IRZi} zH8n>n?y2wD@r@3&hO%>(7AvJMJE|{l>y#w(tz_DG;f?YT--#DT6_<-I&l7kRgP+15 zBAC7iM_E{6S{KjZaEGl}&E{|sa4qlC;-b%z()hNFhS52)2|KAlaK@s+yK=65ZKYY# z-YEd~l&z`oh6c$)-Y){g3{o5Fub7Q$3LQ|4S)5q{f~Gt6q_vjy->SFDYXP#9uKhVL zMiUR&n&CrsOIn~s28n<>i@~>;Bjq+z!Dv!n54J`^_jLEqQR?g z@J98V#_Z@QEesWCwg6Py{+6?^8N(b>BUxE0&966EV%*Hwp=aQbIT~4$#$j7*nc;av@UznvhqdUHr@-Ag$z`?r@HfVMpM1KAWD{KUlv-LHS5UR z+AyeO`fZYFN(+h(>kHlOzS4zF9r%*GVPtAsy(*88Do@6A)}Ch!LtP+C7kU&Ne5Z`l zDKaD*uC8(M6TIV(jhlf^#Zy%OKnkc`oZyv&me8UYUbSiOiJ^cRv*|{kizBi%7qNH? zailKT7d-5I`G;n=TolFyxur5C!o^I zB`)E*Zm~S$?{V*ti83DJ`npU#6e zyiNdAHD%rBf&MjMDHvt8OBuUB4z{*$sRzezhfUu<*Q#n`^qTZ>?|Pm)l+j`At;u)q zq7E`gw(CN^v^XP|oW+5cvdMv78)%%HNj+eh|D6A@D{L<~?g`)3=&X|W#;rv=$HfoQ zFA5L$wis-=Tk8n-LwS4z((K~##!{Q_lL0f@EP+6JFL8_aF#I)1ub(X@Z)1}4m0I?E z*dc=iKCfkb)p|jFH*2`SBjbti+i;+tQ6rSXTaMA=GBQUt(#z;=TN;g*_i5Z+FdX&$ z;b9o!jqQ03cy@B-VFQX!eKXkWY=Yy${4h?&eWV`1LH`iTi?&=SQHSldt9y zq%(@1Z@GRuRr&OjrYYY?%v`}&~Z(V0w|i!9Kq z{x$X4deX0wEh>!Xq^-^x8P$R3>gZ(f)&lqh57&1LXnB)RrGS`y5|`5-|JsME7OQ;^ z(M898SiESzI+HS+>x#Nt1Xu2{Z+mU{#Mp(mg1t5*Hk^W_Yw@VpkiZ$zdmRbV$tw?s z`Ple=1UF)w$mwY7NLcoX`p}@z^2cA30I>|Kk516{;@)3;)outSOj*25P|Mk&Hhrh{ z!OgZE+efW(1^!OaQnls27#9zdSLvv)LiQv!h@{;ktJcbVw@fw={oxrE|nyYYy*1_Qgy49IK zEsZJ}rKxStj|TUxR&H1w{O*j}dBzupr3PANMM+nU(U>^rdQy+CZMLPSv%6}&2%#=E ztf+zHU;g>;=ZtHOFgjPP(Vki!a~{pi<#ugSx)&o(F1r@d%=zkkB8IKog@=C5P-4U8 zwc3xt_A+Mu@iXhLj%d3W3`rAp z>#BtKz|DsN1gd=S9IUqvE7ZN88s}glcXlGUaA_%M=~|V=_a@1A*NL3|Svu}S63UaQ zbC;!S%5HoUv?*;Ta3=0O;&k0bjs1qY+}`)hw?KV0M*$6#7P}3jS8u#GYJGqc(g5Qw zZ!q@fKttB1UR25H*$HO*ge^s8*uQr1?nebfyahJ$aFsRVRA_d|`53gA2{E0|aNYKb zTHH4XpZ3Y*Yb}RbLv=ST8)zg8dKC>{GbSGbDq=ao0zzn2*gA3s&zn9tUujk=Zt z43T!UXd-&vHAg2JugNOS8xY!zXSzz6z8L}3_zBL(PZgi&T^?$ZBv-Rly%sMw@3&(Q z7au$t*zYCTAsua|s&e(HfXu@8_Q6lZz4vzhOtc-wcK3Sx^HNUgy+sAzZ{K}CoKdk8 zY7}p+k4@{Y0TJVggeoAP#6g3x14%o=0hqj_m_NTzy=xRFpN1w=VJRpv6NAh1P*V`! zm?@K)dO{Hj&kq$OmQXGFEg4a~q{=xie%$7%nW3pz``$1GIF-W{JxJ7cHIif`|J!{8>TI@E+>z z=r`o^m3mMJEq?FiG|j&yp4NMV2A{Nh86EB31)pc}$&@aOTnC&)&th)LYs)KiWi|PG zw{Pn|inXoeW=a*r#CEZSi=Ccw0^1hvn%|cs3-7{%YVY6Q2l1*Qe2}SA#hy8@4_th_ z$Kc8R-)=-T9p9v);fLFYU8;+F<4D|va-}9M6D%?CqCD1B31jJ!-X%aAa!CHsW!j0 z)(S_ra_`=`C;ArLpWJCE$hwO4Rvd7|AvYM?f6!qhzlh6zaTve-b*;|i>XU-(tW-Yk zA}75*UMsr^YXhPCd~FX=%ibu*iBL_Qla@|XVtgiXNiio((P+iG4jc>OPt8fW%nJXp zUu^;tzwr%$2aL~4)q|?c0`0?fsi^rq|Lu^LA+#o~4+odgnmbQU$WLhP$Ij^wMBA}*+` zvD4dv8mZaY_N=<_3wTX{zW-sQpZeZ*+YIs_bSGySWw*DD{JWN)cGc(}HCQLLonKVk z#<1o4G7V;e@i0K+)vml=zgZI^Zq$!wy5N?;jqgD-58TKPxcYd;k2!@?)2TGxAj<>k zBQu*w!=rL+-t$2t{h;2vxPI2iY#&p;^q1i#yWk1G$pqPA+h8X%yed`kj7kIdX_QXm z&7M*h^X?9dX%Fycp%u$`+-*e>uO{MlhI%Md^p5*N*50q_=(gRC9}9>bhDuk7GVvU|TXn+KncqG1Tj~ixftCv~%@Wuizba zGtizV!@*^U{Ur>pFNqDZl!V}#e(b15$`f5zz2%%aaWpU}DR6l>|5vm>e81ZFBc>X4 ztO<0Xm)1ac)gM6sW&V7`9$#P0`R+RBjbA7oR~$NW^4a25p3*ot5u#_js!V}Zd0UBn zb_j+I#~03x@Vtx0HMx_n$|PY9F8Zn{O5BqVPH#>YQ6jR0g8iE*HqzGE-i~P&-3GPC z)YpfbH-EYSGu?2KEXKw?jr06XA4p()87ls4q;(z^$g{OQ=e~2Ae|Z`0N#pHtoA5mz z^I_gs{?wuN2H|?(`FbX=4*SlbhK0?BZ#;Nxvd$BaM7)Huoi`0&x^raZyPn@?Iz)1- zvb^3jH)mFOpOx(1({3vSbU8Fkg&y5^W*^QwsUivgA;q@OlB)vmS5$us)gIG6sKl*9 zz47+(4bcHQyA}q<+h9!{#};(XEyB*M5%@Tn&S`$cwWkc8w}XJc0<39ej&EJVT0o=U z#^YTfO3NMPM9CM_mx5XuuhRhKJI*G>5Z%-j1I>*J1ddsZXVn#lEXprgwCMhcM7xLd zZ^?F2QkNJdwFfcT+hDm`F2^7?mmeYAd@?vFB%ZW8M^_(T;+MXWx9&PJn6CB{Gn$-2agBA*x<*QC^GA(-Z!&z0C)4BdH*dkG_idge zo}Ol=l()a*RWN5@2x4T@Hi~-lgs%o6%~aFS44z0oWP*Ji@aty?hWU}%GjHFjL`a#y za^$veB(*PqufU?A4`nU*9JKb>XJZ2OVGOt#U^=|x{3T|=3J)=2jLCJ#{60ErEMl61 z;gxXmGrE=8x!k#40??drj?F3Uqxk0dn(qy3{7v;RN1vaPG~ePy`=L=4{K-S*N687) z$7_${RNA>g3K@rMwfdNk4oi5xj;l5+Jxx(JkG&hc10*taph9O^;AgK(x?>1|R*1ef zb%eVN5)ow*2izpPU(ToY-?&?KfA5nb+xBmC&Qlyrt0GGl)$o)w{Nk>NxnFE_G%$^_ zJ7)K`{sVm#Ba3nSf!82lmppSH>aNiCim$}f2!pr@03esW-upDZgh@xsw9@6CdjG<^ zZ5&-ZQ99;Wg&BaKNV@DoH=m7*u7f|eI+5JQC@s$2MGJ$ct9OJ%Ps2lpU@mtIFTF9j zaO?47cScTonGGa&@#^a%>;&E9X~HPGZ_VYurwLD(g237_&|nMVX^!Z7KlR_o%M$s; z{vw`VRFYS%HujjVSe@F>Any$@W0T-#^`~B>PrQ2q{hyyK^RK$V*B82QC3&^Rj0fm{ zzyKlXJ@6Yjr(d#`D~fc;QwN5m>SVE>?KE#Vw9rNC(T9TIq?KS)gR=-zuJh&i+><)x zQ3UA`8Sn#%p^jSluh;Pgfr4WEkWyR`s4SSo42s3oI?&uMW`@2f`7&O9xy%PSVHKW5 z)wXIhRAV_#x&!>$*vBDqpLaoBJCx{VRT+2iMh5leLEG6w&7*>x(Qg20oVmcWd0nTC z&%Gd|Br8oI$;d)3?=Ml$LR@{9nE4)>XQsoz)F&otjA-n7qlQ%E`dJ_*ztqlmqMZ~+ zyw9M_-^9sJY030*Pw6Fc;{$Mv1YEWltT0C|HD{-I2DIumO*b z21a*FEHEm5)0r0f^Kn(w-bGU!Lr0z^uCOq7$wM2<*ypu@?qY4C#-X|G<2|?EYr#40 zpjN5O1>5gHubtL2t9>h9vZdRj?fc>UT87s=P1M^d2zIEGpAVJfA!;w$I1YH(>hv8r z#)}NS|M~zB$GeIxY3_kxKc@&ppKgt`|9hW}V*tx@=T%Gp*2+^IY13O_r*9@tO<`Tv zC8_z&GcZ0+JqVP4%oWMdnUQt>-Z{7afm&$uo;4kIn?pm6+Da2W`&@J^}%h1YoR_i~_lXTW0~u39Z> z(;rY3Al@(@H6C)mB&;w7eCRd~An^y>#r0C2G9inV?e<@Yme2tv7#SwF)MfgyTdNyG z50jU44W#Bi?!c z6trUZp-!h$9(`#IY@v^m$n3jFo?lICcRs0r-Zq4_GauH*x!0vqyTFNBK)kND>mQ*s zgKH`hMq;ZR;BOtoy2b~WiMb%e3$D-)VVg*qj&gC=PSd30VO|FM|(!t_7^RCz;`N)hSn^sf|BYn$V zvB;s>0~}E;`~zU$nB-;>E14(FPSLIoASjUpj&Y4Z9AK!2bfQ321zU->p@Wju&hICaKkIy0C!8J-+@z;;KYiK^9F0u2-k z1y_3Va;a~`RF}Km&Zma^w-ZUM(Ox7-6&7Ol8T{|_8R-veLv6t-cv1J~tBbTtVcGSVN#EaO~21%>H;d0Sb@pkc%hv{U$8=HMx<%NxyP zKKdz`zX_(T8^Px;GXZYoACQtqT?+Oy*=5yV4d}ve0qmG4O*KBTYsuNj;b&3zpkt6> zMQyQv3)C&+Bqxw1cdM`=sot)Eb1fLl{gRqa?V6OG%Wv@y=Futhrwhi$ymMIBxzLkpjaC>Le|YcE1GEH> zXeQ<9WF(JHGSIhHE*G@7-L&hJX1aZ}qEeNyf5)AVEROS>eT?o787JCXBMxp%sj#1q zYc6}o2pTv7yls{NUuK6t~Z6riHN7Yt|B5S1+U9v{l84t%E6nLJ76mrwrz$qxG4WdC{BT=<~q;F8v4+7d&mlS%0L(`Q%;ob#4n5M zy89Y0w*1y%GqM@Uv&wsHp6PeEW*)9rJDY@eEX7WD=DWR`57hoYGEpZ=wz%Hcf|aC` z5c=8objA6PdK`wS7lFFMp5uUgU=^~#YZ}FK5#zTh^fv&3*mm=!gjO^`Fm4u)txMob zZ_jCuq_aILzK7DuacLf!IqvEci?>=^>QD!ZF{po*=VbEK7}Ius9%p;3>+v_*dt*hq z<-3JNsl$biIL+N@zNXVtBuc1xGTm)*=ydetJe6Xkic zD&7O@${jtXSCV_u80Ep!3Z&+I`eZnT%s*7lKam~C6&QdIKK@#N|Ih#XFMRSK)>;Xn zQAY}jv(B^CvAZ2nCa2XtGn$u=)-!JTf6}EX7*!oLHkKR`m~z~h#H836P}ahvSiIfk zaFClfRG~7Iul7Hv4)7{*Xyed3X-Oe7J-Fut1W8)7r?m*;ZFD&VzA(!OGno4EYMn&7KoovF$ix2iXb zQBVR+cTa%lq-br4xN7l_h174ymRO#sBQ2fUmtXbmRKJ}0)hfLX|Mx3f8+X=GeIvBP z<1alEFUCu==r0qYj#pkX@D<*h?TIX6pR=QO(>6u!19M#m%k|fiPjb9&s}Z1hA8N}D zf@6FubyM;vD6`GvF%!?^Ohgu0Vc{~p=%?51>+2?8y_aD|{|*B+f;3QYR1ZV3C&9#% z{ND=Fk2b&?0vT=YnkM;V-LK5T9`OO#o|;ZvAWk~b4$GkjAFYO`JwDIZf&NqjR$APH zJQ_@%7**IunwF94-(njpG7RkXWWrA{a~@$wvgY-6#b+47T=ll_d929*{g8s zdv6-9OTLvJg@&u1*;^csn$pkV{v`^aJ{ygGha1p(%FXx6{LzRd{DnW=lV%T04u9nL zIRWs_hHX?nlHFQfGhonQCLY&qS3iN>Gs2;{f=#n@SjOa24f`KkNRZZVf jv;wrnr`zSYfgtO~iyL8p`g~7?zl7Co*EQaMIQ{S0GGuPR2EU@ zKsrXB=|e2V;?UOyrJxwCh?e9xC^0w)X)oyd`|RsUb*a7eQmOT{`!W|&|KoMLf(yu9 zDwm^WyVdcum7AHF>4`0lu(!r>>O;IGJ&YuBN~wUuS+=Kv`?l+j+F&R89z0j2RsU!| zfmHqZH(~h{D&b?-Vb|T>^c7>j0i1>xSk$;;Ea3Q4tH(Wfj9A8T=`?1eC9l_F>F{{$ zp^|Ia8byUF%)`DkB`Zkmb~K^c@4|n*hi3Iha)T3p(bTylQ8gJD8-w)U1hTBwAZtP9 zDSr?4Qad`Sm=*D+e;z;4$SCG5_^qUDq6I6f|K&eSh|l2SX>&@QJ3S2fmxmd6TJrz} z{mbJ<9Gpq}!SlE5Qm~}X&OQAWB(-lYB?(Tptc?huBSAZ-jKiC-j)I&VaV{OUA~X^?I2 z#i*~dzDP7I%WR!jq*i*O6q1d^Hvbwae}1{4e70kgK;K&N5*S%%2q*!>z4BS-(?K# zOI6_L^nz{J&G|B^=Lx(jsi5X!377`GhKL<)Y#<9-Uy zt$IAwA!hm?7ReI1S<}EVG%Y^5Bw{kZa71;!xD2`NC3=%^oBq3@KsRH_C`9&|Ca-Y4 zv{ZRGF{4}Etwj1L-OM7<7K~P&+1tODHy|SgxSb<`YUQ*@O+34If8icIhO*atp!~aS zr+(qI)O6c_lq`AL`OgSFAog`ntFD$7D{{F^FV2~-1=3UNyT=Tgcw+ylr|IiFf|cE; z$~7Qyt(Sq*-RIF~K!uBSpsAJ_rWJQ?e+|AGpH|UwZrx9X()u31{G)*XzrcayUDSg3 z2I38c%?*)M$zGk8F)=hvy)U%?wwS9vqzk!1BX{wi-@v)>7{1f^c+U*JgggeAmEp*n zBPXj!S5y5h%nK2$ixxeSMIPB|kF_MDM_PN(s51ALyrVuP1o`fRr=?V>*Y~Rfs%#8 z*%$?-<%|d!+55rHXVuM>R;(q6boW>E{Nwco){Y~h6~);j?NW!%^Vv;)KY2!GnCl#K zR9o7PSdB{AhL-8=fWH!4kB=%&?cWCEjT>0Ey@>sNwPRAdbMl^K*B_796M

H%NYB zXAoO%GTYZ{z{=-$O%05lJx0x0eqG9gNw8|KDZC!qP#OIa`aO(F~LBJ zYqVI&a~E&3OJjsbt~a-kFFXQ^ugzSYTIQ9X7rl_umd%V-i@~uYOe+L6L3Us0K$#L) zK}9_dvefKhs+>;J6rg-C9<7(j+tJ6HU98`e_|dJm@{aRwzJ=%Gr^yoEE_UydB|G&N z0{$~bysvtU65^STOPkvhu3ohR`MW2!w-YDLFXrab4S5`!*}JEsw>X75GiG-WeUuh846&yS(fZD4ICREMZu|4bFw9H4B)dBg#_W7q;$8#pSqW#yheY zky+Q0C*h%w!h0>f!(SL;W<5M-*z#V6BKAxsLDqw`~*V z>p7J8k_yf0dr8=A8@0w`C(--1#T_1}Mt6IOMtSY+1q7A|xh>Z>^Qh@kPNU{|uZRC| zj>`Sk(PVJ2@m{MmgqG47Rtt1ergf)`@fOiH!vE_ISCIXTjVhLOt7Y9x=0+m$vH3>2 z{*tmmXWE={d@59b)la^~v@z5|&J2AKjTyLz*HxF=Ei>(P;Ekl8xFPA;W(-qIs!vz3|_b#Ku|T003v zNl^$Ea6^$IaP95c$@$~Cy&_BMwN;zHr1JFXY>ZtVnv>n2emU8%?Fsg#{GiF(lw2(# z`H^;vaar(Ya%G6p4-YYkSQToh@uanRJtdQbGe?nU0^Bb;j$yi6uvo zZpfT2RUXy@>Fjpmg_2cPP-GAKHB6n-_joQjPp?}*jU$no;4J=lG-5@5O}R-zk$<9i zX`{*4I3!f-qv$$6wuzNR=!V97fjRQl(S&`4oEgs2V#N0nsaqB;>a$Sn@y|u>Ev4X= z-P33i(&J(uhIeY8JlxAG)Dt`6?Wl5U*MnA%hF|?v4#utZ7tqqT-TO3!1+uLGn({lC zfGT^D8%;~quj9{Piyg-go#xIrK^jcK8xa^jzn79n`F3w9S!HBpj)HUG!<%~`o;;vX zXWXq+kx%5IlaTMD>6PT?F(rSsY9^RQ1jI$&M6`Hr*A>J$f3pxDEvqbO4TaDa&CWk| zwfNY4_X3C)(@%==q(r3!^ikNb?X103uhJI%S_w&01)h2le6hsx?`bKSDAoInf7hXM zq7fBK5c-%IxM^MQ8J;Nh?U{2z+-Lg8zA1a~tnTIbau7Ry55yq}-hZdTuRh&Ler;K% zH?Nktk%4`fF&kF)bL^=-ih3yZuJ|9G=6c^u==fRql*?I;#s^qFUX)f))8d?`c!;Uf z$iMVmJ|exBpCLK;&dd2$%BHZ{|=0tde-`_`4p8H)j(1IdTbNR`T2 zARGD>-}rH@zY5dmICFL-Z%9y&!=4j~$tU>5k{aIGgTyviCOAzLW*evXBoiH-T*$(H z$le6f2n^%4Jc#;O^NufBx`U*`QKhZKs9o_pG1%ND2HpyFCCV+oy$$0e8h!bz7z>q@ zc`A!mTUV(y*%{nD+90S#?sm9xqC%r=FA#wvqzKV3LQP|9t|u?r3oAJb`}tU#2n(Hi zg5!|kBpM15`yjxce9rEeuP`ggJ`@ZE=-mr*Lz5ok;cnaMHXAygwP;;rpEu7>|MXvk z_#%p$@u~G(TA#|I>uaqf|MOeU_fCTm4+vrqklqqv>{ zCz(X#G6bO0V>t1u>anMK)>1W~KyfkyiPuGwa;DMfLw%VBM_iD<_o9c8)mjsyC_vyT z)3%!!j}gBVpzOAdz&(tK5KWs`*3c)lB1ex#pv}Ukp+DDZ?p|kSkk7}#r$?Z(Keu9v zA-Q1hOryo?;c(icZ0R)lcMV^YJo1F3dpLMj9x zu~0M^@f6#}dgP_mH$}Jj7bQ5r@s&z(Y~xm6LP^WoFljI?6*asc}0^ z(ayHi535)&5&>hN!#E|85{gdbnJ2b=)3oB8Y<)5yy6wbAKZIlKNL=Qs(yoWcD$U_) zq+4pMUx6MnAA%Idf`6HD15T#87V5)8heLfiQ%_ryzLG6>>GW$5RsEuK7wUj6oRDEk z(CsqtZW1rFWL%OFG=h?lf(t)z8l+oSI__}6zHz%f#8#}1gYwtex{s&j$ z!dtt8{Wg2S3-Mew)i80r4mN^nz}mP`Gvphu64;|xC&am(~h>>Tu!Q$I_nBo5y9?7K8Y)Q&8t0bd7`Qpr~okbG?%uZk6xd z;K>dgaA$^&xUnF_U72I!@654m?#yxgJ(2lQ6U2a{qTkKJ1gZYU16A|rj;M2Sh1<5i z!D-)I>$Gbr5B%%kYZ`X+)3B%%%{{yJ8?E2y{l>^QX1=k4*0ytn`+|z<{ve{|uP$Fi zm(8t!&{Prnri~%Y{3|P4v>pSmTxZsIt41FJZ^iH%|9RbSXn#W!;^K-rq`mI6;vbiS ze6~+Oaq&GGT&zh<;+mG#uDV@v6Zz3@o1@3K&_fxlh=oE@3X?JPAiyNr6Fc@3ofS1PR0pe2 zQ=YiQFntn&l(RmARx%I5PFy73$Nph>LS4VTncY-G$?vPgB`B$K#af5JxZ4MvyT!#u zhj~r2pyzYGkG8T^3_~#CGxp}fip^%V#pa_U-BO{*?k@_=69Jc$yepnNe+9~OAQp!g z`FrN%kK=lXaMWayqGFe7_UeIX>5qCR)s49zDC0Lzq7yLb882L z{00yl9yN0AOjS|#Yny_Mn?klM-zpy{$?s$o5|d0NIz-7$P56FJdiCqv&q18ops@Dle)uny>;PjX;Qn8dbd1fw+?jH z(arIFeLo5jfbs70;FiJ!v#A^V_!nXO(Svt!Vmppf)6(>V%S2wSj2MGzw8%|>$UKBA z3+2>OtgQ3bpIp=6V|L=E{9k{zO@n``!QNaTTM!Rt<9a+z2aFyGlaD;RElJW2ep7;x1F!w=c^Fv2i3}xRsxKo>ts%=~+=lM_Mr5TNY04}xH zm*{?#RdCFU64O{G_;h%+{AeVq^Pu8X5j_b_7JbEN?iO4 zZ)2Hc`mJ%#H2NA&^t#q?-zOmCZx&B=o*Iyk!Y9Ln`_gN3H|z_=OPP-a95Xql5OF;p zT<_!$m>%M3{8lhU$Tl!Vx&|*)&nUX-k|M;5&xg(pVx1)H^QG=j)-G)@pm?{0P|Sf? z-S$~*C7oAUfEUhR-SqmF;kgHt#Bn4Z)43Nt_uC7ik6R>n) zZpE-$)XA&$m~u(Dr)OQ~@PN}s70{JMxhoP~QN-S`i?g+PpMkI57W8b@vMGu0Z`63$4}2#TzEH4S8S2=40616=Zjb~9Jr3huQqs^go~9l*+a zm4phVcsll(89cYzjkuH5irr@V+ZgkN0#AFNRHk$@@h^NWaY5PF-9Fl-(a4#5GQ%B- zr$h(JwBE5s+Wcj}R9-x>Qgz!=m>Pc>rSE*emn+cn-3rGn=g)4I4B+@MxqzeQ@sZIP z<23s#O}L8a7|tb9SzT|{tg=R-x+WNpDM+%uX+krVdVY@Ybq5J1H0f!#Az}LRF(UTy z(U(SK!Qg>X>G>=8+M*z*%k+3+?+b?u*Exfjb75Ld*G%gQ@$D-8)@40_s~(@NOgbU` zq(S>tYX!-fdg&A@<^gQd+;Ik9D0U{ zv*;pme&J%XwzJxte^i^=%W%FV^Ayx-G~L$||EgcbnivBx-6mQeI;w8OQA+nGa+>xiHx7;Fg*EDOT{g*mS4*;vwjTa0u@h6 zJ4zU4;D4#n?bVGi$CtS$=9Bcbl~0matrvjRaFUjJBnnkAZOv<59u4EN^O2PC+fQUr z{~jFI99UXxrUSa^WPqmKd88dMIP%%2iC%tcy9!HmkIm()wPi$YIbQFQ+9b~S4+u2n z!ivh6l=x2$l3tXX;3I=ljdC0!SE>!X!)}%`u8q+Rw686>c4?R0QPRGD;XF&Ful-^( z)-HkloFpqltwC-6CIMW?fyO3_D2UDzu7bdTnV97Mr5{B$yf((oT+QuP`wqpr9MKh5 zeMU}tM|aRomRmE~86CO4n{wd5gOm}sm!EWq6?#ve;D?kMh+}QW!P6%(sppA`nEK3# zThzEl;QD(ThPSr)MSbI$5r-df8xA1S4i)c82G$9|`A&0Vcbq9Fz^`K6^lHQOlLl;EF%L z(VfuKP|{z}T!@gx4S_d`SaksJ;q@1+M_w+|YZ#%n*v!IZ;72#-Inm)7n>ZNFvp(nw zIQB)y)V_Y){Yt_y_4?GHy5Oa4gqu&X3Z`bA3$p597o_?Y5JK4;m%>mP*(OeYj(1HoB5UH%;>W z;GiCKBc81|dV=ps!@uyLE&zyvC(Qdv@dCX&lGyG=S1r$+jVhl$ZCU0edhvz|ui3+y zV!c}nMcWyVcliI$+EMaNg>`IWV#Fo+qFYho7W-{~SHbu&pj50vQ`1qv(Vj z=CWZa1;dxmm9a(+vvdU|b@$ZmhS5_er>4q^A7}*A(-1tfx^ysaMs=}%Og-@s*IB!N z2UDwyy2%9_Q!l?fH0ipozGIO(VP!n^s#-er6;w{iSJe&;H3B(dYLI6J_;j=$-3ehy zgcT=K0G@Ej%~C@=65+xoJdzXx1sur@c{p;ey>>gi38y)yFMI@=`g6}f*|4Ed9 zU@k>|X@hUuI5r+h;L0%b{eq&$_D*~f!qq`{G6D)EvzzzQLVE7|f#*bN(d3qV!b5eY zIk$WX_*@%1dECY1v3zA^@?r;c>r^?z7@s)STk0zZC^;P9p8%GbhQ z-#x?SKk)R@IICE?@IQA!g~Xrb;EDO{69xrnljk$ z0i*%Ffa3yxc5C%Rcd%C?)OVn|%LG5li~NBBfh~B9Puxc^s3ac8pL+U6-?-KEqyrm{ zSV#NO(`$W>yvx}ys24PwT%Tx@oM7SC4Wxu)J`zkuTz>1j-o8G240AG8)}f&YoSGl< z-qT@VizDw8^Wj>AzyNswJs*8kFL-YRf2Gkcs2R(@GYw1D0f@FDbH_+T$1yK4;q8?#e1qZ6yF*`b z_PEgNoZ(+s;`H;a#K*%>z+#7@FYJ*|iB2bq2``Y5Klx5*d+vipH_vRbFj=MWBTOg! zP%(Ew*1PtF&yusfmi4s)(blMH#1Cfq)uQj@;ha0ZAUBZVy>ZXX9BhD(uy7CdN8Z7_ z;eaB+MLM1>C2_0beJo{X66@jD6K`ztCqgT#4oB z{u^7|5n_3M+~{Y1lwOD%to@y#8ypFzNo9H$BFm8fl!Vn7{Aa}Ry)STVeF}Y~TdANn@(x$ArMIyWk&{VL9)&s8&a|(kMd!)a-~GT&RS| z00P6^O2;pD_(ds)+xCbk4SDZKOeC07u03ec)MqR9gPjZ;&2B@-jb#t*m1on9w&1NHl0>yK5@>no9_;i@XHnH69<6vsMX2}gLYL{hoXa@X?$vxo#HH)q~ z{YE;5zio)&*wM}F$UO08cTr$A^RV#(Vdv)>K|8z>vvSqhfq3Nj{ipu!W@)cz-j%^| zr#7Cu9w1KMfY{?HlQx6>>a2cKqHP=fj#tKx#4Nsqj%OBBz_T&BBFFez`L3nvcI)k0 z?AQdbV)o$kDjle_akvZJa+_$gnM%$-FfLXYP;vz)&_- z-6`A8cwBLtl7bbomPw)6CP03>HWSe5b0cys~j##MDO z5w6;lI1BH@Lte-ZXG~A=PuU4Sovkxt*lOlAj|ljvX|&DcOMO+3RAZv3+Nrj`7F^QZ zUmu>2;J8x}JTHvgt*3t09%0@oiB-xs4F}=DdFt)ztem1%^VN601#9A@vj8D;rmRFT1sRww_3GPdcA&ENaF#EZmP=zFhX}18nSv z?`gf$WMIJS2blS+ZknL9e9Kq;7!S;^En<6bKl{@Vyt{_qG?%s~FZVv2EIy)Xqp-Kh zH+fws?80n(-Oh>S-!s*M?0{APuS zV!%yU?uooU7Axr`cl(uBmeC(R2(|mHR?;atsvcgbwH1hseH%%qpE7kOZ0qK1&T20m zmNM!$rUTO_CyQ4+)EVx`t(OE;mjwMbUiwphc8Wc8>3VOhH4FD=!uR+hJzJHpUG;Z0 zzpC|@a@`w!9iF^yAFNW%-fs)$J8<+~Jo~Tj?Qm4Ke-144HeX*?tUrd&do`Q-4DVdL zY-^UyYm%C|A6>a-IXF97;Po3v_h!@9FvjqwsobBPQ5}x^*f?Kq@htcn7yB~y90QXg zKUo}czVE+zw|%6lB&&O~M{l!py%@(Ae~&y>7ax^A_Eu1SmE1NSe8qpB+$w*iY!K9bmH7LGnGN;c zJj%BE9^EnndmTSIJAMD$3P0H0nD{7d_uPE$VRHYMa_GQTmCye9o0Qv9ZC|I`Kc8s( zVKw}{m+$dkxhL-@k5^_Le)F#mEfmuPl)c{G@@WLvc{i`6R$s>gA3NGyekG3>dE_R* z9mlVb({KIJQs3zlCMHkC&*Q^X+qdIY__6i5fxo{tRCNl8fC`V`&*R$0OXC*5&}YWQ zZE2;S+XexZU&s5&D>+Ht`iLFk68aZ6pyzLAQbv@A(Cz@wP;hP_4)H(T1u2wnf-@pm z2p^Mjk$)e0n2L4xO7_1JJ4RPJ(1Zko^F)73Acu4WBTxhMY@D%&&jHG;5wpF9 zB|OO%cq1C3FoM}K9by^+R7_~D5)Y-y<57c5gX5B=si!FkN6ExREs_t#jxdIP37Byw zc|t+y09c|%q4*Axq1+7iNo|^d@dB6Rf5<3GDW+=+wnFHU2x#VY4Qgj)0HKO`8ZRn9 ziEw(BdWHTCWIbr{VvqR;)YgK?KWkF_m0ucVFz+f{LA`XPzXT#@XQYG8jqzSw z&}&BD1KEU$hzga^SSNB(MfytLEg6IZDOg+uMZUa8F-{h-aq+?qVU#wClF@FVeL>R+ z1<@mI0s)^C6icKhx98=cB72tDj~c7@{rjh^d;_S`-6_(@Ax84hR7*tM*`{rGH|6D} z$BTq>e<|+Lf#+ipPcK3`FQb#ykP52PEBEg z+zjGg&`mQ2tXJz@So-_V&ao{JP4Y+P(t>ZLxHiyD^2g@2KQ2meFJxx<5(*K59&d1M zAe!`#%-;eY-D5+q)GEjwo6G_pf5(Q-)K-)_Hu(s6afxTat*gYcL9uunu^v=nn?J9Z z{#r-(=3*BgnDJk{#k~-lMI4{Qhq&XcBg6(hqdi zh;=0}4+EO_f?R~-UI6p)G73N7n+hG9@&a9*V?+H=N`15MPVV-4JDr-01-LoM-*`vA zNp68)f65vTKl#C}w{x!V_`CYmL<=hngLAI;<@2T&;z6tnbFK&E^JW+FVm668Hh~Lx zv50RFG>tem0sUKu%8Ix|K`s_?FFNzPNyOjeXXPg5dcd)d zYku2y^~?Q+5uEX+D-hV_?>3MSflcG|A?)hA3GCT9LLU@{yHf$|wvb0X8|2!v`R40Z zA2f7H$miA()gBD<6d0_cc_e@p2t1qWLDXWI$c`8Rw6%Suxs^HDQjuY)&(5j|&;}zs zz(iamkl!oVgoP*opMxM&$dCz+{o>inJ2xcT(6mj`f9kXBXL0c2_9Dx^xPL2g>S7=_ z1_$EVxjCCB*t`Ax8k=NOK`Xg(cJ4|&`bjecMs4NUXUu+x0*MFNuPIlb7qa&5m${|{ zOXL+fa_KBK0M;p-n2uQKVvaHi!QCq9*H+z&G(s!1zpzb~(!r&LP@I$g>`eA<2qnC( z!2HK~<)3*=9@}y;?9*1G()rfQ9wYTZ@$71dPk2NZUS|oV-;{Jl3f+Uut;L^%P-^v6 zL|E<@A1^!LN=lG&qSZkCvM>zcdA&ri_V~vmL92sH~T@NQEIW8B+Rf72y%Izw|UjhqrguLclT>Z@{a_l)u zru|Co+j1kZ_BK!WgHv*5>dujfb^O29J{}!~Lg#h7q>%SqPh1bjUCw#&H@s*NS|_%Z z)65c!t6k?71eyGJlGa;P)2uf_Yg?=5;vZIP)seU~)VH&fljK$iyyEyDlXH2{+{pL1 z;mr0lHgagmy)e&tj$9`PbJW@}LqAYjSail~PK+Ba_Ma?P=NX93>+53wv)8OtJlOsC za8Lbp#nNklZ$*&7)`0ebOI(igM9ld-Gc_xs1>ww`(3#vkABs1LhiyOF-&9<9l>i7K zov<*k&qoTBA~ zhX5B@>TwSPnA=V3eYooaj8It zem;!^MU&@$8gr~53}rI~VKEtL(PBw(GImP5QYEUArJB(AI_rFz1G-{na| zJY^Ll=rp3jSUy?3v(VYa>@|3Gbk*i!n!?XT^yD=5R>Vz(`%$D>L0$!pHhjJrAhq&N zafT@p^XEmeM<4lU2~v@E5zRzhJXsen#V5sy(yXMcX8qUEYYl~pnNCE?@I=%4ucg%- z#jQ$Wsqa<~cqt1NZ9S+Ys^?|r>;+Uc!YZzGimEWEl|RKW+88QOFvVQ5w#$w+vBDxN zja6DTv6dp?3Oi)w7iF0ErPSR_;3SR3U23E%MU+jH?|ud+$%=QjR=;fF)r^o$YjwdV zAhsGAs&%gMxRLEi7_>b*E}CaMalsqT70Tm3Ti;`lQj zs*H!5q`E40>gop0#!~Q<{^PvcEY&(5={}N@`KVFsy^h?7-&lNYwai^?20l@cCsGg# zYbgz+AEw0aWiXvMLsAc0?aox-T3y;^%v|7@){vCEf7!pCJcN)ujIcDAuoO&5_fM1} zr9Z4PnU-ij8Ob=HU%ZPIe(i@uGo^ZPiVmKNqm9Oxiy-N{him?@d@x~{VOz?ghTweY zKmc^I6BpooEh-Jkj9z8BxN)FROp>#C9Smu3?pEyEI95X?rYd`(0Z3C^$6w4f#x4v; zdsEX6u-;-EgN@JFgTnp51BnSWl`Sn)j^(^kp4~uVK^&Z`_~zL+m-*Qmtj*i(j40TH zC}>ONp9f9Krf!O-l)?{0yfvC9rz{v*y<=6ga&@~nLgTfUU$T{s-2rlp^iA=5^(ly~ zN!}=cKVvWM2JT;m@98LROg4sIa$pdC&A(|+!_N-O4e`1SM}-8WI@*<2CkCYSKu7nG zHTn2fm)W<~2y_~}+iJJj4!eUt$RKjlU}-AjXv?2sqTyrcECygVDS49KX(b#U82RSd zxn|V~Mj3J@sfitG!D^}wI4WL2_N2!rUWZ4*)ZklUke0(<8}8DA^dMOLjFwmUQGPtJ z7kTERECnHy54VQnlo9tR;p&U?frPse@L6wyVCV7Jg2coTvilF4B?|L`>&q@b=?fPM zqg95Akq-~Q<>bao#)dY>gb+S3{N{nr06Elfox5qNKSG;Gm2W~=3{$h;r7e%6C3uJ} zKQdgR1}V>#QUjZt<#BK=1Ou{AU1y3xPTQ#LHY0P)yL+1_Bj%MMb;B#K4(6|{^=`F| zR)|e`>K1}k;;>uF7MfIr2pp`0z!74kkI=&k)BPywc(a8kVhf4NfTvo+R%@VzU&E&X zG694pGA3wCdTaU8GZwNZG5%gJ7JxNTcs~4~>ZK5~~3W`Le;dJ464YsTwy^W4*<(?rO5dWEI_W?e;K1%6HKw6!l#d ztCKc-6lus8zjmVBIaE#!{PTjy9y)p`;J@`>$cT!6v5wK`}c2q1M~Jb z>VGoI{J3iO(17R4f3R_(DLg-**-`B`*faOU{~rU4AD=O_r&~q&E|tQf?y7Tf|CrMlMUX(7wZ;GfCI(j zswNiPf9XcwA`WVw1rWI*>{DnnAwH6Z@Q6f`M&aKAxG_0|kxryMO6tLJv=>A@N-(V85e|Fb_ePk08{W5dH*f z%y{wXlwUX5&zC1SVg@KL!qREA_aIt#NPCvd}#HL-Wr};-0T>ElTP$3S7B6*1b8_Z6(9u4Z|U&Kt=ZqoMb-nb*tHt)bBhaL}V4E8=+Sn z0R|_GD_qeLKEl5}E%B(mk+B~jd+D@1Y>I&Ox?b@ZW=_1r0E)Q)Ok9vX(mi%@cKI4N zx@`bwq#Rge+7O0&shPX=7}b5|c_9O22|_8z#EI?De88S69vr4CjPOY8{0>-MQ)2Aj zN`FcVrW7F+P9n&~=cpNh8I9kvCl*ggVZN0m3w~GJ$W117xO?s<4xIhvGpGO|UmU8H z{pSlAzelc{U7bKZv*fcxqGVsGHVuQ&0lDvkqjjO1kw>ah~mr57)>3RYMT zGqmbQF#DSZ*+b0Zn?8{iT7))Egf?b`HbF!u2*)Lhf&83)1RQaS4Tu~P7*FqXQ+852 z1(=fq#1DcDN*oy~+S5eGU}kO|OOz9&T_ua8bt4qrHT4#})n!274)Si@Q(D6o(R?25 z8JLRJq{(+i(4~R*4GAwRh!0_?iN0#BrhH>gdMW zPr3_p_EVaOf14vb!NIHV%@>|%8&br4-mewuVDXvvh?JAnmjF3H`t(7NwD(H!d(@D4 zkVjULASc=xs}2cxkW0tE{snL>`}Tu7RCSMmJ2ZJS!M~8hm1}hQp~Rm?y{YpaakzYD zLhY;k)BkQQv~w$v9oT~ay>dJdMExVIQ8~2mU$4JW&T-BjGIQnAl}DuGwHp{JHpxzF zdOwk7apdK#p_0S})N*#D>+7G8JV*?DNI9D8a$Bz;JqSlz$$rA%yxc!adlboA{yLwD2#O0u>*w@T*JV z#zEmgC=~4gX!pnU{6zMUlL%e&YW9f#WKHep$}v9(?Z3vIMF|R z_#v;s1jS0~n}_0@%tsdghy!dJz`g%fxD%S2Av?$-->3ne;~joO^G6-p*{jFIyz0OD zwJrhucHch@A_$;~_$*OAKVITAgTF{K9< zayzm1h<#nKZdnXWXphq0%Xd%DQz%M1XkVn8z#Z-p~v)MzIypUU~0FwJ;2u9zUQ#g+R>i#LM0_q;r()iX+ILAkv zYcZS7({)Iu&)#Kh4@0uEN*W&?cpD={yVjRtH#TL!L_ia>kfRqD-*Aslo(v{Eqx-AKRm>^7 z9FDvLKcf1U$G1v1DA{nw!^PTsM=>Z<*joYQw%~KZ;Hr&IJXQ*~z>WNtPpXDpZ%2TM zB{7M|&9d{}Q*!g~f5X0y(-g_KSX?hsO7voku;*s4kG;#_SJmPcsNb5@8(>EWdj=EC zpX`&+*2}XEK8?NnTLj(;d0^rs<;HgFixKo?$w_)e)~v-oxxCI=r!|+J^uCu<`nC(b zy}JRYyROo>U-_XwfUEBa2+7S5OzuQ~NPc?V-6)mP?Cs%;tXvHL7)M)MZJS7qf-9ku zK4g$RdpFB&PzQN?8eKrT4>KUm1^FP(uWjb49dQ3!m!9CyWU24vX-S^j(6G7QYusa& ziTN59Kde*J6PwNx`{2R3&Hi^lW6!3;Xe%6ZJ%a5^)<8}i`?eVgFohKrQDRi((1g!@ zb?wWPgz0Jh&TA!3!x#KE2Y)u8ebeE4E>6+;lZt=PZ?GPz=b*Z?zky-Fwdf1AZ3hHz z#cr$Q9JAMzakM+UMuj_kq!` zFL%%)bt`LhQQW+gFxn&4?HMg(>JTExgn6it?(Xih)Qv4;HzW$i8mWLlNzi%})MVs9 z2xBmcL1cnH2x&AHMk=WS2sCtwa8LpQ7H@!J5R!_~J`_0C$Jb|PjvS^YAlhBftMgI=+o^dSw3!4`mZlHNb9ut!fAP0?DcN3@F zG)r@1p0?J_0XUp)Wjj&TQIpUz$>+d_dr;LuT3S);y(9_z`_D^Ovzt6?`V5@V`|vc= zY*p<_D=l(>Wa%|(+VynM+)|@wbCiU2N%FPCvfN^;FS{*l9oj(vWq-KU$*(|8rr<~0 zc-D*N3_DFEb5xf_`WpPyj~QYk(2r|&`MnvG`(Y|+Rv5El>m3;=ZUfJr(fK!l18S&i z)==y^XhhhqDqB()X=Z24q<0m@r(aHnhi%~Fzy;#_z~o=`my%p&@Ndp!@fzQfh9v`!1hc`iCFPsgAx-x?^u z&r*#NKpQAC49E#tc{k|@RjdzNM^F7dd>Xp|>mzB}+UMH!H*mJ4vA&X1WPE-2XP^)h zPC_%|xO@zj_{U#icJ6CRT5&~lcUfx`vAyDO;-;6i2Q-&ah6LFBIt!aPL^R_r{Y-ljD==?FUwuz`+^RU~dew^D3)y3g2q-&5V z(#T$dz0orEhY8FlHvIaKJ_A9*MCUnUr|jCggp=I>hR)J@TGGA zqVd0-3vk4NU%W*u(sdHas1-Y7ANIC<=+@Fs&PXSlnwx~Ukpt7MxR4sAIyA0~eJLhX z#=Y*w^RWReL_Px^aoBwvT|Xj*?mw@kWSIwP|NNK|+7UUzzr06tZHPDyG9$t9^?tZv z)*z=XFx5N+BDx838B0W>%aauSGh&R~zQXNt#N#M8-9)FVRM+@I zU67s`V(?ZA3dozo-D2lk`}E-SkyE>Wf0vZ zEMy)?EOEXu=qO-2E9MwnCY0JzblzFYg>$ARMi#jHi$brIVCZ6qexHvBDX~U68CFqh zA*8cbU2(*9;PZt!MFb`%2D=~vOi0&OJ1#vudKU76{kLi@D2VTmYeXx0zkAT%X%F`xT65(ZRUS};o`?9p#%B}Uyv_x^$9Czgj}5W_o8&e-2p;0 z6kL^So*&fzP?yH-Z!}`t5Ur@+<9G7pyk;bR?IA!IJsfnWpzZj<=O+_)U5TjTj@}qS zc2^F@H4?&2n?19OP*LJ@cHhyfm@DGuxeyb{$nD-!alH1kdXJrE$5HGQy3g^-ICjf3 zKiu%Hi$+U$K}CjY6bC%m(D^#TG0cB?_u0EF&0)WjdiJq(w zi^9_FPv1IHg}Y8EYFR9#nDu5CK59gSD* zLKw5(qu!}EL0C;#nanjWqOPtjcdqT+z?)2eAN{nwb#tVHozJl{9BQgN#Mf>9KLMTq zVgI=6eeM&b#9cjOUjZURp57*%Yj!GM*4Z7JL5LtiC-SO2&$bkz`t-cYRcx-p=YBi5 z3hU+Za;rU*ENA4F{gqPzjn!1mwmj9a!c@le(%${hc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G_f00000007Pd00000 z006K700000007(s00000004La>{d&U+(Zzb0ThHmC<>1Q;!u|)yVC5g?THeS6O&ao zN|cEPW)t9qdOU4!lXkb&k4?-4en5T=KY^db4MDQ`+U+_T zv$M13cn1A%I2({frJk7>5k&YWihuUDm zm=jthKWJ~rTTZ%s&GBVROAJ$`$Z0L@h@`GWL8Vtku(p&iwIq#+Gh}t?9y7x!sCsx1 zg|jr7&Sumw;}n3=N3wP@>MWRQNqg6LN2QS5QfYLJ6KG4q1ypLoD;=gK*%7Lcei`H? zKLr!i7+o1w*FmKbi>STq5{%AvH+Lk=T3ra{_>z{o@HJO1B4H;KZmHuoq{5#xhFdFJ zvVQRsFB~OKchP{z+IE2#S}jFnqmQ(wc@|@$dk9d0;biU?wlJazV475h^KkiS#rqVc zMQJ!4_4IBmx4?)p^39q?ih?a9C#>BKY~ypy(1?=9!kvIxz-ke59U%wis9hBwuS+D} zC1y1oHXa=knipJ*mI!-a;I=#ZY{WGlsWYF(hEH(k#yxnn}w{bV@!6w`359fl(Y$z{jx=B4^u z7?<#kiKs@X&Dm4DU4wTe@?H#`)etIC{v9MJGi_ zKxX6pbU&k;UV!|3oKt%BAj=QB(Vx#KqxoI>jxXp|?AK@*K11JPh=MD+&+7iI@_tZH zrdMvyBkXf5$GX{*{FF<&rJQi>zrcg}5vzslzrSHWoqUhzqr1;KcW`<1bbdh#n00030|9Ao7W?*FC zU|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDcW`+wu(k>=YlMzTu0x?KYaHy}ZV^Cy# zh@+E_YrMacm#Z^G3oB3o8^azT*_)g28>B@Ds>R(g)ZH~0s+N(R!2l?3wsF^fAjKeo zs>a1J#4+C0$JN)>4`C`Rg8)!f?2d=8KqkT*0&zfaNRX$WJBk*NksJ&>K(gj!NjZ>$ zI|QNyYCIz+!x^Al7@MUpNR1#6gZzi=FGd!I13+OuN5{z^|G<>^xVk&~z`Vl+R&w;) zGe@8butUM7xJHD)R4_4|0LmEqxJ4reikrWWi)#=}4L8FMpqfcHkAj>Hj}S=cG6Dbq G0RR6813}>c diff --git a/CPLD/AGM-src/db/RAM4GS.pre_map.hdb b/CPLD/AGM-src/db/RAM4GS.pre_map.hdb deleted file mode 100755 index 6f71689195714e0de406df47a0a989834312892b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15572 zcmZv@1yChD&@PO-v%uo+?y|Tq?(WXw?(VYK;x3CjoO5u8#oZ6i;)}a;dB3mfulnoW zntA$3CFxEolj>wTGnfz%5VgpVpVIB~68~f^OIJ5rCr2`NP8K#6b~14fTYC#K4o(g- zHXc@X4pt5}c1|*NOIJ@@b4xN6Q*%2qb~1H2GHpvUGARpN_fO5B+yBf#KuGia4?)zS z|1U2H{x5q&o(3R#6U(HX1AigvLs^(2VqmP2LAwCJ97(_HOYQV^;@qg$5aGh@M;o#9 zLDV_n%u~n%{)ht&1Ae{3ou-jl4-K z4rB6>KwhLplcG_>TQV^jfQxS7?*Vh@f^Xa8+uqI=&z!q8(7I+5F*5ZckfW+L8)L#W ziRixuDAznMR+|F6&4ve8oX5+)N5np{p`EAs$IZy{`%m~9>|do(>NcRzSzeeYp~)y? zRdQp_gp|YNV&rH0vgsFPS@pKsjp)a#z3pSx?!Cfdn5Wsy|40Ts#T~(GInba0=klD}_H2&w(0PeBjho9#Sa4!*l-Wf0-AkuzfrZVdK z=ru|xHe(w~dLx`UXGv5boJe(`vt}Xw33xD=>shdLe3}=xM^7lSq+?dmD+GwzhRi{g z!pb41;?gm*Z86RCR0OH+j|@wk%_>^|RCd@D00l_apgJ42^h+HZ|2+o^$`MZRl4d#y zH7>OL|F!==b5UR@ZSA^00uT>wrV%D)7B4Fl=6*!Hfrd@y*JchKITWU-SbY}3GiQ2(1A888{I1Z}FF8QkNds54!RA#MTmj`p8X`o04(30W=Cv_=H5sj6g z;FvMa)BN}b8cTEOeGH3ZguGL5l+c)qK`1Rr8w?aybM@ro7)OAdjxvS9HC-JUxh|{> z9$uOq`*J2>%5dc4B@o>J}MXjpXm;#r+SE5IT52? z=^u;p)J&FB>|i;4=dZjbh;}Et>QT4s(EgkT!o=O1J_QCy7u*8A8Cz!wb@f+eT>o{^ zi*LwxaGL;tX$EK|-BiNxCvIwx>${Mb(XD1Sn`a}l6_QbcpnS)S*oQ~%@ps03+@KV# z0z0NjJBZFb*wz9tx3p6o><%Ihi1iSl5=&It&~efz0S; zgbb{|oId!|#=Xek2S!5TFti!4ceUSP;s;zL)l#e>FEnwD$6w^jutvy)bNB=B+9v|c zP{{!0{09LyVjk$a_Ui|}qWQ|qe)fU+CfE-7EYoFiM)|t%ISNIwOss=3#yi&5J}0)< zt79FD0nHTGJ8{`-${Ae@cBeNkHZK*9c&Ti4sJ zPhe@kvJVsBne_A?aU8p+KZw;wVgI`Gr9B|+wX-(`{`iSwh&w!=B$1vEvmFLk*o0+z zem*(s^z_=^|Aomn6rEh#%F)QAUV?>`!}X@{a8u9_s#dVs*znFo*w|k=quV<1kn&it zs0lM5Wf}oP-O_8?sYwnwy%e1F35`obmXT?b z2hIE3RdI?3eN)IxpgJ9}ko&J)p611AE9L5ZaT^yys}jK+1^o?cfy99K1r?ipSVF9C zmK9YCH-sdn_e0@n;zq1jmMiWdKbDM_da3pPInW;5juFEM)zEAo6dwe_;T{(Phk?EYGhCcVa8T@h}z70<1% zxH(Z?$IYtu$G*$i*_&dO$s|y3;m1jpUW(*2rjzG4&sw~Li~7-V+rBMR6y+;0pG$l3C?Ew#e{vSd*(Xn2+*7hl;uI{Ap9MN7w2<91ao?N?& z4DV%da13=wAcd~VNJC6jqpLbZZ;`M{b3?USAHXkeKcVTup#ZB0N6oDkIq*9H>2q)h z3F^_%Ijyt$J6*WgL%w5Bn(yvfP&Dacg;oBFfU76{-Iz~Ogc~eAbZg4>8^-j@$J|}K zR3;y%0xV4ktV{N0uZbEUYI+yrH}2{?VP3keqi-K$*T7$F^$y0gzk~jU)joxDNFVkw zyzd($mj}daUc1JL3Zf$vZu_i28$2Y%8k&?Z;kR-T5$uZJ;uRb>JzcH^m`p+*hm^4d z1rjEY>Ct6F_{Ryda>4CVN7a&}d=XajPGHVE0@Be1A3*d}$uC%UxUcd8!oCfWC7ay8 zm&|`rs+$WJulji^INXP*A&U;vNc+ziB(Lzd{p}x3KI^_5-S`%uf1o3~CG2|q_{jF5 zI#uTIjmJ2izHLog32KY_?=SNKnwy3oJ3&tE$ol)~k+kjAFQ;v*i!1I$^O4&)A&kmp z_$-75vqBAyBUW;whWwOq0VYExwcXwA#VuNA=XJ|)@c)3CXg;IDK}Yb>tLL}f(3(Y# zg4F@dBT4vZn%&U)A+u3`V=RMhQXDHgV+88IX0`H>U@56jTW!YFG&{2~2ACXf8Cg_d zRD`o+x~1wk-zJ@i1P{e{UO|&ni%w}{z1A3%Ub_+5MtnhCRxLNnm9RnxY}RDsfF@#q zVJP}B@qKQrFMQ=M%t658&$e#YQ9sCg&<;f$C|T$6qPP2hG%ae z-p{-^!h9QDjY@o2^fE|UVC1?ecZ)cQC^CW!{;wC{L=OdDF`a*2Veed5PDfwhcSTEa zcxypL`I={5dt3ET3JiEF{S2#`S> zJH$iVXG7Z#L)*1O+ss4TlWop=GC*3?(H&xBZ=cA8olb_LC!tv)1~{R{PPvDbUVF<@ zAk3jY6?CrC8H#hD{B;C`;m)+qVwJT!a5 zJaGDa@+UZbKlu}!elXK5er4ou(lxTa@0mkqg;koXtZXhoE(Qoy*4+huNn;*$|qfc(3jOu=zja;Pv{DM@+WkKLJ!ySgp4B) z97$!>onJ(&2KHI3*BUZI4_g@8trmFsVrnw_Q%l1V<(5M+bMWoLbP+h4t2e))xBb6Jx0cXPYVy z$rCYzfTOIhTN^*4MueG?IgAo7btda@MJy>sYa?!LZp7wg+Mfk$#Y_J!;?^S}^)2>& zXJu|}SOxZ1TT++sy#b-l-%zZwHBWP7NS$nFU62K&5?hjr^n6T z&ONV;R4h9}AQki)F_Z4tOPEv}ZL3_uvDVt6J6CE-LHztY`eC*}7Nh%P5Mgqg4T^NGiqtLLI;;>vj4x}M8k?F*;a z;;=V7BPQ-_=Fz5}a~C#d9}O6-=wR2oL>=6p^Pm232a9`wO@(UBaRy^CI*K#gRP*Z2 zN`NuRife!T2{avR2r|K^%V&Gs4Z@)6gAR0Bo}OjVVXSsNxCeW%aJS#XN`*4@h}s#; zAQt03ci^CNJtldiACMpl2A~&W7sWPruR0;!nInb^l@9!c=j&il>?B%y?yG%4P+%2( zxgliKvG%y=GQzslXj;{?}+dZ171p!OpxfY)|oq1W=_8=a_y^;y`=pe!M=s^ z{e6@UVZADQr2cR%z_wFBC{Nwns>sm8jL3m`DMY_ZfUO=<)3~SHw(730_HW=C7yVj? za)ik=nv_)g8_v#<8PwWASG}P6dek6bi~o8o@S%RpB_F3In(_cr2pUQui@#KRI8sp<4$SNy~8 zA-_d;V!!V&>pM6Y+xgw7$h*0H?rL3>kXLc7){f9Pd@yk79l%$}h1sb8uu z%tax6m_GGjP72gY=(J)A^asZrCl@ zfg&cec|MA9ImW%wr0|}2xMB@qIZwm!<;S#uH&7cLip4o|A6Mu@G9f>JE{-vU1I8b- zvEuY8;e*RQ?CiGky&D&_^C}g&%|LbFXDI(RZYQ+PNB6cp^W4Y%)y-SmgYkf1R_&|u z=I=;C(A-x9l;4;n5pAB=p|YHG&+3)zwjpZ9xg+2BAPvqx$`( zmw^WxRY)P-h-|vB>)|rwS#CgO)KJmGr*syF(tNk#zd*#XI^foCY1^DYX&tBPhD^1f*<%(F(n$j)8v2b?o=S%O zjHVikN(rD}c-gIih|+#1-grTFU-hsJlU1ahS2oc4g#=L+#ny}Nvk6@Rrdgz*8Pk|_ z`S?AVe^6Nbh_*4vI)PKdhI$#1^4Scgyo%Fumxh2C3K-ToSes*Keu~hud7mEyEV@U- z9yWD5W+oRLAFzH`Mu^qCL(p!mW1ZqM3#gji; zm(=j4IWs!5|B)1#_*Iz! z6?N3B?VMDQ@IXVvDwBOUVLic~C_zk$a+w>DWyv;aPCa#1CgxsukGxVAquIqi2`=Qc(C#Lh<3}HPCFPXou4s1Vo3qJX&ZcA9a|5pDXRe|2m|Vh@Vx>M`(4u(2btg{tJ90p zkZ9j$AuyfJ>!r-c3d&%JABm&Gs@D>B78R)WOIZ9@Woy~@r& zlxo)wY1*1aKr2nj+(*r#OG(wEDQ-!uS-%io^fDl~sj!D(gD8_{I-*=Wj>)#jcI(3cPVruDsO7Hq-BPCLUAXqaE}DH;)Zh@` zyT2j#tjW?Gy~zlv9@3%x*lKqXl~loP&+T#o+QwYp58ufbsI$nY=(2!gXcK9k=@3B7 zUxGWXC`+>U*FYjSl5#2rv^pu1Svb{g=))$+?SVhEOJcw#&H^t`bcAXc`ll1dHYj!F zDsElf>V!59EFi)!SP=qR{BXY+O`}vf;|$qN#t|^`-eo%mU}$SC%q@)SB+R|C3#PWE9wcsW9vv*f_vl}ieb(qWnXUpj4WVE<5 zUDy-j8-h97-EG&dF*OHUxC2^odD@SebT=k*SPWf{?;U8h??uj4>yN)=$fvG!W*X-I zq^_>*gVK9B6d%mULTS1onWk{PKBtyf+HAxX#?2V!ZT1ntzWesWCC~?5B)fpOxjh`j z!g3=bN!;|*|NK@ylwWQEk{EfAN8TEx7bZyd7^xn1ztJ5KTm^L=r~ez6PID#M`KpO% z!-o%b-Tdx_{5%ZKJ8+TzTQBj`#~Rk(Xa9H zI7(H8btwbq!aVU$M%aPiVXH;Wf^0vQOCEX6lA+W|?S2i$=uq)MS>W+wsjuhTkn+a$ zbHDp_KTnXl9O>9=%vTxXf=c<3VQa(hgmY+(iAE{AiU-Z9R3Gjqa}@#hfm;<5DfK#Q zbF4Pas}1@q-Av@icEXlm|ABP8C5d%zcqW}lGF>Y@TD?gcUZR(D6@y+<{F=WMmIptx z<}aLvRU(2#IBXsmc}TZ~yt1b~4N-3L(?;>YFQ5hQzfIPZ?|-x?jY;Ymg9HRt!L#WZ z<%}M-sTae$&q*79ct}CVX5%@iSsyGXY=94ml~~2$6tPF=%;6=b8@Y~f!fm+%x|gt1 zWHW>9BkgC&W9%p}ZGW4p=QplwJfpa{ag;;sp(ldH=DnOP5a=n3d3x!br(61_|H&Fu z>)`Ve;Y*gC$I-XtW6^xneepta_7AGR{xs%v)eIspQ? z+gx|&>-MN*;8ebU?;s)&YJRyjm>XJ3wD$!+T2VUjxHpb6-Ne3}+XG(gM^M&4)NUw< z#79SYTmOtVw1?N@=Z77~g4V!J zg0;Ho)wgd4PE1>iB5(W3+8@LT&pn)Oukm;CBFe?mEFW%*LG4eCfj?J=OM{q1I^L{v zg4(YQpEI6*1XzTX1o=}n2epE&yAP*9L9M5pYo8|Gi=ce+{O|-amFORz+oOSfxOcD9Wt5P|pSyzm)IxyyzDDSeH?St3PTBJU z6W#Yl+HvGCIvoyxX#BiCcUB;B4Rd5AY39jR<_v={4hR+ zWEG3!kXm#`B!@(wo&bx~ao8tyWEZl zga)|^;-BO)voa<(DEv4&@d~gsjAmOjnP+ksJw>$ki-&lur4~XJOEQU_MFfSoV!tT12uK-_K8MZP()qwv%6T?Daq{=)gJ^njTN3ng`%>* zL@+6+Z=+}^7FXzTuLOuzD2i&!P_EQ|C8z*@>g3xs<(gut4e^Mo+(*Z1a_H!g$$wFW zlsx%y0?K6I(Ug;vRjf0j0mfm__hSJ3Te=&il)7D2<;>1!ZP4SjfvS&>m| z)WM?Gx6|0Xh*lE->cc?&g#oAlmau!xnRZmLwrDjzm%kUcj_pi0445J8UTWq&I;*qp z2K&-7>jf1&Tex98lK^>!4tEw)__kRngb46M1^*K37%n7624A3nT?&DO0zvQsUS9!D zVW5S=4HPg(m?ySP9^9GqOgbUJDde6a%oEy%mB(fa=8PKd%y(w$GYN540_Q+%Q;iD7 z6Rjo$RErUE*^t854i^TH05S*w(;=Wgg#ow#qp*AYnP(Jmx!6Bmn*u0@-as2WFe)uK>syBb%9bQ~)O`7+p;N zvlawc7w*hh%q=r!79_}GCS;^WQ+s4#i6vWUl13Br~ z1`S4B7GDz*-O~U)r`E&P={H47Y=0DH+-R-8(_5w-eFU6B-v36uRxrJS?AJtAFrD5s z&pbQ_%~>BG>enzypH_eJmSTQX*!td_kZwr-q+C>m#gr3YNsS`}M4i9NIHQP1+k;mN zRScz9Rr;fo6|MV8DodxcFnpaYxK7H43>bisY>Y#jww{T)$E=lqlxizcvV4hh%M7+l zCt~G^m3S2S>pEO3OPO1ex447yj5W}tW9m?8<&dRdT80EMed&9KwGV9o7W4wU1$@Vf zZ9ee76QA9G!*-2mE9j!OT^?=%pW2A5|Xk) z-{rYeAJ4n$hEbb%5%|TkUt_`R?ptl2jk;sD^iFYTYgl@ zfWg&XR_iyHEnOSz;jtonexN6LZlnle6zHxi6kZ|qSvTLH*#Uwo=$XK#j%#LAN2o8E%1v{kq^kP6c9Wnjb+!sq-$)cr=Z}+E5Ut(n&r7`KX z=qZK-q<-sEMt`xxNj3vgsHxR=|L9kdL{w8_6_Y!mmi^uZLtmLeMtz6%`?pbOYLT{e ze>fCSkisQg_rHTPekjsE3)Kzmf6}0-=1@g#zPCftyX;e`$6_pNQtW2Si5nM8z&Q-k&c)5n82RYzN|a1&Ve2cEOW*?Y6&K-Ct49PrZFz36Wy z9!zmdQH!Y}n*U#1WgB6*EPTd8=0DhR9Qd4`8wejybfyaLjtYKDvn z8zK(|jt((wt%HNVXOtNUiRl0H8Ol6}IEU47N85S?;P*16kGa|ppY+{JXKh|&9n+!8 zLKTpXgs2f!j8zIxyja)GN0~Yy0c6(X=h1aAq6RVFqyX2EOO5E8e{5~;QdW1Z!Y?iz zgq>VgnMY-4u60=eY5m2IM|7Odv{?OsKBGS#nj|~4zj=BCRidA2tSxzJuk><_JR(nD9LzcBW+K9%m|21@E4}wK_ zXJ}+8OX6*d$VD+EKFQyW%sq+BJ&4Rji|!J~D~W_CjZ^@{BCRElgjV9+xk&`D9P^SjQ`?>DhFDDrK&NydowkaFeENF{xC_RRBYQNqQP_%(pwSD z=Bhal-1a1CBl_Dnxe7+VLEm-%a8h3u-2&%to~S6lBe=5iHGvK0{|WQ%iHV+Cy<=mX z4z~TmI}rGyyBC>DMcxHX2q2(JnT8wW<`aScx)~JisnB3YtLPY@1PK$AX2Vj|7`J!q zh@a;;;wpN2AC#;=5(mkTCRa;X!>tLQa{|=-=UaVOmcK5)BHw!n$TW2bFwyJ+FE7vc zR3UOYH`4v-4@3UDPdg;B3TCUk&CV=MK131v^9EfKRqfrl0lZO=>sQ6%&m0 zjv0dw{#h^9k@L1zCmo$r6x&5e)-#10X-Z-W6J7EeE0f>KBNr`y5r2R>yNnkW_5N6# z-{Rz2pBLd`TPgJsr&zs6=h=>2*hmuNwLnP1J}wSZg#H(~R7d#Zr0$`{dRSkRRA;$h zt$e9Xsvdq&IMp7ac!tj2oV$qP_v+ZS(?{wDJ{>UF~e*!IC z(szn~bXCy}$hwsB;4qtWevLK81BH&y>a)5^u)qzTcdz9}pPif0v!qPbs6IyeiW_{DA=20l-IfsOkwNI% zLU^suyB8>Wn&8sQ9F9eV;|oQ0`ejlP+W>h4Wmq=eA^9MNB$Kvn(Wi;fwT95Olki$W zbS35=@Q2fMVJWuAIP*U$-n~`F5x4au8s5D&$B|LT5qigwO2-jf$B|>lk(>3T!wzz5 zh)|Jnq#e1IBu&Q=U(wdFgr_q87hCWQC!pO{qPD%vmKir<$^cJDjoohp4WX|IqzPxKK$qZzB9rSBA3xY&GthXyXef35bN+NBt8z`O-v+VAQTfK{kd0A8a}qV>$wpjNst!94F`!7a}p6X4ytfvO|9? zyM39VcUV5h@fyLz6m)h?*OD~6homZBmI&L=9Tb=ge{e;TSt7(X>}`^N6ylQte=tgt zX&!QQRrFWTW!P_5>2gpAmhW{{@VIiMeC@ywOQhi+#e$kJh`S$ldAh0e!O$c~i)|aoD9@0Eod+Juo@>CX(uRrpsYTQm< zpH?;a0$~~=>D?tcm@|yE%I6b??UJUS%-TV2>><&85b3{xx_TICfE?ZBWpIdljmo>{ z%e$B3ID#6y0(?u?A5jdx2eJQ~&fcfg)gxXim zKQ6GryyxRMf(%jgM*^?r?0BpIEB{!-Iz|$3aDFZ@9%BR7Z<=PBvbyz>r zg`V`w1W&kS*e#*Xx3+#sMB|Kj%1@FAf@$DogTS8*zW5C3Q4WntWk`d;7tuoa@ZuGg3G0 z2d6-P9?K@ll~;B}lj0@L996j0O5Wosksy6mk?;VhSdsemBi9Nh#hPWM^c@|Zw*i{%5ZiP z65e!Kc1NcykG zw1Q(EVKC5g&fFyGyd(mlqPzM$!+mJD;Qnp?K&m`BG<7|I=Iftbnpl?r@ zg5fukL^{aP+sG54%vyw5sn8srBK1HRK&3_m1f)iLSz{Cg>AKszk`$M4War;ZRDa2H zQm(#=u2?%HDw1Td!sSvB{enic5T}Iwpk!G$QOwaUI~TNJ220N|7?!}ePW2@tA8*}H7Bf#>bGW0aB!Wn7xZk+^(s*` z&AyxC$RYJ0htCHb8UFnD+FU}nvDp)UH#EuWnHD!PJ+ZCN8HIpwc+dsB18(jm6;IwGb}a&=If~jJEi8T0^rH;6)U;>^Z3QN zI5%LUtHC`UaZh4|M;grMA48aAb!J`&;LGO~am(oV{nLT$=NsSj`fort zPuETXNzCe?|2XbB@nJ51!`y=D-|^vXCEs$DaCDb&{FI&&-KfzUj>EY zB!no-dS@h`68(`h$B~Zpq#$PrVKmt(s0^MJ!?TFzaJ-XWMiq9QDh1c#0bu02EPoA* zoZaRpx-;;z|6}xkB8!Bug)R@wnFj@n7eyURC_4U&@1-8qRv$6R(e&2shbKMjN65`f z9(7=EOsh1-_41(zHRe-}MK=OWWccg1p?@x>+hFM+)cVAw>n-UQ*6q{D%PpnB`rPQX z;NU-?4aAlXaxcE|w)vRW@t8*-wo4246(Q|zi_+jlZnWR$ofp0J4Kv7@;vBkvw*BE- z#OrK~cW;dMOzlWPt~iM+1&JpGi3B^`+IGu|ZwN6pkZI#r? ze)|WLv8IEAkLvgw9uUaOeW&@)usSFEoAJs5m?-EBHA(VlNVm=(wGG~u1tMy?KB^_& zi7;obi7|~#xP$n6g28A^z2{jgbXJY0^?6+ZbL+P&-Ilk67nXxk$C1FJU7Jc)K5Og4 zLLxu?Yehhbi-up+Qtc_>Iq6aT4ilPKX)(!%%ZK2)43{4Yf;!TTX)X=&DIE38X|8nU zmYy7?H~pdnL5MF+zXI7N`i(HY+Dp}8+)qKne#ta8&_*g=?FA$h$DC$ap<|hs@j3p@JDg-z=GLNuNF0P|YAJc+ z_QUb66QJ>6?+P=Js=3-{J~?{f6u`=9)q?xwj3OCa z;Z6Pg+^l7Wo6<`i9ON@Rm~4-AHfJ|iXTcyq8xY9(ytIo-_aRb><_~P`0CksF^K>?W zm*@TZvgiz$R!UpMpvFS7{i@)W(C%*UI#Cp$?@X^?68*UpPo8CPK_W)v^~P-Lz;^IF zX~t|1OV?sLiU#u5)_IlZvcK+N^I#FOuU+aC^5ocw2^V-*1Ew^nwx_)quh?%H1TX`Qi7r)sxgOfyI*zH#kC4sti&#^?IJCi5Dz~GH&3UZ|I62+ zb-{jaE6gu(B-m`i?A`hk5+h>xJKeL?Yr`!^Drr{KEr||JA#9SAPZQ(fkne}GGpKWiF+WGY_>a90B)0A zr+%#bD9UH(nK3`693OkhjyS;?_5IgfG&d(BAW!-o{8>oF;q)GmV<;{L9n#Xc`Rtq-ne^vIs~E?hyrC_WPjW?}cI6=T|6j1tsL& z?hIzzWb{f`TeALOIvP9-3{IjZ0|erNQr2cxsc>W z-lp-@`R(-@ptC0BfO;7)fE`*J-MM7#?7Bz(}FIo#ld z!Pg7IGiW_$U&#ZSG)QQMi-|hPFAVc{?|v50jt|{ZslvepxFqnlQ$EXw49WBNqXD9H z|NPhsLcB#)qv@`~az?2)@s}cUj@P=9kmamK;dFuo$nP^Yuy!l<^HjZxmhr=Ok=hzO zgkF$Ykqi$}^WAn{P$cS4UOh{RijW!2Dy1K8K_8&}?lXRZn2TqhbfEi_11X+BRMN&T z>{55seKlQ5((R3R4#H3O3auf1AsVY|9rM~3DLjT>yNYOmU{$9AC*1mlyvWb6FJn5$ z^^m>Y6Ft|v9qD>M&$R>xkz34XoQq|@-w65y*6!za7DxwTH{5pUC!-~mZOu;04&)#s zf*T7PQEUmp>;k_7O5B8|72NyzPRX;6-ldq20rM_G4fF%EcyD+LP;=(I zuJ@`M)1C};Mh1@EeG=yzdafcBGOXqQJzv31M_O(+Q^Wc9^$FLBuflgsG<4AqU-?x+xQQMr>!$=lS4wXF)>tFA3yV!7j=sPzm9OPrbL85_$ zl9W{uN5DdfDJ*0c4n@SpT6h%yo@x>bg~-1BH!6~p>W7LLHO)JlRLNoP#_C#E1Sni_ zYHEXjE0_Nwt0R2U?Cq;LVc^CyHA)Qu-7kd^K*R3_*D?i5pLQ``;^U|FX&)|KV4K6= z>_vyf7L6L)wT0;MqsoNu+3;?L)H@1lOx*6O+EK+Fzj(jV)W4@+3w}F15ig6kXAPf< zK{Q5$cx!p}?7d{D;GmY=e&c&Ji*n?pRCr%ZYp zAsU}d>%P@cn_rthh!&z{$J)MTYC;*}{KP?-v(T24O4H)4*E(Vz5FFVEO<>O3op*zc zVh@GU&+kA(Y)pW=mjye^r9g|kzMVCEFo53Xf)#)k##`6aipa-kDbGoK8hlD{(EB1f zj|_il_XWd@ouBNA$do#wl(1idM(F1IJ-(Y5nn)BB`R3(qJe!Y#tH?cuvvK?Fi-{xy zb87}@SFbP+SOc}$gW4&nSqd027ure-q;T4Kv&Y!3-|+4rhT|cKe#IJ<_D^{sYO{w` zAyvCunebKM(n1PHwudjF$v`5uUWFz5Neri5VEE0bxAzY;IR;~U^>WDQitS7gid}@U z(`lVRVq9#9jp`p~fa`TXprD68swO1~X91u!TxEK{63>HuaAW0;Sg)mQr2LlQhZbV~ z8ITz<8E{J1rQC6C(G^%983u+3-d@H!IPJ!g?;r`;{fjGgJQVW5TZ8ZP=vWGZllU^N zBm`AH`kUe&;nlY^V2U-sR3jZmpclscErh0F|1$96y6g=>?THu&i@z5G3Z8_$5A_)M z2q6b^(>E+wPI36x#w$Wk4fYs|M4W5j?{J%6hw~>Q)}orK2Y>!TQWpd-oraixmJQom zL^Y#mDDp%BF$`6NtY}ITt`EUXePn$0hHg61UMVnFn5+p=bus#u3H=n`$h?6gtYu*B z0k1AWFSO+g(^o~;8GrUH7D9tPQn*{7u)=DT(_juUQGuamYle@FW(>Vb;T_~%S_hk0 z=1K-&LNVRE!|=#z_%+#!p1-sA*a#e+F3cLETqjS1b`adz-I4IFnO9BKGqTr}+bzzOsPL4A@;*&zWjsH#2dNq1Kt-tL@gaOX- zJToZd;O=MZlWt(xp7wpVztjAh=5Q46FDgz~j$-!0lSER%8~gJXL3QZ2!_&e={?Tbo z4nIB&%rwM3!z-N~s~_&);vYrMF+9Jn%e}qe^R@SQ*F}O(Ll{gO8W*W_Fbi3njNM^*v z#fi_TqRx%TcQ+9lQ7{-Dwk6qW0N`ql{z4w4UjR2mz6Wvs2dS@UU-!A89Z|_mHbqpcFSY*pLeb;c9OU^P&rz=RhE^@_d~hIbZ~Dhw|4Hnpkn-#VqS?{I!=!1xkZn?5P92pFOgf#_KWK zHsbjSew)r-jyB?VE-fviUIYg9BVjy{N-9TmZPY{6b;-9z0}Yh6f7e#+FXWM56nv47$`1tBReJTiFM>qg8q)_wOaMs&f4D;pWpXGY`c3s%_zYQmPeWa aZ!FMB17F2RhKi+AF`eR92HyW?HTplrr+&Kt diff --git a/CPLD/AGM-src/db/RAM4GS.qns b/CPLD/AGM-src/db/RAM4GS.qns deleted file mode 100755 index ef67c3e..0000000 --- a/CPLD/AGM-src/db/RAM4GS.qns +++ /dev/null @@ -1 +0,0 @@ -RAM4GS/done diff --git a/CPLD/AGM-src/db/RAM4GS.root_partition.map.reg_db.cdb b/CPLD/AGM-src/db/RAM4GS.root_partition.map.reg_db.cdb deleted file mode 100755 index a7aa640b6beaa11f005433eb7699157a0a9b9069..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 209 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRx&aLVfoTwm!5T_mgwhp^zyAOKU(cqI z&}_Kjg2GM(_juv&2`MM}64}@U*no=u|F2+7N=ZoZNK8nu(204(w?yJec4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G7&00000000dI00000 z000#M00000007Me00000004La?3vGN6G0Tmn~UJVgQ6Y;G5QC{{Mg+jH=(@$f!vy&PBMU(PR$D7n?P=l55CSa zf>%VacEJz(UowF6D+2hecEO_G)Q|U*+5Yj~bTSF)@Z3|+pYC(J(xOmT+%wRc(9NJ- z)VQ{gHPzy2AcLnZqBUc7Im~KD+sx5<5m@c->S#|I&_ZLE-K<53--=irn!(K$yrunrY3pa|%(pNF*hC=$0k4L?;G3iQK8SjEGNaxCUDeu8ZN2@_R z*$rhfma;n8jEeYOh+#)A9;FzN3X=aXvJ{`30d@YX+~-VTZmNYnsQq{c^CO2$1-0Tsm@kO zqy1!u`Z^hmkTzLUP|LK?Q!4GRAQe_dQbnapk76kx3BDk~2+6!u6)1+bOb`Pk_=3dB z5CfwfHd3QtGWddAk?sp(RH_k7hRJLLG$CUY>Vt#@i%LoxMoDS|$tY8)z?Y;3EL6bC zBo#U{knD}gfzpLqhPO5sS{Qjbd~xfRA9jBQfX&E(1B4}47^KlG;rwc3M`@wnSq-}Y}0fz zg{5c|HFp}Edp?StChSY2=*}7&UYB?Di%Q?z0w7?4bKcSax28yw%ngRKW)Y3~ zT!+bMFj)7~3hJ!~qyk?gbCej!d>t`R7O@nBL^5Z=)hgLxU$qhIk+xfC#wa8ztm51u zM%rZSJ_^5LyQMaQPr?c54B8{X&0v(=eZ@HzQHIRGLci|a7tWDz1cy_X8@!{}gCiVv z;WjPbJc>W(o5#4@R7Yak)PupLPKl9JSCho~B#t_9RRJ>Jr^I0eB-7?bzCspdW!DQ7 zUUTS~8yvVp3|$#wkkO4r%8Jw!h>`D8V)sU6XQD{nc;cD^@1|W8ql~h3yifTD00960 zcmZN(U}WH6U|@(cv`hw44BS8*3%5#FOZf5Vvr&a zSH}=v$AI_{M<*ZGcz-7^S7(S8exL#t1_2-$yW`=jeLxdnS|Cb;LxMd0+~Zw+Tzy^r zAX*Ls6|pk#0JYSdEGY+43_?H*vIU|As+N(B;S5kbjLp&)q(%@$4a5bEObjQ0!p1&s n(TqSEW{jJ^kBe&%)Rm0v3_E~wlWrab*$DSF)Bpeg00960C2gT7 diff --git a/CPLD/AGM-src/db/RAM4GS.rtlv.hdb b/CPLD/AGM-src/db/RAM4GS.rtlv.hdb deleted file mode 100755 index 802d93c0162f7b2b2f4ae8589269d828c6f18658..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15323 zcmZX*1yChDw1A1bGq}4A?(XjH4uiuC?#|%u?(T9g?l8E!ySqCq|9e}nYHO?W<(#BW z(w**3s*;nSf`EWj!-IauPT!Zvx709qbh2`=CuU}4U}9h<7ICq%H6vzWWg%wbU}R=t zWMN`vB~~?ebhR=yCsr~xwIOCER+S;vG&dm@H?wm7E?Iu{Uv(fLhV1_%KthrKSFZ8? zuXG1J_kndMkW9V=B*N-~nHj^PC}|7};p^L__>=ood)d(~?&?Xq27;34I#vy&*o{yc zM|v2iF&bmLx+6cQLGuwhbb$oaF~X*yh@fE`8DSeOqy4qDg(WAC8}$7$+*r`p-_Te0 zp;$>+cqn&J&wZULS1nag*VNQ(e!SZ1_9Kcx>qF%_P3{7!2T77XP?vci$#4-48FLYW zUSWh0JyLjy|NN=9oPQ1d*wlxN|FKQ7?f%>B6>ql*T-#(kT%xucY(&}8-JB?K=yfE1 zrn=qLVUvTU)yL7hsN;`J0jWS#kQZ1Ng=Gzj|6KM|2}pHV#nf58esTeXpfTKdWepnW3tiYUD0L-=0B7+xVw;zM)#Qg1F=65R&#$db#ZddHx-@S*cun}m4%ddnUOtB8kmfCfp8XtL0kO zxRoAZb0qV6bTRzsA$Kb41Bb z+%hf|(3<5)A~g;l45i_yJj}-%HYjCwfQFif53N&y8fmVH z_$U5wiKXhw9skx0N31A6nrrrzOJ=!mh+Qz`3#*%5?kp9-#pIIqp#o#~X~?@}7A9Gh z=^8$JAyE1Tb_Rdm2=fmAtk33Z_aR8T=}E6CpH!9ngD5tqL2{gV)<@#maWP9MK7Hd7 zeuyE|li=RfuY2)J1yS&396H@#Puy{%3&7(t_|xJ;gVBNwLI4ijhaR;aRAZRAAv0PonMXwqGC)+wTP@FMT;wBL~(m!*A;8G4k-G7)|h?e)-fdZ*7QC z@g44~Q%p|g*h86UD13O0&gjG=heXi~)`Ny+kloDHJY&>`&7oF!O2XVTkh$|RdX(*? zJf-xhlCJ;Epdq@zt8E`wn2kvKu-s*OO!e-)=^4YfB&GDoBXHV(Lhgd9B8x#4P-*}T zxNOUDQVEqf+a%7_aRc}kJ6*#T`%lxGcu*<~@Xf#ehU#1XIa#-9IJN+a*^eB@8;#lpWUog z(jspZwhPqd#HPH1r6#33uZNe)@83NajuyMA^!zcwqxB{##zfHMMbwsxD*( z9=LM2P(&l?ZFG5QMu_s!SnmGA4^$BE_24JnA3H%KF;vKfd2!?sA5NX46Y0vbN4-6` zkBqAY9XhVGB!e`JW=zhBAseGnYXcc0iiHKRsxV_thXuiOLE(#Cvu_gz)`CK#dLwd$ zZQJw+Ll}Z1kv`+&lLJ0@F31#U4O6qPKo1+ zxO3Hf7E(&;nB3*cU2eC?f8UsOL%$@r-&AUHBsm$pQe*~wRX)NyJ8#RBYflQGCnYxy zLFjS6$@U~SHvgx{d@H5@Dbn9c>TjT)A?lO6pMcJyx5jhckRhNm#HqThrNt39GsvyH zQTg%2^C=@^Rk%DJm+v9iD!1HCo`KSM;?%>Z5^wi(Vo>~dXGH^q>bZj1w6!*LL%}w4 z`Ra15$Yu&`3qCGoag{`ykBcbTMps*YyuIPZv{ZAgy=BpwCMTD(my5Ohn4+tjCX~9O zQDMb@t$b`fc^4MMV%liH0Xv{gci810?$49;Ov!pRNR{ zP+;(`@Hn-ml)g_a-FI{j_Gj4KJrq=82fsH`Xx>hd`%VLdXnKp=u`30KXdv zUJJ_I7A$iPoiGl>DTSSfz0JO4B_%iGc*p1 zJ4-&?AwC5fzCh6{qtTxNy>}rg7??vkW?zjOwO8(#B9Vl@k)7(ylO(DT-6Pc4>4Zo) zeCcuQhvZfVMsnxOV0Q-Zzs}AwLU|z-!|we0nsmGsJKQts)I2$3%G`_iRD!lgf`5pH zZYMEnAp3#dybVV{m>1hYKF{5xx%;`?b1Lkb*8@$o+^VDpM+0C{Yx?VxzNTl7DMco% zqc)zpGJ6ypUw3wW9xhS`9&RVz(&h54jmuJ@^AK|6h96A{_6)X{UiI??<=^pmMgo=- ztw!W4t7}Wu-?E2y#sKyUhadG04BZeVD<^eX%C!75rQ+R$iQ6!_j-l5`J%t=FTn#%H zFWYu6+tn}I^e@{7-q(k6T-D@jiGTO6V<0#po$TQZwXAR9zu^MKrEAd{2x8&o3dhyHROH|2Wd@hlnZ2)d z?VbX5ISzj52sW3yLcOwCdrC56Z$~|2AN@`BHxu7ne1k|cvqC@e6-ezBB}i(v7E8}q zpEO=iiC5i>ICHs3xw^3`)~=gr>1Km|VQ*K^SUvHTN=HB3o9zVKk5ZMv6x^=O7u=ry z&B`}8{NL$s()oie*7z6V?8!8!`Mt(>U6ocU&au*3aB(sG>#+Wuo)@GYE5*`nU6D{Qt1v#pUIS9_jHwkpRD2kR>LoTRFsCw*7-> z3v7ns(A5Rcw5tx7NLlQ$cP;6U*pRYMLE5ngezW+^<2RVdJN6vkXdv&bxLQo5k_2Z$ z&qA#8#Z_Dzr8Zh=$@MhqV(7D;XX1;0bPh4}QSj&jt+B#gov_1Q@BWkD++lu8%<##_ z^Gv&+qS%=X_M8GPzjB1WE4Y`941Hk z#IfJ_HhjeqoTq*LHm;FAsyu3W0vl41Rmj`>Sjr_=27v!i55lA zm0|Zr?`h1kDP-qN((th^)$lOK0Qb*&=oJXkmi!|sx8~TAU$;|Wo9!}^F4jxE>r6un zNY&lM-Rsi^fF4ISSh~`3AC8Vjr@P}jbPE@gJ?S8umT8VSNS5;BdZk%BKOoW1X|Vy$ z?e+PX5WF_Rb&;4^Q#T8eoa`b^iG*r8!>-D~C1lHxvc0tp#ot%XI~#N>I3dO*%kB2smHAqbYfm#c%H+ka+tE6TJ|V> zF}5BqLS7MHSyUm{P^Ca%ZE>|n+4}l=w6xiez*~Nm=i2M*!3X~JxAL<=zhpOrV@Ek3 zxLJ<}9-M@}`gITn{h~3}a)e6nW zlNiSs&hp6|Vgk2sQk+Z7Pu?}nmrG+BtP+X$t*4ByY4INT8K9334*9>_|K`{zV=i5N zTLL;e8nAdiRqGHra;aY5h;92{WKlZk;bblNuUuCAMvr~j^TqxFZo30;{Fwpfn7BxCI7|s^^>;KVE)JHOArbj*5ULX zj@11#Sr8R^6duouEing;f1^82hdE2gvg#}a<6+^btxnKLTO20?F=h0aB8&T#vWqV5 zNLVM`d}7+MR_UzJ{n_YMY z@A*v{NX=?1EFdoxO2T+C0L|@eoRc`|q|RWqPm)qUzPI}~iOj=1r|3x-UEn?bK7HUS z5I=_B)>3_Pkjsz%*augCuNz?Q z$_;Tp*@e;I^#(W17vZ`En+oGKllv}b1Z1?U=o&k@8}Nb``GV4p(sqytLh*e!)aNSU zLKUA>tYzX!+QU<7sN>)8b-ZZVrmS-LTF~qDr(TI*#r&V3s%H|+!U&UFcB0CM+B>c0 z7t30a(*G8W4znS-sOWESgSP*Cj@gW;{)w9mdXnj&GJl1^*Sj*$a6`sYOr5&IMg zeB&6A)kXp2Zn2Zd6DEzl2}7N_5E9yNMzAFi#%K{woiM{GN~vSf?1CItJS~stJwWlK zzgZZjy8q=WG+U(QXX31L0*TXI9^Tu^*z@&3Hnf~R`lC{?zwJX~zm4j_@Ox7POrXFg z3s;Sd_s%0VNDF)6Ellb~a5I@jk|YAJTYl|k;=Ft&d_;e4tYnBITZ;!_K>(bZ&?^4} zaws-V0se+Tmq9fvEc33oub@Ib(;u6@Il37DaBE5$qu-mz)wPd%um#hYhbuiO!zL)XC)KjJ2mtO?6A+bcu;=c8&qs zo$73^Hh44+Y~J?s3c#SlY!x^E5jH;>K!TB|-Ihm)ZOYR~MWoj->2ZBFu5bH9Ysie_ z7Xa3`7WocWVlW9W=k0 zu-}{#|A^7b(BJHj{dF`^!_ZZIH};-hCd!j**lmb=?%`<7`|RD#a-E&DU5Kh3TID&; zmdie#U^kj+)ynYx72%ECb&jjnXR{aypRoBzQe*A$y6S0F>^7f4(aqWv*kEMNHfh8b}W)=D}t`|g^q zaEzDwp%I|zvG<~i`RNC|zLL3CZLaEKO62RF*h-rYW%;<^tCe3EJlb=LZ9%jO9hPL2 zzW#ToEtBO(>0&i6K-uk}_NzCw*6swWX+>{&!oe^F<%vC&MZU{;^zMx0GJ_uzAA-@~ zmkO261o;xtTZ(elP%bg{kof30E~~}nR!jlh_rv9_*QR;M(&8#I=4N_|6irXSr0z6=8Y}4LhI;L zV=y3g`h5v?8;9x6cOCxHdKVlc3mc;Bfep3wQNiVMEeq^SMbEC~&+vVZ zh8g>nmk~fB*H=)uOPssFQ)1@+mc!1`81f%qV9A!N=AYDXNgxRYkP^qNb^Plnl<=aFhhZI2Vzba?&?mQ>t(xyhh{*Mr)i za>24ES~Y4I69ndim9tbsMOBYG8V80``vmUXQ(x+1lZFTHQP!2!`BHh0yK>(y29qfu z6*S?%U}xga=Ex@SDT(QJ(#Gr6_k+CtZ$WeW$dQj&AIC}a zJj-v(u`5+L9J=P$XLN|(C&0%wOXH)L3(h!etgXddML^^fr}4JzlZV$^HA_D7{Uv@ zgVMEJ(^kP8PO5*Xw~{X$pZD(}bDv)ljUMl?fpvyOe!3Mt1@HH-Q}ezYzPc~UU+*ZD z2aqo4RzQcOuZX{|4gh;ZK}G z0x?cnKD>?wx-MTQntV^*8!ft>U!dE4fwy)KjJF5xqBt3&hr7eV=+ zMUmmH2O4|BOK$Zi>qP9m&A0%^2ieQXh2YXVYqG;!_i*YN*%|%EUd8;Uu}yABj^NU# zapRO;s-Wr%w634-3+qH~xsUC8yzl!jm65$}gT61w^?vjhD`kc_Px3Gl>_13>TI}o% z5L@Vx!IUt&qXnZqS5mJ_I=&cC(r|;)DqEb?I*QWglB&5n%?Iy4TtzB}4bqO&t z@t#a`HYg;Uy_AB%usH8hETU3zlt0AcG2Vm2R;EQY{3wgBbNxoPMq&6k1XbVj9;@aVX&? z7Z9}PkUEjny&@G<4S~53CX~U&ZA$fnSOfSX3ye>m0eq+wW^t$CRohenQYbL@U`=62 zOr*>ZV^>&|_b{-#5u$*dS&;|1B?V$F(cV4?QSdMbSq|fJLn$>G@aijrR$G3g1_a~d zo*$%e?EJ!d~(Bmh91guWUW(*jH19b&F@ZAXW{TGBsU%!MeyDyCrzhn%>w} zmGeHd3;9u-1g)B=0$gh)yjU!sESn02-wGvop_(}4kFJD{T%#ZDrg_*_>GSB9EIES~ z%V+Gts$~m(;G3|loA89a;w9cFEg2UrIsIKzB;KGbiRLW(^Qspu4I!#)W@@19lnQ;o znh2vGA*Ux7EOVjlq9xw&Ejw{98uK2knx_00Yi9%ySN$d0p_%}=7pi&Lr85GstKqm8 znt9n(Grpj!zoH)nrUlApCc!UMaqZ|Wmn@q=rw#IEKEaz<*;bK-bxUS^K~~doFSdkj z7A?1+tE*}t`!4|? zKVJ2+Re&urApPkf22u$_BJv4c9~UR&Eo320j1TKZSM9e#wv3u#nJQ+=X_Ti)hw0^? zVXT6tp`Zpiz(tZjBuLEy5xGIoRo2Ls_gWl*a1(2bmO*)%P`CWxd4R@(7K~J^)pGm^ zX;%M4+qsitD)6OP9DU8)lt!f!E%+5U0%0?LzimggVI}c?xu+{=E75Vl30O))jqThP z?EWR8_t2PWuRtnLX6ZS0O0=O6ExMo#i3%6El_ZPT6ngp|`UgP-#%^^bPf1;3MyW3> zU*4jhFuZtb1;x|IjP11aMUS@rFB8?^X0cl=_X%V8KZUAtgcDEvv=Y7j;_+}<0vQf@ zu9{BA@M8M*_^sC8*@kLJ60o(1L(rITu&$BZr1L7D!L?B{mNzPD178P4oB>Q|`+lKt zA*)2%%ei!sZ`|tjZrhZsr6%DsEXMUvn3CvcZLs;_bV|FMY&&P~Ph$0>J{cnOr(X#0 zRm=nJfuE>4{P{zmT4BMhYOzT4m z%RBWk74(rPc)OUodV~Xhc=Z3>5o`OH$&k;3<5J<= z4Rds$-kC{Pp++C3bSD-eA9~_nc1ow_>V@&ryuoO|4Rh-txRIdmiL{5rI{2L9W7{(QuT*8?VD$a# zJkb#o9~orJCeG!HKLLOrtq;*X5)XB;Dv#=1?Sx-xI#|Zw2=HI1d>Aw)Uo>LIp?ygA z0lU<;O3y+&;33FA1pY^%B#J|~HTjjmk<6UPSFVbR_3chq(h>snY^8k8&Cc%Vo#C&! zA0FR_B+AO1HU#UfwcIMRbaHRMlR&lYO05zzDWcL~YK5?2Wwww2zCC8XqIYBNxMazO zP!Ys4sFmo6ASX%WB@_Wx@=B{{Nskc4WWw5nDrGJ%ZREjjDBF+{x!*L?0g(J==r2ce5(A1<74?dt3wHO5cSdxuXxj&6cSITP>L6b}a zRC?(YkzE_hb%NoU>a8e}Zh!b}|qb#rzivbW|lnKB^)cU`Z zjNeK0(OM%HrO4^Zvpli3S+Ivoc6Na@Fwxr8&& z3}Z~9JHB8YzwcO2B?*-raOy}~H&%|?p0!>wSMJfau4M1x?3l?=s&VeY}#0QH2rkRJuB=Rrd46m z;E>Zs?|hybWWX`aNM9I>@NpcRV$cbWg2a@(lMhNG5$m=(_zq6|OefxUEV5~d<%s4C zDD!F{aEu^utRQg2B|e1HkHQ5@!e*JFWw8|{MI^mLRa_%!2Vt&sEY}~lsTN^qhHssv z4X(Ahy(7#;fa3W$y0iHlV(sAk^3x(Lo&|-mS@biMz_FF! z7D{M5x$7STMDDvBAX0_IJAk9$WVl2rCQ z4joMDs>ib!s(!x_v>tJC3iR(2Q5S*f`KWZFer_UYuOUrVB<(q=M~1#cDZwmc*vcnk z6TAm1@sLpYPOpxtd0n}IF8jJ}Ip>3!L7xG_-%@b|n=|mr@4Zo7IiKqCX#r>K$ww9e zk&Usv`7(Y0m0FoL!rY56UTCh!JvERWs6#+ssR3PPD4e8`_|TJ8^?UF0HC`B5pl=Y>B$ui?#T%eF7~+w zA4$r2vn*bn=&9>)9svu)@Qw3KZ%M#TKA>Mze!+8!$F)QKAzh2wn>~OOxDuJ(1eXqkUoj6o2d3P~5ZlhB%Yw<9mfkjuOHWjSGIRFX_z!a```9T}88ctFw1yb`!fG2r zYF$V2Kqod)AgR_px#$$C_x%~3t#Y}|2_Dsb9{!L$47jgszlhsfA@Qpdy@Vb($9}sI zq3%`5rvm9ym|15V0r=1VR`X}3pXHkYy(4euQ3Q&{dCf1IZ(l;MSgZ-|##Rd4+@sAo@u}tcQ70sqXc6fwRa|{sXH$4;rL5w-y&lO(YB)d>{nCtw2LC z>eHd36#xC`sXH8CDhiYe=3r={h-oSA$v>XG9Y;fUN^<7<{VZE`r+PyCuCJu^xO#x< zN)kKrgEUo+2tL^E^PLNNe2;)hlE)~Hdl9@Qv#*>v^07SYH73-Q}q^NFC3L=IRm14IgpBm}v56$jx;wVe}KV%T@)-M_ks(}b=Ndjl~J&~mr)595d(Z1{7_ zL$@o_PHI>$TGJ@nWgY50fwW>1>P>*+bk(xSu#e|DjOaSdc@c*P%53vS-yW9}{LvJ|uVB1jBx->+l_D{y$Nys*97! z9JCx`bqj5O%aQdBmlBK_gy2C20u2&}MtBb|5UWXpcrQj`svZV!``9D=jRzgWYQM5s z-h_v+WpFN-t45paPlT}B^Vd~`FsewJTwoMz;zQv$7k52_$QJ_%paINM4lXeeHah8mPjm`cBT z9$}NnvZ8=njZ9xt;iSf1whlfD_{>6L|WS$Ol*s{?lS%Z5mt!PA1(or}o8QHu15;nkY-|^GBQBwM+ibR8C{Bq{s%l^% z$e*{sK;dYA&?>G1?K4R>sEiY6kMrd<>p|)jL}<41${`5!>$d~ofBLv(4ADFe34Q=i z8YYpn9q5m|>2|^Bb}3xNIUwRTcgE8%WkHRfh7S8re{%v4=1+YhgiWMLI_ykU>qKqhFgn>#P&?1)LdKre1Hw3@r}s3WNgFgH4Nb*679-sCdHN!o zT7Ulco*aOrpDPjWvOFR;xy@k4?Rs4kpm&e=2}!u!=wVH=6$Rt%vmw+H9&Ue8Aa4nI z_i1goi5lTw%%H@|Z%bK-BY?RR{?r;TdQf8Vw;sIv@Sp=s9$!$zG;`9$S(z-O%BSA4 z-=@>eti&?HTg{@rFfd1lod6fW=5t(r*_~;gR;jrK?W}3zQ|?;iDn=LVd9M%J&>LD9<1&V1~m1aZyid z;2^FC3PHs3_^=I->I&jzna=Gz`LYY>IES9RYD{_drQ6K_iSHEnI{A*J4`qdnq=vgx zJ3z6ZYV7Wpu3s(b-|U(U!7c3negR2+O~u!dAlNuA6k7U`TIX~o^)&`IGzR|b%-X2k z&7_}3;_ovNEkhA4OA*hB7&jJly8^^NtG&9_hYhR3pufXK#QOs6J_+5fG2JdI-L5k6 zPYzx@8_&aHR_m6h-N6ZJqp&qXpk#l|1JXUpMW216REBy=QYCIQhr)WRjo5U;hs|{CVpeF6e zkl(r>@)PO=A?SP3fHy?x4OnHKdqj33%!jV5Gz4R@wG^q+lvWzzz?;z~m8iAhfp>j; zsaK1^&?JpEQe`<5eAZ;?Ulc-H^x2{_jD{W;yua7Z8!L1RMgeDr1r0q;rwUK1qSQVb zKND4P2yz?~YdO8)#2n6lgoz4!7OKc}IGtRisl;r$(x5=A-*Z^YMTiRX?1RpyFCs9q zAcFVB8#>@?i@{9eae=ldzOm?n#p#ZWDT!Ya+uGP%+Bz5-Tw2;)Xl-^?t#2-DF0O3O zuFkB_&f@sm+(;xbO6qm#=v7yrozz5ERoy?_8~lcX!-97mv5WIhi1w<0T10xdf9Qaa z1Ajohfr#~Hliz)n!~_Z&kklG7&33lU&JiWg2xhHY%R*IzTsgRDRH9V`WIu1GBWG8zbRj%&Voqzjnbibe-S0qwsYO1<7H%FE#7j>~p zdbV}|FY!le9d5zn69RuvwQz2atep}VjXpX-re}&7fWnW$#LQhUJ1#mbjPuzwWB%=Z zJo}x~n6UP*IXT<_Y)$q?yn~BA?vU&p<39edPa3mS^%%gxEzO*8yr@%jL`h{uBat9} zilGCLSD4k|3jb9t?rH6q0Q|Nr*R)*tw)EN|&j4Val#fXBz)@)z8RV<;PDlruCzxzj ztXS9Q;CdIO0U0z(r%&y!<4qR-FR$tU@+SY^JTs3!hK*XC-+eDA))`X(t$D$OpY>Tv zbB8Q)fo}If;SSYaWnG}t%FNSbZTSAVMjt&9YgWGnwrpMck&V(mYl9i^(N{iWw?l~4 zMWk_w0$Ec3`|fO!z`xsCvW0^3ed@sqp@!{Jc@HZ|Kd7s8;veVM4Z|zJr&Lq@Dx^ar z?43GyEEiLmJG8spr}u#5+Wu|nvSyvB=gMR_flNYXkLx92_A0>3)5PQ-)$u?v^wZVW z-H!n|)5x?Z1d54H;e<*4S(b^e2{xoy-lw!pXzukSs=2CqIVX^KD|@6QtItZR^h0}& zbO8#fy>(uU6T07eU*Bgg_;M$L9+E?o=%(gO!bi~8_J_SQ6+ zS{+Gb=R#h`=2a4(sltXt!vmV?d@(x|Q4?YOF*7W_Fjl`Xj34R!U1{{;-*(r?Z3}0S z1KCRRp2(ula-IkV#`juU5JEGa^!NW%avOACA43nwu1fd5Jo$4ISY4-X# zz@DD+Ol!zilYE6=32^SWeofC^-xoW8et`{_Fm42~eH^v+zAPag?SCNLX8IRzZTxKt zW&4QnmB8K93!907iZ+J;<`eXj)j7D=9G+OkD3lc9J`Z@%P_`;KI=7bGWyjf! zGT#2$I&?BteN{-&;|8+i!ozEGB@?div7I|~kf;F>T3~)F~Uw!`q2Lbu|atAHaw6Xo6{-djZECsB%BNsqS8bS&gKMNhQva+&4!;5V3 zD*$b;OpQA22MijCFf54_6J=oFzh@XqEh!~awInfEO6oWiZ~D6qCJL-R>e`HFWcYaN=s+0Q-Y+;$-zX#EcV& zoZ46JSgJ~nW;>nsl8gG32!Nd;n+cAf6C62xUh8E7Q{sFoPKJRd^bG$t#uT`V{MI$_iEb6UJ~=YrdSiEM!II zq_D|0C$FcXK=u}NKv;aKO_#B5r>;H;Qi8@AL(7^KN?~}NcWb=7bwwtE+=9wn8+^{O zB%8>&W^l{rMMthBN@5s@rblDX4S0V6Un6zK-xITNJb|akkbAOawh%$dX}rpx3*=eJ z4dAQd{*_6`j38-ZX+s=9hc}3 zd-%J@wJ;jK`%uTJz%R(^hUoN6h7<&Db|ZETt5X1mP5HMF-^DijR!m@aY7P8Kv!R$% z!amT(pajZaXGGpH&0z}AH41I^q1YX8ZRLJb{WuvnCzw?aV=2N4r@ zd*WdZ;Szt1MX$a;o7hl4sw+zi!!k#ZOdmH$ySd}E=%o$UGM1+U6lDC0owR1P5^#`c zzNU3Kf0*}cJp#p-3`V35LhR-q#)Z{%$pn{Vzx`8ffz03JxTdSc{8K02+|JG_Z^c7# zljIT2;iCpIcLrssQ8l)QEmMu0u*9$yIQ3R67(JVy1f79mLaZE=rg$ZOCixkJlTIj2 zz>dHFvtM&`0AW||^DR2@IuQY|6jc?LfRkQ^`q`K0hXzyqLk?|a*65!Ta1;3L(i#9@!t-+*3bN>(9|=XJbP}`pOGf$ z%{sxXQp+R1c0;G6T;HEpO-t()JjGnJ4wUc|-TqWC5khkPojW+QTcnhePTo!F zCI}892<{Qeo+|JP(w2&baoIx*lRL_pEGe_;&^JGfEhSDf)mJxI2vBnjdNFpofY8$@ z+A4D@Tc__Yk;W$}N&I+X@~pp|*E=Sr+vGg1gN+}p!VdUHazL`d-Ay{b!F(!3#qC`l zfih<@;3zV@UZ~-qW!1VAg$-^;PHA<7=A0+)STcm2%rt8Qr4LQoqtCp}pFk=vv#e%; zYC=g?Ls)y-!%aRmsH}gg*L6t?%h6c9N4JdjG-`RHHQ7S8s4+m&EtuWZ70*&IM-*^8 z-5F6q1!*3N~1&8RWmF7dt4(k4VjE)uN9mctFWQ*^de));;;5jIlTzNO`P zA7}R-xm>4#_Zh|7fKGh#Nf0MmE7b3ax_7g*L@~k0zObY5a)xJO`Sfn_YCE+~H!9C@ zFrfH3qowXah?-P#ElzKpAIBnQoA-2jOUA3r!1A}^tYX5u9Q?0h^$)K@v$I#f0|Y+s zKQbA`$aE`ps8zjUMy(aeNN=RSSff_-wHr|kr3;|FN5SZ?8|DS@>WJ+V)REr!2sVGZ zw8fWqZTgQeVV@A^dwQCRe=_>_M%OwHr7Xn7wEa2>r)*Pz%8+rZSlh@i7xGi{HCaqn)GqYiC zQ=F>gqZreFK2HX(tTP~F**JzsQkG7Q%RNpTjYJ6Naw*Yw^? VD6R}{{)0o}zV7S$0Pfe<{{xd9H-!KI diff --git a/CPLD/AGM-src/db/RAM4GS.rtlv_sg.cdb b/CPLD/AGM-src/db/RAM4GS.rtlv_sg.cdb deleted file mode 100755 index 30b67caf2197484c3ac989699f94bcf1d2b97828..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17681 zcmX6?2Uru$(-%>Y-UOs0h)9tty@Voyq9907TIjv^noyJ?y(=vuNEITz6OdjEAV>s+ z&_gc?C4rE9y#H_Sxt-a$+qr#qZ)Scw%W&hyjT$PF>)P-7(zvE34!(XaUY@*?(qa-~ zlDrxLF7EccQqoeq60+iwQsPn)lG40y9DD;^>>PMs+t|7BO7gyW!E5SZ%d2JY;(y(9 zo%ZInnj1Gh{HN`@)ZhAl%AocCG?-*Bg1l2eE1OU_^yN-`1=Y>3DGv+`*rXo1G8+6& zx+AiD^l)YP)~6eHM7{a}x)M%CMlV0Hs2f-#BCBhCEQ#MKzdm3dh$neX|G5QeYFvKd zzgQL4;T4P#`xgoWSw>vUn4$_4ncLgj53tu|CYEZKA-6A+oj+drmLjx#SzfIDVeOU z0}8-C?-PYFm1f}+$>BfswEk78>wPTG(VhV;1QV%-)9AxnAv`o;q|;UaN}tlE<75Wy zHIFrC0JQ_JYPj2;gFNC?@Yu9yL&xUG>SL|TiC6FRiRY>zPr%~ysgtWJTta8fN$p2w zOhS^V-`&LCDc=3`P&`|Ei{jucYd54Sw{Vi1s-xva9Gb^Y#cnQMycBMjBWibiXc0kg zmCSTGXx~_7h0{XwGp1??4Gvb9|x>Og#?W4ucfmHSWWB;xr}@vbhKRt1<5 zw1v&Ez+KsCP;K(H1$s$(+F8%Nm2{g;3c3HP&}8CU(SnVu>|YUDKyhE-$u~ zyz>pOMdjBbgwQurcweP7m+uBl@Y7!%c%g#kN61JO3n0QMBk1*o5`S9&K1HfLO*Mc# zq8@6kIby|1tS5YC4mRxPl8q=?yBCIG{M{BvKss@=zeyW)NiD8a+TcOKm8n^hf=}ut*cNH~|k(4PLF&*Exzt`tvliQwo ze@0#Pu&p6g6>r^dSs@(aEmI0gc;Lx-`we;(k(T7-JCO3?BGDTnoziT+aNh4%E+b)T z_+D5eEkB&Z5wg^?x5)9SE0nas$;+Tk_LG3R z)-w+uhwQAql)XG7tA@I)^#J(GAy=bw>!OLly1On3KI2hw0G=WIHxU!)ii+UWVypSN zcjY3u{V$t{X`#kO<*}X7uTA+cAIs)HJwXe|$5XjDtvxu?nz_v=zafe+=Q9+5m?)dY zE=CTy?L0v>HkFJ8dPz`m{MEZ62^ov3C^TypnpOC0ra&3@__;R8fnvUK`&aVuG4F~Z=beaLrwL*7m-oU(R4E@j9&v=Kj5*D&v|CNyVKn>m zT#-Q>>cF~VCRH{RISTA_J?Vipc?230UlKKc>aP^{;=tUp1tWG~Vh za<)&J5ob-mFnQs=g*Tt~Lkgcgh^DGxm-4OaP8CzKJNZ+6{vk;EuFJl|7~6x{_lBpB zZhT~uAzh7?-HwF=#0*pJ&WX;k^SxB5sw-k38ZLYFgHsXZ(Am zisMY+p<1Y3hTxUj_X)oaMx6_Fu1FgO+Qc6gRH_GyW%EOI`EF;(aFsg38D~LhHZp=jQq6hE5sk*|okFl*wP2GOh-;^}||*917RR!wu*Q=Megf$yYx~c8Z0vkUG)=nbKYdbeUa%8hQ*u&pY4#(qDLofqsOOKO`9{kit4R_iBW4WtQv#^(UF7xg)cXyCY;tb@nl_#Va?cADo9ridp z^V=#3@I|z7ppWj;{M2l<@>Rk|)tcbBXx~a-k3U;pGEF8_Q5`j7-0?|i1(EqZv3N^ylKf2< zKQ44}U}hx0CS1ScQ~T%h*2MIOChm|1C0t(nJz7)$VS$GbX^YCl%1q@0+nv%^0g~>z zJTWc)UjZV9f5c)5xw|Ib)yJZoPX^X}M0r&FwtwUH6j(&f+m5nUBbEUxWwg8Ab+wHS zj2yA@X|nsF*zwgvm2byY9(%2zgQUK5Mdu~ITye|apI9(-P@d;3_juMWdbBeJH7)I^ z3Szg%$p?9;cc0F^-ib}7$yE0A-5`9E|EpH}SK?6rvrI?q%D=~n%jxo0@S26cHQ7sF z))e0da*x|kKu+?k$Y_9ltPt%1JD1uwUv1u^}V^GbqZC zB09%6&-i(1Rg?axBH@M=jcHz~MM0OP&EyM6&|C9)Fc~qxMTK!Kv{jAnF-PN1Ilh1# zgzu1)td7WLU?WTQSlzAMYDQah(tCgML9zOeq-b>oko@ftv6l||BX)ydwF+iWqV9G{ zn5}mHTCIH({SPpaz051Nx%)e6RxN}blcqQOdU8JVfzO|b*W&Mo`#{|fb4W`SGy_J|Td{7V6Uaf5L!`u93O&Wi#nE40#s!!Sy^&8xLz5m?(wAjyL|6wB2-NxvV4#8Gy3a9ro`n%$f zwU2-6`9xW{nfBBD(>%NK^q+<=%DG*1Hc{n>TQ)czf2AFKGE?+O+A%2RXJwt|Y4L*u zGfLMx`@-#?N|hYGj0K;^I@El=^{{xP-Spw1fblLj_*=2X`P-`gfDZ8Ac&`0nX|;p# zC+IRKxqbycam62b5KBoLG5+EqHgx;&kKaMhbyhUb*-WsmgL)n_SiSU;2mU_@7_-HX z-~DV`s)L^-oYrq7nu)%uiC)#J6EV`)d#qn`^QYsji}l&F+35Hj?CcNW7US5vBY^>2 z2lpE@-|xF7{`B$Unve44{P%)I@++m+ptN46U3u5@D15 zh+D0BE7rIAfjNNV7lPPHTcc+1Axv`J38`6-1N^wF!Y41B-u)DAW))~_A!~dh7e#`1PsM!|mR*tOawQuj-iqW{H@u@8Ns$*ab)VuLbLYh9zs$q&hIAN&d{&=vQy z75fgd*qE9K-@5PavPbnm>D?q=L_|dzV#IacUGZn;y-C{}#3SD|zVw9QUu=wrJ{N^^ z6y2d*&gTctzUNB{${xck6l|3=rN-MQRgRTOGw{u;Ulp*&nZI@RbC-b0GqXEazjXG0 zE+La;e!!R3p)3DdON-1moNQT6X$y(D#L*zqDAuspeHbU9v;aApksvpYe;iyHV5 zvm@LjVNt)me~XjZ_Sx-FhTUw9{yHc(UZ3Hv0`@IHDvy552HkR)vxd0~uJ}jzs0n<< z>bujizeQtG{xCxAi=1+g_Ka*|CpLOVnJo#^5;0Lo_QLPf8uBSLYT#siEaeu~{-0%N zM;P03-jcJ?IP#!iRx7l_&75_6KgGwgw58)uK>E@_*3ij6U$?Hjufubx4nfK(wh?f` zH%3uaPkV|)@^$#r>0Q5m={;?dFry1>>T?Tc0jb6}x*vV{OhYb5Eh_Su>VBjA|2!3i z%8w`fO)zegFQ#w%N$%FJ*i2|SjWJqDu8YZ{)!^H;y8e=M3nMaFn?iP0O^ zO!}$J-2K!?x9n5IWwyk>?2K2+S)Y!pyQl z(eUObXxXBdg|mWitwNaZeQkTD6vppy+Gug~5bVtj zGn6);5vq!x)Jq93^xa-020mJd^5~n-6wsh(f5*7{n>BH};NSqPL=~^S-qPg}*RxTs z$x&|-;Muop-RHb)SWf@$>0?Djx<9qNaoHKM8Cq2_mO^o~AJ_#36x=sDyf%2wXiw7u zSP@3e?A7R)qfDriU_3!mxv)4v}FTu8};Z!!qYfOaDqSnZ4&o+vF?~3=`o|U+V2;W@4)5) zPC;TXih)*hi6K;;-2-gemnyv6R`ec}J~5NqL)7}W>fLts^fR7pkZM5dqfO34O6UA8 zzrRUm0Db+2WN+J1wi#@|@L$a&9O^`4UPWHLWmws2&Q}Nt0mzh?A3cX}%=)fTJ$_a$ zqpK)(rBXAP9Sp5vh26&tih}&#N>QM!HUYWgut&8J2 zN1Md-PU^ zd@1u=vwTr|D4UBhS+*u`khpM>EW6baPY~ znc3@gG`->KvNjD3TiRP=YIzMiZ945?Pg->#Z@SRap}|VKRnIOp@%$JC_i27y!=`9{ zk_W{suZb%&4We`0p18$(yT|)2eT2R+^BH`Q9`ySA9l(=c-SRXuG{*Vc4u(4p zB6>?p0*hj*TqapX8>q=0q1K{d#jNJlbq`jTfte#_rS*GL4p|HmvSn#Zx{NPaHeQAL zt;ns1(l-w&cvG4>e@<}Hp87;`$3nF7W8Y5~o$fbT{$H!Gmk<;~b_-)MNC`~^ZRCK$2o?mem+PivMJZ;ZC z;NT(aW=LADp&FI{67%B?&xXBUOKMa+k{PpIYEVy?U3hOovp!Tx#V z6mqVM?queCJQYmVtKA9IM@1t~sh8A660@it*y^$>+!os>{nbui@{V=J-!O)UB3L1} z^_0GL(<0s&{=tJ{LEuHM(kL7qvU>^#l^_5OQegzVkEG z;@RnK3$pGt(3^bT1tdWAOmRFyPu=XYYh_EAIDKjh7dq0|+y&Edq>~v0|9$#e2=A&i zu*NXCH(@PJ(f#V)TJZrkd~5ye%dPQpEb}>IK}XX?w(g#OrrgRLver>gKzTm=+vU3; z6E<@P0iMY#@x=zjvdxDQzY1U-oujqX(i_+bZH7lejNw`NXz#Q>7Yli*Cc z`zY~Pu)B zseuK{8W#RZ&;>HU9f=RR2|h8Pg**-wcE%%=B~;If*zv%pf~}Jn%(oCqqJ@6BG9X5I zS#n(ymcEWa|6sTaDtrFnKCHoMRJOEm&1;g7>-kT@o$2}f!t~m7R8{9m*P;C=mA!dN zKLX!|O#?WwX%Fejaa7Iwl|CfGWpDvk=;!7|vG~WonC5X+8%lF~BR>3^#<*`h&{6R# zBV!P4AzKw132f+69PTw8jN_Cw%0_9uC}Ns|@YUUq9Ac2qaHqd{oc`o!>9`_2!Z)aK%a;|c_P3ynJ<=*<4;q||cBg!~k%O)>cP!g^UP^9q?r|bs)Wf;e zM%;85Q>pYSRz4quF+W^B6OxpL62BkaZ|fDHqj*I-J|{*t>c>*_(dOA2G{kjO^Tsf2 z@fnR@6S#hb+FIDXY@O)k0I?bo4=hm@e~+JVhTO zozw%OrL&O2pI0D#uMD1spqyuf{C}cJ15iGIQ`HR*oR3~CgT4TW8AQT{P89f!8nNX8 zUH()H0i}GFeo6z4a7N3CuGL53ApO#3OUJw**h#+m@F=x83V&XaZXgyV2F;ag z5qu?US++-fF1PFI`SOlNxZ@Fosey3^t1rLISgwwoPY7W?m` z%00s+9=wM(_mI))+Oq#yGMMM$Uo0ze15<)G%SpCeT{pnvw`t@f;`Z`+1Br&GqSxkaKETCl9UvqGpD)57SDGnT zu|9+T$a%>|38p>+3r=Lb=!;Qh3-e=oJ1{~-V)v0a4PHpPweMX3Z6V-ql{HgAf*x(}9 zYAA?o=1VuoB_nyQZG=ob5jG0B7)kXam1^14*{@!WMwV>q()Ht4 zln+mgH#xjWppXV8zeI)gb|1)3R0DQRr5hs7MVDmo9!(^}gJ`?0N{ivwb+2DgxQZ+62hE5Nd$_cYtLI6@vXa}ZJ6Ot+)=1GXGrbo?0`8qSQ&byI-= zKLE$phC-kHFm$>KH`oYyx@LOJ^BBw=zOC&H5k`-()~y4oG{R~(pubhIp-z|6!uWt= z2QHZn*eyZ>y;Ba8dp31YNLiyV@!`*64nvBa!+B{@4LC;B21Vqw?(k=l<6gynUK(^&m8is z6MYiLVN9&>_`25KZB&^$Uu2AJQmH<23p>l_0cOS#v=*KYonnttmxJ6Bg8 zQ1yJ~oM#Q>zQ`=Ylj976CqdIXq>FPub*~`Z4Z7aIi9URf%LKLs4bGjtLgbd)xHoZc ze}Z(9J6VteXzS2Da)Y%PY@YT|-Cz&ds5JS76*3l>dzjcuJY~mU#t=DVu;(>B;AnBy zQJBacMhKSqe%4^92`@endI`b+w>Q#5%N=Yi#{BNC<6=+~$+=I6Og+R)_6yZIQUYMM z2g!&#@GeaW+o?;-YpalzSz0pAV5o z)LurC1Z14QzxIu6UvSPe&u~FeQi5U#{JdHal^*OTc-8Ex22Cd_lX2-&V86 zcz-3Lwnk<>9i+U8IQxzcmt2P)K(2lW?!?oakb7n%QLR1NCgxqkg#msJe_VInLjYRp z#%4MVJFGThdEVpn4>mIj$TB^7_O|1X2|;1WcO5J?Ki}|={ff*zpV|y5Vu5rUe(Qig z;=KU=FuTTsx06$4?e`p!1i#+b!p@*t@};R%@*`1+r4-_}D}iOt19x2O>aGhwKEe%# zTtiSyWW%@n`m{u4h&Yb;7q9ZA=Y#uuHPGMA9F;hHZ_<6=&eW-*{JtP;qRfL4q!4iWH?`8p&xiAk;oZ^>P(rMmS zxRBzi$60+|-PJS(b-wu#g(rT;_h#nrVN^EgylR)}+Cl29Q|g>Nb2%|$;wr%P1=Pck zC#w6TMA@e&J+H7AVIC?=M_31&8Rq>pRBSSzoR)wl+EfTCEl+KqY zI;^`lj7;Oc6}q6?1VbN;V%%hiYY@47Gy_Zkc7}TRO#hQR9-YXPMWh_J)H-u9)Epy{ zbxx=t7MQj()iG;MFK>b~*q&N;d)*@1rb684Ho7P_z$#e%bG$-$$l*)E<7G%L?tGEY zsesmPJ(^e$bvBAvd3|UL>b*~Z^iDW+e$oae0@I0_I}uOyfXPJVECd5LaGovs7gpf~ zp)yT-35LSnkE6-b293^UW|UXq^Y_*>Fsz1#YU5ryL01=(w7&xX?y;C|YzYSSq=NU) z>ln?`X-#?7?msCKq`KoQUqZ9msIJ`| zutjssGce1ZUnCgt;KBgdNh4}?N$yh9`mT5I8j7pC!9P0=+Wb+Wm^RoblFUC5o z&nxCwHn5gkVVPj}`zd%~24w!N0~Yzi7+LOYcnH^*mOrdW@Y5wj?aTOh{#x#=c?kF5jys(4G$e!zdBe$*4-~%z zf3t%=x0@t%-T{GRf9N1UI-H{yKGrVahmiO8$ame`^U*O}T;#jA!Hc^Oloz;GmdbSu z`vMbK|MH8R%&iO7+_93J1gsr)HFXLyIY_Uk&es=B>x+%C97)E~p*54#khBy0S!3jw zSSjF_M7#z)s2EU#f5~(-5_cR!vmR063O%54)Fj@#B#IOTnAmugANzQ>TR-?Iy{Y=( zUcym$i@5gFUp74`IkDXNkj}KI`#^@@*nz9o@IEdkTiMm4O2j2Eamm1tC+7XdT^s(NU^x7h5a?CfDbDXO}e5ES>9?bLm zm)1`S6ohvDlb=N!;eMx*-U1soA%!<1yuAGyqu3bSz)Oh!gf9&iTY^5_Spq9el8^`a z)}c-t8ihH*mWQ!Re9G`|#|e`o6PmUA<4GM7ro1W;m7;G4nddU{XUCTYFS?#(NSIvt zopg=>iyj|oj)(Unrp5b!WlJ~h-`9(7_@EQ2xcAwuCsl*-+0N5m7*E}TE1!Zx%;Z|F zUu47M3(mc^D0C3+Cj4$%&7ft#t`<9R!+2Cq8&Wlx`H}ogczxw8+*g0WN%cgT{WN{| znJ4<9xgO)drUbdgnNqpvS2tG4rv0Eto?6~18sgHZjGrZF46k|@MCAEUb}i9ysXKxjp zovn1<^1;$R9c#EHUykVcuXS_)eeWmb4gVh17ecYR$FkDNC~tB3?hm{mmzQ>drv_oT zT=0f84#U__@{9sy+@rwS7__3G*TTVblelo46nuNDeQ`M~C}+oZT$1KRM=?aRjVyq* zk#ypxU;C`?&JxuZNa%uB0eJ@zhnoCa6`^r`Ith@qfB80DwZjknV zG~ws25^z>plXJs~{h*8OiqKWXgY4f8Q=Pq{{R&9*_@|KdNocz7Pujst$>)2^cqYEN zz=W@hoWGziRKC$+y6INl+1F1&19!o~V>n3n{#LG)h*aREJ2`HLa7;sKdY-DUrGyDf zB3F$MbbNgg5hB*G|6pE+;5btZdX+4e>sI_GMGV>RM(C0NeR6J>xAK>rYO>IyY(J|` z&IY=|+V7q)Et`kyKZyqgkl;T)L*7*FZ=icN4;`uwjCr!xTZOwxq>Je4FmB3RAIdZ1 ze;N93IBcQV3!GSJCp<`c?r@~VZM*F&^n-)OQVhRzYIo1=h~t;%=xtcOyFa%o_Y`j- z6@%sQbLu70<6WOMZEr7b_Yu@yB=_-Q)h3PAhp>M!fo=t-!QwiR@!aK$ca(rPmKZqM z<8~kYpsRrJcq>ew;jIopoc+@nW_DmIhY;Nv*YQL`N}_xoaQ6dpu;DL^Bz5rf%@6_w zjz9;$=n}k2gN69U4N&U{gl8kt4w57r`_%sSHpS8ZISRqJ91)?kSO2mta4;cYZ1w%c z&$ep&ud(1q2F%A-@rz78$3LtdjlHj+sPnbg2|D|<9{30k!9cL^9;gCGir?sg1-E`x zSY~HFOfQB&nlBlP{>8XQS8N;L{DXLW%5p^mG1HC z>&%Sl%Z<=(uF zGr31p5mvJnOu_bGV#VGHobZ z5H*%QrMO{qIm5N95%)7pXL;Zn7H9$DlVOa&dPwWHj_{EQLJF2Y2mXm>Gvu3_H(wf& zRi3-CKGX0T;E`GQiH4C-0!|}H(PB_-&rjQwzzHW%-!zfcFEEtb7YISQe;=B}q@fS; zq_%P6hoPJYS9_)9PaVv6aD83rMPY!BQ{f!M&LtOQvpQY*G6oq5DX3G<5*Wla58({o zW5Tn&k~G7HtG20-?gnhS`#WpEsuiM68Cj~VnmpKRAIgX# zndH&Pak``(m_;2UxRbO;QEDRUQgF^tk_EKeS9xp+4PzscqB8!Z7$ ze}jPjQ)D7uQpzR_GEf)EzvqNcDk@V~JbT#BymoMhb6n z$i9$#wywBvy;cpPed0*dXD;Ib4g{YiK~TSwIC*x;IgEaBd*J*NAZbVT)E2-Ok+7ww zxFDj^%*eU4*6X$>XiW-LVDU5kMvQ8CYJ4xV5Kc&u8l4;CIAJvJKN${;0xsma@LSNW zopohUDP#iA%Qc4P4l=*hdiW3Xi2kmL;6B^$lU~v6HB;3fP7#F9pYx0yxm`?I+w;P{ z3!Wo#QosV?6Y#KSYt9z{BaX6dGrd<6E8Yd8N%x+>YFqMBTI;6`~VF#x`%myC-o_ z`W^rsIR3Ed#Bs@8b9DY16T6{AzacW9bYQraUOdE)_(YWyBbe3u~&-J;6UAs$#!En?O=~#uDC+n z!LLmuh1lkKIwp?|{}Mbt`|<}DC4fhLPV^O48bXzG z`(hF@U~?4`JtnfQI*$0vSFgD;(Q7Dci13=0{}yrfTCh7c=7>ba6?qay9s(H-Ejv%w zG}BBXk22o4^jxKnaa%hH6H$8~1PKZGlt~jM2SS!2ERA|sI2u z{Im%Ety8@Wxy`t{$vdgFuU*CXwyy^tGSRg_+rb+PlK#-wX46dtiuO=iN-$uN!r?o*wtqu&{dV< z1}@b39;NBmy%-Jd&~PcHlk%0TsqDXFLXGH;xi{L0T~;gFYlb6hoLXBEm~~dKnf3FCus!+Wml$ZhdtqFkQA91$URBYxpJ-*b1PC{uM8H>3T78cnN35 zodSCk%0;ILaVdzfpv2R4zAS+xiktenDq%wI3rw^y!*aCkSKh68Bb8UZQ^UHTr$v<7 zhe1KyTj$o`F%fcquqiU*Pt z_8&nF_N3o|d32`!U8y1n!{;QI`D_YdWHc{z-lZ6oDuw5a3Gxz)e@s*eldH`iep0;^ zqBlA@HrI}FSRL>OvGyoH6UWZom0DR&s&Mt;fF%AwaiHc+)}*KZ+GSL2|52O=8E&u3Vr^@ zK}C5w6X3~%?Sh3%zrO9{wd@}Kr(YUPD^ITM>HEaHnQXrW5f<)#=|cTFL9og&n1-=JFx{^qSvC zG4g+n$Uo@0i(?9fIjfB=xOk`lEHe}RHmU?{M%c<>Q_pMd?6DggD4%{V>eUN0B@`66 z6jbh<^IV^ZJ{kt4J@)1YrF*p~utImIPFuAJg%aI=PA}N?Q6Oq|C;rq1a`cou`O1aa zzr+Q$7VZIptSMuhA0<1=+5-GI3ezTwc4uAjhBY4wdaD-p&h_sOoI9HpX-4&3+}jPP z8CnSnn^$f8b}QNMj)Xj_69Xz^+Rtbw4wV?sASAUfiRz(i)Ll@S#!J)WMr}Y&q*vsZM@=g&ID^9ak_9Cz24f zK!@RvCp0SntSg^4FI&s^HNg)DS6a(`vw}at-d9_2tPu;|CIbb zBVjA5ns0G~>Pa6mzk>~?9ual1Nd9FGv@fcx3Gv!KMgM_m<13RE3AZ{dlRL}5j_)kg zOyubQlgfD(jwG2+UZR32&wgcjh{`Jm+%=T_opCDFB4c+<&5 zH!d<`sE2guqReurN9NQng0vQqDHVF<*mF9sSc32(w}94MH{rW7(am=B3z{l`l7+s+ zFB39b9W1sMa`B;swHLBAh=EDBw1tpbFWpmM0hq(SYu5fG^eS?9ARxIC9oiSU+az3q z;JDT`Efw13(sQaPQ-a7Rw-B$j)B6K$p>Dn2?1gp(^qiU%P3?MIkDxxu%8SRlOP(&j z3TPn+7+y;@bvOw{UF&&Lg6JT#fSNj@x);VVIFc+&ak)B$;Eto{9 zP)o(-(Ci@ttq>nhncHjmKdVSyp(}ED%<_6%dcP@sAEg zt1QkFqnR3pQ;O}dE$pLKhEcC}(!kc1^Q;No1+$4rwk4!payeNl02Y@wap{rWim z;N)w!cz-eWfh%kprR3WsCxY9KL#=Wk+$7JK9Sd;#4GQv;erVP+BNv7Me19v=#!s16 zrMY?`EXrYz7;tpFC~^MS;FC!aaiD9FUvaG91f}Jl!@BKxm%ZSZ+z7b3eNpmyXQSTD8K#0j zt|`|o4K4F85$8ZAy$U1*aLk1HT2e)4^>QZ<&KDLs9Dl`ljmBC|KV14u5kiTBsI{f2 zt#aAqGa4*QFgTun$<)T^&zr{+ZarfP$Ci=h`xv81P{Nw`zI!QK zf8b^CmT^*Ubj!lUShLV;i~b+s@6K%%5fRKM*4vM+S|22CX{2D>k~IMeH{zD02l(ey z)JdyS(}6818{QDa?MsHe8)rp_Qzw@YO0=9$H?q;6(5%hYmwt~1d zx@HLqh_xS_{#_-WH1e! zpZnG7Uwqg+UkIuX7Zx6qMmb?77WWrzb4-YEaZAeJF1(achA!HgGZt6`5d<3lP|d3+*m@afy$ihWqJ;-cES=uE?kD zNx|ClkrGr))o`Cv?%k9kFUsF)gMQ&{Z|FTBxnKXy$terhV@@)x_&|NuzklakrVj(x zIBypmKJY#pUJjpK`$2Fi*pKQ9O0H85bM#Ij7r#ma75kX1P4?{Py+ND@s z8X?4c@|>tN;M({N_?ap&xi4bHk%YgQV+bO|2@fmxc9ve10D8!sT_S8j?nSVn%R954 zkfg1~g{7XAJjNjwLjK|EQjWaNRN$rlXu|Oysibd>-S-9f%#f^MfRzXjx!!m07~)`y z>eQ-AE}T1RiZMB;XTu|Hald?kW0;rA-l=wIH%>H$jel??nfR`JWbAy0J&wSOH7nwz zg=k|BKD-UJibgP=1n2uvXds&=|8}yR09LN#!E_p9{MVao&d-qhmTLv%1z)?^Q68JN zVrZ!gH{BuF*11pgU@V4Ps36g8_50Xz{Ea$gr~%eYT-jf6qwV$o1!V-9`|$_qL6hf1 z;%l69GB|H8;RGbZ^b)imo|?gVOHG`W9_KvN$=m#T59Dt29D`oh&2jSKc)nYPdQdD6 zDe4eq#TZ+HkqFQF`&0Huq{%Zm;|Rw75C7732)CQ~!yOZ1i0JQ6uTW|9{Mgf=*9_R; z60|3SGC!vEy2IO(dTYI=L9ao6|9*Q$ucr^VUbkiR;=0B`F0WfNdhO`-S~fuM4R!sJ zeXw2qD%z!YL9bIWRyx48nY?R*@n?Ig+8%x#Z833FS{(Gx)$skopo_^@1-xX-R-aX)YX*+7Y-ZS8OaUDMV!UnC^dk0)E zc?P0lTCYocte3M~_-{hYMta|F|rKrcRQc*dF`(7(MbC*v{#WfC<}0{qqW z+;kIU4=8lXS<*{+X0DQg(GmIvKKEkvI|OxsLbM|Tu8a*ja-S~Z$e1We-n!~%a+KPXHxQ}(Ty za#j_cv$p7`t1zyuOeu(lpnrj)l`g{8yZZJWzqT6`26GGOMc{g(o5o-;e*B6+(BL+Z zD!AU(pSb}3}M@_nFEWHe6RlcR(u5q{s#a6|Nnx}qn-c& diff --git a/CPLD/AGM-src/db/RAM4GS.rtlv_sg_swap.cdb b/CPLD/AGM-src/db/RAM4GS.rtlv_sg_swap.cdb deleted file mode 100755 index e318de4f43e30efb5d1bb9153b68e730cf247ef1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 802 zcmV+-1Ks=)000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Efw00000002V*00000 z003zL00000001Hb00000004La)Kkk&!!Qs`d9}Q*d;#LZ367k4;>M8+mndygsA!YQ zNe`U(A^r`C_uI_Gc5tG&6x2$v($w|rdUtm1NGaul=XqPe2mW6*)VhSdu_gj;;Clx) zK_VnKYzs3S9n5F%d5&1qJaZEd`ZQzh_*d}626*OL(YOOgjn(*Pa0In20;@m^xCO_$ zG&}zj_K(0iVyMIJi*fhxz3DUdxoTb0mzjy_i*;$$_}})$xv?+yL~w4bUCqy)?7nz! zws9`(>pQRyqt&d;vkRO6?DzQa=m7BhahiDzDk1xV>^}vLm8^*!Uz<(NLOC_=15_Oh zvT<+d$4MOddmWK?QsMk=G91AlXG+K{+v9@{M0aq`)Ynp8suK8Bp0FR2f#NpT+&Gp% zq-VWcPsz0mS7)4Fs6fxdx1cgITWIQ(jwp|-PAI};toycPP(oNQf%zg4q!BfgD)BKT zX_q{$@G%+qC=N6zFj0J$??t+wGAQ65bMraHUhbm+R3bQkS6!vgy+XE>E5gm<>`5 zaW+s#P$WnJgBlQXF!%t;jn_QDK1Ox8pTCPMBP)XkP{EGb7hZ4xX^`U)hWdxN2KfR- g7#KK#n1w+BNcKBe?iT{ms46|-DnU*J00030{|a(i)&Kwi diff --git a/CPLD/AGM-src/db/RAM4GS.sgdiff.cdb b/CPLD/AGM-src/db/RAM4GS.sgdiff.cdb deleted file mode 100755 index 2d31b44062d08a29451c53ff23f0a58df98dfef8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15068 zcmeIZ^;gti@IOunNVf}A}k14G>CMk)KZetu?s7? z#IpI}^?rZS68s;P-@-hMZa`VBR4^!0P`^5l__ z5_>Er!J{7F;_kpBDJ9ACSXNv@Qe5(}gcQ#!N8dmfdq@n|}@ z_}{c#OD4JLhKMLv?tci89r^##zl#4$gNgrHQ*U@`G!Z7(f466h7H%7anG-D zul>zn5qpreV}uu=%=>G#Ij?CG5^Jfu#>bb=l4+XoqM7gI*}r??S677vGGT|;PGABq zf^htAdu&_*Q@G?dUuEt#Q6-bE5=K}#3=C7R{1ZAcT+8Qaz_Y_zuEn62zbS#m`Sbm< zaB{((WMPtYkXtIX;0=qcbM+qDH?p_x3SyZ{%p)i@a^9GnoHYi9v7jZ4^G@W*k~G^l zjB^3v;Uah&EzU0Kp$opV-8SO0u1E|_<)1)H-g$sfM76GM*^FuBxv@}0g|2PsOkSll zf>qTYdvBv-(XZWFgkSouUV1l;OKGp!iL}0hgF;`3__hAngU4Kr^ga68%}>V9e3NLj zdDBrTOKUGbTt#c-m$M^nkUWk*>;#3ceZ?ghRhBa!iPwh&Dp8-&-t#}K5a06 znT_UuJOoYip^O;%tUZ(Yb0C&cTkN~#K~AtNobz3oJAbKQ$WDSwAK8%EMoJuBvSfO~ zBra{^tKE108u2bR<)q&fwPfL#BszbQmH@j=(Kt>dpCZHG?il(n?9??=dV?>Y2j_+j z-7bSY`ObV|Nb(A-LB8$Gz%Ti(fBvvV{jMOZ&&H2vG8bEA@||e4TKD(9->N?;SzE@< zG*NYtpLe;9lTjlQ15V?o4x&pNTb~-|tpNL&yP0~7$qe74{SnrsI#(R@i6<7#+BrX5 zcUZb#|Efv(I!LB)HGRXUoUSpbB#cg#>MBUs=!tjhl>B&;vhY#cbfIEnjj`C8+||rD z)k@5@ztDe+xg+gkG$Qyxl*Qc6yIZWeAl=)|6J~Hfn-elj8p4Z=Z|*$2CC+(B`#4- zZhwESbJ$N>a7N8oRm+iu`tc9$ee;{3v#LS8WNR2#I&Ez6tJk?^fTn*TQEmFE$8p7Q z=f3+4t#^1B-ZyE!i@JGaPZqFfH(}6h?a>`^>1xpDLFZ*g`%_z@z5pH13Z$l^?Vtq_ zDlStV_ZozR2)RC(o-cgRd)G!NXD7mVKQd1bYFB-j^6+D}!7izGqGHS)OOs!M3Yj*u zdCk+e0PYk|EMUB=UR+$vftq-O8>ltCtS>H7Sbdjkv5Czm~iz zm$&}LasIumi0oo9*~(Q7qx_SWx#?<7SjVl&`$;pebZ_kZQ+IygI_N=NkG12(FVE4q zjG)B2u!-42Spfkiv`2Fgb=1=i!H1Hwq;A#oX5*_W@AlTR-{?N+lnh!ZDX`R#e>Tu5 zc~z+Nh}eDF0t>YuzC5QH+_eozD7y3i9gKCK)-SHq*VMyV8D$ zr!@O3HU-pSvoWso0skxaWS%IJ=Mf0E8p!Cvz3wOFeCjJkP<%bUVba({E^FF@FOD;E zZ{t`*b3s~yo7I5n7m+G)#_2O&T=bM&GV>u>)n3o+J_U#f_-|3NZG>h$`3c%h+}FuX z;kA3#20|q6!xc?H+LaesKjN?>4qAo|`CV41O@pdzPcn@-k)H_L(U-=oR<~N{byMqa zuTqgs`tsJIx>%z6RXi@`DRGxw5wVd6j&yULohfSzJ5)T6w%Y6q+?(B$MyI}ypzj&d z4H-4Cna1^VaBK82Z--p@iu^G#cotpe9SAd*iTA#;z$OjMRa6BOfT(C06Wt}ebe5my zRy4j@+}umGF|T)M&ZR%qD^2C~XDEvJ9_`ATl_09D<(&8Vb#^&>^0IWwe*K5`73!jF zaZM`XF>97EgAeUHBvAanXB5U=Z(VvBPn zuK?>F)8!^5?_BPu0IsQ9r^!<-waQs#f0oNPYZ&eZ_dx*OL#uA4D9<_8cfK=9+xf<> z{Oi+*J}p9zz4Wgyv7Vx1LzJ5)E#FNwt0MyudT;e|s|KJy+gNFu>UkJ3cE)UcgUgbh z47pX-8Ao+BzUt|3`2J=X#(Iu!j*;B?z7X9d)v_G=JyRe8^TS8ek_uRL_~ITKQrkN* zG+2JtkM(s>Q-T}^7~Bzdbvn+Bcj+L7J6vok~a zI{abI4^NDZ0=dTAKsb7i+a!SE9cU{Mu}7(UarrXisYdX>q~7rkUBfPtfV_OEt(x@; zeV0e?56sFba>44OFPVXW3=(;wur?+=nd;`)9KG|(NS*WtKaw>IaP#$VlU%QzWJkUQ z3AfRvItcumMmniVL%1#4S;d;9y$;eUqipBzwnur+`v*^lchZiOYCZfc3s=m7_C-7R z>6jf_R^}Y{5-(lmz5n(8&%A|f^toHmtM`hE*kO|VeYbYRd}e={z?lpe@+jJKqTm6w zRa|nG4&3y|Hg)6s^SLEbOm$gI-Q!gGNzQpied!LLYDV$vbCuosvF;d6fpK|&>1u0B z4)d08?RI^jI;!UDV0TUtbMM5U0&nx1vsme{rr0_z9-;v+uBl(PX8A(PS;~(Zekx*| zy(Qx^ReD&XsaCZVMklV$MA}T%)hMSt1Gx|0^d|Ib5TzJpw3Ex$ZSbMkV~Z-admd<+ zP5(7ocMQrrDz`r?!~7GqkO2=F*fvtU{8MTflkz4uBkGW3Ycnvp1?BzwTkX6^yi5my;D#ovo9XhdBgwb-nsV-@kHE zQU0wDjj5{F-hb%)ogbTnP~7GE;o#sr>?}hy-lEdZDzf>(^N9@GYwIenU8~4nl<`#2 zxXFnik^H0(m+FKhhgBaY!>ae*!VjHd^6y%IReGbg_J#Xt^U)>BddgmpF-Y*5DP87H z0_lD6Vxk%bS74^dzA>cM>Cb6#OP$oCUmClBs~k*NXdwOGNGR3x#CqN6cRq@3h&|nk z$M5?sF=(`2LpLd`|Q@Lb!6{Qeo_ z@pqetuA58!AM<7RuaRa=@z$L49MH3aOU4hhyj7~o<>Gt^Iq~}kt#>(jlykNwG{9Wr ziAQV#Ii+Qpz|^;&e=}Nh`SJpN&srAV+69;uT@o21El0!Rt0{mTy?xCNYO7Sb3YOk_ z=Uq0TK98_OT0X1Eh|5y%_fa`5w*j$@LX5N`Z37ex7j`=p5&hs6AE}IgUlvZDG0BcB zWtIjC-~A?a+67twH%{y?5@9B3-t96)#e*aZd=D0ZJhD|CtpPOO=7*M%Nti ze!3W$>k@is+o05UuJRGzy`3__(-i-C`2Zw+X!UVcU(k4SmyAsUb(FJDXD`;rGMx#dZ@N z{TMsNk;(SA5&hz@qV-r<1J6slrj>^8KMgv09>WfOw~Tj$WN0I2n6ktrlmMMB;l%EX zt^G-+f*DsfCDmqyF*AX`>Asy-9aecr82D4TWsx4-_bZ(}*bM>3c%V?^n1hGGPbbaP z0E3O)ZaemSJn0%+-nxc*A;`c|d9e?|avC{iY z3kQV~R9lGSI7y6CfT)3E3dbLx0TaXlw;AqZO}Uo|Z1DUoL*w^4@V#d}E3n7HGjHYv zA}TDaFpQQMG12;miRZ|v$YN}oBUuF(2VRuipw@DMDtJdsb;+cXNAO(5(CRMcK@=HO zO^)k8x!UlHgr4}j3E~kR#lHI!);X%=tOr^LU&Ko8j7R7KEy#^LRo#B)r&S2En$yAT zrKMI~=Pll?t{<-iDD~CNxlSC&Iw=Iy4)Wwtv$^Np=LwF2Kemzk?#UX_5RD0ww=X;{Sk9X2=Ubk>^9a8VUKKeXY5eVp;03w$ zslzS@dO-bcfm=&q=WxAfdjraV3f>5%m-l#Tz||_~4H}F%Rw;c0XI=#lX}3_6xgN6bl7TH z8(zNpnw?nHgsSOOfC#Kkj}qNTKaT`Ucn5vjSg!nusE(b&+R|Y~mGOyv)6Y`D?D%t* zGa6(id@Li<*U8+ji~lTn|^cJhLm0BLL*hkT-$7oarYB88G~i5nJ& zV}_`o3znT4Ms~oirU0r-6!`m4a1s9GKFW4+o4VUa#Q)K;zm;EFRClw$6D+6CwW`r~ z!)eab+JQLJyhZIlu=BBd9$%JCVI41~yNFf-1tL;yb0<;8AAL@KtG3su1`zh2FYWey zasQ?V2xebL@UV7HpT{&M(gtACFM)!%!N&z`e=5Y=9Rf~w55Kgb3PZ^eTcptVHk95Y zf&y*Vb#1LYLBD-%v$;6`eXQyet*FEJs!?ilDw95e=uv9amLbt&VmNb!@%Mxz;BfV6 z3B!f1?H{gpBHOP@9P$0Bhw(f!s&3cM8DjxkND_|P(Tvk}gm%}3btyDWVLfrdpA4j} zG}4iY0(_sg`6`J1nE$gZULrz8Qh7X5E(S>J77U$TL@E!wR&am)_ak4D^OF*!dftVk zw;rmk>bb^qN-d_4Aq16Z{YL0ds{(kPSv?ZA5PsRK*Iv?kGSIYLr;ZFnl5#&*zD zo*|l0@n(|tqhCISUTzkF*{B;Zb zlRUzFI1|F`Iz@>441Ph2b`!>J+Xwuk?zr!N4pCykMYvAc4!`0fz;3>8|J-d!i$(~c z*K5@XvYOx(j`LjwiECKgLGUy96m&>+c$>;*H}p^)O3$EoZltxbGhenN`6FfxgMzd5AB5 z-_=9<7Lu`G7N^>ywfWlEe!o#aw)TBn`6s;xV$VfCR$2iZuN@fVPvu^ro;eUnbrpJ< z?mGh@Y7u=w?0?UgFo$(lMfpij!V6D;#<+yzqA-^2u|;3^ygBU7y0Ro+vOse=P4)nfh`uTg1E^zGDdq-RbzG^D{o@Gf# zb1y_BE?*=RM`T48s*-Ffs-5YUNPJlVIr+t(f#=U(Iog3WbHzqb&UulZ*1kYKGwd!) zJB;WYxYG1^ifsi@9cef}TXv^G(}#gIL)6M*x_J0yk&5f?xA}2wD@EsoQGK?Q`*)cE z&_+eLuu9HJfO*k8<}f=Kq|Bu`nVS^>a=1Ibt3@pH(Z={A%2|Dl9|uyp$fojDPe#q= zf`0tdwCTH#e^tkp#$JxtH$6x|4P-xQ9dfljH;%!vjJof~Xi-FzCM<3|HJYL?6#ml% z7N^SRQ)szdrM}1#+a0uu4min5BllKh0Mo(3^f~&~OwS4iz}X*x{=)srTieQ(Q-)mO z|8m(kPC2A9K((mjZ@l90;)B5`j(Rc$T=@qz2&j+US?f6EKOCX+L)myFE4w7c%wu<75>_cL^KkHvr@x2TtpE z8266KK)N>nqg;+!Q_*h)eEWOIM!Sw9V;l4Cn4osxb*{ut?uF8+E&bWS#n|hVAjk`> z8~%RvAsd+3&i}3Wuw*pD;F+e+C4_n|7@dd^_{;I)c}D7yK^FufgAhyjy1I>0bVSRvfA|nH`@=@1RphQ@pLhv>bbZtY@boUxV)?0ZGElhnmIr zgnpM?+0$zglz(rVF6CXC=0)O}iy`-Yh;})rcPM^&IU(_H2Uy`7fM8h$i1T-+D-`!% zMi(r559BKamMsd{JzU$c9utwe<1C!g-6C_#c5FYruUJ1s=%Tf{^<@^ME5bh@wsD{8rHFgP~eE3`B18RJt=Xj?d@SS zRQqG-5+eMm>I2~iUXV2tRJ%QRDKnHT*hMna%@o0WsjQpf+@Z0?`5K&m;2<+l>$+|z z!5nq&(MJfz(xI^q#o7`gjnQ7>a9J1e_ArO1UvwthuIql~0l(vqFZRo#X9ZQCnNL$_ z`MACRJ9*984H^jF5u-@GLEK^PHpN~2fc$ZVYd=5927KCo zaNHq8dg9K5*BLsVdR2>FGTKKLPvTu7Qfr>7ZfN6b*Ot0oMm+K@Ix_{6;|Tta7Vnhe z>CmAOhcEtm72*DbNtk^4x9=B0;dF!ikAbT3VH$T~rr&XYI1BcpGjG|K&^r*qsYWum zFMgXP)L&+YaAQ_fMk-zWiXmB7Ur2tlJj>%4Kt@9zg*3;G2X{FlPPds1Suxo`O+F*-Dm#P7 z4Wl!eZ!59PH9sNvG4DzDB3tz@*Z-Mt1 zrVIND5zsqN&}sA#wFPze?Mc{1gH(?qzGZg27GY&#ThHMdT;Hlgk))4}z`~M%(Qdr8 z;PeF}{WU#4eD%o$=s9yX?bVqL(`nL}ik(v+1Kk6wXq4CR1zor5`vxV?q;6$YCXRU| zizc-DD!d8wmhg;q3R-AJ;e9h5Jt~7VQ!&|R0Fc-FvL!guT8QE$K1>Gk{eTd>_n=oN zTH>00AUKHm#)<)r$usmvDvJ-cY_|l?*~{X>w$^?u3p+fe#C06=j{={*+ceppE@o}{O^Mjs8LKVDKopu}}VXt^o2(iQ&d79X%J zLU{4R@%<%yPa`=9YW=TM!)cmyzxy^WS+x?rUWQ(|0R*H0%n+#IGoG$a7--Bja=7b+ z4pl;#7Zu#zNnp$B5Q=%7e>y8P1A_w7@quw(;$F6&yIuslK$s%XQhB_<=Wra<{z=#m z#H?L9*P$?|_&j8d{5<#>7`_2h`vaaQJ*-qAa3$W4=Bd4vp^72Y6hj#ER!{YS&?ngA z=*uJflUf)(Y;I%SDCqnu@Mmhz%X$$0W?@>R`%bs6O;k3xs?`p2@J$`lZ-dKSsM!4) zQ%8~VdVztd?HYQ5*XipzbIM0 zin`%Pw=;r3Bbf8Zm8;$xR(Cri4-Y`6+iF z)yk;RZmrWLdiVB&+I7n&Y!6rhHL`kg^~tx8;YyMQOueKR z*BMuTGEBReIFPf|bbE{hHW}3PvEQb7q?(Zp({fe@O6(87DMl>s5_R^;=;Z!9Vp#L9 zIcdK#P@JrA{|P?AR>~zsG6&T;Sqn1J0D>T+2ieC?DjSnIiKYH<=Pc)BYzOlhesVjn zRrILjxn2xPn1rz6h$hO50db>`schjn^~q4$EPR(P!5H#+w^Y0R< zpX)7jfBn4n*GN1y2+J4o(?FYGB&~i;ls=c zFO%gq)M~$_H!gi0#%lE;Pw!0%*-)@apRL*a#bG}EreJ^3!v^lq*?whurS_7w6OTBf zeM2VZ;+g$!l6!u)`F(ZuTj9>THd|n_U}Ghd-v}r)iORid1$SGH@GlZU!eI+snLZK1 zdY)9PMMnAawTbb&YNt;sE3hqY{dI^&;O~f$u^T@6!lZTHA5D~}ctu~6h*zoMC z1E)lx-!wkV!#26Sc$Gi*&da@q(ECyMCem*^e_(A=;DRedWF7BHZ%1c7Wm$-ZBI_#i zLRGufYrrv9sO)=XD}6TcMm5wzJIm~nhslUpctSs)X_&>d4Sxp0tEELi6mjRA7#Z=v z3;rPT^cp9X*quP_z3*KCB57f%zieS1{X~JydktK`xyQdCPKy_}`MU^n&CVc5??E@r z|C?_*^u(LBm}KL#?TB9Ob@wK>3*$bz5p{DT&tz87){+wm%7)G*5fX-VQT}vi-XuS9 zo@9#Yn-=8PWJ->$HeQ#)6FRN3uYDC<4r{L^94u(pW%2su5QC_0X8(R4H3W?MecC+q zLrFi?id^mR!tO^slSII?d)D7n@v4bw{;LCeIk-oS$1$E}f zg)^Wa&)~4+|9aTdr~#Y`=*sDT1gO`@X#g?W=(k`c`BI36&^a=bcLAD^Yo)8b_i{vr z1b2^N-MMjkTJ$!=4a?tmHX?(udy`_T^tO`Iqim z5TAJ$;cd>R-|j;!YSxWMnrIx*67HT{JM?8%>82x1EIW4HPGEpmE8dECfiEM$*|4Qn zLBuyHEJmu)`MtN8(_A&%U}w|6;L~iPptVF)FbvEBE7gJ}4cVrNi+^5MO*fl`g)ioc z;Xay*+E6-OsXTNF7jm>hPj4#?vG7~WXI&az}E5JO$wSVx$>mwZ#w!5%r^Zvm~Ha5=xQ2$%usPYESwrTn=nX#2gd5hD=yw@ zyV)YWe{@Z|x>QGByP}Cu>sRP51>K2JmF_s)jJ%LAq^7kefO=S_wmQ7->yswSzFiHt z47j?LdOc+WAuqC1+%Kjmdaq0&AjA2OJ&WZNRD-%@J6rO|ZU2`=A*KW16%js43&0kt4-Dq7&G2{oS4 z7P+RWrsdy{f*exLuD_=Gtl0I$z-iE#PS1yaO|_VLZzyv3r%K;6J-ftR3F`dW43yvp;dq$H|0o~-BP6OMNwZ%;*o+QIW56pu-$3g{uz*<+L z=&o4JRduKrbEg)DMN>`O9^5#0uemXQ^OYFlWe!5pN<1$@K)7@p0bvN3Oh1VbEi_{&oCdK+ZC~L+8%!J2y)*$(MU&6^bzr$LTY) zEfS*A=2uvHOrOC$KsV4gfR_DSTO(F{g`Qwd=S6C$8-O*N)#g9%bbxeA< zLM-q31_IXoG7Tl)u8g)55cPX2Fg_!IUvq?L`#Mqd#Ce8&)XT&hMa~W%rvCgjY|N6b zhUwYF?hspf8*BA1Jv97mfI5u5i)YygCtt!~8%0GdyW4Ib2tYa~Ee9fAuC4YAuY13R z*4{sE_J(>%G^kGy1S6S;j^VEIlY!}L#ETyvm2LWkhR^?L@2L7szG8?!y1vKw?W<_B zG4f|lhZXeTau>AzeeI46fk2EZ%}74ZaFO5LYWJ_Q>|Z*VH zcteyTn93jPvHywakdCClK9V0zT+C=S1ZV!Q6zt}ny!JlgQQi;PkIF#koglrU-1{W~ z86hkyhks+v$lEnmx&}otLy+oQwSY}8+PhFA22&`Z znN0kp4dR+(ql-NRLRR#4x^eC6>QD8WN?x`r$Uc37rr0WYl48B^&3MQNMshvy{EyRv zp6gDN)h@~5ogfQ;Obr=V2bnaSEkTpsLTqwam9l_4?w*C1`EduJfi`{JuX;pwr`bv@ z$_f~hKI{9|Ksr?9EKmbOmu%IxZbP#sxa%-7v`DeyRS>w&7)@?f=Y6oPy8>P9NW2nI zr$57K%SaYNL(nxX{o2b%{U;rWv>!Gz?7bDRftTXL4iGxV(`&l9XB!VLD_4jnk*37# zlv{qFFvai}|C*c0RH6KXC;~M$n+df-41xLCg%?+<%0_jooP^j6ZpJX*I@7=b7*AHj zI3G`Dhk!JQqQQ)3bW|wbg@3oh33Ne3S*lRCqrx88lD_IX{fG_&1bMy6j8Z-xbzY$B z-XM@{9214dn4wv{X`ndYD!#5u`t_f|v1i!w5tQcQ90PNz%jJ3qnJ=W$k_U@r{&A1#1beIxuvb`?w1 zYnVH&Y_*j|4j|9?!#vH+~nx)+^feugp&1G_oa=MY($&0wEWFO zCH;971yw{f)7F}zH5lEFIf?O7)Z%akuv?EfMTbiD3FL2{D%DA@_dYJFF!Fdk_bWK_ z&DHvjR{w>vT0fKor>&B1j3HfIxRJJ-5aC7hkBtw#;1{54D}!%K;k0M&ak!rE-@w6p z3D0RW`mBwAwd4qK})ZuYJbG7J5L7J`etzlKHB(x}!ANb4 zUrm5cLT}S&gAe|-n{H*;R5F-I8bKlY9A$x0p2(h%(?Qu?9RrAOBxW$alawn(C*SJ)*Ux+pWhsIr5nTJbrphoB;mrwCwc$_-u>KUd$G_yH5j*LTpF@V8CUE`TH zHaDJ`aNN^LdEWfQV%NM|+ZwAg%~#Yk8vJsA!PN7$yQf-6noD?>koQb0hxhgK${xnT z^zCKg5iLG3$gI#5BILW25CV6mk9F_tw_KE#33?AWZBi-9m0~}RY&XjF z3KR``A0~FyeUHwjL&~#R0V32-$R@9QM(@yx+}iTxZs6V0pe~45z>rHhX(O9iGF%qmDl?CnKfL~9F&g3S-<8coUz6XnmtY`6l^8YYw z{I8p`prK3T%UA>KxgiBG><3<7k@NKl^KX9Ed_<4HUhm~~{H0gAuphPPq3)O%p#Qi!-a1L30Y?$6iK{V;b&_(J?GbX=MYyv0V$`9l1 z51EQ9wpF2TIcI&h&ibOQlzYf8%@eGtci;%#M!$y!2lhYkK0_H=<)I~|VgSqhj&@Tp zv;zn@I4|nzjifpYD-cb{p$@Ei1K4U;v4AQE{p8%p|7Hkn247VG76g&3TaVR$|4LguDDbk#_mQS-1F~Ix%ldnI!pk>o|Ui05*9EA&ex$Kp06;-uioA4{yngO z{w-*e6-8L}D#i?UeKv}|{y0NExR)N5@N+gy zMDs1Yj&53P1+l6l$ z0C@b6YyP(ax@fBC5(ricvx-*U$idRDoT}~=xs6FIkZ;>_{wV?<(OHz2jUGt%#92{k z3-DtzjH8>svWB9RgJI1e@U4(iVaoX9g{fNK)C3F7=?9A2>|o%R<$%jwtEM^JXv@4d zkZ=pd zy%v*LVCWOYXo@NeZx6Nv^%{OA-w7h|@Gm~(HK(IZrUKoJkeE!ppFM%=)V@C9LHKI8 zz&Pff!+YEsg1835xuAc(G!|ugSli;Iq0nk`_YSz?Mo3xtxmKLx_uw_r!hC-ycRcdR z=*7H_7@Fef0ZpaVd0dX$(G8aXp{uw08&qKxepGtsrg+45*RHh%rrip<`+E?#sTpr3 zhISsk=+qIbQTV`Z*D4PwwQ|<>Jq_O(ZK3P4ay7$tj7#0@`fEI7PdB!*U|VGWIF_=p zduoxJ=MXEtan{EFq?bPA}j)6@C@A5A1-E;d*7|EoH-Hl~8 z*+{!INbnEgaMn5IZMGA2`g1+9n~)!dCF>Z6?ZK7uRziZ+oI~bX**Bu1^%hkul&ho@ zK69(kml7bH3*3*&V4ZR?Uc~|Jbmv1-Ky1STHH_@NKoNOMhTbOJAr{cU*D_1Gk_TIo zmmOZ`cjVR^Q%=4~aBP@nsec5}dU{}(#CG$wf~|XhbHcJMx3?6v5ZNJrnPFl5cHMo1 zf)S;qzG{878XOUDwa;joO!&AsvVG+jg^~(Oo8ZY3gc3YZGeH%eW;+%aU>;>?Wg~%; z>A~0};m3cetTyK!LDgd-EUsWHC2VLbybApE(z#36&!Ip7+Wf5|LyyPk-e$tz+ehbG z1)e8u3RR!M+?6O^RoF_DYvygtH?on7!_FuI$LQfpG3S*XuSmZ&VMiT^vr5|FAZ+X= zp}JYld7sY9)eogel@mCD(4*qk7QroAu#h{eUgiS(Ytf+I{3z^6gWN8lzf%L`kLEl(wCKvJy7b>gcX+7Hu-)Vu69Fuqsht`kl6=dCLu?`4(G7kpFk? zf7;u4@1D5F_Vbu$^hhw9O~VAqh$ZPy`)*yumnM+e_B+0+sT~j0=v8f_muSwg6_5B+ zIMm)K7vsh!R6J%fLj~EozXE~51#B)+q=W91AUu4G$ZG>T<(0uk{yh(CMGOkwT=F+{;=Nrz;A{#KKlg8K45bItjt}zlq-9vx%e9Mn9ED{pXSq=S%FS~;6 zDiKNbWFJ}`2`mrODM)dFvS9X7aKX9vf$9*s4rhq-c+WX)4@)%oRNkpxbb*=Xqx)M_KFNuo(27&twT@N?t zEm}&HP@|D!jrs=8&w3!wHpFkEfXpWf#1OMz4=j%>H~dto#ZjLC*s55Vd9r!1<=uvL zk8Nb4S82*Gr7lx3o#i8Wxejva4^Nc2+nJY*+()l&ij3fv<_e@%KSZR{^*Aq*sPpI=}9_Mjm zEUKFW-4;zz;`Sz*YhCZz`hL?xC;q)p-p-0^A^8B%7dz!v{D2 zyVjhtg){2PxeSDPL8j{REaVW7cHRMu2|ollsQwgl;MO7#nNKXYfHo7V~#4zvv&lD;^##T^j;Joi?D=Rd-1(uZa_rHl*&FSX2NQy1}I&I;a&;mVnLn_jh!uqs^h-D{gPWcwK}YV${=*f zz;YD{Z<_Lm!vu*k|k0>%<_RfcUpprd#-r z1HG}+m z$QG0aG;o~RwRW6!%Hd~;U@J&xxXgR1O_y?fPU00|m45%kXZ#OVmDrZbyNh(&eWe)8z&~lBOFnZ>X+`(F}o7lHymBIrTJbuL!IFr5zjR3kn+19T>Q zb@C8HC{-W-OKuP!{gwGEwJOi)A}hk^QgdnVV^eF@@z1VC@zJhFD_YC44K^SD=-nvT z91Gp%_Zqrp(UV<|FrVEyT~yxY#OPpRpP2$)K4xL) zeVk79w_x9lvR8}CsQ_gC4HSc*l;|_J^5*}MO|`>&_`&2SwcNwR>=i0Gf^)?kkRVZv z9QBXiqhjU%%q0IFMXBD}C4exr7jF!8c{!S6`vV@j@5%q2yCwJM_Gnh+^LX@L!=o=s zxgsmiYGNy7ciUcZBr3b1)vErI`|f^}Eg1pr8dnwZn2<4PX8e$K4&=zEXW70uJq}9T zo#!7f*lmv9jIGSl5#CV~EIzC_6|*y9ZS>`jayM6zrG2w~dPe%Ah%;_5_V>M_6}rtG zp;$~}z6Tu>onl5jm-Q_>r^n;!eJQbvJR$Wm-7%xVtH+4_qvy}fC_i&LwrPh}Cj0JZ zSAr4QT2Y_A4!UjfQkC5K?Uz?WM(k*@vLRJGWFs2Rn`RmP+PhG)?Dcq)2xsPkm? z;X~5*0`A;~@A#b3?vB#sYxSJkD_2)OxnufbGB3yW zi&eUUF5@RH{3@z~_`r}o>noIBm+WcgAKO-mry<53jP@2k8m!eHdL<_dy_ZsneQhk5 zeRf${X$^aElx8exUFGsbFzVH#d^DOjq&T2gzykU(&v1>BtZ3 zR!rBdco^#PwC)x8KCy8()yGqR1ZsMrf8`fn2#3N8ox`uwLc!9P6moDizCsQu%}6&C z!w^mB0mxwT$4WC%kh|d7#rb83m#b9li%$2q9%=fmGPl1Q-Fu+)B@AMcoPwK0pBs|Q zH0!C>8^y1yJ`-uRIA!ijR8>_lT;RB`UZHJ!3oHA|)d58j*c>R$QzAy@ODa?6x|W=( ajx8(+0@s6_B0W7T<+hW=7i|9rM*k0X1MDdP diff --git a/CPLD/AGM-src/db/RAM4GS.sgdiff.hdb b/CPLD/AGM-src/db/RAM4GS.sgdiff.hdb deleted file mode 100755 index 18597e609dd4c805c37abf6bf8fa239c99b783f7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15980 zcmZv@b981s)bL$RZQHipUbUw-r?%~O+D@<9wr$(Cr?%ax`SyOE@2~HD*U2wCCo9QW z$;mk@d+!7V1O%iC4)i;A{=P)MrMjt;v!%TqF$)_bGb0PJh^wWI2{9`hD={-C6ALR7 zD>Dllv5Kjao29WSv7(`|H8BgZiVU%asS&ZbiKWYT&EKE@H3tH6#q~b|Boyg?rH$bK zN)ONrUlPEXm=7y2s)v&RujwhQ{ zPL>W|H?Mr#1^Ez}7iPq(Bp=yXDNI33fkrpgOK5d^G^^FOUkCeh31U`1AzXH7Ku3m3 ziV5k}EsoNduW;{@^Oqew#prmxdgU@n=gR;LMeKya6;<{HkLME0k&$-TB#(xBM!g%C ziUQBiTmdvOIV}aG#XY|tv3xu_fBM~b=BJIk^i#JbVv(xsE-4)=vECQ-uSWAe3LO~N zq`WcCpQ}Gd9 zmagOMeYnEKI`@z1SP}hLh`bc%oJz?gUB$TU9adtq)w=!vs{jAn+i`g8g|gN#A_#cf zs1;%6YqRwVtFw*8+hg47{wHFKmrH7h(AP|$80zrvS9_LX5<64O`bnx?-~12Lf0+|F z$9n_h$((zY&&w=-e|M#D8wp`e5Tg>o)V?$Y7xjq#1f$5Kl(NA=*U{8+Vw;uRBC;P; zl+x}heAJ3kxRSe%Ynuo;B_2`KBEcih(f$r=I%v3X*c&UkfAz;!gS&> zORvL*ua((%>&vJQK-Yb!F=(u9e?{-bF14a7>YL_mVyD}2HN6OBx|Z|wlT#-dFsM)Y zx6ps`Rk!`*A*|q zo6&f=|EAl(FBsD``jVLpzdg)yO?y0ptaqr5)4=oM%wV3gXfoO1^OLh7>3xvIl1WY~ zViu5TZbh@w{U@o-DJo@ET%$V5H$wRfeAjw$4Y8R(@BAbTDktEn&v^nU`|hZ-BlX>h)Ii?_E2p<~R4o1z`3PZH1~^{9XV3 z*A^Y?-MnBlnvz@6em9p>V&E5Rg`6V-O?8b{TJ@{qA5~oxpTI+B@FkqmwE5@cWrfEqOp`yuk?pgQ%8ND2=0Y*wuyW*-yaLeYRv#MmdMK0y?pWd*bzYKk&TQGMyn%PG*DrZ<}M(54_KqWJMYhCO8ez<(h55Ly@ zYtO&tgqyzvoGbmSZwFQwr;9$0wZHm~a;)EnW)RY^d!W`ohx7pk=U&YF?$r;XA3Uc$ z;_x?9BRSWvJ9Gwq$Y=ftXOSP6)+OC+`n|d?G8h(;cS8!w@a)V={MdyS^7e1I1$eE# z{M8Q9w$hV7mE}bsjQ)(TV*IeN@il~%cMfI~f1e+NG04bK$Y2QX2>eXbqQ#;*!JD~h zJQy12j<5=X7Y~z7??m z=xq)fJOx=t>y0%j_+e`X@?5 zdyFyKcyr_dtVQbY_Vm-o54?>3M+Dy9CD>GaZ2tCoX6!{?tMq_=N;Ns68x^m6f!09B zm3S_dUoN5bLtHJYo3hf1-BfMBc+w|J4fg*HeAy(A|q^$q1@T$g)G2xx2#9d0XDBfu0hi)2aKnj3{=kNs`ipEg_F zwosoB%k;tYEE=Cq5pH#}lM9OR`KkI9W#iA2&g%yhY;0)nEBYDDcH2HAluBs^sc{s0 zSP6T4pf3^TZt@DLlV~b@CAH-7U6pXlQa<~#V;-b;L#&*as}J+YFbcIYf4i-nfgrDI z`TZ|^KH32q@L(bM))Og5ItKo*dZu_wBob{^hZLCPV{7t*nyr<-IuR_ zk{J+6{g`zG^nm^dDP@G=Vuj=AWs&tF2o*Sddl~okVCO9Z8p1wf6Tlb^Vm|_M{j!~k zKh68yOe#pazB`SmqDmn{P@Lie0RKF+fX| z3t7uQEQ~o}jVfv&J{gw9EwVPx=es#3K^*$ya#QbsLP*0x2*>T7MMO?x8)iGTh z2(nJr0b+B_@#B|w3_VCgOG2hJ$_#9;fN5`+@ULMuydlDmc0yQ!-G}O|gv! z;cxJyIKcO{!=ZVkj(jum&CE9o->iJIj@G()9TE+XSBHA~$P%G5tX5HP@N4hPLKP%l zf3VCsvfJhO{-ug!psKu=5KRVOG|M4BXh_~kG<<`D(Y334T5bRkJJ{l zQ^QaB9YaEe9Yeuy^c8m8dd^8QdN;u>r{k^yRYNDTf~yT#pjujJB~A}8lL{<4dzi#p zMb=Hv{gh5>1HSS2#^D=_Z+#=rin2-eJ~| zbx19t;GUdAwRv(5Jn#1^OtiUH>HQ6f`nr-NYFh_sa;(PWfolfhd^P!XP4rS`#mBM}L1KnSdY3N-G+ z``uU!XpJi`d}l=q1;aQgd3f{q-9z|;1yH9Qg=%ZAs17?2l>N2-@_hg;w27WY1psg;cy&Q1chM1j^@h^oneK_el@$< zClIO^C9HEM&)2-bqb^)2Wl0VB18eDYos;8RDX=_ukt!IUf-C6Y40Yx6M6i)FV6Sy{ z(on=Gdkcc(U7lUxY&KtLPTTA#DG`YzmhI|6mn~PFeU9r7k_bj8T(rVRowcH2fb$)x{ zqYC=Xwp5}EWruXu+X=ZSx}ROXh-Zv7X|qaznvh7aQDWrqD>R?kT9h$W(`tZ#*} zIX?9@>7aL!B9%6u;8D~v}{^=O~8!jPZgie1LwNjNK2z|f`7p{LxwWy z^>+NDnedXaBpbqXjL4?+h8*EEljtlBrn>p*LOS*I!o$oS2R|w!d2A*V876c8+V5%O z*u{^bo1nib^A=GXV{OLm!n0kT@arhvVBCDq)0e^Enn=%k%1IR;!{OV|dz@n81t(qM zKXG*W(6q*w`+~c$Y%-A&Mng3GmYBzvZmAL#A#3CE19 zsQf~dZBX@>i5-qW{B5L&RTiT?Oqwfe4 z=+$+&HB_a=wXDOe;0dh-yx!;tZH!^MpKV9Y@-DHy zL=H;rQR=(&tFZ~rk^sfzSiPq8ToCH#9wmk~cvstXjVxBXf%_)!m6=KsB9coFKg)Up(y)6~|v4KMQz7 z|60_C+1=r(b!+WvaP6#WYC@VJpC><$k=pey8v(qmPvoGcd)@Z@B?529RUr!U(dt6P z{1kjnYfj_bT?z5F43(P`AH}C9sPL*dN9|`S-y@C&f7JLqSiNXK63IE-E0+5i74t^F z-`D;2czq~~m1q;~YUcg-UK^~-LxEUj5Ne*%zTw!TOk(%hmFWKPLAV$w8#=Yjq9K@J zFbni-}#I~?mm?Y|-Lp1^}KGLTLyy=oieH|0i$!U1G#zeG> z4}#ho3tUs-sf5EDSsE};nK&XzvyNrk8rTo0|_fQsy*hQu2 zW$-Z(#}HhzWA<07NLa~}4KTOeFvN%}hLFst@y-PNnNWWP zB}jGz4jbtd6?*eep#vNN@h!g_(mTrJtNI%@QX{l57MK?VIJANi~sl@kKSE zu?Hk#(K)C3+z!|s`~hX%4sz(i10KnLPnX@ZaeA4ocN0_4FLLL zFu@O%bv^dJ5ckzR2|#!u8hytZ{Zc&234f0<`E)sO^{r06cNXfAIO+-EACZGBtxp+& zyKRHNHw>yazJI582%w@7xq8U`K#`nQaiuq>p#3l~xo0)V#u_6O-Ga?*5TJs8g$lR? zyVT;=XPVHzDvMcI&+6qLh&5d}ujqBc{owW)eZ%*;`4-y}=LWga9_k*ZyL=dRe)a$tjD1p9#&~ zPMis@c@9Ajv-hcEGU)WB3MG#73&U1>fqIQwQBT@>1F83>iF}P}3|#?F`f{HdjvBwA zjQ+|Sv;5s;!i)C^21OdeL&G`muskzp9U zZ?-?P+}xO3Yxp+o>#W~hHBSjFEL;jCWMz1#xHsCb=GSuh9k+ZzF(N;aMRGEl=(0C} z|E|;Sm1YHqOLL_lFsKXl{yE-nOdu-G5p{!$UQo%{eB|7d~w?}IpRqf{dGB+%lrRVxO?#sJ+hOqBlQt&Z7 z)1;6pmv4pAV}iQEt^vTHw~|MJ#x)o(RJFdv&R_{e~%yIVN@=* zoy_$1_l8gdK2s#PZSRJIZaE*n=&lU4UPy=d)b;o$;Mx0p+E8x&wK{0HeH}l}PpBZ! zGv(APlWp(;ps$4Pak>rF%3^7(ij2Mo)*AY3Z$6_yfy+{&3^?NGG|*POqt-O3vM4=3 ztzr$f_RiIWhtX0Zy2%YX7woxNG}Cuuep?D>sK)P_YAm{K zAG|plY>|rUx!vp!7dAwB1&swF)(!I}?s>o^ldcOA{;7O-pkVvr@TDn%{wu4^HP(iw z1*7#~`)c<%2C@GA{?|p#lVggsSb*G`2gaKg#*SuE*kkp(GCmsk+oWIYFb7lVZ$(k>T z$*}GEuwsl!XM_*0pHoFQ}^~`jFa9ihqjrAAz|M6Dy(0X%j2ef|s;>i5C&BC~v7`95*|fn|wT1S?{*q)(B+R zB$qSX)kGA_ij!{cLq7OSTvHXk)f^vYZ{gkgrfVxUS{Er)SZjnS7&BCRtaU#DSZI!E z&sD&pNmm3w^NoI$R?e+0qPTZPS)goj1QR3ORtDiyfeNhc%@a$vJMs32k$<(*-~$F? zw~@gJE0(*~hF?L5UzqPlm`Kl{4;y0lUg}fJHm#)ZOPB4vAAJJAtggx15pRg|pvG}A zlhwGbW@2^J>2CPlb5-qS<71#3G0YU%Z#L`j@;tE@pePgj9zo1q={NU6PqIpxu=g35DbIkW67izqkwZ8R<*h+m=CyM)IzwwyYo;1nfyEiup(PI| z6*jTYz3vXLK5&n+1{W034U){=Lo5jM{LHZ;9sGwXo+#-k6?|lJP(6AEXd>6%wvpy^wxq{Vx zG5;E`wFJ~XLD(AfG6nLICikN^Hw$zP31Lk|_$^MDkD!qVuW>=>ElijXqcI1!QAOx2 zO_&d-F$b?PLFg?+m=C2938(Qm@X;`c6L#$q@^Uvd5)QHoaZL@90qoK$$PLkAhTWx`kQXqKs|U6A z-9S_Brsb$N^}(j$Y&4i{kFXBdnjYjONv`3A%>_%SUF*8tDw~@zNj_Qep7j7@0IA2gqNT;P^m%S(aU+st2Yn zBt8-6%XsSxO8k4)DPwm<6ge5x{a_kmGZ-EL{em%M`cH@F!m;__vlI)~L4eGdWqJd} zahptxJ6Z?(R9_vAfFXXej|l|wtOGuqA*dEEej#>mQh z3)z$*XRPIaQ03)(c!@qo8GNThXTiw|R=F9ll-oFhf0RACn>}g9etvggBYnKJY$VWf ze4sP=2TU1Myu!Cxk&CgV@zDKl9n<~>Zht57V9lZPUQy)6n;toD|!zncE)*kx!dJYzCG{7_;db0l38f2!*IDEO#asLgvpxANaM znrY-4bjZok7X(}-&R|l#Ke_(QsUrwXdvOj&NqkJfgv+4yPccS(C*QA!Mgp3;K;Y5rWM@XvpchD2+5K5)1Uy}X_D8JSjUVu`re5+|uv**tA9v}<3z11i@#M+Dos9lBEKDfSEAHul5$ zFaESn?BRMAIEoSJDXv&0X8lhe4{KYDVDFZiNQra&rEMp;w#-x@0wktJ5k?}9L9iCc zUESJw8u8rHUR>q^%UBu!$h>ImzI(l^5HuAj#HJ6Zg_Zih6PILT>%Cx<1N8-PuwYf~MfRW@+#Y^)z(NQ{ zS}yJyN7B7Xn_vgbCL@3=EANx5M8~etqLdZI$F9-9lqG!&YM8Q+Z$Sl9<|^`n!49QP zuCxh4v6Mt;abJbA6NH0{pMzA@X22^1ed)h-VM%qq~X=v5Cq2th~c3KKHT*<8Pe!tn^l(rX-|8=H$H``?V zZFGG*f?I!H#$mnOY8L%y1~r#%rypCgx)#aat#xxS$){UY9V=%HWra-@cBP?J@|su5 zI*Vcxk;W@8&`D}FafMj=TgNY@ZjUVUQ8-!VYpw&VoL)v&m6U`oryCuvCa!UV96OsF z44#jZ>}pyMdS5~zuV5QR%M!l)i?Jx8C(O{uFSUF}thFh;#)Nbxx+&akKzOipD9o^k zQK+F4t8Y84dB{BxM?4)X^5x#oTc^0v$ zQ3jh`VrgxF17r&7Ap}j8R}k$5g4N(wZtW?IRrVIMYHF0Q;R4=bc-ObUV2^i!8as1o zjYcWr>ysIO^#)0cPi2(={RUdkFgjAHroslyVXEOaP z5W1oAlF{70IZ5r$EMUDm=}&KH4GR1SnH#~n8gLoXl)tHvq(NNR3i+cuaE zU~7;+UDl0nyZlDyPSWinVnFjtv!VM-lpSK*e_PFY1W3?`l6#1igk;lwO| z?;rY&R)9LD}4ocKfWP{u`tCrW!xKWX2%rVs>nF`U z@@zJVDK_$!KSDHov;ur|WK8sfWnAo7^mEZ}*FZNcp5JIH5i8>8E&Nzw?!|2%3a?Km ziwEVO+FQ_yhOavQ2{ElEebr>c`cCDH@#Q)k_`@1FtbmCH9fONdU1%VmPm34I`uozP zSIEU3-0EfM#qIJxRAQfnvz`RgMpRk_y)ixBYFzM0Bq*gA;wgffL~1Appn|a%bmjal${tN71dz(Dx3aJEDM_ zx{Dj3uN~eGtogL!&kloGf`FYF6j=Aykc}VESf~!`oU_<<+cVn;_)T`!wmAA#pPMQY z#@QR-=+y#`=OVn2B91f*dwW3DH81+=9-n zs;6UDbt@bg9}R-&-{$H^%dh|#9gl31Rg2t@^CLlOhE;cSHH7kUY~=DK?>?kxb_4$VoT(lWB&>=(>P(@8LefNH3X0cb+x>3S?R66 zW+*8(_&=eUqZ<>v-R#|W?f$KJ@K;+%im2+m)mN)bc`d%6fjV{ENee07#?2)s1&M-( z&+%u2V7oXW^p$v>^G-1xxBw1L0~Ggf|1Bdvu9gIwdo5{EqFgR->Y00@R0(;!&tGEP znf1iBNJ&-rieC`~WPOkVMc zuL9nESVSt0==setTc{tLbs(XVOdR?71R@*)ANc3`n~6fM<5_PV8l0C>^TUl3v-Kd- z6#7ngEGE`opU>(r`L}HP24?$qT?ZKUt>28T!X>Z%SrYK|T{eGEqdU9jc-e(B@?^&q zXW58vp?N9<&<*LK`~fDbaBRsvx+_kQoy7Q!UVp@f4)B)m`|W)i_2^N_NH%L7D^Pi& zq=4RPsx~!mwG{uLYx01c9@*7Y!m}`0H*j92Jb=@75Qkljw25PDy2+{+%2yr#F?zSh z;X4+6$>**hSGUD(S#Ku9P|o;c#VoF*5{^@0$6b!^(LqDiupL@8rZNS*pUPxIu-3}L z<;P=ABtm4&eqP~{sXYk3p{9-!5ngW|a~IO0@hwgTQiLtc!199|_kBNzc8g9cXa*U+?YfE+AmkYHfjF zBiCe}fcszTqdefi4sbvSIPeqN*g6gwEMV}@Q>PcJQlSU^ErO3Xp91zfj?VwM)P#Q& zid#fo`6l+~msa2<^-QOa=s-;B~16V+L&jW&qTgH+JE+?EIPD4&B zQ5b;xz4tCG5<@wuTHV|IB*AFy@~QhnUk@@IC0#=ulZ@S49d!5K`mZ|lDSPVx>bvmb z@mP(~T5(|UCGbc75}Q?^h4S(%$;>}d+<<3(E=W1yLCf~YH#+U>P@9`&=V~m+Y^+g%->U~Hc_Qy%Ch(2Or00-iJqvXV$t0U}X zg7fCvVvRJ&Ej-9v7{Cm~!7L(dngAQ_sn$~6oOTTbzGYitiC6>+VyqoRWg**ndBx|u}2F1!66}s zyI*Ses5GP>RW({;vPD4o2BEATx$xQ=%$|)1j}WZeaU-$9#?mE5hVQ{z!|Me4fB$Yz z#5-Z2w{ySD@FXGzTF_Stwca_2`BN6@x?es@Mej56iNcLjSux}J%59&4ww1D(o0Gp$IMI_G5 zKtiY_!mdZe0f2;aGVsYJWT$m~Eh;Dd`NARYmcN4w8~YhwpgQ}LQx3N5L%H0zIpL_s zKo;m3QMly7MtoKLo+S=cpJ51ip8QM@k1U?Z0Uz9el?&`nXY~jDJ$1SD?ATGo_%o*? z;{3Nw(z6lejG`+!qv{V>Iv8$22p}m5u1OWq9Y5e;z;9HNK9*YT2rU2|yRfO(UnjVb z)hg#sR1h4RD8>f+g2+I?P>xs5+jl|$iwEH4-V<&XuB-G~za?3Vhj1kwK2h$#m{vabUe|Faf-NDlL;_sj6 z)=_BZ2+|7(aqD4RQfk+skxOdZLLXYAK{U#g zeEfQRyx)&rC@G#OHQ>LIa6+MO8>BuV-HluZyHCHSl(Rnq|M7KkbWcP)*NXjJIR=oT zJpN?O0Rt2L{ULv=t&82-%)%Ow6NC8IKk56P!jneBt^E(gSxTrdI z*B@liAN=o2_dxN#C;dDSe_xGgU5aR(ig=E|y47IXvlsHvesZgc?eRu&_ds!f#b}$L zJ0N7*(`VWfWZLs1<`?9{v-Y~GZiCbd&+4o)*0P@XyLQ2r;(Iy_Sv`DLQhsSWV{`6j zCTT7^;m|eL(q7bbjKgqQb-KOQDlqB{%I)VTP-kj(e$QCdsva@luuVD< zN5^-&R87ED5c_S_aR0FB+YEEv#H6_9~*%c`hWl#Tdr0Ag-Xrn15IGW$ERN>*Xtgu{$tpQp(t-5Cy1 zIup-mVgj<#*olDd{arDC7I$CUjK~zW+al~dRs3;J1KFPM6MGLiNkrQ%W1;L` znFF!Tr}fLIkT!hKlA!dJ-T&ldqn`fTON$>*z4@KtGk25T{{y+94vrWUBP~jXW_8-M z*;rV>Y#l=gOqFH5l!xX!KbG$oTUVN{NjfAsST-3_082=)zjJ4kI`4lkB`|0dJ!uHejIyuB|{(J=TL8ul@gvN#i)C#OephBT?kc#JqgFSvr3bs?ae~`hg69Gu;Xd*S)kDj%$^4q z`xMQM2sE;d7cO4e!pX|&_`X^X4Qod3vRi0%9S=^zb#wz_$@7!hr`TQoDn0wJK!a_% zq8;HbZi7^CYFI$pYTBj|p$OtQ@F|LMowH#m_+O@kUB=e3#69Y>eppR(XbDufQE=C9 zmU--aRcz@iR(7cHZcYOf&Xkt^tD?x2=BV3p>u=kRfD&E_>KOm8Nv7B>8Q35>H^wt7Bu z5O5uo;;^*RH_9WMD!?c!o+br7)3s|-mkYlNPskx6(}VDsn} zkXpMdMLHzxJ?dppxlMg5{2X20Pm>of@K|>MSAW|+-m?5~Gsg59D7METn&PephYqBnv$wYn+J z1|UJvNCD;tMB&da8M-g{Z?JB1OdS?xp~;7owGoeUR~udCE0dF%3bSF>?pNDAPb}Q5 zF$_j?XpQ_&kTkqcQWgAfod2H1Hrhts1xI&_`IAb9XlFph4%>JX4_|7sRkJ%*RX@?w zMm$|;?`j5))BoFf^Wx)YQS(aOcGh`P%4`}f$Wy*Sr7}|1$-Cy^d&nt>mvwi~y4@Cy zFg7E;eU3~vAUpr4T95e(WXO|-XK>LAO@IHMPBUMIWxs+e~u>ks+TcV;M z-%t9R9jci)URvL&{f4G?RUAV2Fs~c9mz`I1`;%K2Ev90sG+ySD2L9q!@tbm zThaX0-g6p`CO9KQrq3s)29h`}>HEi6DEk2(;(XHC{@|%>u~7b(dZYhI?C6MXV(BZ8sr*59tALwAeUKKJ)NonRsrleOsk^#E_& zlhkt{r>So2rw-i56Q&nRcHKS2P7dg5WRXss`FkegOpRM+!czgYJ!rDylk5T60gihl z+x*Q$2>hkRtY+vv!bhr4p?`vN*W{$L5ATmoD_v#DXobuaqj)^>k;HS}9%v`ML$%fS zHy;w8@xOIrYQ}UEZMDA!PF*}>7F;@S|H!2rkDtHZLe7Mkt|m}G`pgA>>ISwWxn!yN zLUm^ypZ)v#K7{x6kb`HdkPN-Y!%au1_v1nty8kob&W# z)}>7zgJHb0`EmAjw)fUk_0*MV5VmoK-|FL!PE7_y%A|V^!`J>v96#QPCd#E+-<5s+ z>7uc8hRckVDqH_bj=JiPFf(0=nT^!K5atyX)ak-OCp`=V1nPD!&n6bnD6XVqZ5~Ms zKkb=E(UZ>9*&<&UHHLv^IYTes3O)d3+ir1u+xc0#<-P2YFH4#$SK`=G;|u{qD5@`$ zeZ-bedoB?ei$t}ez$WWkGjAVap!zuzFW=74Fxc)Lh8Jg%6V)N!#zDG6>YxJO z=8LvN$&{`7^rj-M1Kk*nV6gr1yu!+5tdx4&v-iEneup^!W$rcT`jn^Y{L4*zCrgfZ zOLOITP_HHgF12LrjLR~o$%D*TYZIB?qg%>7!3aWg!QA?K@hT0bZ-a^qR+sPXMUWaf z72wC5-PBjZ^|+WhB3>laNL{c#j(*oanbR#8T3H{9vDm0QV`cdG_reYQ2+r&tx@KNT zU*Rjo8!>P{&;fk(*XG2VmcXe4)%ChA(_m8^|NU(FrTtzw$}TL2b=ic^60OG_;xcF_ zLI;;0Yz=kxrWb^Kf;7d2;q!yc@p}$bzm7KQ2?$yw0;H7@+%Mfu!+QWX*#a3w?Vpd# zGH;n588DeN{yl!DLb- z!pOpy+0zr^yxpUaL68OMa8bY_6g%MB=yAvnq&2Kn5QXNZ16@31IA@_Er9#j9h@9$z z2Rp%$=?_FRRg9H zlBk1-91%8pZ7v?@vys7Dk+IOwr38aBB(jn9JM>D`oH`IdgSv|cxC@7~(^v{;g#fjJ zTfOp&;bus*7&+Z@ojv=N;!VuFS5how7wHCu_Nn)y)7P01Lq2v3AMP6ovp=zcfsHLr zdmS;z)DO_txJp=(;FPsV%*%B|NBNAUXp|yARn>H!u5*Qp*-FxBS2E$zOsPXPr-L-> zXNnHz7W#W)gWyoPvnLul^yx za;{Dvp=CHO5MI@K>3d}Y6RQWPD1cxS&EA5{v{rAQU8cb=M+6d!Qq7fjDKsY;J)Qa{ zRhX2)<-9%YSm`pwq~KlVVXMaJJgvx!T2z*lC!acQ!pq{Unr%B$^QPJfAgX`uIjq0Q z732DSCTxaogH6mz{;7D$);xWgBSFEhw&2+;w{<#y@nn)@07*g5OQN+xPpa~dm8>g8 SDH_2ed#wHXJ`w))^?v}ywr<-1 diff --git a/CPLD/AGM-src/db/RAM4GS.sta.qmsg b/CPLD/AGM-src/db/RAM4GS.sta.qmsg deleted file mode 100755 index e020392..0000000 --- a/CPLD/AGM-src/db/RAM4GS.sta.qmsg +++ /dev/null @@ -1,23 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595485258541 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595485258557 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:20:57 2020 " "Processing started: Thu Jul 23 02:20:57 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595485258557 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1595485258557 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM4GS -c RAM4GS " "Command: quartus_sta RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1595485258573 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1595485258791 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1595485259791 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1595485260010 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1595485260010 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1595485260260 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1595485260838 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1595485261042 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1595485261120 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1595485261260 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.292 -92.804 PHI2 " " -9.292 -92.804 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.365 -253.063 RCLK " " -8.365 -253.063 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.490 -0.577 nCRAS " " -0.490 -0.577 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.306 " "Worst-case hold slack is -16.306" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.306 -16.306 DRCLK " " -16.306 -16.306 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.272 -16.272 ARCLK " " -16.272 -16.272 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.874 -0.874 RCLK " " -0.874 -0.874 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.396 -0.396 PHI2 " " -0.396 -0.396 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.125 -0.125 nCRAS " " -0.125 -0.125 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595485261339 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595485261354 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1595485261854 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1595485261995 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1595485261995 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 1 Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "288 " "Peak virtual memory: 288 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595485262245 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:21:02 2020 " "Processing ended: Thu Jul 23 02:21:02 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595485262245 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595485262245 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595485262245 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595485262245 ""} diff --git a/CPLD/AGM-src/db/RAM4GS.sta.rdb b/CPLD/AGM-src/db/RAM4GS.sta.rdb deleted file mode 100755 index 25f87ad46f585031adca3e6de0e2e346472acfde..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18289 zcmX`S19W81^9LGivf0?SZQHhuiEV3RO|Z$v=Ek-$F*desYr~iC|M%WIbI$Fqx>ePE zYd+Ptx@H;$3=FIa4*cut`t=e0YBkMWT&*1)h*{VenHgD#Mcu9K%!papSc#cAnOInv zSeaSah}FzpJgiO4iIq)EZHZZk)ntja%mKs_X4Ytc8UaU46M4sv3EI0z*6^9>B1ld>N?zz=!nI>LEbMEG0tfCutnJd&NA9Z8N4 zkgbB2twJ$(&Y-2k$MaCp9hA-Et(ZMARyk2wSvlP1dNh^I)W5a3xcIv5c(&eF)zTNJ z^)h_&-QvV7qgNx)>vtBB$M=?Q4g%Bk^Q-mDohdA^(W9L!*XyT0Jb{4_PuEDfBqA>j zSD!5FpF*COethb?bl?YSCn}x^`~n$|zSb#}{YQd#8C6x;Gow|`pT{rk-bYgdGR1Rc zc3R`fx-J3C3d0*_mh6H!u^n?CR?oc=QVq_mnMI?=%ttqTl%pcNCqxKICsyof{E6_A zQtyT?+<1@I7c#fPo?Z#SlBGFkRvZFFM~`9a;1+r3&T}QI(SLHOOjJ}GH*PRc9C6>V zN6AiOFRwLOGZd68=Jsoaq^U-CyxicGwl7T}z9kOKa0}q(uM>G$1}@`GAYQ)^cY!BL zD-IPFIzMT1jKiGH3gsZ6hv2RZi0%blnz5cfbj;lo^I$(%44*ia%k}%dlPL2n64xho zyRj-#CG}OAv35MpG;%2rrp2k-3nvVtY20jDc}*|PGIMYMx+~9q^Fv|a2Zs_ZXBuSQ z551$&XJrZD{-Hq)4BZd-k%5^(slbSPGnT1oQd*qi`Lu5~edS(*F#GL83%xx!iQ-=R zws-R5o;MORn&63}CLRoF!`dYVw+Cq#8bp0K3WJ<8AuQIY%5NN_$(5b*kDTWc(K2FV z(~T2a$FM`f&$tj0)BOyb4DL6>v4|%$2hLLuhWS>m{P4SN!-*9?4aMkz$SPFu-aRrq zXyh3@M#4ft8{b*i%q!CqXqV0>?@w0g>l$i@NpQ-=*HqE@#-6 zS|KRNKokVOK+=N`cz&VpoJ|i>#*xohm|*+mq}oq4!4=~h&YsND-;Ilgsr;)3%7qV! z_POm8H2X^E!rVU_oZ381sM=tf;e7bgS05xW(K>@%_@8v2BSOo*Wpsg)_5}yR^bZNO zio+VgeqO;#c41LE_4RzK9-?V&I0;Y^kZ)FMA8@M`Iw$-S^;>K5nUdO0&G6wxU%W`( zI zO7eRAar6y~DitT#6tGK%K{-zMT?%44o=Rv7SwR=~toUvSQxGcdIoE5*1M++sb=xi0#hJc&?bN%Iq!Zq9RqoMIZFXlk0wXYk@ zZx-3Cd#YkytF(*qM?r$Gc`HvO~4rp8oso&;%nWt#}<|tZH z>5Tt8(z^-~IuXe43(Xz92MdY2i1Jx_9Amm#IYtjWUQhLeCy@oq&zgd&`g8{BxR8cE zF&^FUcAwT-k#*p4ciu^E1F+Eb+bZxGnHY~yFT}booiZfKTLqplg`e(6o*5#K8h2CG zYeOy{5z2Xo3-OpUM$0Cla#xRA*pi)c@Vdu^+3_}o3Pui$caiVp3Hu<#CIY&x~NePyTIy;+f^v;$(b&y`%d_1EF=H$E7iM zx;~C)1lloncAo20l2}BZTpL5KNPb1gW<>cNUdFmsFTeS{LT%chm~EoW~}E^jyUgIgAIR9r_;Hbsd&EaTYzwqmZ=jf4TzaeQ_H*1 zGGg5wQqC2318hvP!=ekVw22U+9d)WN|McA^gE=mK9%=nF`}48YjeK{mnn#NWW9k;_2mN>M@bj?aX`8Qm-?y(aAf3L zVxq3oHl~okdJ{FwL(xDmNX55tOyT7UWNvkM3oEx@??i+qPnP3%{d6Qs3Ps8}r#CL% zfNx`}BWNfad!n}Eb8u+t<6_77qrs>~0dG;|g#%ki+z@BA1uE1oe(n0ZY5V3V32t{E zN1`=A5E+kUGKRP-Wh6@{)`BPKAckSUThQulfcum2=s!?i39 z0lotxw%>$HFm~Y5ilL^n31mq7s5*05urU~^QBzptot{>!$);#K$vfCh@yJl;809Z_ zu6G&IJ?OY>&%}a#d7iW7TGGK)YbJ(9gYQ)_JTA4_dLQlE&>7{>J{$&kb0Qi>3TXaG zC*&l-NdJ~*WS^82T=3BON56AFfto$^)uJjEW%$jfZX0!p9F`&gs|NEJrUQYQpD#i`a-VQ3iGtHGWW$9!JFCyG$A7b9|)p`L$Yl&kQM6N+Ni9EP5>k;?p z?qjA=Ky&`%T&UtqfQ62(oe+0U#kTlJr)%K{Ba9v7p%jjZ(b?P|8IwJOpq{Xj!h-rq z+^v^GJQl0JI0wiF#!q=FM3*G~FlYdJx5HndixJcj)Zf>XB>lNhh(tALX7UPqjZD@36}%8VsctfzGmtrgd?FVJ$lQ1iBXUEAl;-!W%Vf@%T%KYo8IPVV&U@Uy5pj^% zh|YiWsKI0Xe!4LK)Bdaid>seq!$dx(aywz?!heAlZIutWh`7CICYpJGpZ@SKJdv zE3yl>`RKH$i-i7^(kmD{q$gUGxxFm4#GwmZxPje$oj?(Bj)h6-oyO~a*@^e^Pmgj)OV=BTLjiOaAqWG-9LvjL2Uo4Nrn0FCg z&pjqfIr0XXue@J=F119)XF4}-2s=%_gX>A^rxnrF6%jJWH53+FoMU%ni#uxMTB{1+NjLCs7D4#j3;=FdXfA z=Y6M9oi-obl%Q_tb*$%;hqj7XgC*o0!p+7(hx@U^H;a;~$4sCpPGAsPkkA0ud1S0i^kiAQ@j5v-zC5i4~)~p$^z7w)grv z&XA~Ns?I$w(qAa9*eBnw?W_8+X^dCl?}^k;nZQlZnB^A)l%szAdgg=ycm%Vlj?WEpH%0oo&Dz!;6jgvqWA;nhGB$IaMD3XfD0J4zD zO_$QnR~JD?-NagHA{j?d)mb_mO{k7ZgjHMVbjca22aWbze>&Oqf32q*z%3TVPT_jkWiFvtY#Hp2Z0fpgDiU|X*b-mfFV=CJ~TE$ z7AAYDw`jFT7N#yMi+-|`a~1OchM{?P)HA=IjZ6J-z)opnOIov0O|>Ar+){pNPcPFZ z&&HNHlJ^*D8;CF&vu|*XxMCYi=kq+qcB+ehQGk6(ZijvN!KtRZU7%7Bc2|t}*OHcs zK)`KgEcG}atMcjw=9fS;h}vg?`o({9lh(&de=h*(FRmogmyCYkAIa#a*_V#vd~5$A zon;i$<5$NhH(;XKF) zi|3HQ1K4CzBs%>6+i#0})jHrOh{Y}_9U7RD`+*j= zBEzoe?IqRggl{|GddoO4m9D%--_X|>*2jJySHGbK(-`mm*9bq4 z6ef+o$9x1P#B=9fLcA_Lk04k8`lZQmwQi(@J4XcU1^7}v4mm!JP6fL%^x9~Y4 zs3u!l6a8@Cq6FEYcB!p|#17A$RuW}@L7H-)We{HA4mL?NlbDR%&Be8{`>?B{?jK?Q z>8hH}$%w8UL&z470QWdUG7cWRF1^C#GKrdBdnZ?PH8~UZnqsv-S~)tkvS13Bx`oVvAJilI4>3XLN`Z-zyf&W!nB# z%RU$^xD=56>jV?yr%9t~a}v$6NFor2!s_93P$g0MG}Qj)ga|FKs$o?8BJn=ISbIX5kVQpf{# ziJFzp#(eZUkY>GmnaJY?Ui{fQ6q%g7JfS;EWSbD5z+p>Fs$(s;=qk9{B#+N01Vx3P z?|kzoK5KxGsB-UcgU^W>#P^#M-;ukyhCSO8?E#~4W)P&BKO+^)N$Xn2CJ`=wO%SVw z0e;7n+(xQ;INm83RVLB#cg=Ly7sNL@E$W;*u36_N>M%0@lVYZzj%3xgN~2!ZP`xpF zkvB}Qla(I@VTJbTI1lXB2(IUmK1;UEQ>Pk5 zR5{YLm%iC(<$lVe2>xCekJ=?^V~{uChLoovv?~ec<2!n~yh%~zZm?OutOOF$Z0&BZ`^0b}ZA}T${{D=xL>GoVEK#;L(tg@!b2b;Lh{f`~bF|sqoD9XNW_z&{UhmX_`MCPlftgv4@=D z-;Ri@!tMGi(|2XKXh9;iqWpNNa{v@M>ig>$a6eO>GF%mVbB4)zaf!`WfW7?_>Zi!OY4c z&zwaz>r%GJ(!^4XGnJ}bY$I+H4cS=|S`V+qhwY_}YNhQHmOK{iwgbn*uO+wOA+@I^ zuk94aU-f5YR#$X7`G{9Sm((St#GUgwRIIW&y&n}kn7U{zKjudPT zHvBBvvuqNpC0gnp*nsq0`6dmTUF%ddkQF(d})DV2plCl<`bWz<{+S+3RNw z1TRD(x2eyS_0#*D)tJR2um?sJ2=(9H&Dfs-|3g;hQxqT{hA_3sW0g%d+a4 z@V6$IVa|PEg~u%0i}Cs}5*5%3FZs~fB%XiN9hU{v{-~GXT0YaHc$6DO1RC5k?u%8f za$;6;+otlO!@iNDbzlOu!$_G(C21e`q-c~gI7?lFGNIZ3HLKi8 zl`Eyz3RrxvDZ=GQkovMe@R0gJA0)PWABRz4TJKO*Vs}drJAt<&SRVNV_ z9%UlVF;0(u%z#M`@VY<{EUF?NTvQZixNUG`Y9i8Pf-c#}lC@N$wSF8O6}5zP6bTn4qm4}KV3!ITC8I=8Ei{f=k)R#c;N+oc z-(e!sW)Db2dnC?VhbAt^-#q>Frp2N3$373K;`wg|@_j1`&$ zIR&+SwC!PH=?X?$4eFfz^NSGN5LWIUF-c*mUOgsAuF!zhHbWh4inb`ps8tKbjRj;UXf z6u~8!vk)533d2JmKJ$q!_0z-nsm*lzTWzi?S^<~ zm3~|&_LdYi#E&`+8xJE1i^E>?0(SBhh*iZ+d#0*N~lKxaX#s;W|?Gm@lK^Y z*-SjEr4TZ(!DgeWfi)ID-oA<;1?;!qt+W8WxK8Ml+KE(rgEMp=#Hh0|Gc<@Y$PB4$e;5?)p3aN zY**Uhxi`!g$b<%tfkdIo@{p&leC3?lR*R0IcDMLo6>3dfpK(@A;W#wFk{(i|zTK zqz(KVzE`>1ULm>COFC3&49X}%E}1-K*P${L!nahgl&#PyPjdXtFU?)(VBtrGmNdnd z6>Ju@K)pw1k7=|217O<*t6G)jqz`?aAFQs;ywp4=GpQO@P(@_EfOJ%CqiEAlN-0S| zoBb`zJTjR^kNBj>>9YLP3=TH|g5CZZHRdL%57@pNx`hx~EkFHYO^Hd{wnCp=W~oUC zBBktR$x^Y5YOUe3{L|Bx~UR^!Rlu~5sp1!aVBFYyP*+Yk2hgl`V38?&4^P3aSd>%o&%pA!Tj~SIil3_-pRAqv{&Sro* z5(p5*afh@>*N37>(iRcAJRVZbOqws|BXuRg&&8;z9rzQg+F%~ff3~eMv3<=Z506E7 znj5njmV#Chg0j{|7qd*n%A$YLW&-$HBb>lb!=v5>(FQ1LGf6G_LxoHLxqEai$@(J| zlhhEJk_h&aWw5&zws2T>Xa5=Ti{!{GX;25*BP`>#IVp~>cFBtDz^TSqCFZFC) zQ#O0~n<7Z&G-of!B4jwd`xG=`#IboO$pSTw*o zNFdxb`omJ_V4|x6;ww{|ICmoFw?M4UI#SZj)cM5p{;bW)C)jJf!_!+Tf~o?|rSF6- zH+WnURZy`G^Z&LElm}pS^?)gCS_~Z2vWpWMSwTt_>iJz5pQ)qo92O1+F3U_S0*pnE zBicse;sLQgYLu#FaNrl*gyZO;xiXHoGEYc{`IU;Pn^gbU`?yIUByu%M?j-2Euta2R z=Q_3xPUUHoYi;Z}Bi0dZVAmaXs>*WL1vF?76o>Ac%vr^n;5@%T#IS?$SZbn-reB_T zrjG<3Rd8(la0}qzV~4b1-%RY=c=~SJTF$mvAK5hm|K1ggVmrMXhy&rzk6#y_?#w(i zWW5|XkdQ)BTpF1Jt`Mas;Z>a|3=easevmM)q-C52vE4=IWBOfBhh5w$6}sdB}ww(&1rK7zC*v((9)RC{467 zt02$)1rMzLXiJFaOrdG8SOv1J&ssQ;npbfa7O|of#%2yd{LKC7jLCG$JYVkdS*5Wj zDsNA4JZ4)PR(oF%q$k8>@_vU4 z$chQ@PLgrx07haKJ&6Riq@O1)6=AqnqOhQq3UH8>(_K&cvf~YgO`h*^Fg8!~ zapFFUJPdb?-meVjccbyyB>kd_E5`le_r|nj+}NR2RQ_<>OHt@h$t?K^CrVIvl((C= zGYQcYt|B2^$)k0b6wp|@ z(c{?0&XHMI!+ItGew^5Kypy*mEV?mFeWtAv2uzdZNg=NEBGv94rBxrbKA&{dn*F>$|gNsaOt>4KY5D~@`$tBT~R^C zB1t^Tv@{vT$ovh&k~oeG^KsOqsBfOh{knh&WZPMkkX&;FlcB{4IuYI}9Mt}LOxfF> zZ0pXXnsrSVk{V9e#5ZB!toy7*gA-_^Rz8!1=I`AGy`*PmpRWDkzPIrP+F+c}6bMvq z(Dwyt?24%zXky8(6UsM@MriOKUMx+Y!6C&l!A9`giC&qmWNlRU5 zkT$1xPCRo|u1P(k57sS-X1)oZv!s;SNa)=7P9%?%S#LkL%LFStdQf|3YXX)f`Uc+A zDf`-MjW&?A=H{>M@aJfUsndj-s2*I#^GCH~15D zF3%GAYs$(TR*yB$S#LDVZ?#fh>N*jd2Kv{!ekqQNbYns}9)Lr){)4||MTsrx>v!Y* zL7XajVgSRQ$Oi|SFFQWVm=+3)3#dNxBi#wa8rLdG=NJ&j^NP09Dh|#LRH35aF;Zk-rp@$S`1C4qSFcwHJWFX1&q$lhb}2)>WZJA)he=O9d*v z(B9CMy|5|~HI&6`fsr(|%&C;RtRrm1(*??ZuO%{`ljQr=&;;%S6fwNt7m^X27V~LP zCUs&g#MA1kT5gLgkQnz12?%(xQ?1e$G!qK}s7Bjo&(D;0yRkL!jD zIbD(F@ENJ*a;uTv`f5PrN99Aqm^!idpdvSj*@C9gaLmar_|R`gZDU8G&pt!JY{b_R zd-h^6Q~#2x>JzQp>b_Qc2HAcbUHiS3fV8$1^8t@97E%>0LP;%itqcwK%KE7I(qb&` z;CeXb!F@3roOFeSCXMO{xCW~-!RB4qglG5g1{AOlj;H!jILg5=4NJx+`iI*ciCH34FNDq&x+$*#1%s^ zfrT{rhx`pOqX*V)fkd3anMv$WQ++o&c4H!V1yY6yZ@i$Usg(*geF#aEi@u`%$QJ_a zS(h458%1RAl^@gEmJLQ?FztWNHsZ1;KgCx1yX*iwNr-eAR;{h9eqAM_3k&O3=Q=85 zk^N!VGzR?7g)})kF^WHa+rP@&Ts+sB=T3*(Sf9&LzbP_jJE|!TTuT^nyg*SlW5r=I z{9J0xXbg;yu@Adb>D*YHCe6ljBuP2634z-=O9yv>4o&ycI$CSAz5j`m^sC|P!BXae z=^OA&TdYk-dJZ&wewVd>%`ieUEG5Kym1Z2p@Gf+D!< z%NXLtM2co&Z0!H0$dJp*TBPx{U!?s#1!?4wLdbbEW8=Kyh?;Sm8FT%+vOJ)T(J6= z*btc^`+2EV`Nd+|Pl(KLqBT1H=S5An#^X1bnSVbUt>QJpQ;v6_t<%?2@%5?k+y93u zUXI=Iw4eCPc!^AV)bI?e>l9Q^&_xoK^;WtVt3q!Ow(a_@Uy?*Pi`3H`jWBQAXMV!E zX3Aed12s}ASGoFymCok^k%W8+1K|FI*lhjKp{6J0-q)W5S&O*H#B5m?Pp4`*?wN>< z9?dExQl%=rsjrjsB~O*{M17z z51Qa@e_tj@{FQgJ*K=5wb1=rit$JL+`u`&g4;sOUeKCpS2Hbs<;OvG4efCf2ocD)t z>Q2mJ^hoqG()T2jIYT;^mTDSKx&j+Baz~5Dz)XcX4Wdx9F0(jRk&)v^-h=X{F1U6v z-_EL0ff04AnK7fT1LTn3$pl>~CW8?-Ha&yqBihC&EJQ=NjrwqKTE;y|Iyk?W`CN9Q zC&OH<%nP8k+W~Xs{bi&>qftyrX)9k)6>Q{nP%_N_DN!$*{|vz;Ng0U&nLsJ?LDZ63 z$>z-CoPXd~rsQ!Pji=iyjQPu5ZgZtoer-M9 zB2_HPFs*{f)?X(>*3pp9(Hz6W}Wp;gS#a<8<$h*-=dXTo_)qx2M+ zcji%QQYo8JMSa8S`U+PZiN8PHxi3d#o0fLeF_U)DbrXTk|1Hi8fFv& z{+ocrxFI399}0tvb2NDCvVj$WZen@Ds+mrxWSD^iAw)xx^hc64b@^LYlK#j0EfvE zK%RxY){GP$A2dUf(yvpS1HUi%UB+h0#!Wiw-mDM(TjUHUniz1XObwBzo9;JuP?~@k z!jaUk4hUTf`wa1|ue}`dBFCJPh47hNW(JF*G-hn@5{w{jEe1$7w=dnv&7<--;{3`} z$C(6)Eo9D+T6jL|#w6P%ZN;#aa!+779D6b-IvvXO#aOFxK^)C?hLn&8kvvU7FQV5P zU_WLSc^GKP&BAGAWfnQ3Ulhr*Ed68tZw?T|CNmKQaXWdx;gr>M9)~NKFHAQQx}59; z%Azn62BM1>KZZVXuiylh;I6?dLgxLNQ>tx&wVEdwYqkB#b|I-F%D=h3JR5+! zB1e))ibW&bGfzu5T46I``UW1%WQiEZV-nZ)qZaQ^sK*1WIBa7fKsu#hPTbu^IJc)_+Ne0 z97YzLcO(kls4RJttb#5f#hsTm_ms`!H|Yw{-n3E!c1W1ZHIDw;S&MAeYHB)vx{w>x zk*FcSZq`el4h9X4#SnpNh!o%=t<78r9ZS6}A1>e5o(5mX8A{H;z;Qzi9(5xPu~vyN zz#%3t$n{d^WWQJ^#e&JQLiT<$iI&9l^W(p2<#K7DlPRd2U5|;7Ja6erIY5O@Iu@}N z1<)7XmUq?|qW$IQO;VggXmZVb3UnL*-UwK5H5PJG4sRM6BPC@Vw>CVh641qMH!_4m zH3+-d+C7uQD!H+>LMgPqfpfxLS1#&$jfv8hPULjqYm-xH-!WfgssNe_ez3ey&7N)4 z1WrKQ2A2TN!Teif{P4@3?lo2b2s0q|Dy?ytmgoS;~XW;H)kVf)ItW{N6e z0#?46p`VhI6*{aS5ErzYmIR2L6p{MN8@qUrw2@+!kat*=Z8Xk%CfuF}_{ZNtR)2t{ z0ll2IYB`t~U!^;HOl9C#UHA?jGoVFI0tA|ZurUqSP8LOV27&XODXW*S0i%9cg1~!&)cveKh5V zUn;-h9x`T59dVlShTSWBhh!>}G#NqK*q&UA&e4#^{HIuVf<8Nl^d}FM$gbZFwv4oe zN8LzZPGrV$fG6PsQBy&S@oo}-UBw}1_b_6-@P>WmLQx?wm~xkq03+ld(e;GpcDa9^ z<{_Q6xwesoXvQvPHkC&mAM-Hur&hD#1%yBphRp@3Zg1+p?C3>nY&4oNp9wV-J}6Vs znB@Y)Ikm_NmPf`Ck0I<3V$d6@DRpFr5r%1u2%8QgcXJxSGmdHar=t1a3hzO-lIU5H zz=T0BTACbDj4;fkRsEPBEcnuATR5h6cjeTgThZKJ)^Ws8{ZRI) z=m=Th{rxI#&9YbDZgquIJoq;5-+Sm^(3qClhNO!&O#TF(nbz`Urc=od&6W2_#8&WI zb5yphqnjVYHEX@O*xAEKH73IQ?)yyn==+ZIRZ83eq0t<9CeyST1sz19AMc0C)#Y^eC(GuLIADU zusZd9zABSMt&kwRZ97&&i6KcQIOr^3z|8(0$@ggL8FCj{j;(RScrrXyN$UU@?l(a3 z&RW5Y0dCkD&Sd3*F9@27TqD*N1zG~@*!XCiEpT4rEjiHa5I-TVK|Jua7Pw6~F_xNk# zyh=)8TAR1@+4u#%2x0AZIEC~%7MH=K?w2|2mVhzhtBy6 z#Jw%vGI{DAbr>b*<|(_D8M<%VLR8KWn31{UjIX4m2uyngZGGx;foE>4~Un4r#h<73Q;LYCJt$a#n%1chEkfKfUiRIRxX_Rg@ zfN_Wd2%C7;HnrH3lu~POmJ$gvydM04=*suWhBccS6PcVgO}H)_WU&}W8RRVX)DkO!Xl6q@n`?Q64D?q$aGY{50Pr$shZeqs(Q=$WXtfnxUX~%+f z&e(0J3jX&>#e4Pv@ce(}%+ZCxufZz#Wb0VK-N>D8W4FSnnT}B$3CZzeJem#l`9B+C zhFr~7b}HKHpdD@XjjYc33Va+1JONwI7ys$7eMPuQ{}FCKEH){uzXTm$2P)9saM?T@ z^z@9t1NlN!uAt6x`2OxyKmEFmC%`X;4O?w2c0MnOIN>IEoPd0jzYqJkCfmC1WQ;7` zulURBxLK{UmB5&a4XOvkUS^JH2S<*>A!}LPs?M2A!#SIS@45_^v4j{#R(x?+85pS4 zOd-0EmoSN|oA5#KJ|xvl!ur-)>$rGd!lvN6K9eF7d04xL5THsUoQpfr^)ZP`!Ei%H zjnk4b9WRvA1I$@k4c$YyBW-gy@lIBe=!E5zh%k1!*!p5j%;T`uWl`K>i$Y2plOV&$ z7=|L9a?Qzb9rQ3L9}>pq1dB3<@#U}4vGk-1)hjgYRha)zgPucMf-ah&2)ts7;mIP% zkYlO^xsuelT+hcYXdKyyx2G1)I=P%-Tt|DG6l8>`A&Y*vD_CS$&R)6LAS^U7NU6${ zjHj8+FpYFaif7%tR^U8|8WNBe6AMDE)a1eJAUXulStpOV(_Q|bxWz2B`>Ok|aHtm( z#^xlSG7S&65Ror4;i^ncXDO)-y%K3RE7!ZO@zxe7iBrOvMqP(#L?Pp~u!Qt9e?Ey9 zWy?0|8Ydw%RzAp+Us@e4Jkf5V%y8|;A2E_qL&j&?Wg6jEJqD{7f?}pEI;<-5Grf&jxsLkMZJZ&wTxyjJV$1UDfzSyRrazf;2Kht_IvXR_PAso+A4<7Cn` zbDo_>`DZnOpi~z;7>ZF`fM~(Y32)F!6_^?(P{)KU+otZQo!tiIv+H313%5SsDCuvC z`3SmvK_7|()Q4t)3pjT$hB>P#@KyJeqnt-=JkaW#Cj(E|zPj%8I_D3-Zsz~E^o)

eJ@SK1j|&xx z-0%~TyO& zOqk|l=!;Yvve5R`f82{^Ig+Rkm+)bhp`3^DZb`zQ`V4xV(w~R<jJ-6)WBT~M^L7b|{a8-`-H zHSyiRe}@spsL)(pgg~jg-%Zy#YQLGdLoOXJrX6U$a}2#Ge)i`P+1$#ul~!O-se!)wF0wqO`De|ROkWYs@vjJf zemm(LM+;6r+DCtyhbUZeJ>ss*i_?d=XNk+YT!hNX;^NR1QnUW=@`V0yJV?7UKI+YY#Mt4s!WT zvi0r0yNn}bxZo{W4u8bH1`4JxsJ3deiS_B5L~TXp>b4o=!*%-x5iZuIGzl33hq5R3 z1JPYE zn_@`Ay;78MIYYKo``Ryi!xOEPO(CVMo?pm;S!;~ZBHW*Ot>5V+IBTs@eR?F_glJ;F z&-F~-LAN1?7~$(?(&yA*XG+$n?-8JSc2$wgGu;1FzMGH8bz!XgCwuv~S7XY**)eT^ zKR__H0l~CU$0Oq*4Kj~xhUM>U-WT{2%2F9IqtT5@|nic2i9%UN^Q5N{_l(e)wLGWiQ?A%ba1c4 z0XE>fHY;Pw1wVfnhI_S+quF`~m(DB9ioyl=Nm$+BxW{*AbPfq=hWC$ z%;y2oQkr@ttlZ5nij8-H?iU%2zsVpO`=cd1nVgKG^Dz8NhpvP*S7ht8=&@O_Ea<$EXoho#x5niUix1&42J@zZSe-ViEsC6BJ|4{YgC4#g>o|%h^^_{T_K076bUsObiErLUMmy?=Ma(u@{s8lHxrM_+?p0kcg zspOwkNwzxk)ep`S>=#PF%oLbv|fE;=B%}*IYqGl_y1FwYAw(N z{J$#Z8Ds4BqhEJmZ*T9{>XR!Oymv~NnUJ_fQaMM{eAqhCpDqho?=T6)}|;$k!}o=1b<6PW6KDoSK&F*}jH@ju0sz=Y6MRcydH22bhLRS1js1{R=U zmK8muc6K$YUCil3 z2CNwIlbbR<^}QS+W)FBP@_&%@GNh^M#C z!!w^w!zehw4DUwA{mI(YPJ_A8-LE5JeUtw==IVAWQR?;o>Eqm^nNYw0K94)hr(E&aOqCCV)=0W77 z36AEbOyX>)++4}17zFPcuxW!+#vh%NcF~O=4A3-*L!>W(FoDj{Mtu{2i?ot=_bfqW z)b9>>k(;GA&&4f&*1T|Znp%c-dEOxBOyFB`03eb3$pjg?<#8?VZqOw;+&%z>$%}sE7(wE#l zsAWqLmD(YsZj$w+DE|=K${B>A_t%+uDUSSdnHW_Hi}BnA&r@uischG+w+X}KyIlnt zbd5nU(9ue5#EZv6HC!Za?V(>&Nxy580Q!z>8GMyy){h|2;6Mb;DuSiU!`4IK=}YFm z4?>ObCn(8yt<$#!VD@}P>tWS`3NQ^bp+xG&XQoOjj4FjvA|FyJ5|)J;be`&gGgW5c zXsWig3$LNxdJNTNT{Fk>Uy(jHzl=f%8=VCcbU6Yd~3&(o&eiM%U6~m~= zEO`be`>qtTqHSw4{HB`r1bYV+c&40Pl;>&%*yabDbb!-l+-G_5*EHY0DXOAK4lr3y z2<@%+g9y+4#40?JMv7M>#tZF_uFuPTAy5lo*4LKagf18Ors=TpvK3 zCsGR(YBp6x`8k*>ajWO5KSrapUmR`w2}rxAo{1c%%Nm64Er+2|AA9m zot?5K%2aX=nV$2tW zSCR&ba)0=XP?Zv|zHK2^-Z)YG5r<9g!W!tCzDG698ZO3`6I^C&@7)`H{bA$F!7*a( zy^hQzHs!3G=ZEgq`ag29rXt=n;vd_!L2*a#pEFVXH z9%t&MO0|)eqcdJb#KL{)`sewlbdQ8I%LA))MhqeI<#*>Yx&iR<)7e7BGQnORI9~nR zI~|Yv9EJ7dBUOH4S8I3m$hpU*f!ZW;!md`s#FU^_nIQNL+V6Zoe_@)o*bo2^i_@iq z(V-EYgMEF8n`=d$V~&B4k^*9_5MP@m#OpE66BoZRx`_;Y?f@7(ElKf-+eSTTh3ihB7LbrOuQIX) z@a|0~7!pDV4kd_*4P1gcpyl~?=yRo0nn0ie#I7#;QV$!gPw5V=I%y)Bz%9VYO;)n( zOX_WwsUw4gpggbKbBb?DVcrgDok#6~229MarXFK?5V&i9gK`QIfg03i5}`F$_dZ<7 zcLeWBWkJIuPhbI%H)oS;DQyo%p1v5D)7-ZjYJ8~I?yT$=wN*!s8C!KXTH`R-G}mg_ oK4BcD!C6ibeM(AF%5PqXekN5}lPp3$oLP%0VyS=sh9egL3mF;$+yDRo diff --git a/CPLD/AGM-src/db/RAM4GS.sta_cmp.5_slow.tdb b/CPLD/AGM-src/db/RAM4GS.sta_cmp.5_slow.tdb deleted file mode 100755 index 8b39503079d547fed3eccdf174a91a6c6fb7e8ad..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 36337 zcmd41iCa?5`v?5AxSN(s?pj$|no^QGLc8@?nz@y!JZ5IOlM9##nOo&nS(>@fZfP!M z<_-!fl?IiWJ8Oz%3W&-<5MKIy-|PJw-plJcbB>3(=QDHWzVFXxW;Xrv&p)+lYvk7i z`QJf#=^uV2;c{H;mc3xxJ+^zd989_#ePN3o*lx=n2hd(SklmiW;4MDkSCTJ>g>N|( z8Wy!>?-rkbw*-Wr-*V)_b6I%C&P7u#m>>q|d=E z2Gf2ozWV*pbaZsowJEUH;i!j4|CmR;gG_ZcuWIZ{RGkA?GC5pPl`0J1d}e>lzXb1d zfzr)IF0&eevgh_$%R7I(ka~?T9x|J}=n`kWKe|zJrlvc?%a!#m404CFLIb@w2Q7Oo znS)mHQGd+%OeP!DcfY_g#(i~!ihyg@!W&!0mOhD&nT`oc=yaB31y0d>??u73O-hfu zHe-7b?x~)zzWaTp@1Mp(3VtTa@>l7A$SdFSHwq%FNoq5>A}Zj&kX%Gmxlla#o4)2E z4tE-x&LQxJA;X}2%VEkd`@xf9_YlvB!y<1`K~*UQHkAs4SFMmD$(U9|`{eM<5n98d z`}cXwx1?(Jau8%Mlq0%&$;G^_;t7tKZ$8!A@+72(&4;k|hLF?paY2Mjg{y-d`*H`x zVwYjiZps_ z{4Rns<_V*1qb+6pGUnyVhfOAC-h?-1f=h?Rj=8BQ{&yK~*(juPRud$HeU6$Y7)a?0 zGTbt_YiQfeQ}jVIKU1p9%KBAXg} z%%UZOz94>a@rHHS=-!`__FmCP06<7>(_wXd%Wo@J`Sz0Y6U~7byz6WKu-C9lo1TZ) zTET2=z%{Em@e2)g9G?`ir@oq`ET8^ZsrKq)P5yV&AMd}coMT7%_3es2Z38!&mpuM; z!a^F*XBJ@c1^2S)dANV=O`lhBt%qglVB7PO3BYKj+b2fA}`n2Xb`c%55}RL90Uy$=ZBE9t{)B0DBCg^od?o7p>Lf|v6m%jUdM&X7@` zY}?GhE^*XN%9lR-5}@8thM1ZVOaue{*`UY&sCLK!Bbb#`jjcGsP4RWHUuPu8e)z;;J9T9`)3w)q^GVongnc~A4i~v3`*k2_&yHcn-}nYs%Zi@ zqaNd0w?&%PN1zC{MDMT>>f=K{kPL}&U`f{=nqLe&-R-OCw=1icadah?;cA`4e4#}M}yLz^4}Qcw2%FNVoO5}!PRpFTH)=w&BiHKb{7 z#N;t&bNKVt5)>&;l|2au-ei;craL>lu7hdjnT|$W@4iB|o3F%NtKxCKa1US8QEC|4 zk)sA1;Ej_|g_|(fnASOb(;brkNL)4coVjE_iH$1M#bf35eX`{B@qg=kOFFgx*5A$- z+DSc`sH9swH+9&#HGnj=m{*|=x62bIGTRNMhxNIoM5F_xKp4$*wQyp0o%FCzF$HvAtD7rx^jC{8noqc}7S4q^tZG^@Z#yt>d1M>Y63 z4@pAB>Ed575gpBHaJOy)?kX{@t0w0rq0QWx22^ z(QZQU&vzw+jsKG3+P+2cP_4kTkw>bo$$dIh+DEVjQY)s?YCe}e6H$B zk;gr@3Aws=t?Xo|kD=^U7w#~+uqt;&C*>4`d&9MrPakUQSvnhR%#m$eBCgq0^IfNauE9UF@|8u0ASXU%fbf80*QJJ#3aCNp9m#>_9T#{lZ5O|Fq1w+T` zWX->y>etm3o6ViuhB8&mk_h!mV3D?w{U^4{B3=nRr-cg}IWMba;S!sJFNcC`#h?8z*Q@>Arp5Y z@r546O~?D(6Hj+$hMmM9t2vxUAu3%=Y*RJ6%|vR`U>UfoXK@kZQD%t6`kjrh7GChZ zzO{9oOY-#Z<&fM$q39RCcn|pj8wAYYU)1u_oCFV!F8gWlOjOz}AXOimnqEMC`pKgY zMrUurLYW|aQx0`45!cU9Q^8vF+Siq3id&|OZ$E5v3#zGjjc^N>4C@4>_rH5!<#i#E zqT!ODXaYS4yC`wIPRV?@p5DKAzJ)xy;_+(X2A?xL#;>e~TtJn>`c=76r~%RfkxOM* zt8qeXFrbCGmn;7gxqH#$4JHAbFabv+l9yix_JEWFEqo~`PG3t+Tb?mFlK;}si33U z5IMGdkd)-$J@qf!T>`Ox4@M7n(N6$V*|=A&2XMvyX`2Ij$*E1~`=}I5$9JIY#wo_{ zZ|CmkZYUg2i(=|sjdZWBk;^?dU*}!`o4FbV zVj2?|zM{)RNd@tR5$NHsQcP9fmFs*ZrHa)anC;M=>2#u4-;_NOumNA#UBA<>T&V_e zk8dt*G0Zihboxi%JLKm~jGym!p;iA|!%VH72R2n3Kl}(%_3~{Fb122VxF%C* zhqiEzmtL;^a}jtL=!(G=9I>8h$=E6Qzz6$|_5uS2WV4OfR(09#P04o9AP%lZ75Di| zecyOB7xA5!l2w1bJ|*c{%eWy970fy4_uc_A%{kBP1Ov~Btr#M=eyC&U%}IV#+vYa* z2mhIV?#Nn4Fs1|4-TAaJx?^H+ClCmK^~s_S4jO14?4=I@?3`6rB;V0N9_0!XDLU8) zw%0~r_!i+Ypa1c+&fT4+OE=*4eJcjioh(_!C?Qq{JE<<)%#1P!i2;e)P6CG6WC?v2 zdPrUNUlP=cEsW)hAmx432#&7L>W?eqB1lSz@v3#5qp2P;g$;U{baVFVAxwoVkpZqR zwwFGklzczLTHJ>=;HYt{u41jgutT);-M2qTc~*fVh0 zlflPI#aH=|Vbi)HnjWEu?|8SHE!uzIK%FCwBohL{50cpYWpn1BfoUNXr^2qj;bn#`vW zybXyM0)@i8)ObpII^37-j*fN~A4w+~cqnqT&nU5FbxmwE`E&m>CK+|qk6o`0&F0ZJ z6tWFHfO-{N$4QF`fx|Hqo(HXkKu$8kL}7%E-VaZqb!MJuTbs8(UMj(tNl?(`w$94po-m_K?O-f>i9>z2rxh- z;wX$vdOE$f0$(bx=4FX&C~1|bqctgevwj^a|8Q~9|ox< zp4(8!N7rt#Xc7^!oE9G&KVEsOyY{lZ*QP2!IeNf!vg!0+suKt9(uY)Bo`%)1W;I*9=T41qO; z0~Rb}_$7{*yvBN7IM}7)Ie5=g!EL||?6R6E{4fKtLVr}3X7YN~>la?5Av@4_)MaOx zY8L^j8V*LndIKE>l5plE7&u3autyUcfz9i9Wrb|XvVH7aJa+Wsp zyzM0L%)%I_Adbuytxif^FY#hNHK>d1H9L7+uU=pr}^LA`5#7U|f@n?A8EkUyqH+5E#0pOKLDf|pm#8Z7kGY|^!wcb+8B35PU*vVt1;bl$^<$)_ zv4<>rq{IP%POY_(TqLE0eB>u((I3p1cmCC?0ZrdVkL5?%NkWMApBSB4f)2Zfl8fZk zSSyJtDP{8q{^u-s@z>GQzz&1*h-aWrjBz#Ca6Bf8z4CuN%`1aGmx(L+I(h(bHb4h3 zAxKIKt7Gywa5kGP5Ze-|A7=hoNZzUAb9g>>fLxk>Vn|;6$yCSVcx*dh4YBZ}{FyPM zj=8}z2Lqu*O)5jKKX+%C?ULM9$GqcZobK?WcdP0J8ON?zP*7Ow$IfdF-LLOz; z0Wv`^zM?bVtPpIOONcni8F-`()6>=zW|e9}NWTR6sUO;(xv+w)&}t-(-?&Kb&R=-} z*D0;UX6j5i2tBS7XM=6DX!&q13EkKc6C*)Yww-b51iJ*NQF{C!?XHtsj4#DB7XlWoflf=lcfiIE{Jgc}Tk(!osH>ic6H z2c{`@V!nq^z)x#O&K%%gMVJ)SIC@0Hu(_}Er^aXfnNfzAVlwDvPNr}lB&43U6>U#y zrLtU*D)8QTp~rTiGJIF>xugQEc{Z!P<{e4ozv)%tfO2HnQV;1$I z6MZ$sbVZF@{PnUn^eJ;F>D8j5GB?tRkegJIPIQe(q-j%xw!Z`Eg}zugs!Cn@iuY3pQ zS%Kzj2-E4C9IE-PeG)82qA#H!1xfPdvkaL9`UFW-n-f*aD{c6((& z9v1PX)Ll|y=c1-ikr+fZf)zv^;Nw2e>^4nIKE`4q)$;g~jnwlj*qyIuU1t8=n}I~4 zD@*RZ+UeHAE&0lHw5!AgYgH)aQw8_y8p{C*M$sD=@H6H9BQ|wOluQ@~Ajn@X*11^Z z(TLBF*u%kf2|0YWAT#O)_S}<0(NSuI(Rf%$dcC!zPaT`a9F~*1EO;5Kw(ZB}`4qMz zqqil|O^`J$8tUUXszGTddkEinUTg941+NVOO=H~mzHBWLJ1wI&6Mn&Itd5Y+Q?mn- ziBxb~P`;^cLTW3b_0LAmX1XPNyW$Y%*KaO)Rv7Obbpi-JfBm zs{BwjOiZv<%hWMuj$Dbs0)YdsJZmsG>j^gQ#A=vCNgsx>b@G&~su%KcThL~tFo~rK z-FnFyR;5fQ@$_NT0YQ1^PMaalfuR%&tTl-(y>gRh170anp+hp@ThW8+n7@&M4AgJJ z-(l|clsXU<4JzbppyX?-H_&Dv{Y)HoK&$5uaz54)hxb9IMaj&Xh()drKJut6mT7Hd z%Eq1o?6L!J5mT~Irbk4g=_b6dBK8EJWgwAjk#OD`V!L5Tn#O&4RVL^>ssp=}$IG&F zp{l^QWDvHX@#@mMyc9dYn#iFrj=t zhAEnD?+8?3%hQBwi3J~L(6-Wh1{I(z zugb5Yqri4KLtAlWYKH?bW!#nw*>-e?y0nppumkFeqTrn=FAF%;@=TwnF0JBywFBrx z_m2#dduFEd`{k1s#Iy`waH!@H?0^}fY!9T*`2~+|2aFK09~o>Fj*xm8qOC03O2Qoj zkOpWiCjA2MVfxSGGpY5kh;5^E-T7; zK(!^(KQa=Ov6DPh$HODQxO^%My(ZE>%?#K|^3|bLykR?Ff+%WNzg#X*#_r0XT1v9i z@z;19JK!J@_mPpSjJ3)D*-8+kFsR7Lu<#-vUrGi;D!o!wu^-}Vt7o5tX9-Ux1tw|e z%nw@*(Y3!U-A`^EbL-=9Q6he%E}v@`6raW2DTyYrlNgai?jA^pb;dJWQH#2J)nQ@1kza%@|)rm@lx&pu-Opr3gC+ zJXV4Js~L<_gZ0EyNIyATIeUJZX%_8d%=7Mr`7f<%R}gys`cJm?XTM7MQt^IbiElrG zDD>cYKf`wL(l(F_$qCnaY3ey_e)*FX)0-On@}1~7QW(TiO`agNsjrAguXvX8Ngy_x zO=dd>19jOXK8oU>Mn0T_qHM(HGWS+CW^(WGZgPy7uG^jX<<{s_5?^l3675Q2q9A&& z@P1DYK{#skA3S}koSJ(AJqd4kG!;)si8B3LyaWX)QKb{(olL3stK;s@Y@~)09UUQk z30b_8+E9Mbj*fuq+SDPUqk}Qblxk0Ov@F! z?|8WN??#*a#W1lO9rG7Ma}EBg97D4*ykCwXhB$mojv6?v(_N8+6**rQ8X>Qy)aP8a`(8DB0d|E;F)3FE*(Xbg$zBvTmT zfXgwIzd9nDgphhvQ^TBA%VlqQ^M4<$tEn-6AFFw-e{l@^;s*cXNMa`F%W=fLgtq_1 zAy*h+IS%^iH2=Riq{McEzi(@xxqsjO!wlXauZ#5Mo|l_~okB(YZ8vx*!r^bbUcd=? zLzuGPU_&`70;r=%4ia{3so-xH%#?B6@-E0uE&bXc?*dEssg2HyENs(*c~E0=Y*Ni!*^1~$QubH^P|ujZx*sIzO0vOpE~-q zPP3!~g&=%RL}6}3B%g-Ts}>CUb7uj88(QOulZKv=5NBTCR<({27tQc|-kWqL0aQw) zPu+A9`gd1-WjVEOE9@~MsBMKtY8O9p4*%m{USBslw}6kY zRQXo~R$(;iJil7(k+j$<^ty>#efWz+?fod&2g&FXIOr4>aS5#)CRT5Pf41jiet!am zKJmf{N3H4U;Rr|9dO~*J170fzk>9jx=;MOBcNTz?HC!iE-xX-X1m#@9Hk??kHKm|3 z>L~QVcGZ0OYG4Z&3Hmgjb~XWWFbrd}Nl0P~ROmvo!aD3Dr)c456T}Dz{yt6XGYa&r zT^#j<$o7~&x+RX$rbv5TSiq+_I0kkd;vJNec0{Xb_}%VJ@zSH$;_Au1Na=PK@CNm& z%bhM*KE?_ zPH_2KugHP%8X?Y>Cp_$NVYAOkG>7~y4uT#q+1 zGSjl~nG!m)cdNZ*tqdrYMy55r){Q^UN5#IuUstVTzYA8{T|(9SUV^S$f3{atNlm>_ z2z-kCxgbjKZLqkYJN)Zq+li)gP0>2M2h^z7pw;uxR0XJbnd^BH!#fM zja{=c_0k+VQakxNTyTwy4zVZ`Fbj@BM~6Rf6a#nGu|+@Q>W`H3e=dCF-@zLl@<&vh z^(%Rm+*CZb)>M#Ex%3=9z|lzOivHOADen@ZLw4s$=Sx!dr>X7NC6{X24cQL8_2>$N zQXBA#8Lrzz9bV{#)(sVY6ZIUy+EH_SsbMR6rS-qm4_0+x-0z;my5h9IcCSZM3Plqj zo*S-R&DB<3RH+udT1YKIBrEtL(xx*`Gz~Tx|Lxn;TF@#(j@Q}$Hnnr+H4Ed0v;1g^ zSh(NMVY9v>;c~kq=VLF%_<;rLr~%H_A0?Q*ICmvHM{p`sNkLvHhb|%s0s5T({$IQW z->wA7@d_LNhxg~1!%)qt##`bgw5dgEkI>h=#0zFtp?tPCNrHaYoam`0w;KD_88$7* z>mmdg7vS74kM7`&Vt=JJ?5VXPVAP#WPxUU9YCid~JaolVZW(#|vnbwuJMItlr$EO> zXPA$k-7bB#&}e#6=N^1Q~r(rj)Rvr4!z*`d)NH1p!NQrP28tPZE->4SLq)(WBxA=vMq)x zNKJ}}kecv{FC}MMLdH(@+oWTkkNp(1VhYE2>(0OuRAMQPc~1uujTv`8eQ+&wEKCR(9J)lAiO3rWjF6lBiFlF z867|UZ|E27{enFU?;jW#$7xeKt1RFBcSW*x$e>J*d^XjjZ1}9llP@TTZUepW1Ec0R zL^`a#7cu=;onRR?V~HVeK;2mH+}ZdO7vWcf`a7y!F)zlV#l5wKY#@9*6%q9AHGCP@ z{o;Tb2mZ8;UVpF4yvg?=`{ zYh_wZA2~rk$gA_u9Yp9rwO+mh^JhY+%_f3heh~B*C(YdKgjQCcQu@02lm`crx&31T zo;OhlIZ?uM)5L8@<2ZxzOTd$Wy$QA#gShLeQIHrS_UpIf9zEQEFynu$DOh*N??MYy zK+_wW(wVN_X?Ymd+%w-??cPy#$I=15?~Tw=D*Ta*cCfP2wm{FHOP9Ue@jD^zx*diX z0lkK;-Lc@NS!5x><;y&X!qrQEGO3-qi&!;@ZWbbL%FQNBQJNN+0J}}MuLK(>D-OI(tMT;a*xL6E+r<*O+oO?urCeA+blsrGi0)gyXD03tt#0%C zSJBw*D>cgOv3#WVsi3NpP8?G#Je^(cy4}0SDSJPeuAQ78?W24HOF~=>{j(1KaxgKS z@VFr=oIR;z_Ho)}ScSA)y2sBtZP_(?Q2(nahUoZZ;W*K3%Y3);%RGy>g0GbxBO`02 zCnOl_aS-vS9N`9wO)@VVtddkM5^FwwDX?ul6k{=TFvXJBP1xMLX9hN=Grg5?zx?Yz z5rPg4V(;kK^Xm`!>KdEF7D2}h%4=?sm{FC&F7_> z$0S;60_-mSuJ=}~3sTr!o-`#Hqc*Z21toasGacY@7zi; z`JO#^D7n@O4;R#5SX)PO&}&LA+~==51B|{P+`8tPA@yV>OvVS;*{-BL?aiHSs6343 z*$>5+3s#WCV%_+tFS36}4!>Q}eV@!2ES%l`>2ta!CF!(0aJ<`9k#%QwYD0X-NvGlC zLQ8%EZ5uA6oVuc<)Kmbh|JMEPPS*|D1xeIhCo&jQpGn=DamA0wd9Vh5nIW^v6YAAk z?v_qtqeDj|^7DS0h9f`rb|LEcEuPb>rsq@>%bVb4A$NP&!#U@6pb5~9oy>$kB|-hu z>9DpvS0>Mmgr`$Q8ByyoCBF&w&p~{k&ke-uc71>sobj@FoslOovAI=yYxT_wsnk@9 zYLQ&e_WHvSKW(M{MS`6USDI59gPKOqSIP{l*C7fN3c2Ns zpaFZza-gUGWZUN9y+Q2qs5Ikn;mev8 z+CGg_q+PF9$L91$&F6<>l@z3U3(l{`B*D2)*J1zt^`Ai4+(O|H8%!dvvC*;{`9hSj z#TUenk)1h8Y4Md?ck(h}8yO?z!-}@wI z(Jh!rZT>jSE8LgSK7l}M{M8=g*)F{ z(Mt343BAYzUpOrd9jK0?@Ulm+x;@dU+gD~n1+10VO1YYtoAP-t*c9IXsBqPt4KL=2 zAfBTTrXa;wax0U%q!m7xiOu1I{sp4$Ef&{mNn`p57R>r^NxqiUrjPvE_6Re9;!@2Q z9^F~cd^5GE7^pP(lbgFI?RSUMpilR@$9~sBNbKBy zoCG{>S-$*o1KpM|`KPz$b!)65;m`)M*dt@F@#}E=QIm**Fjp&n?j#2mNQsye$V~0G zQ6yEbbr#DNB3t9GSarL7%moWBVt6n9uN6FNQ5 z?qJeu9Dt&9D*if`{cg7*P?L*n9ur?qap8$yeQt8*PMme(mH3xMC15oRA0A0LGEyDM zt24O8j#)^piuQ=S=`>91fKze<{@(;%{RMSA|Av?|lk(G?C3Rl8-z7U_h@qqAyCTZ| zBXOeA3oGO5o#nBL>%$;y0)AfE9ZFRxbK`y7F-%oceyX{;%j?zWB`_EN*okIgJ4}^A zjmeJQz4bVAGu!f#{26r1NSh@_wEOPhCVR1)GMZo}uIvfMHJQmGRM`qbHlbP3a6@>(uMrAmO)$(3QV2wQu=F17a=iOvC45 zpjXoYG+$zmxGt+Z+S7_VVPHNJ#=+PDxO6PuX;5tz6#cG?)5y$W3Ggon>tyRf!8fR` zSC6Vl3WyE)yG{&QRn89eIqXNvqmE$h@OZGcRJsj7{0SJUz!so-K2qF@e;06Hsv?q) zCD!?sIiFyx2?PGcDH{M^q*lFAMFQG54(S^dlzUX3B4PJo$wnk&Q&? z7Z*FUA3lh>Qdr8z;G*3rgP6)&F0xmsf<_dokmZ`u>|Z86sd@PoZSQV)L``RBbdXUm zQkVN|x%KVjo4NG121a#A;AKDhJ25q?bGtzMUEO@ zU{K6aK{XGn9gwY0`m;^SC2m$m&*y6B;8Gt_6{PKvVS?0$^UZ~eZ<2}><-8Fwnj`iw zXX)Mg@4F&iy!vYYtjjzSa@od|_0x2%1MTx7>V`n)7rR7gZ({&dWOiCYxzShm@sw1` zjyurU-_3bnFBRn@4=$;p_g%dAnXWy>o}zsfzqPS~{x!~DeYQ_N5Rov?qvaU0b!QMJ zKL-C*LS=2_d?!M6`#KlI2g`A<^7B)ttQDmDBE#5|r}>kqmrm?3!oslkyT}~QTmF1? z`*o=$@rB%a#1z8x83eu6i2LM;+~MYR0wt@y1%k7fN4&eioW?ZgA1n5m0y$BU7!ncZ z$?vbSN=AemWy!txSpDH=tb{*|-~h*L1m8qKScZ}ft)x@a*n>TAzmcVMSrb6Nfvsw2 zT8EoBVFl&xYH{X${5;GONbB;~;kY+N8lvN)^%|0oS)u2Aua>RH#D$I&YbA#>;M@Lq zj7rkZM{W)Wh5Eegc5Ri1-Qz;F(b)S(d*U{AQ@NX}%-9PozaM#O=$eh3>~EHy1+98n zPW9TFM&zKC!zR%o+wkcCV_YHakE6b*;}LaF%*(8yq!G?b!Mx2acDd1;in5<;Qs+BV zq&dA$BcC@`^pRSNkU8E#gp)x~P|)3(1kLXH_HEd6zZghio(3j`eCj9NrXndbW9|`j zz9gy+tS)>*N`bFb9KPzgq;`;YQ71c}n<(7i5~W6Z-`c=lhfDcpVZ`+shw&7e2YRqp zWJTzgRF4f*J$>HoE?Y-$(kwN zcF#)KPF@%G+{kVgVGAiVoqkgPy-0_9C*3Pgp2OGUFAXK>I6Tz}yE-U2T5ddG`|X)> zwG*Kvk#>77>ax4!?51Mt2UA#z>_AY#Qio3=3&^aF$G3R8SY`sPrYv-KyI@&`FEDW- z2hpmKrx~*CQ2E_da{!|dggNPeCE&zUZ7DkTs1mbY{!L%eIR_dS8TbTN9o@l>Yd$Ok z@l&{TgLEn)bswMeZ9xy9qG5A$tvQRocyYrBpPRSM#Sv0V)tB zjrP6W`|_;LkA$m-lIq`LPNI(q*QcT1#s%+=e*UP?Yq^$2oL569wh3^bRMRyV-~NJa z1R7P!0{T3%Z1jLQl~nKdHkoeva-qO(oZJ>ztRji{K7FQdH4t5M_TG`9i>Z^_H^`Ip zC$({FJXup5u}#_ZeEZYS52z;-zf6lO=5#&2TY6TOu!2#W$RMhRNX$RH>yut}yzFx> zd*B?UtYh#t%V}7*9E_qe!Ec@M*Y{A69l7z3Z{x&S2t0c=ld1rHgS?-Q6xeJ8dZ7Cq zXv4yT4474(fGr|Ut4U0Wi}hDEwZ>P&3Gvx09WC`t>6-(T}Fy^d>T`$B_+3!Z(W>#)dBW6f2!d{fS8qLV2U zBoBn{eoT}TVINInWdKo-gH$WqF(Y5NVlzJxX>!$O;B0zYuGEBmM~iCZ8tv)34y!+) zCh>OufK9aTsK&jc=f2RrN9b?UcBC6*$lE@8C&sS#S>$tU(bzxa9Vw?CR9|vm9Y0Zh=XG{nom=ZmrtLm--wh&_@r5z;8e5an}Q5JYZvJi6@*_zN1 z|9d#lA&mc~>{{H7q8!QXh-l5IJFU0KOUi=rM`59Q!^rq@$74zJ-6q=zckAbSLxmkD z4JFq>QBbV%3Pbbq;}f@esfK1okbm7pvsFtzn;*!@@$#3qH})}{J)vgaWfP~2g$RBr zr+H)H&)hfH3Jq9%>OFL}$ej1lO`lx$b)6X;m;G z%neY0X`h-ZWayzI*o6i_P+_IbxYjnwW&r#$f9}l*$Vdn3{*qFkiQ`NL((W7Mk!BC) zaAKbqAZ_K6Ghr3Iut*{&L~BZ}$~vuhH?^TS_nmYLG_>%Vmmv`J6hWN|QjjDuu)Ea9 zmycum{EUpaiqA*BLQs)E2< zo!B~L@wL3dmiN`Cai^IA)G5J)q^?5etSG7AR_iu?!T^lNC-8+o z!q3}mn|qhnK7E<$%@D8N9?GCQ_i{oMxzF%!Kw2<*J_|^#4$esxOlXRX59pFpkLQTD zN@^N;~_SyxpKpS2yyX(FIIqe)#Hu>vdT z$VgMVtX99m^>IKxld)3|MC8HHQb zFVj>~Qy8+X@~n|Wd@rYY`H0=~JN|s@isHOH*#_#YO7J0&1Mt1!(`npKHZahXJFC%cehZ< zU%0Ov`0subD%Rl=9z8tb4CXm2t+*Y@n{URk!m!5eGu~v8X~8OA@7J8Ee4~XV{otDH zwP9sK%ybQ*wYNtw5@<}Q%5bx#5|m>yUsV1goZDrInJ+r!sg7oGD*uzOm>j*Fa!Oyg zzS*IRAMR(cdps*}S#t%g=Uf>*V`8)z@Ez6aE~$~2=>rf!uiJUr^KnaF%5*OEz7!KI z>`y6WENkIdPMmND-tliv`)0rv^N3j6KQ|sxA4I+lws>vN!|L>L@Qa58&=>-b4aG8psXAom`H#K= zAw-W%+Z@)yZQD5Anwon7V(mB(FIGgz&KZSi6(API3cc> zsuPmBNQ_r33+Xl0vbE9zQ_7jQUMMXA6kI)^<$|0&3nxZ*MZ4qOeU2%}%DXt2cUdEE z4>255O)o0(`Ac|b1c4*peE6};xxgkO#p&sB)8yj|4W)?+x`;|W*3UB(d!6w1jh3M( z^^p$G-IX~vGIsSGgt6RoETOiPp5u_tA}5hXNz_%jgcHB{4!6r-d%Ze%LhvAtT+$%n zX`H{shQd}mdkVNa2}ffXU|YG)L7x|}j2nVXTk1Vb>!>}4On-PSG?ZmtNnxO7@VvRC zf}Ec9$?a$-iipAv3WgeU&{elpr4{K^{;;4Sl2K@m7xv~1e_g)Xv%^P}k7|?*#E4p( zj$?kDSZJ)uT(8Tgo3J9ViwVCzZN@$TVDosJ6?P%<%}Pir&e2(FfZSoiix@m*|a!n+mnE6=)DdN+hIymTlHlK(hR2ez`f(ShUE#bycsaG)?0DwNo4}m~UiDjc_>IH+<#I`d ze-jI~5h$cpPHvO-H+AR$pj-79`ze9bDiTqTr4-!Mp(Up>+okK8226m)T(9V~p5f|S zf&_l|s%bfyx~l3OHIb2OJ|o+LKVef6S?V_9bz$Y)_LV2T;)iX%n9-_mtMe(YGw{e2 zk55pKFPxN33xb!$$hER${!nyiYO;#NzDK^VRaJ~!hZAfPJsvOyK2zL-YVHO`Xs8?wlcP&65P#z7G3>JEYH#v-m^m!uo9aR`rYUYaKTEm$odH2-T3>ltYfn zI%x#JZg@pYX{g^im2N_pn0mp)gA+%jFwK>pIlwL-#Z~^01%?M&2Q#&_)I=}4vvOof zjD%p02)B>t`ovnPL-3G=xD|4VAr?QM}$T_49K{YabADM!BcDJPU$-L2-+miAu9z(RYd?Za5j6%RFY42=x+XTY{? zyiEHjjbaFIL-~TrWyD&UX1e@GV^|GzrO`Cz27xfiMh6>CXflK6bjTdvid2IRLfZ95 zRAkUZ|0Bu9#a4?c{087~Iu-LNVfQ`mTHNZrFjZiCy6ie&?1SQn9n)br6uNM*RKv=& z>e0bNr<-XF)uicUeT1=Z`Cg z7@#K(_~miCHOjtiIFXgos+t?%#AnnJ{c;qnMt%a73c z!xLQQNvrt!jfHeh59ag@GWU*h^_(^^0o$5A81A%{cckXZwglaXa;jLNqA400x9v~M zqlB8C@ao`Qf39@}G`83t5kKg%G=2W^L-zp{_~$3a6+HVR*&hU2TFgeF>5pC02K1^K zSdTFWx*Kosm%n-R7GUN6_{&fT8#oadbPd7ty#MHR~jG32p!ipTk^v?cld zSRBV6r{h`4lcHNTSgJ(`+{|4QE%9A$+RxZ1VjhCeKhMh-eWx6MA7$0>LnOn)y`<&NPl$xM zrq=S8NuR*)6DBbPE5CH8Tt3ZyutCYwI9+_%eNI2mVe(QI{QXj2%P;Yky~j?E13oJF z2!5n;i!?&Fo4$T(jXct{8`|n?B7hf;7MfJ}GkTO5sJU;;;@L&pngi-gK5W=!Xj+~8 zKw60IeiXcND_MaXc-q>wNL*m7xK@?^-y&duwk-!_6-zVi9QM&aNc_KO;V-XGxgcz0 zn|WwMOlZn(bGk%qa~lG{`tsLA%HbB`Q%tR;eqiT}((-d`ZR%a8&PKQXS#^rY9O-J% zaP6awkrsl?z*0?gO$_G4I{P)ycou(lPDbLJPCr5;rzQ$4DAVDRcA7||2#g)DsI8uVU4`;}|=&95te7LTwf%}f6Kd^m*!=>Eru{`-ofYkdBeiXFFdm4nl*L*kgrXRLTJ zMJFZ?SYC){9}_>Q-v6bQeXhA8x2))?usON=)SR-8z|w_!S{4-w_|KI}sq>o<4g=}0 zJx=3t%Z_qj_z#A-zbzB!Q-sR<(&%OxQ{wUY7P#;Z-bl5+bN9=(tVci2g8V@maJy;C z=BBf<+?B}87=+(nN@n8-FGh)sIUc;5t8xa6{nHAuj@+RZPo6I>RU*t!GC=B_&d<~r z`aGEJH-vj$ZZ^h3P{nID;F8Q^2X@knW7gs}ZHGH#`WWjM8d6Rup#%*j~;&LBkKV&5* zslG_wJn=nS!|NkTp=4wD<)r~-4dP9Mo4WU$rcQ~sWo@*;O~(HITk~;X>W$Gu2x4rJ zrz*Dqs0--Qm+|zrxB0(FrMvNS3DWD#UsyA?%qft|Ru<4w_~PDzQHcU25_|bEd@Ts) zej2$^6u@f`+Ej|@Nr!>^gHAt5(V)X$!WC4jN(J5I5MVqfKjYsFs&2t~v4s1+rxC4v z6ES7#(BDYb+GnF#l3~cTQb{%URn9`stZ~+G2WHcoEU;9yP5QR zLi&SDNh(3#)>`=Oi**6jyrA&|Qxb8HG4H=^)?^r9F9>^aHm){!xx# z_|BOKFMY_(9#K4nbM0TMId6!6$l`bnJUd(Z*+uCJ;1L4W)8(qZT|tAt;3l6wyDCK^ ztp1ito;DuR8p{m9q|VLOIFXJd)kgd1*$TD)vp%Pvs+`Ocy3aur+P@~-4IaaC=|^aX z);(l7^P1400x8+^60i<=VlX<>CywrU1oF<>)>%gSw%uQ!IG+M~m+ZS2WLHa$1Ifp%sH1_f^h0LY&uG7gC)ik=pOr!Ki zhk5_oqkxRF zX$i`!WqSQnHwLmLOg3Cr+`fb}QSB^g>Gkbv($$BFf6N;&Ba zy?MJj)+r|F;oqdCgHI=0ES}du{TxADy{(JAAERM=B^pfSerf#|A^z>9qR~;)s_ia+y`nOJzNf}7ePOum$lwPp|LXAFfz^(Qj3XT(FO|}@_J%}@i^x?Jb zHtGyHuiu#9gB<$_V55`PY%Al9uAad!1*YBLGQ29T5am+}+I{GH^g&$QOZ}?2u zxCy7r-g)l=&h1f!VVEM`y<$Cj>h*yoe+y-{YsS{zw0%5Amj}41N2gt%rPTL-0GjmZ zUDsz3BX4kzc+jk#m)%a#zh;6<4kCyLr4Cm)R#M4YUSu0CjGIiaF}$E&%cys3#--qd)m@=03*9kt8W3aU zXD(A{S>EV?EU5^jU2sW$4iXf~wqN_i?WK;<5J7b0V*rNHZu?TL1-(>f=~VP{OQy5D zVPjd7AY91zz2v2rHdCI3H8LPuxJ)l&0rjlf5p_ZR0$b;e5Q;T)6S(XQ3a5B$SE|Wf z*SQ`J9>Su2a)wsP)r^)t!)58NnO9|*tl=xOY}S-2vzkUNc}v#=j06xopSI**?{&Et z<=;%;YrIWdlWROnbiGy_-FBi@bhf7Fhsa+P)_gktiD6N~E8#rW-IiSF7Uj0K09fCW z;(zeHs=^z?5>11W78|PDr+id%0?6+26*n7QCvz%RIn4Zpd^SX?oCX-~#q}yodjRZmW_Jkm?|RhRc-M9Cc&xT_ap#{7$m zddnD&H}&<$p^FGa_H)h{$|YZ#?nnF&*~hD~>Eya;RKU!a@Xxp1;s>&fbTi9Ds?@Dq z=k&q%^`8#m=v%^eR@Pm_RnEpYDbOv-ZW2{+qafL0i9$YAkIaV82V=31)m?s2elLyu zDSvfyqU%|J;f6!iW|2bUWmU1~p%aa*V9uW(2cuESQd|YS?&U#Efmf@PVcxofr5ana z4w7c-NgrQhM!B5H8*8rdQad5U^6Vx*dm*5JO1GrQUo0*po=MnbpNl2FZ;Sl+nlH>M zj3(~a$M3f^fPE>^JZ0i)=P=cL#=TkWhd@#SR>v)C`1WH5>1yIlOTuTxQc8WxbGP}_ zD&~wewd?rgeQJy;;lWy06-?8$vvZ+v!NV54J~do+1L>IBu%==W42%@N+Gm0}&I@x0i_WS^^ zz5T{bH_gR2lfeXAX5h5@d`7^eE~}{Wv6iccjqAws8EQ4n>4bJv`Qn7~)n0jqPj~+F zDJKz1@@l@{goL;|m!9W~1K*m_J*&OcDp!Li|FxxCBWV;JJAaYUMlPS&7dACP7|)7$ zv~a?sZ}n=u=i9th-O!JJ=_7h8oUOOK***gJ+%vH9tUInHdKYC}bXW+p1V-~|-~5Aj zkKxat)#hCDn;YX>eC1VMy68?W&tFSGOr-gDD>EJxgrPF(_;(dFco$L1PE8AeEv1?) z)dz%1WZ`dNE!VSB*aCgH%x12| zcr$#i?K^1x9F7Nz*UY7AoP(`%jjH?Ke7w5`vwVar+4%EwaU$H$Nh;qZz$6K+GRqP? z^Snet#aS(TYtzzy$UDAFv4WCbo5bgV!HEV-P&l-~i(x|v8+y#kQfif3J|`zkjvzI< zmPQwSLweKOToas3IKY`E2t?OJ}8bY40V+!?gE$-9EN8%&3#dY05E9Vv$TJ1Y4?V^x>fn2qSB9q7&4fn*!FB4nl%bomp=Z1>^GP(`ODyIFVW)f zldDsJQxCN{!;(^UlT1Ex`e#^G8SHYbLd}v@RhG5iOCQm_zkNlYd}`LMT=Y(xx|(HD z6YDP9_JkDta^Ltde~k4U8FP#zvxfOml^`e5rlb2pM~_jvc{-dqK~;s}*^{h*NhaKm zKCsy5Ybo_OAp)^IC~S)bKLBJTc#rO#6@yE6T@ zfh^Cq1X+Z-bV3)A`t!#$JKlj&vUalsQ8%tImGhyHoHQwI`SNNFT6;cwCNM@Pa;)v!|Lkg<x!tSk8=PG}7tb-(Fs_4uq=@Ve-bd+nnqcBKg+Ma$ZlI3s*Rk=0m{ zVdwZSi`5F9xJ&g@0S0|#duUA|qp!CS>RQ)Z2h*kluNpk7TtDa#`>m^XUb(0*)i&-t zzaZ*z(?c%|wIJ0H*Sb-rnZ59!F}q`7y*zxQjlEEJ%->`cw#v5gp{u@KY8@D*@ov@( zFBwmBq(9QuB?@0;nqZw` zvyCc@MEMmmdUE_QaaI+w^-ZI9P_>f!hy;a6q1wYp!eE!;O2p#ZJ-2EwF*UH4@zCOS zm~kIBQ&SB)c2>bB{dscT;oW%KrIrdSxD?<$*_WPo*m6olhPVUiWvuJ;3FnKWt+LnS ztH-^LLXY(f-!(nkX6P~(b0sM-1|8Sk`e;?~Gii(F8hbT=PEa_5<|w&S-1dj|651s@ zoF3doBe4R8eYt{F9#v00WKg7RaN3C!%ZlQAerpzUna!=IPY`Mgv-lJ8go|rdjk;|7 zw7C!KUaXLHq6WtcRHPppP>A=V|Kut{s_82WtnRU~FP+x&BC;Lu?zI~c`|}#7HC8Ek z1B%}U7QgGluY)V}a8H$C`Pqww$V7$seL)o!$J_E+Z*)!7E|!%Z7$ZJfokUgKAF9zL z0)|f8Y@Zd>vj=Xp=*RUmQ6d7q#4pN9m= z;mg#36Yo?U18~`K)l-AlBzjEClzO9PY3h~ei)YjO+Uxu;xSDpj`#*YGlc>C2d=H0_ zL;gfoJOaKhzSUVyR62xp>gnYh(O9mfW}+J)vOj5X{Xs{C za#N$7ZNlvp!k$lZt1=RP+Uq28xY^jl+2v|nMx57Tyv!atuKYdhM#jmN>_p{Yqamkf z<=1<&v>lam23>DXFp>`+qdr)^GCe;uzO@i`8lTR6Z?i)|`(Z-HRfP&Kr&5oL-@juM z3OthTdN+SdIAVpW=W&`=@lupqv;Tyg=IC}*O!L*#Hudx(m2Eb72u%n)F*O&O#pDpF8a2El+A{60Sbn+Di$ZvXw@xcR~{T^G@jMVw&4c=L3?F^6b6*8qCG#uv=3Njo2 z!y&_0FzHuvJ?51puj&Ug*>dt)b0DJ8sz|3t@JFSHv?Tuc=sRc%{YxVzg)P8LPd*03 z7nw#Tp=!|Axd&JSq@6;AXnk6Y89Qx-AMajxI$))=%f_UdQX4-{(I`Kl!?*fQSJ&i zTl?_$87^nq-h6K{@sFb{#79TDn|+=CK5t(T^yB+>@0fCFilTS55wAiCsZjL^QF-)T z2VK#5E@1c`VmP5@~z&^hs3K-RIH6;qkM+MGJ>;yYks|r>l%?{53CxuC>OHGpFL{h zBE$M@95}g9Md(V!QkHVX@SQN9JL7gjqXjzp-|sHIEFcI>$Tth|YvTjt8pmrBtuxK2%27KDL&P%J9@%O@9*X5Odta zBH&5iiuri|x{))tLSJhN@TBpIm0B+>`2w+mpG{Uezyl?~Z24$++J^>9yQ(h&q&fvkp1d99m3CKC$R$hPclE zHO=i}nnTJHxzaV|q9q=&Tq*abb7b3s;iWsd_eu8;Vx~gB_C@8MycF=7zE#Od(+8%~Ze{M{ zPwFdwKTJqMrcDb(_8-lyRlNjP^XZ{_iOLegwZXk6PN zrXdtLG@n+mZY3M#uz5cWO}%)mwgg+1JT)g{_C8~*&Xmo#8x~+X@#L6*OHpi6?ja02 z$1QtLpI5;u4;{DP=Sj<@)sp1HIga(Il4B_;QZ)+XE&GKKH2k5|?kh&X@kwU#2|ex1 zp-YsH4p$m-2XWD1$j8=JRfgk+-GPS!)V~bhS%^Ng_$65kdPZS9a$T?Le)7f776f!b zrP+gO4%AwrZ(+^Zw6Z67g*7KZ)`)x`eRWasW8!Wlb1&Dr+gsAv>v=fvH~4UOpgJ z!xNkXVzmFBs;Vh56!5597yU46QfQl$?^Si{%Sl^RsrQGt4_}Vdm*jdBa)&F>=TiQ4 zh>ZT1OIy597;@*YTav(akw@WU4-5Vhj(*E*H9;F>G&dc6|5%Us`xRl?F7n1xnIjhb zU1?sI>K?hz*N;7c7H?>Ah8Cy!`WtT0vWb{`&3Ts3&(ZH3GSsM0lOssY+_MqSY`mSn zT_}Ct=b88SqT8^!w_$P-Z|?4%)D)IH=My5R@~PAK@k=q==OS?vZ?wG~p5Jf!uXbza zTI5jjZwX#OZu1=dPx{X1n$H;Jn;tNzYa_083^hr0=yBDce^B(lNhIHN-cIDn<@w6x zbxTLe!*X>9dSu4SqS(>qhM^NzwpCl=Yam#;f`LdV{-3)5-t7+wO@a((#$9PB}78!D{Ju9eWj$csJ|Z)0@UpT zn~Ws_1K;1-II#Nue#XjyyE>#_6DnUP?mo7Zy|t@9TzbDPzVKy>&ebE0$IC;`s|y~{ zi~3=u6Wx5M!#?lv@m4%c6?)roO<#PKz?k_OANahNG zVLk09-rql6RW5p(*Gb}X$Z+?@0g2O95(>ei)*Lw*?B(7!Z|J$S)={1XvkmrAeUHs zTKn(IX2-K)zZ|d*jQ-dh82RF2Oi0oJYUjZd$8)dCUkf>JrnVJe?3l*-t$APT=~S() zoFw1lz#h{ZBI50pm7fXK~#UVX1+rRBfef9t&&(Jto&z;}BOY!-fH5)*I|KijR( z8>K%MyddFxO{?PRgZbjOrp9lMpT9GBOEUWH`S+L8liysw=g4~^qq`|H9UzP-FM0K$ zMt#sV9(W#-8n&+ihuWQS-KfG_25q2rm1(eqT(e5PrHf0_D^tbieATQJ8ul*~EijL` z=(YvkfNkHUqXs47d<%_Y1SnXe-*r^k&ZwOyW3geXTlwF+rIRn;D}L&15+V|2sbH@) zsu*e+cGtilu}pd7$VTL8EvEEWskCP)p0UEj_1K=2mH=F)E_aHbh;QVeJr&^?B4nBe>P?X zI^5rA<>c^M4#)ja*P4j`^W|dh9p2bqg9F<7i4vnvr$Y@)WiMQJ>uQU?z4;}i-SR8% z2DB&o>uR*5m1}u)iY=HgtN_nG9eaPtMe=^!p@(0yP+rYXD>+9BI_}2uHyp9mt#9vg zBTmKN))(vY2)>}NCH?$CmT5?_U80nPE8^Yxxu;{pjW>>EUMZPNd-1zCM@{2xXU|}R z8mj7^;K_#_9*;OMimo4jB)l{AxVZl2qFAO)WO}W!3dN_+?aRra*NStBvJJ{bZwuvv zy8p@lElR^sOZdX|oMZEoz7Zl}2S!8UgL9(&0BJZgG3504=_0pMrao`3eO>Hm(?pqk zypa}>agBH5r+0hx+oF&8@i9rtHMwQ4jX?4B_hyQ{K@p4q#x*Y^$=*;oEKVmoT} z&P!#7hK^Fs3405%p}61+gqz91MXm`#@2q|6P+nuhGjID24DMdjmy&F_o$32KvS!xk zz3-Bbs(9<MsM>u~MbEXbNo zeXnCO6#sNgzfWgg$5+w^Eep>aaoouk*Gr!?GJ; z$b*9owFt%L*iNIs(*K+&tdcy*>qmP{C^BIk^_A0DgXB*?{vMtvi~4caVP(%Y+0Hif za$ch+*Ep6%l5;s)ELa#BJwSIo%Ug$-8sWoq7+ppD$`-$xA*q>d%Fsm>_>c5 z)vM{?yL8OAx|o<{zXwgQ!b82`o3P~=O)bQcnw480uAby&EA%5zpu7r}Ng;sSzdfa$ zS1eR@Z}1HPeODC}9TYZf_phwxboPsj@XJP2gTW((zo!tpTl+O|G8{Lepc;XBw|$;b z6sIv4FBsb2cgHSBZ7w_FVmi;e2~QWV>$A`9gFq-|CJq}|u#5-?+(%{1(y*$gyK2dP zL9(Tfe?R^i(TPsY0Tu7`&n|apQYJqWt)w}ntvgJA$&EH$vb<8SN%y?+rz<5EOG<2N zQor5k9>&g}6S^nox76yV!eUCZCN&o@;|$t!)*s4bJGO#KXc{1h(n&q^#E4Fe_HAwfptiMfSsmyl3kH8aI{l7z32v^rlc$qJ6D1?kgOD{1N zMJEvNcGb?zA9O+A*atfI(1k-jz$8Jd@Zc-B#fH1xL$H(`y6XF`@Jk|UzklvtV5l8q z2NtZ?a|T+yM)|ik!X%mKc;M_1aASgY?VjHilU=ow%<8tr(8M841%MD*!iDgtP4j&h zA?l7)Ku^k|vD&z{TqIXAsA0skc5U!kl^YQPq^_ zkJ*t6Cr^GLugx+%2>t`EsCDL5_Lkvz&Cy+v2-Ml8HcbbD?znbZ^J5E}>Km%vqm4TD zg!nO<;`&At%z#^a=FP1sr812D&5ZpVkV6@F<8Nb)=e;86+<0MUOJ?JWtrrcTziAo%>vR_v@srC|5g31P| znT|9%?&wOW8>`{m-H7-ADFSmm-7_EaT-6%?lfQy11Zl@HJNYep1spJ|5Cj8=3yXwp=q*6TTz6W>e z|NA#)L256|`@zRM1W0MH{s6qg0M)X3*F7H9_otC&_ispq>w~= zImjd=oQ_a4-F1!Nu-?z^=?^N{t!WhZ@U;u(y3+?8Q^3@50hK4oPJyH-47C{t8J6aF zzBL3&6%dc~mmV%CD#aPK?>?Ib7o;Mt(6lVukQ^r>`l5 zt~Ka&6R1!lE^)RdMBJ-BL+r!GM;F9AWsK&m6@fUtawct3R7G>$X!a5sc-+n^Z@^8%%9I4;RX%xxv2osRD27F~tTXc8n zy(Qh7r#vsbwiVyppcaXN^M|^yy0h7bDiI;OYNi{hxzpQ~xB_T=`gWI!$iZDNHYtIZ z>txyp9P}#Ml--Uh(RwuhUfa`M>B%j@cz9r%E4T+E7`!uR!SEt2he~11;93+2`TfX# zwnr4Zu?-Cq>+oP`>Wkqt`f146(=Z*gjzut+nm;!ItSetxHJ@*HDspdtLv0ZaN}?Id{5ta4koGXZsY=rmzP*2LGPR%NG8K!MjE4c18ecra3EP)5|cFom4Bb~~%Yg2EmWM|;8 zT8N2HW3qWAOVjFhAKw=s5dZy{?AE4(EC?k$eJ&z8$ z+`a%9R|DkmM+h+f6}&=yBJ{>=b~KWyVID_UnBFZHF$I!0fX@0cjLEta?VhSBxt@2o zKAJjE^({fwl#Pu?lb!^B?4v_`19T&SMN~FH4VXk2p~F%BP(Ul(!(0MT0=wzaC{NS1U&o{`1K$3fKyB~Vy9j@$b?HeVoREkj#mna)N--)I~ zy5cE=%`nyc4cmJ(gAI&YxW7##NNWStOevGXEI@df^k$~%&QhM?G*(cX<=)J4W={P> z*5Ic?$PtQ}Z!^$&W;86J4DnJ9KMN~lhlocC&G={xP;R@RhJ@;Ev{|(fv`8}hUIW3S zHU9lvTq7*?BjET!hCwz2GbE${CgukMnZ=~Q3h5298;ubFO$&rAPGE+Chy1L3X`(AP zqAXw5pgw?-ycP|4+rXG%o#SP=^RYDqapl8E61T?WFAO1pY`@u4{mm9017x zJ_~=`uyj9nNlz9zm%UTNHEbYr*ODF*GD(T!ut7`-Rf)|_C`|DtZ^ z2*c(223((6I@lNy4cs1eN}VG#kUb%Yt=+}FLpL^_X6W7Id(K{-_K)AxDO$p!wLp#^ zz;ZV=0wTbabWHU*_0l`~Tt4pbDxj8sAz8c8^KG9dFJ^D8(~K}jup$zm&UnebSo%xw zpCiCJ>0RJMwO_z9-CZ5E?p?;U$+aL~)H7UZK?0Da#RV%|i#bTgZ}wyMH$+IP10mC{ zx52aj^nv2sVW;C{o@S8^J3!T)HaU!H8*4WE9quTbwwss3mK*^#VoCAV*fG=-E;FX? zC)%jUK;WHX+^#K4xQQ+gIq^=y%0shP=zY-T0NO&MztX5oO&OYONCkaaUpRNyBG{1e z!;eohmoe}iQuZq7)Nd||?!ExI=DcSgor11`v9s8Bb~0Z4l-#Ak|1$P2@75saZw@qF znt7PAwx_|yByFf%QbXpgQ}&~t#U;i6GJqVU_U#YjeN5?J|5$P%{nM_2hc?h4fP~K- zhCIpr0#MGm^FSXwA$}B%!EUjB3y~g>f z1@#IW3{sET(sHs3bC&HlN0a4%0=SP9HcteX_C411KSlzJ7yBg8-u$Y?aPCw)CkrdtbIIzVu#1pt@EMVksM|CqURm zWWvO%+#Mv<3BP}ig)Dg-D(|I1+>0C}M$tJu4}Zggx;hC)y#I3)eE|r9keZFy&(^m^ ze+z?B9iR|gWjxq0Xb8guF+B#*A1ra;eUR_eyyP`ZPyr(!x&dJpnMoaa%4*kC0G|e7 zY}7D0^EFDXG*NBeJUGlz1;HM|z>Nnf5qrqNffRbVGMRXIE+WE(?F#sa0w@uPR7T4v zL82D2Ci;LpI<_#+WzBIBhX=HOC^ThI=&`@Dau*X>XDN)8`pMa|ASx+hD59aeGGuPzY15GU5pqYoP(&uHm)eUK828P z-J*_#T-)psC|+Fp9vmk%I?AC>wsNVN0_Le?B`(H&$e0*NAu#A5h}aK83=llUz@3)e ztg$ns!UxQKo!BpUC}oh@2*%=E`VAsxG(|zH?$RYW1Xl|bUKlm0^RU~HZ$Yt><-1ki zF@-GcVf#WqkoGiUIsw}n50hk1uKi$sLez6@KyJ9Nk||1bQh^L@71SOh`A-nuLY$55 z**7P(0wlk~7B`s9_yi140`CKV+`EDGAK`*ZIGol%359)CF5{!r-hDf&xD z@&vjpKcn-1oj6=8&Vz8_K|tnS+WKSsEtwJ|n^vh8x392kw7`b9;IVlceP(^ zP!3J%2^t7aD+WEIfTbL;bD7X&y$0&M$Q+Ism}A_HOXw4Tmk#01?)+oLW;n2eN;fCw zbF(x5u%|7j2-Iu%-7ZCRoUKj8d6GHnBl_i|!CfP=EsSh?PJJqh{x>_CE7uF??dN(m zqsa@;IRSRW8=@YotMqkrqEgyq(nSrD?o7OD* z%K$VU7=Bh)OqbEmhiuFdW@9g#chU*U>_FaSeR~wsPy%iS5^PacVbqPuZ=U~#?C5lG zNrI#|YChWqJk*NfVqnyuOuh;uf~K9p*PN(rq#CZ^Zj$?gJWY4hCGtSew?T*<@FWAW zGQtdIM*y!QRTc^XRhKhPkO(o=EvT{i;;8JOaA#%oIwX&HYfl>QPvlD-sOeH$7WFBM z`X&K7LFE)pk{ev)4=n_7r>yHwgC6GrC@n<_GTH6Gl;e5@^aH1iCJtz^Ou@;Em_gu` zC31qYa9Z*gy6&0y^cT9pAP4yb2cTpJzYPhY7Xrpwx9KTA?rv6x!i*eqJr9U_=V|QNQ^RxmRI&Jb zpeviUy-WZirkbqVkiP8V5|WrKKyzdT|Dr~M$1F#FkCWuF(!8Q6GG ztOcan01vzCQE8yGBS87L9jG{fOAld1oP*xhBZb?>Znq=i$U&Eh_@;L$$Q8_0qCZC~ zNs=*ZTe4uz%vIreV(=8>eVus*ikY=MlvROUrHqPRs$mO=eME5AW+EW|;)3>UV{E!l zl-`EI+McEw0)KXhdaH5G9p3hP$O3ywgzNjRfuv_7>z>ZV2~UIiP-lXsD8|eS#l!(1 zDD-La65Cu)@^fsS`vtbxnA8fr9z=isj7<5ofa)s(-*YtA0o_m(ohAC?V!XnY? zJ1QfFie;eOFsE_h<)stxV9k%1rAtsHgJf2Mtdm1CAvS7?uhA5&arlHh*$}Zxi>rB# znu=XxmRl2o3PwF;cyL|ixcc`u7PF(__O;TO*Guvl+ri-x5Xd_A?>Yy=a!_0&z)Q7O zf8J$6_>86&c%13VGe&U!1;j^40{A;%Og|<7B1h3+?laJI84ew@fZ;F0EpF&)G0pCy zq3_;+g90}1v1cxD<$=11IN@z5S`grM(#tsbKR3q7voRcuRS143;GuxQ9blk+HroX` zjWyd)y40xm1n{^eyk*$JMP)8ALT+y>Dsu~;^_D@fk6h5&}M&bOamyI0F+u&!G{b&GC<3N3LJnH%OFtQG_Dj@Nys28ye2Q$ z-b;{SB3E1!Ns>_Ma)oBLZWXgPFmn;AUG)WYBW%(!>zIDkZa<7Fo?%84U$&eBEGAV>dnI9tUI!&TE#`YztKlJJ2p9}ZRY7;HED8Du&Xg=>Tswn%yHv$J_YVn+OD zj@yP(gmww{E5OCz~Dqc&x!poj+z-!h%uPODs1)PA$F=;he=*WTCFP`X9m!ZP_zfVcIu(3&SejJ!r!KLk21dtPNw3Q;KaPbTl}s6zbjE^ zZ&XQQU_JStHnAgg8>`=kGVhcwRmpd~EsG}jOw8`bB@&MWge&A$yrQnkzw@guJjWv2 zT)NXPUmz%B6IlaQ>tIkmBe#%%}I(q2dl+j@CRJq_&ZE4<8ZbZz9rpR+Cf}S$RdIayL{1Tl@ zul?ZlmFQ%v>E%csB_6ovz0eK=bI?2kT7} zgT=afPjkNg_&~0Rb%=7kkmM-;M5LWtHb$2Z5hJfF$?4i^(bi$_WVDu2t8s0Tzt-*O zkvYjoY@T;Va8KFpMvE14vf|EH`OznqYue_Y?OWtDtG-Ml%(4P{eo3O?`=tjaq|ki* zVGieO`$Qkg7iX@0cKfJU56E>{`x?QyuclP5ogySgT8W0}4jaav>weKS`jbm`H2t0C z(-)Zdh*!EP7aS%66Kx`@R+}=ON8POc@~N=ITZKO!dc#$%`>_*krip?m@N?s>gmH!5QLN4Qq&Me&j9mN)5@BU*n9btl4fT`zO&<0N3oz*FPpZ$hpvXrSqCNoo8zZQFIa9Tn1**Ov?5FrCGQan3jAW;I`#h{ ze7pbd_Pf>~BL`l7D|_qPp{3b*oEB|tQFMxO-wm4=4~L(J^*Hw4d@OG#V&Xk`hFiRk z)$MtC#_f;A&+NArs`C6!CBti9&ZqjS`b=NnLA2-HHH{4zMSgyZyB&#hJNLAtf@=7g zn_K;C_u3^loo>4<;l2lJj;$1|XL)P~v8PqbyKnBm8Y#Ur_1Av`eCYM724#m*1=dQZ z!PD>b{!)3qofJCI^wMtYJM^}$`o>Ou^|lxdM}e$$l!!1j6n_W?o5GDZ|VTJjxb(finU*o4WEVgV;4UU{>FFO2O_tuv6uW$N>)QP{bsS@J@@~0N-&QS_p zc?_=Ry!PAo+jz!RqqX^5g@)n6;w!4{Z;KDos%t!^0VF*Xj}ngd0=)03$6X%7 z`f-9E%(!+c+zl7#pTfNM*;Kshiv8sFz>rUIC-|2G^H%RxGPWW#WAb2Up}?(%|6C6B z%Y9<18jeb9IHrEeR(b6RKYq3le%H}6`pfp;Pj29+2G{*J)lR(KIF^b~$fpTqTaX^6rv{O_h;LSLP7Ht#azw-;~!p8$0TiuXH=MsK412ITEe z`gj_uLmg}A5AyzxdN!sv04;AL(!Y^z1XiK`jnK{`|8CMZkRDIEQAqz5=|{-Fp8PGT z|2=e1fepdOC~HBzL12fl{&31dcObW*;3+;0!?HP~jaM&t-A%pF2d!Gc1U{iJ7nqA$ zz3ZrV5B1It<+gfH^Ep1`_fA;PbZ^euI;Gw-lpPn=dpE3i4fXD&-dQR2o~0}t@&)gO z^?Fjz-u`uFO1fKMhRw?x?iX0Qx`yi}$74=3^?~IgsFHm-LSntEI z-j&pQfO;)c>b*!=IDiZ6jYg)IE2#G%^-fQzw>9VAay|*H8|DwC{<*aAE4VRebM`9A z_5t4q7n6S<{CdETWWjOd*XH~g{AV)dQ#n5jd=0D#z6u@=THT#UuONLS_!3wO{0Te? zd=Wevd;vThd=)gBA$;tu+joHHgM-2L;6&=wqmI3CrUqzlj;R*X96|aO(yxF=gn5vy z^)UrLwct|=oC3ZH9t2JXYp3yllvYkcK5Og|J|~kdjefof2C`+ZKI~1Yr-if+lRhD959R~1$&bID5PIXx?GsPoBIDr zq5Yh)9Vq((3?rYhEciFFzl-c=5s&T-ZN9o7{MwxIA1L1%{1&tz-#4tck~-m}R!H&? zsnck;GWF+DzZCcr*crM3(0xGrdn3oiocBp58Pk0=EtGs9ta|=QBC41kM91gLA|3T}Xc#rVk+fR+wG@-9yNF88TG>7a+6ovf~pz zqsY57ly^7M3n~AF{7sOp9DJ6Be5}45`%&i|>g)}Ekish{r4P_7f#xT$R7m?P>5WO3 z2N!{Lz?Z>>ppC)qwEsH{Hl)qt$*V`+CFG4K-6-Vo8|52OUYEQ{VP4ecn&9^E{=$)4 z@u*0<^TT$JCH)-fy}?}}d_K&t&-t^CY&+5Bim=Ucq?eK|11=Bq=EK9*1s8{QP_iVI z*F%PhjtoXqo;DVRZSF$)(=eUHZx;Nj7Q@e@+XKEIr}2Fc9{a-MY{tet^zj|e`|%kb z_V<1mwZgXdfoFoo_hCM#k{$(~0&W4{mGG?y&Ii9Ef2*+Wy`;|y(!D}8vKbd9ilDrs9)e+t^%Yj36d27aYe_}S&e z;nZ0OnjX4P=UeJ*ol@sJ&bLZAr)t6XB(J4IyGdAHgYwgz@`!J7xFz&$;U8WE6<4h=e|PGC66&%ra}5%QLO(5}uSRI^0k~k- zmGK?OrxUbqfHU}X2>o{e>CWU&3-iwp^ZRptA^Fq8{PtmfKhC?5Z#?XCUYLI?>5Isp z8RnlG=J(~iEBUj+{By$mTR87V{+nTbyD+~G=NFSdJIuGYJCZDTiO-SbPXcYXVF|c1 zxD+f0E(6Pg%fT|>3UDXzGjK=nb8rXn3vhezOVBQizXG=fzXrDfzX3~w8{^x*()Nbn z@8G83&)`V%|oR7>y62m8LOOh3=Reukm<@R0&g`7|{&UZ3c8FT3orvn@=i2y2L%fYQX#;;! zMJ8`u9cE4B_@S|BCv=i30!1~v8%22Z;>p9X^z()=69m=`v^#D6a7u3xHBk$Lx3B*g zfT*t^I^!FoqUwsB1oY9HJC~s7Ehr*oQ#xb$z<;WgzNZKGmY?d z>1oXZ-{=5uJ5r_FX6;Jzaq^+j{CvP)a2R5B7H@J@0IrNna_f9}ttmHOuryR7hn12Ttfg-pH z7)8V@SuH}0-dMke$Kn|9u+8w)*}ySwN@Z=JlR{(Kx`cZ5>E%)lxl_NOF3u=A6Zqk@>J{Ey`i-xqD(}bm-NMg~e1BHSBYBR) zQ|FXtJ;ylW8<#$rq(2{DKCk2plT?MT75>Hh`DO6Uyi3i4fzER=_Ye;Qd3Q(GU@1h9-uu9M%Li}%22SfY+p=|^MEeQfDf*++sl aFrL}D$H)eMr--94!!XIe^Ire}0RR7_{b6GO diff --git a/CPLD/AGM-src/db/RAM4GS.vpr.ammdb b/CPLD/AGM-src/db/RAM4GS.vpr.ammdb deleted file mode 100755 index 2acc82bd10a54ceedbe5e34dbd4c4d063ed8895e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 613 zcmV-r0-F61000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I&800000001`v00000 z001li00000003nI00000004La#8o{@13?hoL=htjR#rl+Ec7>MVJDJG1v>*;Y8OZu zu=Jx$VQD8ALD0g^(!$=-!vA2RU~b)gyEg-m9E&-@z%4gwTBvGu}l0ZYjvO1^sO~ z4;SDUe_t9U^Wps75KrfY_kRVr_X_>z`s_fBHT)FE5oezh+XQY? zxXs`;huZ>fOSrAzwuajVZdkAd%^7u zw-4MXxP9UFgWDhO0JsC;4uU%v?hv>`;SPg49PS9XBjJvMI~wj7xMSgtgF7DX1h^C7 zPJ%lb?i9FF;ZB1)9qtUcGvUsHI~(pCxO3sogF7GY0=Ns|E`qxl?h?35;Vy%_9PSFZ zE8(t!yBh8qxNG6AgBuNZJ=_g&H^SWncQf2AaAV+Zg}V*zcDS){UI2ku_D`{3?}djM`C+=Flr!95H&3GNZNN8uiWdmQcwxF_MBf_ob78MtTRo`ZWH z?gh9P;a-A!8SWLhSK(fRdmZi#xHsY6f_oe89k_Sl-h+D|?gO|F;XZ=<8156ePvJg; z`yB2IxG&+pg8Lfo8@O-bzJvQ7?gzLZ;eLYq8SWRjU*Ud(`yK8NxIf|kg8LipAGm+v H{)77;sPJsB diff --git a/CPLD/AGM-src/db/prev_cmp_RAM4GS.qmsg b/CPLD/AGM-src/db/prev_cmp_RAM4GS.qmsg deleted file mode 100755 index 715eafe..0000000 --- a/CPLD/AGM-src/db/prev_cmp_RAM4GS.qmsg +++ /dev/null @@ -1,106 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595484987367 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595484987383 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:16:27 2020 " "Processing started: Thu Jul 23 02:16:27 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595484987383 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1595484987383 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM4GS -c RAM4GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1595484987383 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1595484989226 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM4GS.v(52) " "Verilog HDL warning at RAM4GS.v(52): extended using \"x\" or \"z\"" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1595484989445 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram4gs.v 1 1 " "Found 1 design units, including 1 entities, in source file ram4gs.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM4GS " "Found entity 1: RAM4GS" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1595484989476 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1595484989476 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1595484989617 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1595484989633 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_1br " "Found entity 1: UFM_altufm_none_1br" { } { { "UFM.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1595484989633 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1595484989633 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1595484989633 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM4GS " "Elaborating entity \"RAM4GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1595484989805 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM4GS.v(154) " "Verilog HDL assignment warning at RAM4GS.v(154): truncated value with size 32 to match size of target (2)" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 154 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1595484989836 "|RAM4GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM4GS.v(159) " "Verilog HDL assignment warning at RAM4GS.v(159): truncated value with size 32 to match size of target (18)" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 159 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1595484989836 "|RAM4GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM4GS.v(286) " "Verilog HDL assignment warning at RAM4GS.v(286): truncated value with size 32 to match size of target (4)" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 286 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1595484989836 "|RAM4GS"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM4GS.v" "UFM_inst" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 83 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1595484989883 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_1br UFM:UFM_inst\|UFM_altufm_none_1br:UFM_altufm_none_1br_component " "Elaborating entity \"UFM_altufm_none_1br\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_1br:UFM_altufm_none_1br_component\"" { } { { "UFM.v" "UFM_altufm_none_1br_component" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1595484990008 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "241 " "Implemented 241 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1595484991726 ""} { "Info" "ICUT_CUT_TM_OPINS" "29 " "Implemented 29 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1595484991726 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1595484991726 ""} { "Info" "ICUT_CUT_TM_LCELLS" "178 " "Implemented 178 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1595484991726 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1595484991726 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1595484991726 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/Repos/RAM4GS/cpld/output_files/RAM4GS.map.smsg " "Generated suppressed messages file /Repos/RAM4GS/cpld/output_files/RAM4GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1595484992133 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 11 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 11 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "303 " "Peak virtual memory: 303 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595484992398 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:16:32 2020 " "Processing ended: Thu Jul 23 02:16:32 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595484992398 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595484992398 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595484992398 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595484992398 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595484995336 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595484995351 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:16:33 2020 " "Processing started: Thu Jul 23 02:16:33 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595484995351 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1595484995351 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS " "Command: quartus_fit --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1595484995367 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1595484995523 ""} -{ "Info" "0" "" "Project = RAM4GS" { } { } 0 0 "Project = RAM4GS" 0 0 "Fitter" 0 0 1595484995523 ""} -{ "Info" "0" "" "Revision = RAM4GS" { } { } 0 0 "Revision = RAM4GS" 0 0 "Fitter" 0 0 1595484995523 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1595484996148 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM4GS EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM4GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1595484996164 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1595484996367 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1595484996367 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1595484996648 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1595484996679 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595484996992 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595484996992 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595484996992 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595484996992 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595484996992 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1595484996992 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1595484997164 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1595484997179 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1595484997210 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1595484997210 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1595484997210 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1595484997210 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1595484997226 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1595484997226 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 34 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1595484997257 ""} } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI2 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 7 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/" { { 0 { 0 ""} 0 328 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 14 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1595484997257 ""} } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nCRAS } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nCRAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/" { { 0 { 0 ""} 0 330 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1595484997257 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~2 " "Destination \"comb~2\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1595484997257 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 15 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1595484997257 ""} } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nCCAS } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nCCAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/" { { 0 { 0 ""} 0 329 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1595484997273 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1595484997320 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1595484997320 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1595484997382 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1595484997398 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1595484997414 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1595484997414 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595484997445 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1595484997742 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595484998117 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1595484998132 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1595484999460 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595484999460 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1595484999507 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "20 " "Router estimated average interconnect usage is 20% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1595484999976 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1595484999976 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595485000632 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.50 " "Total time spent on timing analysis during the Fitter is 0.50 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1595485000663 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595485000679 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1595485000742 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/Repos/RAM4GS/cpld/output_files/RAM4GS.fit.smsg " "Generated suppressed messages file /Repos/RAM4GS/cpld/output_files/RAM4GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1595485001117 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "374 " "Peak virtual memory: 374 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595485001429 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:16:41 2020 " "Processing ended: Thu Jul 23 02:16:41 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595485001429 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595485001429 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595485001429 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1595485001429 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1595485004085 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595485004101 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:16:43 2020 " "Processing started: Thu Jul 23 02:16:43 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595485004101 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1595485004101 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1595485004101 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1595485005116 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1595485005148 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "296 " "Peak virtual memory: 296 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595485005632 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:16:45 2020 " "Processing ended: Thu Jul 23 02:16:45 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595485005632 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595485005632 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595485005632 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1595485005632 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1595485006413 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1595485008366 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595485008398 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:16:47 2020 " "Processing started: Thu Jul 23 02:16:47 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595485008398 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1595485008398 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM4GS -c RAM4GS " "Command: quartus_sta RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1595485008413 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1595485008601 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1595485009444 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1595485009663 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1595485009663 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1595485009898 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1595485010507 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1595485010726 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1595485010773 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1595485010851 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.292 -92.804 PHI2 " " -9.292 -92.804 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.365 -253.063 RCLK " " -8.365 -253.063 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.490 -0.577 nCRAS " " -0.490 -0.577 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.306 " "Worst-case hold slack is -16.306" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.306 -16.306 DRCLK " " -16.306 -16.306 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.272 -16.272 ARCLK " " -16.272 -16.272 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.874 -0.874 RCLK " " -0.874 -0.874 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.396 -0.396 PHI2 " " -0.396 -0.396 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.125 -0.125 nCRAS " " -0.125 -0.125 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595485010913 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595485010929 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1595485011241 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1595485011366 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1595485011366 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 1 Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595485011538 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:16:51 2020 " "Processing ended: Thu Jul 23 02:16:51 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595485011538 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595485011538 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595485011538 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595485011538 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 14 s " "Quartus II Full Compilation was successful. 0 errors, 14 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595485012647 ""} diff --git a/CPLD/AGM-src/greybox_tmp/cbx_args.txt b/CPLD/AGM-src/greybox_tmp/cbx_args.txt deleted file mode 100755 index b32fb07..0000000 --- a/CPLD/AGM-src/greybox_tmp/cbx_args.txt +++ /dev/null @@ -1,26 +0,0 @@ -ERASE_TIME=500000000 -INTENDED_DEVICE_FAMILY="MAX II" -LPM_FILE=RAM4GS.mif -LPM_HINT=UNUSED -LPM_TYPE=altufm_none -OSC_FREQUENCY=180000 -PORT_ARCLKENA=PORT_UNUSED -PORT_DRCLKENA=PORT_UNUSED -PROGRAM_TIME=1600000 -WIDTH_UFM_ADDRESS=9 -DEVICE_FAMILY="MAX II" -CBX_AUTO_BLACKBOX=ALL -CBX_AUTO_BLACKBOX=ALL -arclk -ardin -arshft -busy -drclk -drdin -drdout -drshft -erase -osc -oscena -program -rtpbusy diff --git a/CPLD/AGM-src/incremental_db/compiled_partitions/RAM4GS.root_partition.map.kpt b/CPLD/AGM-src/incremental_db/compiled_partitions/RAM4GS.root_partition.map.kpt deleted file mode 100755 index 4a043357362f3cf47d7c9a48c600e0c2e0708232..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2613 zcmV-53d;4Y4*>uG0001Zob6p*Z`(!?eDALy^xlX|N~8!l0sNKLZPTU_+7t*1L$;Vy zuuZuXoi;$x-(FI3Y{gbNH*5Az2lOow#nowVXK#0BDDPcdSEsYHpqO6e&wJzjSA(x6 z&#tD|r)Ouqpt<%TFPHO0@w_L`q!;{tGcBu|<^8Kau3rdFPJ%f4@@!rOKd&zZ5YwY|`*-(mwHE<im{GNx9U0Fy5#Z-GI<+v77=WIdim;gxp-eruPCz!JoWwv zWe{Pf7{58D3?k&z*?gZ%=Lk7X2yy!zA?O^955N1CvIv25uurC-2tj9`UZeqXNJk>z z9A3@pNIx#8%bc={;IluT{5F4AQ8p2F4rOw4b~Uf6d`8(t5URb(Ww;1osCMZO%k$&! zsR9NbKuv9sQVWd{j%uivltBceqF&|U;&PH-Qf7^+w*mLvA(-AAbKf0di9Lg2gVIg7 z`XDwb-ncXu8&z-Q-XH+g=Q+2^0YcAemE8Ue5PF(}jRWKW;pb?4bW%_jji5t*aRi+@ zzm4M$OK1ZQ20A=p#g}5i_paAAR4-82>p6BZo+1dn z{`w@M4))Y+s_?pePYusfgrg?jIcTOv#}IdDqz3Z@;fjS6jhO^hQPDR!jvmBgq!iNY(3Kl*z znQf3XJQPXrJUmoMD2aFzr3A;s8%ZTthawcwC!;{E1Wii|6id*&v_PSRl9(1Km{2g& z0!0%_YFeOng65_LY9}=0v|#-N&rSK9Jq`(ErDKs3oKtY9q1Q)2O;8}2inhKHz7pSP9d2qp!3ieonf(o7q7bvDsQsDvx z70qmgM?Tb4&=k8sO@)GE7bvVykn94r6*`t(pu|E!vkMei&^)`C=qpH~U7*53!L$pM zSnyQ4K#2v-wF{J2sK|DK3Jbd4feH&HH!e_T!IR?xbru?kLZwCX_!OT`P2?6z&l=EO zWKM;W3zj7psJWm=*#hMjG*2#2ZlNQ}1&S_srd*)tLP3>_iQt0d$^}X;6lA$T*#*s( z3)Ee#v^G5Qq548W!wb}2&^)|A?FCK5i;?t#U8Yg%L=NGg_(H+O3)ElGWV}H6g=z*1 zB^WdvFHnQA)&cTpf=UdYmlvqS;E8#GN(`Qv7pTS1Q1b%C80$yv_^mS1WRTSvlw}~+ ze~U?jf)D5YtvY}^%75C32i9VMEzJMtZ@c^Oi|egF0PyMh?K`Szl?U@;mjC&@7j9f! zU49^-y)GAje(b%d*LuBSrT@vy-6!|gAH97gn>q%1EgLP1YB78LZO;17J(%p02Qv>n zczg8XC9V7NX;QLvc0;@KRM?jIcE?k#g|!_|C2eKO4tYwuq1|~ZZ7WB1 z$5XNUJasSA+i6dw?mdPMsFL1S;|w|C0L#%xR0sOkIFN1 zKTF8`=#WS5JvH)Uk{7x6R4awCJDyU9eupvTN1hV*eP5>T`zQ|TF$49l{L|+|(If6@ z8}sz~r5Cs~>~6>?!wB7xjZ9ma7E!-HdviVcAp89ToafB&eTi z?l7UNkG|FG|4V$IFvfMyV5*;2w>(I4Um}Knw6ba?a(A9mKiO}&@Nw;^%#Vl~xj&;? zf8%p^Jf(hGrbAEp(ek(cRb_9%^r5>DNn{o?uWSQU)8Xj(cM!h zYG%;#5Z8T1kNxnJde+>Xr^JscLH(;!9mbR&XP^6{PfelzKSp|T>UBSERg(yo3m^B# zdFqFDM14YZcu09N!grs0)siU7#k6xrg?{vB>V~_+y2p=%-uf4dEP>CDPFCFzB5aXLhwAIyNdmg%sZ@*}3)4@W{j(vw`!Q1MAIjuB X_3)M3zX{shRGrSwUVQNn8ae0x*}M>! diff --git a/CPLD/AGM-src/output_files/RAM4GS.cdf b/CPLD/AGM-src/output_files/RAM4GS.cdf deleted file mode 100755 index 43f46dc..0000000 --- a/CPLD/AGM-src/output_files/RAM4GS.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(EPM240T100) Path("Z:/Repos/RAM4GS/cpld/output_files/") File("RAM4GS.pof") MfrSpec(OpMask(3) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/CPLD/AGM-src/output_files/RAM4GS.done b/CPLD/AGM-src/output_files/RAM4GS.done deleted file mode 100755 index d7b20f4..0000000 --- a/CPLD/AGM-src/output_files/RAM4GS.done +++ /dev/null @@ -1 +0,0 @@ -Thu Jul 23 02:21:03 2020 diff --git a/CPLD/AGM-src/output_files/RAM4GS.fit.summary b/CPLD/AGM-src/output_files/RAM4GS.fit.summary deleted file mode 100755 index 530787c..0000000 --- a/CPLD/AGM-src/output_files/RAM4GS.fit.summary +++ /dev/null @@ -1,11 +0,0 @@ -Fitter Status : Successful - Thu Jul 23 02:20:50 2020 -Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : RAM4GS -Top-level Entity Name : RAM4GS -Family : MAX II -Device : EPM240T100C5 -Timing Models : Final -Total logic elements : 170 / 240 ( 71 % ) -Total pins : 62 / 80 ( 78 % ) -Total virtual pins : 0 -UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/AGM-src/output_files/RAM4GS.jdi b/CPLD/AGM-src/output_files/RAM4GS.jdi deleted file mode 100755 index 85a8d49..0000000 --- a/CPLD/AGM-src/output_files/RAM4GS.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/CPLD/AGM-src/output_files/RAM4GS.map.summary b/CPLD/AGM-src/output_files/RAM4GS.map.summary deleted file mode 100755 index 56e671c..0000000 --- a/CPLD/AGM-src/output_files/RAM4GS.map.summary +++ /dev/null @@ -1,9 +0,0 @@ -Analysis & Synthesis Status : Successful - Thu Jul 23 02:20:40 2020 -Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : RAM4GS -Top-level Entity Name : RAM4GS -Family : MAX II -Total logic elements : 178 -Total pins : 62 -Total virtual pins : 0 -UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/AGM-src/output_files/RAM4GS.pof b/CPLD/AGM-src/output_files/RAM4GS.pof deleted file mode 100755 index a168b2e3e672f633bf11687bfd8c7421e95d4c97..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7879 zcmeHMZE#fAd0rrbW-R37N2b&>0;NAX)0tWZwn?YKptRIv;t;^ihktZr83#lt2DKm% zSiXDkOsJiy)6a=xg$mU(#Yx){nz08|duR7xV{ntKB)1-5T>0MXk9yT0?Y&TS@7>+2 zbKXAhId=urX{P`BBZgTWo%iE;KhFEU=ib$h?OQUL%#zFs^Rov(A2_`8VE^Gm9bf!n zM|S<)kL>O5*m1CL&%vGh_wPQ~@%7yY5AE$c(6KJtxwdm%$L}8A`{=HY_1X0uYd?F> zy7l+0U%M{b@s-^NkL>-%?v5Qhzp<}lUB_4M?|5+cBOUkc+S?D!%+kzC7`bo9m)HO1 z+OMu#yLR(uGRra_2kC1E`uFxfx_eiq1v32albP1L%rE@o4R%r!k?IaEYhF`G>W|+Sk>Lwra44Z@ zA%^^ev5u8?;)Rrd3ogK{U9FWMvidSM`jRL|G3}=*_~nyQ%KAqnoA?36KJ+8rJ7JvK zP4UH5ijvE;F>xrT1r;D<@QoeiSi9_BOi>=_ahTd~>iZO_DmlQ;;R88g{WCa1AwKr2 zpaz9LEXmb$yM5TxG%um&JVX>*dp2I+Bo)pt{6bh*i3$eK^0B3gh)H{ZClPzbKHFQ= zS8xsc0`x<54$ipX8NT%33W;ItJUP$sq_07*M~$)n6&gUh&PF6RU#poBx+u= z8;FzROK4O=FG*&t{$Qd)mLqcIKfI+yC=@tnB)jihyWPoWnSZ)Lq3#p01Ec`B1vz*cBn210Pj#Rg?59VMw~q#-kCMvBP}Hd7MpD!d}UIx60?ekaB7P@eq{|c&9 zdj63E!TxQ%7bmxE$__vG?9k+kFK!+k;&a6L6Xk=%@*aM3RWKT`knNCk4K9^}|CYD`rEW4B=N8%hm=9w+EzKh{0mU#`J-8zcL@ zc+yio_*Zil*Geb1l?-Uzq+Abs2@akjCRGoQ@9aq#E-UPjLU4u&`>>jUYV$LfDh?FRa!x z=f0!3e}#`Z6303-MH|cCGyPYPPJD%Wj6EH0`U%D$PEP8oqx}HCD=LP82AIDdpYvP5 zeWt6VCultKNF}%2=MMOBRW_(tG&qO@b&)hs&%C#Frf}PP4W8FA?pMw8*!qtGfPUg| z{f(1yNm@PVgN}m@F01G9>_M~krL_+aaqpNyH1R>k z@a4;i4*9;;zMf5SSRa7QcT678Z%uiwU;J<4SIAd-&<{<%aG*vGj$@Uc!I9REM$z(~ z`%h3(kQUzsQs7TkazU@Ec zJ)s9p{mO!hB&W+~|FF(dG*5L0mmA~n7p2x{^P7#8=Sxp6&jcShwWIyfb!x0E>M?V^ zpJ{E4TCOnv4(6XYw)4N*GSoQxx143@%L}dLFKqh@@b6rndFb~~&=cQoo2TpTt5f|1 z{1u&x{Px-tdoR5K{>QAoqKSzudj{qvO5f@1-NX0azqrAC_Wkyep&sKu&24?={&H!e zwDn?dZ|ARm>bdVfwPi#8OLxDNH}+LLLZ{|UyaUBN@&c-kDdzi+{o}cbRMM!_vWRq6 zD2z}Kq>8EihB^W{J2$1a=MpBWke(CHOR*o0L??G~F~y-Cn5AxM&k^Th?7$wh$vg(4 zK44d)b9rUHOxFaDh8Tb#V~s7}0Uri&=9+x;H~EoVtQY7NL5BkK{ekJT2$f3%0FvXf z_~VQRxoJm{Abp!Yq5l`Sez~qvIX~62`iz5m35$~ViRow8;9)N~l8E02LOkLP6yRUL z{xZsbz1*4jrl0am5I#Vf@|c>Ud2Hd?BM_> z5nD=6?@`dZ-X`>)sLI%n67_?Wk33udx@l%A8ZXWa*L7}nrD5^{|6?Va_VIo;Rq@p} zk^v4P)mzNp>Ce?xl$V_!Z^`T!&(%~0Q;^z-{5^yU0ye!~N!C)56u$OHP% zbzRJTJ~w{)BwfL(%{~busguH>?g6F3^%+4*alKf1)Mvu)Ym_td-8|>u99>!j^s2};Q-O@ z0dP~E_2D0JJ&kGK^pDZ!_froziX=`f#EYScH^h8^KH>rICLR&$l!`J|od2THv-6(! zMowZcMrz|a4o4;)XBE?~_8u&IytKa20jI2YEAn{&xL zeMyKC@a9|q1~pX!SWReT-lyrUN9j(Ps%xY++ff_b>W14c=YXm;`W6Fj&; zc-kxIDX^`)=JU67qc4VCpY#T*Iwa{o;eH*@7bY)Oo>YOH$3T0_?p3pXy0K@R8|C*$ z^p9$1o%-|$=Gi>#`0s&UxAJ18k3QZ+4W%pM$4FKE1B-9sOGteA(+~gh(uZqHm;A@G zYnH?QPZJvZK6E)h4HWI~eENLb@^@;PmSayazgE40CIs*AVH|#nS6)5ebyo-YwUMq@ zLB}8(LmrS#%WqkFe$8Ev)-p?KPp-Ly{;WeEUju+&D!sa=xBR26PkRTBY+65beQ4-f zHhW=scqn0hor2(*YeDetT<3SM{d;lU@aSJ{_;Eh}rRQGC<2~HQ*WkYJ{h5P;f*bG# z{=^l`RII=^_ms(dQTZc|d0*f<2%GtrEO$)I`V9=ID!zbd_;4RYrXzk~aeVr>edO2i zO^Mw%`7z^Qp5b29p{QyCp5pfegP+E4>~Ui%>a+9^{c8LZ#*VEA!F^0xu$;x`75MBw zaASi18utv}7a{5dax&HT(fGpXLH;&NLN(!l`TObH02t|3Jf$NZxCS{xthVxpeP&F6cpQzTYwDllG0}i@tCI8E@d-<~BNX zy76hy)yp@?Pjrs!YB6sQ+WO^vag&2rqiDq1M}4U#j)`wDbNst{>+A0g)Ob$tdrB4g zw9f~O?_`eur7lgD!B+x!;G4b#&-96i1~hWzHyd_Ls^ zZ;b>Y1e*OX-_l3Eda~&MZTL-`{|*2DLg)N{xXy2jx9jKO@cnQ5!1^zGqbbzoo-+5lUqR3f8ma#_ zo|&5QH`kvTFXFTGu93yR4=h;wKVSaC_)R+>t+$`w|5rX%Wm-SB_!prL{)^B@|9){Z VKmx7)(Z65l4E&P$Tk!*Z{|%suYvBL@ diff --git a/CPLD/AGM-src/output_files/RAM4GS.sta.rpt b/CPLD/AGM-src/output_files/RAM4GS.sta.rpt deleted file mode 100755 index 6462353..0000000 --- a/CPLD/AGM-src/output_files/RAM4GS.sta.rpt +++ /dev/null @@ -1,1588 +0,0 @@ -TimeQuest Timing Analyzer report for RAM4GS -Thu Jul 23 02:21:02 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. TimeQuest Timing Analyzer Summary - 3. Parallel Compilation - 4. SDC File List - 5. Clocks - 6. Fmax Summary - 7. Setup Summary - 8. Hold Summary - 9. Recovery Summary - 10. Removal Summary - 11. Minimum Pulse Width Summary - 12. Setup: 'ARCLK' - 13. Setup: 'DRCLK' - 14. Setup: 'PHI2' - 15. Setup: 'RCLK' - 16. Setup: 'nCRAS' - 17. Hold: 'DRCLK' - 18. Hold: 'ARCLK' - 19. Hold: 'RCLK' - 20. Hold: 'PHI2' - 21. Hold: 'nCRAS' - 22. Minimum Pulse Width: 'ARCLK' - 23. Minimum Pulse Width: 'DRCLK' - 24. Minimum Pulse Width: 'PHI2' - 25. Minimum Pulse Width: 'RCLK' - 26. Minimum Pulse Width: 'nCCAS' - 27. Minimum Pulse Width: 'nCRAS' - 28. Setup Times - 29. Hold Times - 30. Clock to Output Times - 31. Minimum Clock to Output Times - 32. Propagation Delay - 33. Minimum Propagation Delay - 34. Output Enable Times - 35. Minimum Output Enable Times - 36. Output Disable Times - 37. Minimum Output Disable Times - 38. Setup Transfers - 39. Hold Transfers - 40. Report TCCS - 41. Report RSKM - 42. Unconstrained Paths - 43. TimeQuest Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+----------------------------------------------------------------------------------------+ -; TimeQuest Timing Analyzer Summary ; -+--------------------+-------------------------------------------------------------------+ -; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; -; Revision Name ; RAM4GS ; -; Device Family ; MAX II ; -; Device Name ; EPM240T100C5 ; -; Timing Models ; Final ; -; Delay Model ; Slow Model ; -; Rise/Fall Delays ; Unavailable ; -+--------------------+-------------------------------------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 2 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 2 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; < 0.1% ; -+----------------------------+-------------+ - - -+-----------------------------------------------------+ -; SDC File List ; -+-----------------+--------+--------------------------+ -; SDC File Path ; Status ; Read at ; -+-----------------+--------+--------------------------+ -; constraints.sdc ; OK ; Thu Jul 23 02:21:01 2020 ; -+-----------------+--------+--------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Clocks ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -; ARCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { ARCLK } ; -; DRCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { DRCLK } ; -; nCCAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCCAS } ; -; nCRAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCRAS } ; -; PHI2 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { PHI2 } ; -; RCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { RCLK } ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ - - -+--------------------------------------------------+ -; Fmax Summary ; -+------------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+------------+------+ -; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; -; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; -; 51.06 MHz ; 51.06 MHz ; PHI2 ; ; -; 128.87 MHz ; 128.87 MHz ; RCLK ; ; -+------------+-----------------+------------+------+ -This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. - - -+---------------------------------+ -; Setup Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; ARCLK ; -99.000 ; -99.000 ; -; DRCLK ; -99.000 ; -99.000 ; -; PHI2 ; -9.292 ; -92.804 ; -; RCLK ; -8.365 ; -253.063 ; -; nCRAS ; -0.490 ; -0.577 ; -+-------+---------+---------------+ - - -+---------------------------------+ -; Hold Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; DRCLK ; -16.306 ; -16.306 ; -; ARCLK ; -16.272 ; -16.272 ; -; RCLK ; -0.874 ; -0.874 ; -; PHI2 ; -0.396 ; -0.396 ; -; nCRAS ; -0.125 ; -0.125 ; -+-------+---------+---------------+ - - --------------------- -; Recovery Summary ; --------------------- -No paths to report. - - -------------------- -; Removal Summary ; -------------------- -No paths to report. - - -+---------------------------------+ -; Minimum Pulse Width Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; ARCLK ; -29.500 ; -59.000 ; -; DRCLK ; -29.500 ; -59.000 ; -; PHI2 ; -2.289 ; -2.289 ; -; RCLK ; -2.289 ; -2.289 ; -; nCCAS ; -2.289 ; -2.289 ; -; nCRAS ; -2.289 ; -2.289 ; -+-------+---------+---------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'ARCLK' ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -99.000 ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.728 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 1.000 ; -1.715 ; 2.013 ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'DRCLK' ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -99.000 ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.714 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -2.165 ; 1.549 ; -; -22.694 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -2.165 ; 1.529 ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------+ -; Setup: 'PHI2' ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; -9.292 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.459 ; -; -9.121 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.288 ; -; -8.996 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.163 ; -; -8.949 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.116 ; -; -8.949 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.116 ; -; -8.949 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.116 ; -; -8.949 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.116 ; -; -8.857 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.024 ; -; -8.778 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.945 ; -; -8.778 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.945 ; -; -8.778 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.945 ; -; -8.778 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.945 ; -; -8.653 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.820 ; -; -8.653 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.820 ; -; -8.653 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.820 ; -; -8.653 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.820 ; -; -8.594 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.761 ; -; -8.514 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.681 ; -; -8.514 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.681 ; -; -8.514 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.681 ; -; -8.514 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.681 ; -; -8.300 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.467 ; -; -8.289 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.456 ; -; -8.251 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.418 ; -; -8.251 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.418 ; -; -8.251 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.418 ; -; -8.251 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.418 ; -; -8.118 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.285 ; -; -8.084 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.251 ; -; -8.043 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.210 ; -; -7.993 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.160 ; -; -7.957 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.124 ; -; -7.957 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.124 ; -; -7.957 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.124 ; -; -7.957 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.124 ; -; -7.872 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.039 ; -; -7.854 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.021 ; -; -7.799 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.966 ; -; -7.747 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.914 ; -; -7.741 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.908 ; -; -7.741 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.908 ; -; -7.741 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.908 ; -; -7.741 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.908 ; -; -7.608 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.775 ; -; -7.591 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.758 ; -; -7.456 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.623 ; -; -7.456 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.623 ; -; -7.456 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.623 ; -; -7.456 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.623 ; -; -7.345 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.512 ; -; -7.297 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.464 ; -; -7.205 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.372 ; -; -7.081 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.248 ; -; -7.051 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.218 ; -; -7.034 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.201 ; -; -6.909 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.076 ; -; -6.870 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.037 ; -; -6.870 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.037 ; -; -6.835 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.002 ; -; -6.796 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.963 ; -; -6.770 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.937 ; -; -6.745 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.912 ; -; -6.699 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.866 ; -; -6.699 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.866 ; -; -6.574 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.741 ; -; -6.574 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.741 ; -; -6.574 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.741 ; -; -6.550 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.717 ; -; -6.507 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.674 ; -; -6.449 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.616 ; -; -6.435 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.602 ; -; -6.435 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.602 ; -; -6.310 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.477 ; -; -6.213 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.380 ; -; -6.172 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.339 ; -; -6.172 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.339 ; -; -6.047 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.214 ; -; -5.997 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.164 ; -; -5.878 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.045 ; -; -5.878 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.045 ; -; -5.753 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.920 ; -; -5.712 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.879 ; -; -5.662 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.829 ; -; -5.662 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.829 ; -; -5.537 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.704 ; -; -5.377 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.544 ; -; -5.377 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.544 ; -; -5.252 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.419 ; -; -5.004 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 5.671 ; -; -4.046 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.713 ; -; -4.046 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.713 ; -; -4.046 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.713 ; -; -4.046 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.713 ; -; -4.040 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.707 ; -; -4.001 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.668 ; -; -3.752 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.419 ; -; -3.694 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 3.861 ; -; -3.585 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.252 ; -; -2.929 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 3.596 ; -; -2.917 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 3.584 ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'RCLK' ; -+--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ -; -8.365 ; CmdSubmitted ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 5.334 ; -; -8.365 ; CmdSubmitted ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 5.334 ; -; -7.591 ; CBR ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 5.180 ; -; -7.130 ; CBR ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.719 ; -; -7.061 ; FWEr ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.650 ; -; -7.017 ; CmdDRDIn ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 3.986 ; -; -6.760 ; FS[5] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.427 ; -; -6.760 ; FS[5] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.427 ; -; -6.691 ; CBR ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.280 ; -; -6.669 ; FWEr ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.258 ; -; -6.664 ; CmdSubmitted ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 3.633 ; -; -6.612 ; FS[16] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.279 ; -; -6.612 ; FS[16] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.279 ; -; -6.588 ; S[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.255 ; -; -6.574 ; CBR ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.163 ; -; -6.549 ; FS[7] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.216 ; -; -6.549 ; FS[7] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.216 ; -; -6.526 ; CBR ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.115 ; -; -6.502 ; FS[17] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.169 ; -; -6.502 ; FS[17] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.169 ; -; -6.501 ; InitReady ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.168 ; -; -6.482 ; S[1] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.149 ; -; -6.401 ; FS[5] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.068 ; -; -6.399 ; FS[4] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.066 ; -; -6.399 ; FS[4] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.066 ; -; -6.395 ; InitReady ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.062 ; -; -6.380 ; FS[5] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.047 ; -; -6.328 ; CmdDRCLK ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 3.297 ; -; -6.258 ; FWEr ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 3.847 ; -; -6.256 ; FS[16] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.923 ; -; -6.253 ; FS[16] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.920 ; -; -6.232 ; FS[16] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.899 ; -; -6.198 ; FS[6] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.865 ; -; -6.198 ; FS[6] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.865 ; -; -6.193 ; FS[7] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.860 ; -; -6.190 ; FS[7] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.857 ; -; -6.169 ; FS[7] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.836 ; -; -6.146 ; FS[17] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.813 ; -; -6.143 ; FS[17] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.810 ; -; -6.122 ; FS[17] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.789 ; -; -6.070 ; UFMInitDone ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.737 ; -; -6.070 ; UFMInitDone ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.737 ; -; -6.044 ; FWEr ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 3.633 ; -; -6.040 ; FS[4] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.707 ; -; -6.032 ; FS[12] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.699 ; -; -6.028 ; RASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.695 ; -; -6.022 ; FS[16] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.689 ; -; -6.019 ; FS[4] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.686 ; -; -5.996 ; FWEr ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 3.585 ; -; -5.959 ; FS[7] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.626 ; -; -5.958 ; S[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.625 ; -; -5.954 ; FS[5] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.621 ; -; -5.949 ; Cmdn8MEGEN ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 2.918 ; -; -5.942 ; UFMReqErase ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.609 ; -; -5.915 ; FS[16] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.582 ; -; -5.912 ; FS[17] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.579 ; -; -5.852 ; S[0] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.519 ; -; -5.852 ; FS[7] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.519 ; -; -5.839 ; FS[6] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.506 ; -; -5.835 ; FS[10] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.502 ; -; -5.818 ; FS[6] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.485 ; -; -5.805 ; FS[17] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.472 ; -; -5.739 ; FS[5] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.406 ; -; -5.733 ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; n8MEGEN ; DRCLK ; RCLK ; 1.000 ; 2.165 ; 8.565 ; -; -5.720 ; FS[5] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.387 ; -; -5.714 ; UFMInitDone ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.381 ; -; -5.711 ; FS[5] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.378 ; -; -5.711 ; UFMInitDone ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.378 ; -; -5.690 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.357 ; -; -5.688 ; Ready ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.355 ; -; -5.666 ; FS[13] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.333 ; -; -5.656 ; FS[12] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.323 ; -; -5.596 ; FS[6] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.263 ; -; -5.579 ; FS[4] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.246 ; -; -5.563 ; FS[16] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.230 ; -; -5.549 ; RASr2 ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.216 ; -; -5.503 ; IS[2] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.170 ; -; -5.500 ; FS[7] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.167 ; -; -5.487 ; RCKE~reg0 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.154 ; -; -5.480 ; UFMInitDone ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.147 ; -; -5.479 ; FS[6] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.146 ; -; -5.459 ; FS[10] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.126 ; -; -5.453 ; FS[17] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.120 ; -; -5.425 ; UFMReqErase ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.092 ; -; -5.420 ; S[1] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.087 ; -; -5.397 ; IS[2] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.064 ; -; -5.373 ; UFMInitDone ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.040 ; -; -5.363 ; FS[12] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.030 ; -; -5.350 ; FS[4] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.017 ; -; -5.345 ; FS[14] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.012 ; -; -5.345 ; FS[4] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.012 ; -; -5.333 ; InitReady ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.000 ; -; -5.312 ; Ready ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.979 ; -; -5.312 ; IS[3] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.979 ; -; -5.290 ; FS[13] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.957 ; -; -5.267 ; FS[3] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.934 ; -; -5.230 ; PHI2r2 ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.897 ; -; -5.230 ; PHI2r2 ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.897 ; -; -5.208 ; IS[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.875 ; -; -5.206 ; IS[3] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.873 ; -+--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Setup: 'nCRAS' ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.490 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 3.235 ; -; -0.087 ; Ready ; RowA[7] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.832 ; -; 0.071 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.500 ; 5.926 ; 6.022 ; -; 0.079 ; Ready ; RowA[8] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.666 ; -; 0.080 ; Ready ; RowA[5] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.665 ; -; 0.081 ; Ready ; RowA[9] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.664 ; -; 0.082 ; Ready ; RowA[1] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.663 ; -; 0.084 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.661 ; -; 0.091 ; Ready ; RowA[2] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.654 ; -; 0.095 ; Ready ; RowA[4] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.650 ; -; 0.099 ; Ready ; RowA[6] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.646 ; -; 0.104 ; Ready ; RowA[0] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.641 ; -; 0.105 ; Ready ; RowA[3] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.640 ; -; 0.571 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 1.000 ; 5.926 ; 6.022 ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'DRCLK' ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.306 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -2.165 ; 1.529 ; -; -16.286 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -2.165 ; 1.549 ; -; 60.000 ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'ARCLK' ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.272 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 0.000 ; -1.715 ; 2.013 ; -; 60.000 ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+----------------------------------------------------------------------------------------------------------+ -; Hold: 'RCLK' ; -+--------+-------------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.874 ; ARCLK ; ARCLK ; ARCLK ; RCLK ; 0.000 ; 3.348 ; 3.071 ; -; -0.374 ; ARCLK ; ARCLK ; ARCLK ; RCLK ; -0.500 ; 3.348 ; 3.071 ; -; 1.192 ; nCCAS ; CASr ; nCCAS ; RCLK ; 0.000 ; 3.348 ; 4.761 ; -; 1.264 ; nCRAS ; RASr ; nCRAS ; RCLK ; 0.000 ; 3.348 ; 4.833 ; -; 1.344 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; 0.000 ; 3.348 ; 4.913 ; -; 1.400 ; PHI2r2 ; PHI2r3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.621 ; -; 1.642 ; RASr3 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.863 ; -; 1.670 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.891 ; -; 1.692 ; nCCAS ; CASr ; nCCAS ; RCLK ; -0.500 ; 3.348 ; 4.761 ; -; 1.695 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.916 ; -; 1.703 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.924 ; -; 1.706 ; IS[1] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.927 ; -; 1.764 ; nCRAS ; RASr ; nCRAS ; RCLK ; -0.500 ; 3.348 ; 4.833 ; -; 1.844 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; -0.500 ; 3.348 ; 4.913 ; -; 1.899 ; DRShift ; DRShift ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.120 ; -; 1.948 ; IS[2] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.169 ; -; 1.959 ; InitReady ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.180 ; -; 1.976 ; RASr2 ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.197 ; -; 1.983 ; RASr2 ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.204 ; -; 2.108 ; FS[6] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.329 ; -; 2.117 ; FS[10] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; FS[11] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; -; 2.125 ; FS[9] ; FS[9] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; UFMProgram ; UFMProgram ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.347 ; -; 2.135 ; FS[8] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.356 ; -; 2.135 ; FS[1] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.356 ; -; 2.137 ; FS[16] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.358 ; -; 2.141 ; Ready ; Ready ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.362 ; -; 2.153 ; IS[3] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.374 ; -; 2.212 ; UFMReqErase ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.433 ; -; 2.221 ; FS[7] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.442 ; -; 2.221 ; FS[2] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.442 ; -; 2.230 ; FS[4] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; -; 2.230 ; FS[12] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; -; 2.230 ; FS[14] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; -; 2.231 ; FS[3] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; FS[5] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; FS[13] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; FS[15] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; -; 2.233 ; n8MEGEN ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.454 ; -; 2.292 ; IS[1] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.513 ; -; 2.332 ; CASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.553 ; -; 2.363 ; PHI2r ; PHI2r2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.584 ; -; 2.380 ; CASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.601 ; -; 2.407 ; CASr2 ; CASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.628 ; -; 2.522 ; ARShift ; ARShift ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.743 ; -; 2.530 ; UFMErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.751 ; -; 2.542 ; IS[3] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.763 ; -; 2.577 ; S[1] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.798 ; -; 2.582 ; S[1] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.803 ; -; 2.593 ; RASr2 ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.814 ; -; 2.615 ; IS[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.836 ; -; 2.622 ; IS[0] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.843 ; -; 2.837 ; CASr3 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.058 ; -; 2.885 ; CASr3 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.106 ; -; 2.912 ; RASr ; RASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.133 ; -; 2.913 ; PHI2r3 ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.134 ; -; 2.936 ; CASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.157 ; -; 2.940 ; FS[6] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.161 ; -; 2.949 ; FS[10] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; FS[11] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.170 ; -; 2.957 ; FS[9] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.178 ; -; 2.967 ; FS[1] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.188 ; -; 2.969 ; FS[16] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.190 ; -; 3.028 ; S[1] ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.249 ; -; 3.051 ; FS[6] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.272 ; -; 3.060 ; FS[11] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; FS[10] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.281 ; -; 3.066 ; IS[0] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.287 ; -; 3.068 ; FS[9] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.289 ; -; 3.078 ; FS[1] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.299 ; -; 3.109 ; RCKEEN ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.330 ; -; 3.130 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.351 ; -; 3.159 ; S[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.380 ; -; 3.161 ; FS[7] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.382 ; -; 3.161 ; FS[2] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.382 ; -; 3.162 ; IS[2] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.383 ; -; 3.170 ; FS[4] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; -; 3.170 ; FS[14] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; -; 3.170 ; FS[12] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; -; 3.171 ; FS[15] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.392 ; -; 3.171 ; FS[5] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.392 ; -; 3.171 ; FS[10] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.392 ; -; 3.179 ; FS[9] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; -; 3.184 ; CASr ; CASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.405 ; -; 3.241 ; RASr ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.462 ; -; 3.277 ; UFMD ; UFMD ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.498 ; -; 3.281 ; FS[14] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.502 ; -; 3.281 ; FS[4] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.502 ; -; 3.282 ; FS[5] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.503 ; -; 3.282 ; FS[15] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.503 ; -; 3.289 ; IS[1] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.510 ; -; 3.289 ; FS[16] ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.510 ; -; 3.290 ; FS[9] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.511 ; -; 3.296 ; UFMD ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.517 ; -; 3.306 ; IS[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.527 ; -; 3.324 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.545 ; -; 3.328 ; IS[1] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.549 ; -; 3.381 ; S[0] ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.602 ; -; 3.383 ; FS[17] ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.604 ; -+--------+-------------+-------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------+ -; Hold: 'PHI2' ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; -0.396 ; Ready ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.198 ; 3.023 ; -; 0.072 ; n8MEGEN ; Cmdn8MEGEN ; RCLK ; PHI2 ; -0.500 ; 3.198 ; 2.991 ; -; 0.129 ; n8MEGEN ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.198 ; 3.548 ; -; 1.927 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.148 ; -; 2.681 ; C1Submitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.902 ; -; 3.162 ; XOR8MEG ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.383 ; -; 3.363 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.584 ; -; 3.375 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.596 ; -; 3.825 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.546 ; -; 4.031 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.252 ; -; 4.110 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.831 ; -; 4.140 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.861 ; -; 4.198 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.419 ; -; 4.265 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.986 ; -; 4.326 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.047 ; -; 4.447 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.668 ; -; 4.486 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.707 ; -; 4.492 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.713 ; -; 4.492 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.713 ; -; 4.492 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.713 ; -; 4.492 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.713 ; -; 4.550 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.271 ; -; 4.620 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.341 ; -; 4.766 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.487 ; -; 4.883 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.604 ; -; 5.022 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.743 ; -; 5.060 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.781 ; -; 5.064 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.785 ; -; 5.147 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.868 ; -; 5.318 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.039 ; -; 5.323 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.044 ; -; 5.349 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.070 ; -; 5.450 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.671 ; -; 5.462 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.183 ; -; 5.519 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.240 ; -; 5.565 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.286 ; -; 5.587 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.308 ; -; 5.758 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.479 ; -; 5.804 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.525 ; -; 5.859 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.580 ; -; 6.020 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.741 ; -; 6.122 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.843 ; -; 6.158 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.879 ; -; 6.261 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.982 ; -; 6.314 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.035 ; -; 6.386 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.107 ; -; 6.443 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.164 ; -; 6.557 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.278 ; -; 6.577 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.298 ; -; 6.659 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.380 ; -; 6.716 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.437 ; -; 6.841 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.562 ; -; 6.953 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.674 ; -; 7.012 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.733 ; -; 7.216 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.937 ; -; 7.242 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.963 ; -; 7.355 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.076 ; -; 7.480 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.201 ; -; 7.527 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.248 ; -; 7.651 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.372 ; -; 7.743 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.464 ; -; 7.902 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.623 ; -; 7.902 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.623 ; -; 7.902 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.623 ; -; 7.902 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.623 ; -; 8.037 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.758 ; -; 8.187 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.908 ; -; 8.187 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.908 ; -; 8.187 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.908 ; -; 8.187 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.908 ; -; 8.245 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.966 ; -; 8.300 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.021 ; -; 8.403 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.124 ; -; 8.403 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.124 ; -; 8.403 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.124 ; -; 8.403 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.124 ; -; 8.439 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.160 ; -; 8.530 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.251 ; -; 8.564 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.285 ; -; 8.697 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.418 ; -; 8.697 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.418 ; -; 8.697 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.418 ; -; 8.697 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.418 ; -; 8.735 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.456 ; -; 8.746 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.467 ; -; 8.960 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.681 ; -; 8.960 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.681 ; -; 8.960 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.681 ; -; 8.960 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.681 ; -; 9.040 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.761 ; -; 9.099 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.820 ; -; 9.099 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.820 ; -; 9.099 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.820 ; -; 9.099 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.820 ; -; 9.224 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.945 ; -; 9.224 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.945 ; -; 9.224 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.945 ; -; 9.224 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.945 ; -; 9.303 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.024 ; -; 9.395 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.116 ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Hold: 'nCRAS' ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.125 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.000 ; 5.926 ; 6.022 ; -; 0.341 ; Ready ; RowA[3] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.640 ; -; 0.342 ; Ready ; RowA[0] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.641 ; -; 0.347 ; Ready ; RowA[6] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.646 ; -; 0.351 ; Ready ; RowA[4] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.650 ; -; 0.355 ; Ready ; RowA[2] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.654 ; -; 0.362 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.661 ; -; 0.364 ; Ready ; RowA[1] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.663 ; -; 0.365 ; Ready ; RowA[9] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.664 ; -; 0.366 ; Ready ; RowA[5] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.665 ; -; 0.367 ; Ready ; RowA[8] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.666 ; -; 0.375 ; nCCAS ; CBR ; nCCAS ; nCRAS ; -0.500 ; 5.926 ; 6.022 ; -; 0.533 ; Ready ; RowA[7] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.832 ; -; 0.936 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 3.235 ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'ARCLK' ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ -; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; -; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_1br_component|maxii_ufm_block1|arclk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_1br_component|maxii_ufm_block1|arclk ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'DRCLK' ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ -; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; -; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_1br_component|maxii_ufm_block1|drclk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_1br_component|maxii_ufm_block1|drclk ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'PHI2' ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; PHI2 ; Rise ; PHI2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; ADSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; ADSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; C1Submitted ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; C1Submitted ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdEnable ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdEnable ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; RA11 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; RA11 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; UFMOscEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; UFMOscEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; XOR8MEG ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; XOR8MEG ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; PHI2|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; PHI2|combout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; RA11|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; RA11|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'RCLK' ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; RCLK ; Rise ; RCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; ARCLK ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; ARCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; ARShift ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; ARShift ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr2 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr3 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr3 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRCLK ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRDIn ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRDIn ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRShift ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRShift ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[10] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[10] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[11] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[11] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[12] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[12] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[13] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[13] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[14] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[14] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[15] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[15] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[16] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[16] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[17] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[17] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[8] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[8] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[9] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[9] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; InitReady ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; InitReady ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r2 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r3 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r3 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RA10 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RA10 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr2 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr3 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr3 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RCKEEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RCKEEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; Ready ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; Ready ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; S[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; S[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; S[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; S[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMD ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMD ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMErase ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMErase ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMInitDone ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMInitDone ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMProgram ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMProgram ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMReqErase ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMReqErase ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; n8MEGEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; n8MEGEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; nRCAS~reg0 ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ - - -+------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'nCCAS' ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCCAS ; Rise ; nCCAS ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[7] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ - - -+--------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'nCRAS' ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCRAS ; Rise ; nCRAS ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; CBR ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; CBR ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; FWEr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; FWEr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[8] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[8] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[9] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[9] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; CBR|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; CBR|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; FWEr|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; FWEr|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; Din[*] ; PHI2 ; 0.839 ; 0.839 ; Rise ; PHI2 ; -; Din[0] ; PHI2 ; 0.100 ; 0.100 ; Rise ; PHI2 ; -; Din[1] ; PHI2 ; 0.099 ; 0.099 ; Rise ; PHI2 ; -; Din[2] ; PHI2 ; 0.187 ; 0.187 ; Rise ; PHI2 ; -; Din[3] ; PHI2 ; 0.377 ; 0.377 ; Rise ; PHI2 ; -; Din[4] ; PHI2 ; 0.181 ; 0.181 ; Rise ; PHI2 ; -; Din[5] ; PHI2 ; 0.431 ; 0.431 ; Rise ; PHI2 ; -; Din[6] ; PHI2 ; 0.839 ; 0.839 ; Rise ; PHI2 ; -; Din[7] ; PHI2 ; -0.141 ; -0.141 ; Rise ; PHI2 ; -; Din[*] ; PHI2 ; 7.176 ; 7.176 ; Fall ; PHI2 ; -; Din[0] ; PHI2 ; 6.507 ; 6.507 ; Fall ; PHI2 ; -; Din[1] ; PHI2 ; 5.653 ; 5.653 ; Fall ; PHI2 ; -; Din[2] ; PHI2 ; 6.225 ; 6.225 ; Fall ; PHI2 ; -; Din[3] ; PHI2 ; 6.476 ; 6.476 ; Fall ; PHI2 ; -; Din[4] ; PHI2 ; 5.332 ; 5.332 ; Fall ; PHI2 ; -; Din[5] ; PHI2 ; 7.176 ; 7.176 ; Fall ; PHI2 ; -; Din[6] ; PHI2 ; 5.239 ; 5.239 ; Fall ; PHI2 ; -; Din[7] ; PHI2 ; 5.246 ; 5.246 ; Fall ; PHI2 ; -; MAin[*] ; PHI2 ; 7.271 ; 7.271 ; Fall ; PHI2 ; -; MAin[0] ; PHI2 ; 4.152 ; 4.152 ; Fall ; PHI2 ; -; MAin[1] ; PHI2 ; 4.051 ; 4.051 ; Fall ; PHI2 ; -; MAin[2] ; PHI2 ; 6.688 ; 6.688 ; Fall ; PHI2 ; -; MAin[3] ; PHI2 ; 7.271 ; 7.271 ; Fall ; PHI2 ; -; MAin[4] ; PHI2 ; 7.040 ; 7.040 ; Fall ; PHI2 ; -; MAin[5] ; PHI2 ; 5.984 ; 5.984 ; Fall ; PHI2 ; -; MAin[6] ; PHI2 ; 4.702 ; 4.702 ; Fall ; PHI2 ; -; MAin[7] ; PHI2 ; 4.845 ; 4.845 ; Fall ; PHI2 ; -; nFWE ; PHI2 ; 5.436 ; 5.436 ; Fall ; PHI2 ; -; PHI2 ; RCLK ; 1.898 ; 1.898 ; Rise ; RCLK ; -; nCCAS ; RCLK ; 1.746 ; 1.746 ; Rise ; RCLK ; -; nCRAS ; RCLK ; 1.818 ; 1.818 ; Rise ; RCLK ; -; Din[*] ; nCCAS ; 0.343 ; 0.343 ; Fall ; nCCAS ; -; Din[0] ; nCCAS ; -0.572 ; -0.572 ; Fall ; nCCAS ; -; Din[1] ; nCCAS ; -0.490 ; -0.490 ; Fall ; nCCAS ; -; Din[2] ; nCCAS ; -0.295 ; -0.295 ; Fall ; nCCAS ; -; Din[3] ; nCCAS ; -0.561 ; -0.561 ; Fall ; nCCAS ; -; Din[4] ; nCCAS ; 0.097 ; 0.097 ; Fall ; nCCAS ; -; Din[5] ; nCCAS ; 0.343 ; 0.343 ; Fall ; nCCAS ; -; Din[6] ; nCCAS ; -0.478 ; -0.478 ; Fall ; nCCAS ; -; Din[7] ; nCCAS ; -0.222 ; -0.222 ; Fall ; nCCAS ; -; CROW[*] ; nCRAS ; 1.871 ; 1.871 ; Fall ; nCRAS ; -; CROW[0] ; nCRAS ; 1.871 ; 1.871 ; Fall ; nCRAS ; -; CROW[1] ; nCRAS ; 1.618 ; 1.618 ; Fall ; nCRAS ; -; MAin[*] ; nCRAS ; 0.521 ; 0.521 ; Fall ; nCRAS ; -; MAin[0] ; nCRAS ; -0.639 ; -0.639 ; Fall ; nCRAS ; -; MAin[1] ; nCRAS ; 0.450 ; 0.450 ; Fall ; nCRAS ; -; MAin[2] ; nCRAS ; -0.345 ; -0.345 ; Fall ; nCRAS ; -; MAin[3] ; nCRAS ; 0.521 ; 0.521 ; Fall ; nCRAS ; -; MAin[4] ; nCRAS ; -0.391 ; -0.391 ; Fall ; nCRAS ; -; MAin[5] ; nCRAS ; -0.178 ; -0.178 ; Fall ; nCRAS ; -; MAin[6] ; nCRAS ; -0.439 ; -0.439 ; Fall ; nCRAS ; -; MAin[7] ; nCRAS ; -1.067 ; -1.067 ; Fall ; nCRAS ; -; MAin[8] ; nCRAS ; -0.425 ; -0.425 ; Fall ; nCRAS ; -; MAin[9] ; nCRAS ; -0.474 ; -0.474 ; Fall ; nCRAS ; -; nCCAS ; nCRAS ; 0.429 ; 0.429 ; Fall ; nCRAS ; -; nFWE ; nCRAS ; 2.878 ; 2.878 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Hold Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; Din[*] ; PHI2 ; 0.943 ; 0.943 ; Rise ; PHI2 ; -; Din[0] ; PHI2 ; 0.454 ; 0.454 ; Rise ; PHI2 ; -; Din[1] ; PHI2 ; 0.455 ; 0.455 ; Rise ; PHI2 ; -; Din[2] ; PHI2 ; 0.367 ; 0.367 ; Rise ; PHI2 ; -; Din[3] ; PHI2 ; 0.177 ; 0.177 ; Rise ; PHI2 ; -; Din[4] ; PHI2 ; 0.373 ; 0.373 ; Rise ; PHI2 ; -; Din[5] ; PHI2 ; 0.123 ; 0.123 ; Rise ; PHI2 ; -; Din[6] ; PHI2 ; 0.943 ; 0.943 ; Rise ; PHI2 ; -; Din[7] ; PHI2 ; 0.695 ; 0.695 ; Rise ; PHI2 ; -; Din[*] ; PHI2 ; 0.303 ; 0.303 ; Fall ; PHI2 ; -; Din[0] ; PHI2 ; -0.378 ; -0.378 ; Fall ; PHI2 ; -; Din[1] ; PHI2 ; 0.138 ; 0.138 ; Fall ; PHI2 ; -; Din[2] ; PHI2 ; -0.365 ; -0.365 ; Fall ; PHI2 ; -; Din[3] ; PHI2 ; -0.419 ; -0.419 ; Fall ; PHI2 ; -; Din[4] ; PHI2 ; 0.303 ; 0.303 ; Fall ; PHI2 ; -; Din[5] ; PHI2 ; -1.686 ; -1.686 ; Fall ; PHI2 ; -; Din[6] ; PHI2 ; -1.080 ; -1.080 ; Fall ; PHI2 ; -; Din[7] ; PHI2 ; -1.052 ; -1.052 ; Fall ; PHI2 ; -; MAin[*] ; PHI2 ; 0.837 ; 0.837 ; Fall ; PHI2 ; -; MAin[0] ; PHI2 ; 0.837 ; 0.837 ; Fall ; PHI2 ; -; MAin[1] ; PHI2 ; -0.027 ; -0.027 ; Fall ; PHI2 ; -; MAin[2] ; PHI2 ; -2.640 ; -2.640 ; Fall ; PHI2 ; -; MAin[3] ; PHI2 ; -3.223 ; -3.223 ; Fall ; PHI2 ; -; MAin[4] ; PHI2 ; -2.992 ; -2.992 ; Fall ; PHI2 ; -; MAin[5] ; PHI2 ; -1.936 ; -1.936 ; Fall ; PHI2 ; -; MAin[6] ; PHI2 ; -0.564 ; -0.564 ; Fall ; PHI2 ; -; MAin[7] ; PHI2 ; -0.704 ; -0.704 ; Fall ; PHI2 ; -; nFWE ; PHI2 ; -0.462 ; -0.462 ; Fall ; PHI2 ; -; PHI2 ; RCLK ; -1.344 ; -1.344 ; Rise ; RCLK ; -; nCCAS ; RCLK ; -1.192 ; -1.192 ; Rise ; RCLK ; -; nCRAS ; RCLK ; -1.264 ; -1.264 ; Rise ; RCLK ; -; Din[*] ; nCCAS ; 1.126 ; 1.126 ; Fall ; nCCAS ; -; Din[0] ; nCCAS ; 1.126 ; 1.126 ; Fall ; nCCAS ; -; Din[1] ; nCCAS ; 1.044 ; 1.044 ; Fall ; nCCAS ; -; Din[2] ; nCCAS ; 0.849 ; 0.849 ; Fall ; nCCAS ; -; Din[3] ; nCCAS ; 1.115 ; 1.115 ; Fall ; nCCAS ; -; Din[4] ; nCCAS ; 0.457 ; 0.457 ; Fall ; nCCAS ; -; Din[5] ; nCCAS ; 0.211 ; 0.211 ; Fall ; nCCAS ; -; Din[6] ; nCCAS ; 1.032 ; 1.032 ; Fall ; nCCAS ; -; Din[7] ; nCCAS ; 0.776 ; 0.776 ; Fall ; nCCAS ; -; CROW[*] ; nCRAS ; -1.064 ; -1.064 ; Fall ; nCRAS ; -; CROW[0] ; nCRAS ; -1.317 ; -1.317 ; Fall ; nCRAS ; -; CROW[1] ; nCRAS ; -1.064 ; -1.064 ; Fall ; nCRAS ; -; MAin[*] ; nCRAS ; 1.621 ; 1.621 ; Fall ; nCRAS ; -; MAin[0] ; nCRAS ; 1.193 ; 1.193 ; Fall ; nCRAS ; -; MAin[1] ; nCRAS ; 0.104 ; 0.104 ; Fall ; nCRAS ; -; MAin[2] ; nCRAS ; 0.899 ; 0.899 ; Fall ; nCRAS ; -; MAin[3] ; nCRAS ; 0.033 ; 0.033 ; Fall ; nCRAS ; -; MAin[4] ; nCRAS ; 0.945 ; 0.945 ; Fall ; nCRAS ; -; MAin[5] ; nCRAS ; 0.732 ; 0.732 ; Fall ; nCRAS ; -; MAin[6] ; nCRAS ; 0.993 ; 0.993 ; Fall ; nCRAS ; -; MAin[7] ; nCRAS ; 1.621 ; 1.621 ; Fall ; nCRAS ; -; MAin[8] ; nCRAS ; 0.979 ; 0.979 ; Fall ; nCRAS ; -; MAin[9] ; nCRAS ; 1.028 ; 1.028 ; Fall ; nCRAS ; -; nCCAS ; nCRAS ; 0.125 ; 0.125 ; Fall ; nCRAS ; -; nFWE ; nCRAS ; -2.324 ; -2.324 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; RA[*] ; PHI2 ; 11.943 ; 11.943 ; Rise ; PHI2 ; -; RA[11] ; PHI2 ; 11.943 ; 11.943 ; Rise ; PHI2 ; -; RA[*] ; RCLK ; 12.421 ; 12.421 ; Rise ; RCLK ; -; RA[0] ; RCLK ; 12.101 ; 12.101 ; Rise ; RCLK ; -; RA[1] ; RCLK ; 11.881 ; 11.881 ; Rise ; RCLK ; -; RA[2] ; RCLK ; 12.068 ; 12.068 ; Rise ; RCLK ; -; RA[3] ; RCLK ; 12.421 ; 12.421 ; Rise ; RCLK ; -; RA[4] ; RCLK ; 12.287 ; 12.287 ; Rise ; RCLK ; -; RA[5] ; RCLK ; 12.220 ; 12.220 ; Rise ; RCLK ; -; RA[6] ; RCLK ; 12.186 ; 12.186 ; Rise ; RCLK ; -; RA[7] ; RCLK ; 11.890 ; 11.890 ; Rise ; RCLK ; -; RA[8] ; RCLK ; 12.150 ; 12.150 ; Rise ; RCLK ; -; RA[9] ; RCLK ; 12.269 ; 12.269 ; Rise ; RCLK ; -; RA[10] ; RCLK ; 8.927 ; 8.927 ; Rise ; RCLK ; -; RCKE ; RCLK ; 8.786 ; 8.786 ; Rise ; RCLK ; -; RDQMH ; RCLK ; 12.174 ; 12.174 ; Rise ; RCLK ; -; RDQML ; RCLK ; 12.206 ; 12.206 ; Rise ; RCLK ; -; nRCAS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; -; nRCS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; -; nRRAS ; RCLK ; 7.536 ; 7.536 ; Rise ; RCLK ; -; nRWE ; RCLK ; 8.622 ; 8.622 ; Rise ; RCLK ; -; RD[*] ; nCCAS ; 19.685 ; 19.685 ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 19.685 ; 19.685 ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 18.806 ; 18.806 ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 17.621 ; 17.621 ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 19.528 ; 19.528 ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 18.795 ; 18.795 ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 18.802 ; 18.802 ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 18.954 ; 18.954 ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 18.801 ; 18.801 ; Fall ; nCCAS ; -; RA[*] ; nCRAS ; 14.657 ; 14.657 ; Fall ; nCRAS ; -; RA[0] ; nCRAS ; 13.694 ; 13.694 ; Fall ; nCRAS ; -; RA[1] ; nCRAS ; 13.338 ; 13.338 ; Fall ; nCRAS ; -; RA[2] ; nCRAS ; 13.700 ; 13.700 ; Fall ; nCRAS ; -; RA[3] ; nCRAS ; 13.894 ; 13.894 ; Fall ; nCRAS ; -; RA[4] ; nCRAS ; 13.348 ; 13.348 ; Fall ; nCRAS ; -; RA[5] ; nCRAS ; 13.282 ; 13.282 ; Fall ; nCRAS ; -; RA[6] ; nCRAS ; 13.721 ; 13.721 ; Fall ; nCRAS ; -; RA[7] ; nCRAS ; 13.003 ; 13.003 ; Fall ; nCRAS ; -; RA[8] ; nCRAS ; 14.657 ; 14.657 ; Fall ; nCRAS ; -; RA[9] ; nCRAS ; 13.207 ; 13.207 ; Fall ; nCRAS ; -; RBA[*] ; nCRAS ; 10.738 ; 10.738 ; Fall ; nCRAS ; -; RBA[0] ; nCRAS ; 10.096 ; 10.096 ; Fall ; nCRAS ; -; RBA[1] ; nCRAS ; 10.738 ; 10.738 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; RA[*] ; PHI2 ; 11.943 ; 11.943 ; Rise ; PHI2 ; -; RA[11] ; PHI2 ; 11.943 ; 11.943 ; Rise ; PHI2 ; -; RA[*] ; RCLK ; 8.927 ; 8.927 ; Rise ; RCLK ; -; RA[0] ; RCLK ; 12.101 ; 12.101 ; Rise ; RCLK ; -; RA[1] ; RCLK ; 11.881 ; 11.881 ; Rise ; RCLK ; -; RA[2] ; RCLK ; 12.068 ; 12.068 ; Rise ; RCLK ; -; RA[3] ; RCLK ; 12.421 ; 12.421 ; Rise ; RCLK ; -; RA[4] ; RCLK ; 12.287 ; 12.287 ; Rise ; RCLK ; -; RA[5] ; RCLK ; 12.220 ; 12.220 ; Rise ; RCLK ; -; RA[6] ; RCLK ; 12.186 ; 12.186 ; Rise ; RCLK ; -; RA[7] ; RCLK ; 11.890 ; 11.890 ; Rise ; RCLK ; -; RA[8] ; RCLK ; 12.150 ; 12.150 ; Rise ; RCLK ; -; RA[9] ; RCLK ; 12.269 ; 12.269 ; Rise ; RCLK ; -; RA[10] ; RCLK ; 8.927 ; 8.927 ; Rise ; RCLK ; -; RCKE ; RCLK ; 8.786 ; 8.786 ; Rise ; RCLK ; -; RDQMH ; RCLK ; 12.174 ; 12.174 ; Rise ; RCLK ; -; RDQML ; RCLK ; 12.206 ; 12.206 ; Rise ; RCLK ; -; nRCAS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; -; nRCS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; -; nRRAS ; RCLK ; 7.536 ; 7.536 ; Rise ; RCLK ; -; nRWE ; RCLK ; 8.622 ; 8.622 ; Rise ; RCLK ; -; RD[*] ; nCCAS ; 17.621 ; 17.621 ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 19.685 ; 19.685 ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 18.806 ; 18.806 ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 17.621 ; 17.621 ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 19.528 ; 19.528 ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 18.795 ; 18.795 ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 18.802 ; 18.802 ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 18.954 ; 18.954 ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 18.801 ; 18.801 ; Fall ; nCCAS ; -; RA[*] ; nCRAS ; 13.003 ; 13.003 ; Fall ; nCRAS ; -; RA[0] ; nCRAS ; 13.694 ; 13.694 ; Fall ; nCRAS ; -; RA[1] ; nCRAS ; 13.338 ; 13.338 ; Fall ; nCRAS ; -; RA[2] ; nCRAS ; 13.700 ; 13.700 ; Fall ; nCRAS ; -; RA[3] ; nCRAS ; 13.894 ; 13.894 ; Fall ; nCRAS ; -; RA[4] ; nCRAS ; 13.348 ; 13.348 ; Fall ; nCRAS ; -; RA[5] ; nCRAS ; 13.282 ; 13.282 ; Fall ; nCRAS ; -; RA[6] ; nCRAS ; 13.721 ; 13.721 ; Fall ; nCRAS ; -; RA[7] ; nCRAS ; 13.003 ; 13.003 ; Fall ; nCRAS ; -; RA[8] ; nCRAS ; 14.657 ; 14.657 ; Fall ; nCRAS ; -; RA[9] ; nCRAS ; 13.207 ; 13.207 ; Fall ; nCRAS ; -; RBA[*] ; nCRAS ; 10.096 ; 10.096 ; Fall ; nCRAS ; -; RBA[0] ; nCRAS ; 10.096 ; 10.096 ; Fall ; nCRAS ; -; RBA[1] ; nCRAS ; 10.738 ; 10.738 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+--------+----+----+--------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+--------+----+----+--------+ -; MAin[0] ; RA[0] ; 10.124 ; ; ; 10.124 ; -; MAin[1] ; RA[1] ; 9.891 ; ; ; 9.891 ; -; MAin[2] ; RA[2] ; 8.276 ; ; ; 8.276 ; -; MAin[3] ; RA[3] ; 10.704 ; ; ; 10.704 ; -; MAin[4] ; RA[4] ; 8.824 ; ; ; 8.824 ; -; MAin[5] ; RA[5] ; 8.367 ; ; ; 8.367 ; -; MAin[6] ; RA[6] ; 10.195 ; ; ; 10.195 ; -; MAin[7] ; RA[7] ; 9.820 ; ; ; 9.820 ; -; MAin[8] ; RA[8] ; 9.678 ; ; ; 9.678 ; -; MAin[9] ; RA[9] ; 8.912 ; ; ; 8.912 ; -; MAin[9] ; RDQMH ; 8.830 ; ; ; 8.830 ; -; MAin[9] ; RDQML ; 8.862 ; ; ; 8.862 ; -; RD[0] ; Dout[0] ; 6.188 ; ; ; 6.188 ; -; RD[1] ; Dout[1] ; 6.690 ; ; ; 6.690 ; -; RD[2] ; Dout[2] ; 6.254 ; ; ; 6.254 ; -; RD[3] ; Dout[3] ; 6.845 ; ; ; 6.845 ; -; RD[4] ; Dout[4] ; 6.775 ; ; ; 6.775 ; -; RD[5] ; Dout[5] ; 6.952 ; ; ; 6.952 ; -; RD[6] ; Dout[6] ; 6.194 ; ; ; 6.194 ; -; RD[7] ; Dout[7] ; 6.725 ; ; ; 6.725 ; -; nFWE ; RD[0] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[1] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[2] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[3] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[4] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[5] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[6] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[7] ; 17.178 ; ; ; 17.178 ; -+------------+-------------+--------+----+----+--------+ - - -+------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+--------+----+----+--------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+--------+----+----+--------+ -; MAin[0] ; RA[0] ; 10.124 ; ; ; 10.124 ; -; MAin[1] ; RA[1] ; 9.891 ; ; ; 9.891 ; -; MAin[2] ; RA[2] ; 8.276 ; ; ; 8.276 ; -; MAin[3] ; RA[3] ; 10.704 ; ; ; 10.704 ; -; MAin[4] ; RA[4] ; 8.824 ; ; ; 8.824 ; -; MAin[5] ; RA[5] ; 8.367 ; ; ; 8.367 ; -; MAin[6] ; RA[6] ; 10.195 ; ; ; 10.195 ; -; MAin[7] ; RA[7] ; 9.820 ; ; ; 9.820 ; -; MAin[8] ; RA[8] ; 9.678 ; ; ; 9.678 ; -; MAin[9] ; RA[9] ; 8.912 ; ; ; 8.912 ; -; MAin[9] ; RDQMH ; 8.830 ; ; ; 8.830 ; -; MAin[9] ; RDQML ; 8.862 ; ; ; 8.862 ; -; RD[0] ; Dout[0] ; 6.188 ; ; ; 6.188 ; -; RD[1] ; Dout[1] ; 6.690 ; ; ; 6.690 ; -; RD[2] ; Dout[2] ; 6.254 ; ; ; 6.254 ; -; RD[3] ; Dout[3] ; 6.845 ; ; ; 6.845 ; -; RD[4] ; Dout[4] ; 6.775 ; ; ; 6.775 ; -; RD[5] ; Dout[5] ; 6.952 ; ; ; 6.952 ; -; RD[6] ; Dout[6] ; 6.194 ; ; ; 6.194 ; -; RD[7] ; Dout[7] ; 6.725 ; ; ; 6.725 ; -; nFWE ; RD[0] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[1] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[2] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[3] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[4] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[5] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[6] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[7] ; 17.178 ; ; ; 17.178 ; -+------------+-------------+--------+----+----+--------+ - - -+-----------------------------------------------------------------------+ -; Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -+-----------+------------+--------+------+------------+-----------------+ - - -+-----------------------------------------------------------------------+ -; Minimum Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -+-----------+------------+--------+------+------------+-----------------+ - - -+-------------------------------------------------------------------------------+ -; Output Disable Times ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -+-----------+------------+-----------+-----------+------------+-----------------+ - - -+-------------------------------------------------------------------------------+ -; Minimum Output Disable Times ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -+-----------+------------+-----------+-----------+------------+-----------------+ - - -+-------------------------------------------------------------------+ -; Setup Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; -; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; -; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; -; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; -; ARCLK ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; DRCLK ; RCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; -; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; -; RCLK ; RCLK ; 619 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-------------------------------------------------------------------+ -; Hold Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; -; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; -; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; -; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; -; ARCLK ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; DRCLK ; RCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; -; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; -; RCLK ; RCLK ; 619 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 30 ; 30 ; -; Unconstrained Input Port Paths ; 231 ; 231 ; -; Unconstrained Output Ports ; 37 ; 37 ; -; Unconstrained Output Port Paths ; 75 ; 75 ; -+---------------------------------+-------+------+ - - -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit TimeQuest Timing Analyzer - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Jul 23 02:20:57 2020 -Info: Command: quartus_sta RAM4GS -c RAM4GS -Info: qsta_default_script.tcl version: #1 -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (306004): Started post-fitting delay annotation -Info (306005): Delay annotation completed successfully -Info (332104): Reading SDC File: 'constraints.sdc' -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332105): Deriving Clocks - Info (332105): create_clock -period 1.000 -name DRCLK DRCLK - Info (332105): create_clock -period 1.000 -name ARCLK ARCLK - Info (332105): create_clock -period 1.000 -name RCLK RCLK - Info (332105): create_clock -period 1.000 -name nCRAS nCRAS - Info (332105): create_clock -period 1.000 -name PHI2 PHI2 - Info (332105): create_clock -period 1.000 -name nCCAS nCCAS -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -99.000 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -99.000 -99.000 ARCLK - Info (332119): -99.000 -99.000 DRCLK - Info (332119): -9.292 -92.804 PHI2 - Info (332119): -8.365 -253.063 RCLK - Info (332119): -0.490 -0.577 nCRAS -Info (332146): Worst-case hold slack is -16.306 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -16.306 -16.306 DRCLK - Info (332119): -16.272 -16.272 ARCLK - Info (332119): -0.874 -0.874 RCLK - Info (332119): -0.396 -0.396 PHI2 - Info (332119): -0.125 -0.125 nCRAS -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is -29.500 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -29.500 -59.000 ARCLK - Info (332119): -29.500 -59.000 DRCLK - Info (332119): -2.289 -2.289 PHI2 - Info (332119): -2.289 -2.289 RCLK - Info (332119): -2.289 -2.289 nCCAS - Info (332119): -2.289 -2.289 nCRAS -Info (332001): The selected device family is not supported by the report_metastability command. -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 288 megabytes - Info: Processing ended: Thu Jul 23 02:21:02 2020 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:04 - - diff --git a/CPLD/AGM-src/RAM4GS.qpf b/CPLD/MAX/MAXII/RAM2GS-MAXII.qpf old mode 100755 new mode 100644 similarity index 84% rename from CPLD/AGM-src/RAM4GS.qpf rename to CPLD/MAX/MAXII/RAM2GS-MAXII.qpf index aceec8c..74f038f --- a/CPLD/AGM-src/RAM4GS.qpf +++ b/CPLD/MAX/MAXII/RAM2GS-MAXII.qpf @@ -16,15 +16,15 @@ # # -------------------------------------------------------------------------- # # -# Quartus II 32-bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version -# Date created = 21:16:34 March 08, 2020 +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 18:32:31 August 16, 2021 # # -------------------------------------------------------------------------- # QUARTUS_VERSION = "13.0" -DATE = "21:16:34 March 08, 2020" +DATE = "18:32:31 August 16, 2021" # Revisions -PROJECT_REVISION = "RAM4GS" +PROJECT_REVISION = "RAM2GS" diff --git a/CPLD/MAXII/RAM4GS.qsf b/CPLD/MAX/MAXII/RAM2GS.qsf old mode 100755 new mode 100644 similarity index 97% rename from CPLD/MAXII/RAM4GS.qsf rename to CPLD/MAX/MAXII/RAM2GS.qsf index ed8578e..5aa99ad --- a/CPLD/MAXII/RAM4GS.qsf +++ b/CPLD/MAX/MAXII/RAM2GS.qsf @@ -38,12 +38,10 @@ set_global_assignment -name FAMILY "MAX II" set_global_assignment -name DEVICE EPM240T100C5 -set_global_assignment -name TOP_LEVEL_ENTITY RAM4GS +set_global_assignment -name TOP_LEVEL_ENTITY RAM2GS set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:16:34 MARCH 08, 2020" set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" -set_global_assignment -name SDC_FILE constraints.sdc -set_global_assignment -name VERILOG_FILE RAM4GS.v set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 @@ -174,7 +172,6 @@ set_location_assignment PIN_95 -to RD[6] set_location_assignment PIN_97 -to RD[7] set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RD -set_global_assignment -name MIF_FILE RAM4GS.mif set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nCRAS set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nCCAS set_instance_assignment -name PAD_TO_CORE_DELAY 1 -to nFWE @@ -210,4 +207,6 @@ set_instance_assignment -name SLOW_SLEW_RATE OFF -to RDQML set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RD set_instance_assignment -name SLOW_SLEW_RATE ON -to RD set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to RD +set_global_assignment -name VERILOG_FILE "../RAM2GS-MAX.v" +set_global_assignment -name MIF_FILE "../RAM2GS-MAX.mif" set_global_assignment -name QIP_FILE UFM.qip \ No newline at end of file diff --git a/CPLD/MAX/MAXII/RAM2GS.qws b/CPLD/MAX/MAXII/RAM2GS.qws new file mode 100644 index 0000000000000000000000000000000000000000..b0761a5349eac02c3f91222206380c2ce3b47e72 GIT binary patch literal 613 zcmbV}%}T>S6opS>g)V&n7ePdd5N&G(m!jaRtKw3S*i2MfO=%-8#0TTI)^7IPI!wMX3s zm#W3iv6RlH4t&-mYhxMM0^eb``1bh0GVbUJ=d*XK?1+#^@oXMj`i9%kDZLlybV^WI z;E##7Xm;LDLT5Y!ekM;|+cg&ITCh5?J`V*!Heic;IT$NAk90?@JafX#l09T@rm;bd z)=RaVwWbqV9hWvpUxS}OCd{m%p00JNtN%>$F9FG>7d84_D!6Y_S2!{i+uX4Xcf9#K d%}(_C^1S>@Hbz?Q@s884+>c%GNDHfDeFCs;Xqo^3 literal 0 HcmV?d00001 diff --git a/CPLD/AGM-src/UFM.qip b/CPLD/MAX/MAXII/UFM.qip old mode 100755 new mode 100644 similarity index 100% rename from CPLD/AGM-src/UFM.qip rename to CPLD/MAX/MAXII/UFM.qip diff --git a/CPLD/MAXII/UFM.v b/CPLD/MAX/MAXII/UFM.v old mode 100755 new mode 100644 similarity index 83% rename from CPLD/MAXII/UFM.v rename to CPLD/MAX/MAXII/UFM.v index c063115..f58dd72 --- a/CPLD/MAXII/UFM.v +++ b/CPLD/MAX/MAXII/UFM.v @@ -33,8 +33,8 @@ //applicable agreement for further details. -//altufm_none CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="MAX II" ERASE_TIME=500000000 LPM_FILE="RAM4GS.mif" OSC_FREQUENCY=180000 PORT_ARCLKENA="PORT_UNUSED" PORT_DRCLKENA="PORT_UNUSED" PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 arclk ardin arshft busy drclk drdin drdout drshft erase osc oscena program rtpbusy -//VERSION_BEGIN 13.0 cbx_a_gray2bin 2013:06:12:18:03:39:SJ cbx_a_graycounter 2013:06:12:18:03:39:SJ cbx_altufm_none 2013:06:12:18:03:40:SJ cbx_cycloneii 2013:06:12:18:03:40:SJ cbx_lpm_add_sub 2013:06:12:18:03:40:SJ cbx_lpm_compare 2013:06:12:18:03:40:SJ cbx_lpm_counter 2013:06:12:18:03:40:SJ cbx_lpm_decode 2013:06:12:18:03:40:SJ cbx_lpm_mux 2013:06:12:18:03:40:SJ cbx_maxii 2013:06:12:18:03:40:SJ cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratix 2013:06:12:18:03:40:SJ cbx_stratixii 2013:06:12:18:03:40:SJ cbx_util_mgl 2013:06:12:18:03:40:SJ VERSION_END +//altufm_none CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="MAX II" ERASE_TIME=500000000 LPM_FILE="RAM2GS-MAX.mif" OSC_FREQUENCY=180000 PORT_ARCLKENA="PORT_UNUSED" PORT_DRCLKENA="PORT_UNUSED" PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 arclk ardin arshft busy drclk drdin drdout drshft erase osc oscena program rtpbusy +//VERSION_BEGIN 13.0 cbx_a_gray2bin 2013:06:12:18:03:43:SJ cbx_a_graycounter 2013:06:12:18:03:43:SJ cbx_altufm_none 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_counter 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_maxii 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END // synthesis VERILOG_INPUT_VERSION VERILOG_2001 // altera message_off 10463 @@ -43,7 +43,7 @@ //synopsys translate_off `timescale 1 ps / 1 ps //synopsys translate_on -module UFM_altufm_none_1br +module UFM_altufm_none_imr ( arclk, ardin, @@ -117,23 +117,23 @@ module UFM_altufm_none_1br defparam maxii_ufm_block1.address_width = 9, maxii_ufm_block1.erase_time = 500000000, - maxii_ufm_block1.init_file = "RAM4GS.mif", + maxii_ufm_block1.init_file = "RAM2GS-MAX.mif", maxii_ufm_block1.mem1 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem10 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem11 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem12 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem13 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem14 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem15 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem16 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, + maxii_ufm_block1.mem10 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem11 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem12 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem13 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem14 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem15 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem16 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, maxii_ufm_block1.mem2 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, maxii_ufm_block1.mem3 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, maxii_ufm_block1.mem4 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, maxii_ufm_block1.mem5 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, maxii_ufm_block1.mem6 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, maxii_ufm_block1.mem7 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem8 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, - maxii_ufm_block1.mem9 = 512'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, + maxii_ufm_block1.mem8 = 512'hFFFF7FFF000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, + maxii_ufm_block1.mem9 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, maxii_ufm_block1.osc_sim_setting = 180000, maxii_ufm_block1.program_time = 1600000, maxii_ufm_block1.lpm_type = "maxii_ufm"; @@ -155,7 +155,7 @@ module UFM_altufm_none_1br ufm_osc = wire_maxii_ufm_block1_osc, ufm_oscena = oscena, ufm_program = program; -endmodule //UFM_altufm_none_1br +endmodule //UFM_altufm_none_imr //VALID FILE @@ -200,7 +200,7 @@ module UFM ( wire drdout = sub_wire2; wire busy = sub_wire3; - UFM_altufm_none_1br UFM_altufm_none_1br_component ( + UFM_altufm_none_imr UFM_altufm_none_imr_component ( .arshft (arshft), .drclk (drclk), .erase (erase), @@ -224,7 +224,7 @@ endmodule // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX II" // Retrieval info: CONSTANT: ERASE_TIME NUMERIC "500000000" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX II" -// Retrieval info: CONSTANT: LPM_FILE STRING "RAM4GS.mif" +// Retrieval info: CONSTANT: LPM_FILE STRING "RAM2GS-MAX.mif" // Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED" // Retrieval info: CONSTANT: LPM_TYPE STRING "altufm_none" // Retrieval info: CONSTANT: OSC_FREQUENCY NUMERIC "180000" diff --git a/CPLD/MAX/MAXII/db/RAM2GS.(0).cnf.cdb b/CPLD/MAX/MAXII/db/RAM2GS.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..b4b4e463d631023d227d6401c0a54997f83bc633 GIT binary patch literal 20498 zcmZsCWl$W?*EJRh!GZ(`9z3`^OK^wa?(Xg`!6iU&3-0djEWWq~cMC4NEbNot`~UjZ z)byFYx9*(kGd0~^ckW=r!NJv_!T)RB{vBffP}9QI&Bn=*f}N9vjfI^;%)`dsoPvXs zgMy8Rm7Rl?gN>b&Le0X})5gq#;=75N9R)junjD3eg(-!kxsCh3NYuywZU+ZPsPz8; zE*SBDi1Yn_=na37i`q>i8E^%PGrf=QwN_Y+5>#a1XmlzmES3FWC!=7 zPX$~1yT(UL#lJWXb5qu~QzEPUd1^;vc;7A&PaCtB;JSUKrvU@NYSjW}PTj1W9r-*& zJi0m|eK1(dqwCS}@o}9Npnc{1RTM~Umj?0h-Ap!gihgs9HTW5g@JTMTPzH zR0TZN4{3#`M5V=eC<=0*C+PajmvZ}wbV`LrD-UI?JWmot^_hk|zc76a+J3ip)%Feg zN)Q#)k8p#fPw^(2vR{`aeDwTd*r-3B?d?q>1i~}u;!3T+f7Mzfws0K#+$|o;GL1M=%&$zyQqxrM1+;8D; zeVG@1HcOSMz|+-p_B_vv)4@Hb1Hd|D)?$`1-cO@RRaUm$EbYyiYvP)^ zQ{rYg|2WBtrj@3IOjzTd!sD55^6D#SE>MYe2lMJP|GUr`H2j8}(npu0O}&My#3)u= zr{Cm1s8dtGO1*AbojEt$$<_25JeBq1#!#jhRIT&>X)@X7#UlY-wv7!)2WuMAWCh|+ zA@r2w*pbP#A^0PC&Los_ywgeoNK*F1fpgt{Xg((@ks*Zh)T`1&u6(BGdXjb_!muPT zm|ydcIozAR&l}kt9Kejs&p4^!Bdj2i3<5FL{UikZb!4W<6*;-t~s#iZ}_s) zbnYxL7>IO2Wx7zx2D-^NlTbm2eF+N*K0IE@S)C zXmgHXKS8NMFyQv>T~MpBOoR zB9X7bs+6PXz~a*HjF0GWyFmKVj(vb~a&>aeyDcm?c2-Dj_ZK%Tb6HxRNmKC8ZOm%V zmmr%zTXW|@9eS%&P7Y4{ki#@BCT#1A>}<~{+0y#?{Y5LD1AU|(k zNmZ=8C?W?fFq#GsclRG7sVtDct6K`7^LEg74^3yu-C2qd7?{k<-LYs(x+XxQ^{rZw zXS`upYq2_i{`1BY%l&-fW_uhqB;l@i8OxzRDT6WM6hKzap3rd z^8+A}yao6(%8fN~-ATqrC@~at7_IKxV`Oz-(_1CvBLp%cyNrXjIbXUQ4^uex_4fD$ zZ0t;9c0ji&}EZfyEnCteE27?HApPy zDtRZr_;HMkIJyU?$V|G5S8&xux)SE#Zg!%q(CfVzL~~9)`*q!_e%o8>qKoJ{hfsJz z3V2p0@857&6<%kBg8MqQvH@G`3~Z|I3+1`hh8bUK-B%sI2V9Z6dKb*V%Lo1?yj~6r z3EKJ}yb)f{m!uuPGwa{*MtUVkfM@kvzBl4R?uKd%^=Vs(7~-Nm+qJmfYq|+Rz73~Z zH;`%H)q&5qaRdCHKcohTgw;(MEwlQ-@;H&ZpRO2z!B1~AWWZDuFffGIXY|K^XPY;t zH!*7z?kHMQ*#j)SGH;drU;jF#4VK(2(%-(bd&4|FidIB!XVx?E3B0t&9mIdqVANA| z_7iohfMePp|?5yR~z=b7%FZ>PM61uP;9jz4p^g8;kv)BCTy)rbz zkOVR5xo+7@y1I=IYF#heN2=4c%6JwESSmv@yA~1mTGHVOxVkv&?p>WW<|lg17ZBJo z>J<(YWs`!@F<-ZRQZ%OyQemSlJ4OrGucG7%a|+SoFLpTzlD)=xV4qcRT+p-fub$mT1PB+{0M1~o1WN%fSy>3J(r*4ik+6&Vco`Oqr zv}4zp5_^3D`2Mefs0p1Le9xem-q}G&sJ3m9ndfq^9dqmoFPLR@M}#!j<$`4Q7}0JA zrYZz^jK(qebr61>ND{FA?opzSK2c6?E063gH?+jT8nAM`5+XpLJGO116dhtrHuwBYAyh3AUvf0{UeB@Q=>2JkG64U$ct_{Sw0NC8rzC znNAlXO%=DVywVW)xUiw|cpoInT5JBO-Vo!~LHla*7JnTeAVp5%gmOS4MYoP&1Gz=N z>)LBF;Vr-@5&4n74&2M>b&!rhG3A{eM}>6?&l`@}aSa^vS92wv*^pe#r73GDb%xWu z-Zna)KV2@SiG|L5vE$9P2R)VA3SJ;2$k&HnT+$jp^o4hwEn-yh));tANTqVl_iWM3 zpL;b_p)xHcJ~&`DpNK|lO#rDv5)Jf80t zwu#~>XTM$zc%5n~yI2U5lzCqnVzSj3bC+d5vpszJerkGnthk zmO%+zk#)0kA`dI!cDzq{+h_3iUpTNM7e8V!wf4Nz3BNpir%cRX{teb3bINvd3bkSJ z-lmRa&(84MI_J&rRO-HhQ%P62rxBMpHev7apt?}a_|m`B zzo>!2!71qWOp9u4kfFkXvx4=dzygb!htzxVOk9hcnexo)I37-#>NfI=gf0L5?=BhW zH)^5Joj>|guulf_D-^EoD7cqV=+&N-CzjEgNqcUpj|-|Wg+aHk>s@5-{dGPoh($)2 zb6-Cn)sguxHs~qwY3QQ%T4$I@I5Lkm)<#utHF};)srba&I(O2HCK}1c^`$efyO(X9 zI|Y_5k%(k(jaiQ&KkSF6@?`O0fJ$KcIoeZu@G7MBoyQ#3hlr7SV1M?GALlzNmwhAG z`S`zeVdIAC_4i8SFuO7~`ikRMg_+4c|MpxSDqxf*phu@Jjdh2=xof)RD!zAxU;+{5qkXPkImizeU|JeVRX$;0PB9MD&o?L}_(d4^!M6xFiu2qkDl7 zoVA$Xyz<}e{OyAK^NJtb1w(Mgg7YE((Vq)rN;6hIJWwZdetNuLPoFsBkX7;CwRYTMlMeG#7hj%~7yMMWe$d z8W|i9e{68(D5zliXX5_P^gV3Kmw#RtamM09Y!P#wOLq$qxo7r$a#^Uf;99&l{HwT` zgo9XHpt|;#kic>66JQ;_7k4K7UviQVB`@-=Wjw$H80iHo?nm7hqh~MN5dY8#yr&dg zcgzPvIoJp%>-sT=#wq;G+&d-xyG#*ZSZ4l!MxEEx@f1=(McgbV@gRyuM5)~$$yI$s zMdR9hLQ4|?jR=a~v~ach{H5}wpCJWZIpKNapO9R?oJaoVqz3F|=6z*2F@_B-D zt8qVn^H8tX%cfb?>5JGZz)tL(^$R|@J=gNY2Bh%4e#cM7Ga>a$wF{-0>8)KnI4L$w z+3@nterbohd$^pW{<;2lxbkVc=F^S9mr`YSM^?PDF#P@s7@)Rn%I^v=aLT`T-;c_- z)Dr-sF;s?xWQxECb!umlpUU;r(PKTWzz@AXu(OZ7KB%+nw|v~3Ajau**@!ZldNyw` zjbvQ;H~qZcYR&}-ujw@$)YHW*B;h(&l4@>suTmx+#43dcb+3Nm^BTvVF67oD-d>2U z;ehzKXNR=>Mm+oKE&=Oyz6qt77|k`)WAQ=K-xySzL*kk5aXVLKBCK1mDCYqzrhA(V z*XQXLhz-Hb1_qhnyEX3beTB@KE!b!1k(HnO90#P$`jq~z`t5Q3tE(Pl{+Bbm+G{>d z+ZUr+@`7nvi&^CFlt)>f`{-w4-V^k4sri03Hf{TljIwiC@)rTQ1Qy1Dtxwmz$Le2> z13B+M+;Sk&PkCfn9W@9(?~dAx+fxox_mcseHjIMMuj zdEc)iZWLQ69b-_NBJu>$!7Ctd0;dso*=IkSS6c#&A0UgkM2rY&tPM7}CX=JMkB{ax z)zRV&Zo*<0-S@v_b&esX8ea-%Qt;iKqPj0+{cM(crX7WZ{}g|eHM3px+pd*wFut&) zHP(7^_U*K;k9_$S3nb@)r~6ayYH8i)a)m#FnHOw8YJ3Q;_9D=qjzCEmIMZN;FU8nu zgrF}%;JkOLf~(WxUvVvjVRvXzajr3}lq++fm> zftu7k^OBmcS3>YqzQ=D4XUV!xY9-30%hR+wnr#QSQIoIi`EjY9Q377Q+*NPDN+FvF z)F8*|K+Z2!?)gU4P4p)ZWa&$URr!kj6MKbf1h9Qn!iKA=cEWWa>l3p28s5tm64ch~ z2e;!8x3h`J2%XtO&5wG9x|w@S@vxqTPIm;&oywJ2B?av*%aD)cr1TXJC8*wW;vLeI zLOP7N+<;8m{LEm3%lh9sL#Fj(8r+)X2JfqG^&j_{F}u9QG&!4qx!&_;_fvzxI6Xr> z59&NMEE&O{6f+~?V+^|0Z8H`Lb48Gg(kzL&xP?@hjs-yVQJz^{=rX-4``#8*;;G}<@$U%pbWVuPY zonPt!j?7jIT zC{1bd*yNio9jPU3c*iIajfxd)+=6Hp&X;kWIeWx%tX@6qR$7T)=x|-L3_OO<>dUx( z<39NYaOB>*`yPL4J;jqMgU`KRw+icWfm~imyZNg6AWsH5h0$i6ym?c7&d*OApvxb? zIU>p#besr7uTc8m)YLM|$^`rvXd@<-r9b{t~0uQ0wF;B(Ve{6MuN<7F7vLE-_J zQXzV-ZPfTaPN8bG*n7P~k@@~{e6iCV-I3YWhH~yZMe-Af_q$nKFB>w|Ru&wP$EHYk zJ>E_u7PUZm^is{t*-k}1B|22s_qavHE)MXIGH7fuhP@o+ZaqiR?s`1KfD5?^zlJN{ zdW?LWe7SI`3uvr9AM>})ApkRqAjlz6IcxZgbx~{yCNIlWIA3%IL}G6ix<^<(xJ1*-jPB z{pY$4mR{0IMvbqC=*t3(NYya>X7fC|N#yR&fQQkqbjqLb@3U0xzh6e~rBaM!7P6jv zKcWxc`i%#gOXWc==dBj~%H>p^rFz{vp-LB0s~OQZ^Fcm%Ubq2StBY?;a`rO;Q{YP+ zT8=-DZ$PavX{O8c1JA#hdvz7jn5&cJaSf&9E}E}g`_+Me?N@&7iQ>u^U^As4=-t}y zId6u{Z^pjUfgbLO;k8&a8K|wSSm^`XymeN92_;p508a&j20j1vq7^^)Tf(fLe|*b7 zd^+7$o(I17STFZt)9JCtSJQM_+Mnw<{L~OMgvb*ry1RbeEcaXE2kdk^fflBu6bOUA z78a8xI$TklI6J?+>GFWeHF83Hd-TD`wN7_t!hg9A8WIsGgCKC~A2-kaSPcZFT!7N1 zy?LXoJAJ#Rb3@x|E6u8V*cHgoROaih51Mzu@XuGR{dX#bINhx=gEGMghGadHD&fcA z{onS;b-BMOp-;Ly;Aab2GT%*{*Dh2;;eZk>k12z+KcT`HY5RGv9d;u?=dI%NxjgI- z&pbYVu}zM*t7U&YrI%e@7D3MREou`PK~m$zWn)#7s$FEXBKK?jK4k|t_e&y3FU}6i z89?QP%{_a(Z`^0IV_;q>|plDmmGuGV|yopg#d4%_&<-JZ1 z{qV1)wgF=Ag)0N_x-@+ftQ4rH3lQ1T->2-ndSSZjUop}rsN3hTOmbgj zFn;;xD7}%qPMI7yeR?(K$tUqPlBjLmFeZ|^u-8Ho>8SynNDXLE5osyQwA@&Cv$2Gc z){#fO62GSAM+(|q%sxD_FY3RIG$v>>UCz{bG4b&IofAQIaRs`Aj?QfWzvHU}0v+q@ z{Mx~l5jp&`Eu`w7g#s^9!UKb#4pyGI$iL^mh$9fwWfznxRdHJiIds$sXozUE()m4bu)LPy&;;FlUTv3squ2tvwaU(qxWf71V-fHnoGFPmp~d^UCYqp3|8Bb7eA z4(l&_(N%##WB%BB+D6Km-o#eIEvqZ6fq?N9z+7&#_Oj7_wcY(sVYhL%G}g46^_LOg zuIWvzuzK5dpx|iHo7O+RRhEZXi(Zq`RYOlhrq>Q9R#xrs*NpV-e@WiB%graP4M+cG znJW#W)S#fUhg+KhWqQzg>k?$-<&g1fZ3Z0^exEnE#|Xa&3Nkvlglxp>zx^0d?t2Z? z@!WAk{S(yc6I!duEkSRe{ScK1Q?{piF^iN&AM1KO4BFyJ?z1_DR9DIu)L&kL$O>+> z<6c3nF+qWZ3GCq@u|Gd=K#3QtL{Fnuus5NQ7hzyIY!^%sD@}U78t1VAcq4duKFmMw zVh1M1em45^_vS8dG0^GaPWe>C%T_q3+@OB*vTt=I_0Ktv-RARpoy}I&J+;KZFXiUaGM4+1EbMwNof1= zCTN*5F@MAn=0GC?63HoV#ap@ZShRn7Ueml?!Qn;QFnD{KbX<7Qs+&KCKLV72iO-FX zLoXd+BV;0KVDaWfr>5JtWv;-Sccyb2#m7$~WjDC!i}lJ}Iu(H%prF!6*0qkuZyM=h zCbmIS^_S~T4x4AD@m_dTr~jn3)zAKeq}i*)J28<5!O@X{Q{Be?R1*uM>U$GHGjuJ^D!u6VUW8x|V^Y}CT? zM`3J&U3O|O(q>#2Y_BuPu|Got{wzgomR|DeS`vw{h?zEE)BUwA3M3Ml<5+lh!N!S= z=X22_et@Oy0Z-e1KQ%#x{><60ItaiL)4=(7B3oAr$K?74z&+1sSa#`^!fnzeuiZAH zrryJ!x&Y;1-(Hb#!XW95Cyes+zQHHucB^g_IJw2UO`+Za61%;iGcSTSRf`>A(%!@; zqJfPbtD+(h3#g~!JJvRDnJ;MN?~~hfFDuHnIF52Jm6h*JT>q;^AA>>Dm3MjIR&QH} zy65V7OfQr{0cmei%dG8-4Ke`#I2n%)R_|dGl%?jJUu$(v{P2Mf_izQN_3ZR@oH++{bz7Od2 z$@Q>k9h?v-QTan!fl&U?wkuClt6mrXs1tASL+pEqry}&0^`T?CZoWlEfa>>tOmaqblItkvlQ&}HeiV^W=O&$)iL32)f3u3R@A;EBrO;KqO2 zarV}I%5mqVebABrHNOh8>oigeA%K<6v&r{mrmT&aBlD+a8{n1DDIE>j59fUBYWJ|~ zj4nFDsqX}h)L0&JmM%@?QkY*>k@io-u?WNAe@+Y@+ZYfC)U z+mkoCe>j+6t+aQ6OBfNk<$74spgHq+|2P18^sioedgXi1`hwm+l+yaaA$blvoBwwD z;mXTdLAJgJO(U^Jq?}5hS~|ErAhaVj;nir3-%sai2$jMBYr8BGE;F%E>_C;Y!%^b7 z;B#RA_Y(VzDrjw9AdwRF;^Hysj|ikITb2DFX`F|;f|$7K4H;V0 z`EECVj^AK&bBY0T<8m>`D?pYqLh=ViL-h}&z-STt2`BCZib1PWdDn8>r#?Ogh7ZSu z^zMq}ic$lU*7jS9g~jBvpOP^2Jy27k0;1fi^>ApQ8RH?+H30XAxk6_Y(boag&^qT! zW)8{U5+C446C;?yRGwu&UJ=}lv#nHyY5l(2;GOi16kRBB!GjZlc1B)vhY)=v-@1~) zZC2Z88=zk>qJHY=JZuK3uy^JNIA3FvmZKMwl>0n)^e5B4eG5dbdkTe$U z2#tS789`8|9MW^U)88SS#?K+|wf!b^?DFd$C`?j5R!w6%DNBz#?KlcWlGAG6;rsBN$f%5hF5d(BpeqgfyQ(m&03Ncbr=Z)6h79x zFXLS^Q}kzBpsO3pAdiu#5)M7uevD2dlt#BZ zz+&2=Ldb0M{77~6HyW*_eo1fHakCsh8Kzyia?mZY2QvT6mq`m{LVc|U+!|d_qJ#8< z35x>>OdRf1mj>sOT_O?Wf^F2}1OwlT2r;1|aX7V;0D*}$p`$rouCkEPD8X|0T#^=D z^r>{Ney9TxR~Xo(@aH64E78pR&r>nY3(4r$yhi$8Vi2kVWLV2?aCr0NdgLvKO&FdF zBJ$tek6)X>kQ+u62+S|CX|*ZGcs?A{eo$>h7#-{ny(S=2u>fs4-+df3j#rU_qWA|t z^M^e0%@%eOqI?g=$><?c^OP({G)DV2oX*K#$~|yG*18W^&NI&PzzV`G;;P z`kxf&bz`$V@LVyx$-7}MmBRq1=$%M%MFLpLyJOT}*I-2! z0@BpFPs>TyautmT(x4|MpdZO|<&P^>X`uHPthJd-nav4x5Psr}xLkdz(%3^9-LYj` zSB1aMhqIjHWHuBywGGKadCo8L``n{F)SGtC^N)Gn{ok~qK zx_B%Ojcx?e0}*v;xPK01XV)ylenhMB1zy45=?>EfFfAoA*0KTd$qk^jTldVX1mX3Z zWx)FrSWOW*4~2UsM41{K(yAx5*r%2$kYBE~QQ=Q&aW-yn_5eO03IqX6>zlxv8+YSM zN=_(F5M!$^+g?cKuc z&o=b`FtD+9RlU(!QldiU`DKH!z_wrvfDzxPr;ys@w{ z(dH<0ieW8+3eG5|90IyM6@z zGza#9oIMXUYjzkpRxZ*=+afeeJCFIiyDZGFd9aG#jF4b|hKKedAtlk6E{9I1+f)O+ z-=slW#6zO^HA}WIzuuR#&S|TkuyNGC+lZvHrAW|eTvFN*vbS>qY1$nq^Y%)KK-Z`T zh~R~gbP1GOjE;UTl~8Q=E{v4kid_?g^7{&mfa2h(+E3-v3;ktTuJAC$izM>2fbY#p z=Uj><`0|F|Feb`-z06MitP4Z$elS}!$}#Sc-`&-y9b0GHB}`7=KI2mbNpWx(X3Q0A zSwHJl$4&MJeUna!WXIR8F=IG^w6Ajy*tHpdGrEf&3t2Xk&f+VxU!kwU`Uc$L^wxt# zL!X}*Z#p4hnSaBqL`k!w1$n8r@|E@C9ZRA0&YA?i%dI<=A&rLg;l3WcjBzcQA@1~j z^*$E~LE*{I&dM44U~o!;!^6{ORMNPnW4Ap4RSH0GdQJjIwV;iD)9WU}a<(qBL>Xm| z72j#-JGJmnx+7UCA~=^Ux;p)SwUP8dyy(Y2o#!L`cn?59>9qur$0Uq=N%Rzw`0S&- z?ZZC}SoG^1<~+W>zCAy(CXTF2I$3YAJ@ri8$9J21sCf3v zW_VnT=i629?u0Bl+LV5=6M+4(5$2*+dvTwRny~_(OH*bt*1DrNL^}wj-W7HG@ujZC zmu)DPv>JRn_?DN<Wi6) z_7;%8*Q3+qMi7?#b*P=<-$ar&eU}NG&L_w_Eq9pz`MZFvqwjV*r`hKG^Q*2$uzP5( z>u>_hWlJZ!Ek}XmkA$cTZfE(g>aPXkOB4F+<5LhbWao7^l5gbhc~Z?jxWPrJAzw3d z)58giRX@Pfvn4`rof%k=nu!->XwlZc)lkd3E;Z;~6yRZ4NdEXPwTwpk_?2O3ygCcd zwGdkV?T73gTT|RAahFzVm#2S~A?3~Kq1+>(`r&#gL%C?6B3f$pB%kMoOrjP~vd<6p z`z(B@=+{Lmtah4de7%5kbluVUjZ=(r^~dWG5pfI19F^qk zFL%~9E`dU&bK8!+r^}{PK2R;%BmO`&f6HHaNO%X=v-Hz&Ltiic&S^v-oNIF;?A{Gb z!66FA;pZZn5{8(>+&hH>#PDvdH3^9DM8mOYu$i)mU1VsU29q|E+e*Npz`_p4gktVf zSrf-YLxY_}@X&Q0w;LNEyqy?&~RXhR(sNSBgc(tpG^gP z{U86Zi!|Y}WP`tpaEIV(Oue`iEKbj=J3Ya4#G$Zm>VBF`1eM@=A|qOO|Jz#;-U764 zgwcbiU8=t|kDUJahh*Cg+uwTR>v>;oP);0p*dD{w#CurR^|~xxzZU3O35+^k;8>3^ z5-Y72yILa`^0y&XzHjiHT*f8GUAB}jq2#BS6R#F@JI8fDnS=cfQgjHrDKG-(9ygi9 z?uX&PqX0S^!BhQKnU!liZs`h0hV^Tc^*{Znux`!>(Nz~xzV%G&t*9m14{ItaJP`BN z9O7)`A2_21<>IIF>YJs|WWXc3I81&n%k0D=;Mb5&hD!l3A-N$OXRww`d>~=Q`S}U? zjmYAx4!tgLl+o`Io}nml!GRppyDIUS`?xZZ2uh^nLQzE&6wl#4rfH<6vPl+dWd_&# z1^|l)cn9U@xS(pI%}6wTDJv5vu=W?u1=0vOEzV8eu>)7GUBQ>Vg)3hNXNcv*$*LAz z_I&S|=f=9Mey7NPyVQS*T!IwVwAhle!b53bQglchZbFy ztEp5=ar6g`4iLMX_3hl>x=eC6g(PmE2nvi6XgAJ1_}3&JWDQhlZ>sr&G%VwHcl%CH z2qVf!PZwFLxVF)pLa)6`%iMa4%zQ;7J9mNX47a%j!jfonf6c-C9N4OC*D4jvh|zcZs?%9OY+U$bb8i6~E^m z+}!pxLVi)07_du`%;x)~83%;QJ#hD;UK(cVp|U5R+O{z?x^tet;@4BOePmSUWQ2sV z*fqj=0QE#8jpt3>ZC)FA`QaI(Jo#l@65aiFs+rS4jXp4g22v`iZ{* zpyIgD+RnfG2cgLR4jq-F>H{0wiAMXYus(gkTmL$z>zWSkXW!>nnjiu}n9Q|QOINP+ z#;&Jq;QAAvr*~rt@cdyFU$7bv%3&aGh2G}LR#+cDu#`wJXFE3+O z)82HZO$z4(DnY2LiTj8K>u*_1v>_=ZuNcENq@mgAW8|AqR+O%SZ`$b;4Mw>&D;0Sow15A9vF5 zEaYPf$QqM<@>JdZmiyL@B9D~U1!Me_VZUz&c@nuch*yOUJX>W$knW2G7P~}}g#f}7 z1a?=Abz&uG5#HjYBGkL@scy2>2p2=QzeC*sTNaRh8JTwbhf}LsVGHn1Mihx4bK*4-rnG80}Z+{>Jv60Q6kH~KM;Z#`$#&xwf5>A-k6-j@(Kqbe>K@6#= zT<4^}}TLeb}pV*liS!}(Gml&gj9(_$hj-Xf})aoq&&>jc@qhpi^fC=$d5rnUwpxLiY%Fyhl>z&+qgKmu)0M9P7w~oes@9lK zzIXF4&e6Q~mXK=3PU^ep7IPVrFRDME3lantr=tw1H_w!cc(lZCPq1W9O7moQX8V{} zdcSTONGLpu9NhqBqQ(m16Slro7Aa?N;hsv6dU7b2JvQZZW<<2)YasthNKJT&p=5rD zXkES>QH$sp03Z26KJr#QZh&HTFOT0ijJE={sXpT>|0(3P6Cs#*KTf*4L)_0z`7YW& z`9#XZj#z522*y=F94E`hhWmTzSyTNKiXX!R6))xGO?70FzUmxkU$yeq*QcpEbTNtW zbBa|%74XBK78GAH@iluUp!Uzk$j~~he^a}Ry9R*k+u5*Z>5bsckP6{kZm7U|Me}$c zr;!8WCY-m4AMFa_KczD*h-{I&I;iDhm{4t>6 zUtaZzFbt=jm8r9(8d*a=IOdPZv1|v?2c6Ho%jcc|IQ=>`wO6uK+egq!oKygRYRfVqxiovpK|D$|H!$l>dC3mZ1@7YXEU=Ec;c3P+aYrv_t8)2j> z>S#G{7#gPCh^RjziltJ@5vHh5+^Vtl8ruQ6BjP)GxwfTD_GKNDagJ2aKfk*r9=~uw zLL$4pettSNnTqK;@Ab$Y-6%4oyw8Gep9wQ9YQD zW6u?gx9Y0xp*&u2cCH96wW7pLKJ^pd`XJ7;%jnmkcY&jGPq#T=eH;s+hKG}bxshyt zH6kYGdczQN%f7zY-T2-KlIOkcOFYT!)~i@v^@vB(M9$q@+kZ66FA-RORo?L-Gp-tv zZGR8~TI&eoYF_Vk$gyi^Mge^Ur_XBEug~2W@pgBu1f`J28dxKjTF1>+x^6ycYM6Bn zQfxy^u?@A#9_A#}1QjknGPAV|En;pV@vSZzpkv*<2PM{j)b#vzxr zcSwDC54Y`~oR_NWK&20Jc!E)+kQ+~l7*x~p$F#FFmSo@Tu7g`x!`WKqa6+H^i?{&B zo${F?%Y@v+<&kzBOzyKnxjc*^Xm4TxVjWjOTIv~vpRv9xWgY4JzN-6n^O(*;AQ134 zvRd_wiRwF&@hfP2;@ITol&=fA6 zshY(*^1UL;_16f1m2FQACm?0_{Sm&`K4CUYHLSYhXuGYz?s^Y>Oi%|67Oag9`Z#@sHR`>=^PI0-mawL^_HNzo2a40gnS~}f&?zYzSl4+)V zxb8CzfUwO?tON+E3g7Pg9k@5Dp`VM^f_=lv04uSbkp%aoWm|nK9u9k89J-XJqGS12 zGh9%Wd-Ds^*f=AF5bo~o9NNpBe|X(W4!sbyM9<2~j+>zUmG6P{V={E?wn!oH;By(E z?JkisphvhPZ3CBV%h3`g@5}weSl#w{b%q+mH^pt|Xr7+RR4?^ZE*)j_T} z({1QI@=V_LW(>?Igsu)@ns2?~_CbDN_}zP2EuGTUXgTS2{lx>&Ompr5M29Z0a&a)W zH1zoQgN|MpL#HY-sPpBM51gVqycqBGjj4Pj0fMEJLj1=I?J?E5e?R$j;@u=l+~pZ1 z|MvWJbhC!%AZR+MV-w>3=+8S5>4o}4{O0}i^34_1hb120W-M4}r5M7)Urpv?Na;P6 zCB&=6Kh|Ul+eJ84nTRM8T@(!6-D>zeLb!$<;hFFCb2lpeYaeZd16F$w7e{S$+q(`T z3o7t4LfC45~+F3SecU|t&l2Fefd+p{MtK#&`Z5XfvzxK!Ur}3{9lUeRbETX?e z?;+uK&(NM>%puIFDH_jklP)`{?uC+X_8!x8$)a)XpOKn)vU9e6I!gTFwTr3VYU6Aw z%<37a*Rs~omK;|+ z>KH#d1m7^4Pc7Xo>^;prfg!+Lx=u8VgiUBRFxLk?j5;VX!2fe~k*L&tXtU8D1;10~ zkWD*kK}^Rv{XA|Khs%F-SMHj0*9HFE zsOv1H7QRKBi%I*%K5)u0c{2^%?QjFK>9AY)exO!42!oJM^J9j3q<(mG`_7=bBKxfq zw|0X=M|(F%K(!br1|IP19u)CuC;yAjqQejPfgxghp;5;E7hhJ;{yiUj0R3hzv!1JW z5D(LSI%I9TBpq32PggjR+vUbqG+EiIqt-1 z+e=le>A&x(1!?6fPH(0!he8jL`ErerOF0Oy3|p%UH9Tl9HxNriuMf!eQ6S4#vmfk` zB3&9df0UsgnSlA}utdOiT3r{wMSF=4YxSQcTwCoe=l)TS-+KQ>K#l!2 zMm_XW4Eys`zWU;l<+|C0=Yb`FA5!w^kXnF18E zNznVmtE@PCZF6Lr&Aox86XX8C!w>w;{OF7Ste!dfBDJ60lDUNzkh-tt22Z}N6 zu;M5bcZvJb-lpn057`m{S9Y6EOWDHa7DJc*#V)^|&_RB=9^3RnzC~-af&s|3`dlD~ zQ$kf*M*}LR0+0B*@MZ2!9Nm>wIcozd#(##Ya8F~Pvw}4Wf3ph|ztHY5>#&z|?}5Rw zpjT^GjGl2GIe8b%2M)wH^s&mp^-0+wrvwmS?(Vxpd1GDGrB0|li&hN}u-My_#_EmM z+Y*|*^i_RTFpY(JTf9#-9mc2*rf2tqCf~j!1Ld*BC^n>p5LKbfi9;h}v#K8ZALle{ zgla{~Zy}p})=ivP!e{k>b+8zfyF}g;mq%WaazP8~(}T$O$JV7 zIm}AAl{KGP>tz>BS$e*BzOeaH%D*i1LQEGCDihpJkFfMEToCxG>l7{F zBsn%3-xGQQW1VyFLTcetm8Nh6wcCP?MZ%YxGE`c;LeIxvg~ zh+th&D`sG%uyf(N>AYQk)qVC;C(*TeaITlXrj7xFdi5ksAA^VS(`{Grk8M6ns$tcP zALRBEnf?3!et2`d=6l7ub5o8|w5zuKJGAQU132-#Fo~?QX6sOk?1g{neJC9=TjMOg z0y}f59-ePZ%!;V26>1-g<6bLk;D78`9Z_>aeGWQb)e?3?@)yQuy287r#gQJq?Y&!( zv)D9~t(B=gpZzX`W;`fK?dNSV_mDvSj^MActQqyn#;EEhpf`P#A2!f?7VPv=slR+21NL2EF( zais2`pxTpnZnoQOOaB=gpoq^Y*by} zwX(REDS4>!>FmQ*LY9|}LoHFbNdUHMzjCbls^C0uc%Y${K96opd<@EjKfbyYk@M-P z^}Nus%TH?Ol`TQMa5Yb}aXr0Mcve!o4L3^GwlF>Hl9JDDn-PgU=LEWw=?_d1YA6o) zi8KuH`0)|f?dPM8k4Fm1LsdFu#Tu0S-|6yHS|MTYJJN8c~(}3t>yB zVnP{zTe?&mQO%meM0jfZzc;2>zPO6V7&?8{G>4o=wKgMrF2+tGQ-Lu?1a#!zRqu+k z=EYtTx+lW`ygA~m7NFyE(@}yns=oLTrVW=%H25Dlei7FOfHiMN{{ozNmmq=6$oh~ zTk{Oa;~|hINqslVr&KP8Tx<6fe_pa=Da0h^z>;OzzDQ^6}#behw~-ksWOaOxaCY?dw{TOwptM<)=4hCPkX z=0u~F{Eu7tH386Hs$?KQ(#Yc=NmfLPCrDk|o2dHzzvq0Syt^^&-V9wD0Wb+T zGHz@$OjDgyN0h8Yzk!w-Y%6u(wTSkG0XL(L?Pp^nN8&v+|57c1WE#h*OBjL(+mhS+ zg6k+?pC?g{pVG7kbKUJY6h7vrMZaUAW1O}==b3qwkkiA4iIuk0e5MXott~z8{#khp zd3R0dMYr)-kE*hCPl@t}-dLy?&L+z(tdQzeSaAOMG7s-1^_1(P+zKi%4qA9;aDn*B za(gk4ooD6WHRjfqa1VB9Wx2gOu_Jii6r6BVl!n~r8XWkjOF#2^{yN4cJ?-nF3K)H4 zf!wOg5BVqxn^y^mCaBOp70dDzB3 z^QlabxHN?ITn09raG$@O^mdeRp9yl0R^GxsMF72prQO7p{8RESsOz(KJW>K&?w%t3 z#;3Q2WLVG5+qm{EYpM`=6tB`~s1*ws@#Y)WVG`9&WoN2>H$S5KDq=2TQU4>hK`RPa3iU9QwZ386{j7Pu#UcuU%^fB4DVJAYEHixUROLk^3Q3gmZnWB+30D?cCs$oEl=&{#{j&Ph@grxnon*&Zw&5*bqeU>^JAM~!|o06Qm9xXFqB=xb*9a~K?)5TSylHT+G9FY5_f_RFsA?z5mh#hj zkrWL$Xr@iBf!GFyE1A9duV^9}<*l3e%j}0|m0M%--mkX=sD=%~TqgNlA0A_6$>Oub zajS#?UDUrn^sC&AX1Bz!8;?^ha16|mz56E+bx@gsS_N?+k9K%f((pTq{|kT*fAIfw znuQVzj2uEGk4*AsC;PBU>O%jg9p&M{prujvYNYT2;A<{j;2H6{!1INeF7WD~<__*S zi@Qghv5uuLw(nwpV6SiwdycXxx~}ga4{as&$z#b6{8Kv2T9Lnt{gZ8KHPznc>yvV! zA;0iPr3U;cU|pZWXGYSjpU2n^Idkh1+?DE#5n%z^?8A=D(UQk2N2*a|gcG-8wyt;8 zo!ih))UJhJIU21+BpM}^M+TO=A*v&fOu%<-6aLQFCJ+b$-;H?-Bnpd z^2h}IW!qVP<}4C~JTf6q*Z6sFhd!J6%?Ta)Y~)EV+f^fl7Xa|O`wA?1WLhe?WgXz5 zy*=gWDnIaDcJkQiVV)zyR3nWe0O)s_lR}?)pis#pQ&;+o$#+vu^J?T9nvnhdPTx^u zEb_BX5z%TW;dGHXJv{y;!7Dz7rgw;TTHjUxj=J-Io#4CJlQm73_y-;zNEGtOG%38k zq*_6I=?D(cf0lH9a)KY_-**Z2W6HKB8@0zkUoIIci9s&DA3?n({Ck#Q(|esGpX^{w z>~{)B`4`)Fu|H1*vF?z3C!$^l~z^4}`Dk@4(J1|xPHXq(9^-U50AQzMV~#$on#;S3FxT(4^8?qhk0Q{hOD6sIt14$HTUU-`?_crQa2v_+gI1SC_Gk+QZOvso-cc0wRyRheIrM zxl@PyUB+wt+hzX4{F$dcB|3RzV!pAo13dDeg9wuc(*Mb71lwCBSjGV2TKK&?stlOq z3@N<=S^u!irKAz$;Dq?~=K)Lj)~|6*xkA27{`xzC6XMq&3@qV~p@045z!Lt4WIy7D zYsw}0>rV*ki?Q>5oCO%$-qZ^MET>d*atjp=UET)aN zwMmUxX=irz(P~!f1zY-3@!yGrcPV8OqOIS2>ble-;S%c8KXK#dqXt@J3;OE>PDf&i z@_Ul-Z3<}NmHk@GqY64a*O@@fD>$?Wah@*aLcDzpA>8K}WR0g4gg8f3=pSLslgBzV zgy3aDp>p|1uDOIEk(*^^30*@+Z|x~(t$o7Q1ClF#6kO4FMuHJkX?ves7 zFW?}z=S!J1sI@kB8VT;|WjfeRpZyqVH`712+uL;^x7%Nf`!`zbCcDrb9=m1p30u~l zit*H7z5IyJ8(rfYKtUo3eJYhGc(>me%Y=*UfGGwW;)tJ?dSQsQ@DKDJ9=W~TDLaOy zU=7hXg_V5Bfp88O{eR#xOV@5_u^AE0D`$^8@ubI`A#C4xp#e6{|C%A#Gc|UJH?Q{p zumwAf%&WJo-O8^p!ptYmxk2*H;pn>M8V2@_A&#d}=vx~ev1l2XYmNAk!}Y-zeV^iv zPiy}reKoqbn_L5kLG(LD`$>mVG~G#9>}-Rj)zT0OK)`dYa$vG#nF`B=qwZ$zuIWhm zhRhNnNVU!iOO~nhz(0~Srnw0-_{&$fm3;=Sc!_G+H+;q)Np6#Ou~_j0*=f*`YuQxh(%jykY$I9zv^s#@54n2#nH#*cA9p0d~de+|Xu(-DRHv2F$EcyLEMaSqH z4USrT6y?#SssxWbR`30mbQ+M;?fH7PJ^WRY6FQ}O?){tHm=75-;sIHIp0ycfA||K7 za^#+U7dmOFTzMLluNB{|qLtS}8=Slz|1s0a>si!VJM!0{V{-cXH0vLr1N{;_ZK71) zM*nv$bZFNlI%;2Y570Gad{#PA#_u|?=H%GhDfLUhd;j)5S>H$lTgT8&zog@eP%lYh zs;rP5r}fbwQ#(xU5G^pZ!_*Gm0^2nn(g36WdXQzPY1G>|#rSe(J>$Z*-4V+6E2-4m z_?5<>KqQr+73kS`?O_1igMTrFF^zGOIfkbXj?G7Q(#uGsK{|cCm;>3^_?6uvyq{}C zcJ=?NE;S3&`e=`-9j11O7MR*$Y6owDKSDg%uYA+L+qi=mv6l}5RpEd7=f@X=Kg^9U zj0=}(ykI=}TODnEHwk^lomYqstZn>)4)ixDzcO9pI{a=%y^epTqtl?`dLdPXI;y7i z(J)gx>`->-&&OzEpI6>z8t2hN&HvN5-J#;PcFvf7>Bo7E84C4?l+lUs_P*7vwWfO2 zv|Th!7tb=g)gaUQMPZ+QyWn|*wd(($JdN`@h+SbC&x<0SYmbfTuYUa3n6AKmOr3`J z6mP3Bz+DRWQ+}p-DVy<>ZzmwUl& z8JX^qYDv4fvFJZ;-_Y$5Rp!-@$*B;Mh*pqI^1BgNBK5KfI-JX^EXMy-%2<-DV*P9>@GCcL*qgeTsL=z^^Lgf~svG{2UwV5>cgYu?`^84cszZ=_ zz#jV!w+B+LRSpU-(VhR^t0X^nmwCJ&+3^zG@Df%67_4rdJQP)KM5KThD)myolMOG3sVyV{q8s}GbUI#zbI<_OV14e!7eyRYAT<{4Z-f;vH_ z$&y#PQ4J8TuCu}t&M=j~TC)TyT2>I@ME_EAu~UDn{D~_sus&!Gxs1*{b)+i%AN*IW zm&RFr8~vJK1|RtfE2}TVi(j48O@wey6?$3#T70dfN49f3OXi%cr<8iHGb!z;`jB)j zCMX=F*b+c!U)$pY)vfb!)k^9;h1bmUo}KUb?}45erKs?P7H^B?GZg^v8l>51w5 zQ@Zw2!SF%}`*bf-hCVbuq2qx%8o&Gqf1Z)xS+2*59@lxELw=}^&=KA|E5X=vZymp< z^MiC$pIh-1;^$O;pN{v_@ukXoO{d~l6#iPr&nq)-^IaP3`BdfqtMWlQ@~qI6D(|Ty z@W<+SA06qZfJH7kpvSAsQ`y=B4g9dtUMgcVWK5$D-yRB4FFVb@css;%DIO-RyO-q7 z{ov2n$kx#2`6%k~0q59~IRtUYSirc)`>NQA^Xrs}0e*#JSHW2wc#LS|A1FUl*H-Iz zx{h??*l~AdF9S5O^)l5%M`P|B^8A-FaMUp}{;M+I;NhK|gH`4^GP@2Ayuo9hqgUdB zY&>6t9<*b!`OwWIwyDZfWq!%RiIGIu&kxbk<1E%`sl1YNG(Ceh}Z zDC}oEkiVzQvrnH@evz*6D*(uh&g7S4cKuu`?=Lwh!-wbHIN}H5fJ~WqLqBMc&6iiG zd}m$5F4z#-#1L^uTSn${mFXYByFmGnbp2A5_fN6i)^;Ab9osJcgD<{$yyW0}Ydm8| zU-k`^@2%rgbmY4k`0l>SJ;Cj+^Y^O^Jnijlo$sQ2p=4dA>)%uzcDq=|&nxSvZmZLj zKcg~n@*y1qJ(c;6hs7i9@mgi@@2dK*>xd2M>u5K$FWL=uMn39+y{C@5W-#>Gmnq*% z_21L+?mFHj!C3p_O()t5{U>b%9X_Zd{qZF_@>~S|!>5`Rhw>U7i4~sTgFbRtED{rB zlM`LgnIkboCQhvFp%Xsf2%e8E{PO<+00960cmZ@%zfTlF6n+CJoC+KV*BBBhj4@~d z2}VFcZujPHH@VwAXP0;+Boiu4u+qYgP*7kjBr$aDwkP2Rql_kC~Q&&`scc_Lcfc{dG38Awp{v?s%o8-!YVp_*cVnjl>?+)PyK zvjtmjK^})CZB2T%BlFtx^YWpcw{%d1kPHpueSav^%9f-*2fWyy zJ({SB_s0cij1%aAMByA)s+_W&DBCnGf;O{T{|=(5EMotyj{#FzH}&DPb+5P1mK%_W zYy0I&E_AJu)JhhSq*MX3%yWenp`X5)t)-#4eUW3RTr}qo_YkSH(Ffq!`lrtvpgYF( zD@Z@|mAGbVw0`_-0Z!M?k#xmZ|Ig($7_E&Yc5&;7`IINCqM6oUTYmEL!6WGQ81DL_ zla^o_P5&BegYHVeD4`%O8_MjGelY_$9wA>Sh;~Y&!0O7k1E|DgxPQKfO%fe+8|c~b z**a9BHfAMj>jW!hBHx@pxzmpuTcQTT#}Zem2IH&Kuh#kOk$OQY(PR3vdFZTwnfL~8 zt++(b0Bpbia&n6Nd6H2OAM-A=AMN8GXrT$5-cF3{&0(YCOt-;Ip+i}-?1M)e&)N6i Rv>R)xtz00*ZvX%Q|NjE)&*lIC literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.(0).cnf.hdb b/CPLD/MAX/MAXII/db/RAM2GS.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..bc59c9de517aa1e2cd75c7809b8745eacc67be0f GIT binary patch literal 3642 zcmZ`*XHXN2vJEwwP$ZPli4YK__ufH55$Q!hdJ*Zp2m;a(f+i43C{m<@NUws@Arcf( zKto4b=pser^36AMXWo1BcF&x%Gdp``cJ|NG0RVtnFv%4MT&4Pzn!ET1Jo52|iAe~H z3X8$igC2Q0!^9=TVWQF^V&Wp=qGA#-6BqyBM@}vWEq9$MmJ0n2E+*=Gls{k&6Nm3g6mwDZbP?8rgNG`D^F2 zz{5~-S<0+5ip=`pSRn9KYq^t2vV@w|ziz|ZwoIl)2t zJr%XCca!H1e|5`pK8+_L-Lu)=<+0lJ;B_u_3+UhxN73z{n3;SGB=Sx-!}~QCr{y&p znp;(j28Qepl#T>)ad%}l5pAao&>v8}Eh2(ZNvPF&)Tv})H8rh*=h}gWrO+339!`fB zGTkzsG#6{Q)zsO8+qKK z-Tfm8$6EZ$4!)`*RKhsIOgvg}%5=;TW0mYZen1 z(10WIJNdtXja@HtVHyd~n-i3F_f5IO#-%B8O2}U$7=*m}@Wj}cHO~2{6z^N&#{d7o zawcP;tcSfqAlpK;+}>R6o|>6j70hTs(B!V2>llK_ObjRZPtw5u7bGZ3l``ZuVrt|T z6yt@4{0iQ`T$a7>0DSZkL@zE(%_e(zgDkBp;&Es|ER)b4d6PVak1$=wpcj_h(ndVc z3et_LNpFQ0Bi$D*?{%n1*E6arpg18vKuZYQ9oABy=pD~BdT0A}<%&SioV zQ6ojPZzm7n5dVPIdEs!GV9JWvq&;<6?Iy6FtTqGfm=h~Y$eX!ac7Ku(X~5?0)L!qV z>42`hk?{0W_qrQo93PgS;I~wU=&1nB!nN650$t#&q?gTjn{VUlE9QV1P6 zocr4Fdq_qS3`VD>${ic6Nlw!6-H3yWqs9>p_#9}?}Y}O1mB*xjpVDP z*zv^D#=>OLALnpCp9gTq29K?A&0_bmLj>da zY`^IbD}A&w@G)A7!E|Y`??nvm7H>KX*~{9n=}@F1&&l-}ODAMCGc-!l!{ zROxF%T@CBmaFZL+rD@#2DA|n$rxOKGvRLX_1!LK-I_g;~b2>#l5N8<0?=^3%#}AZy zz=e>vEhG41eDL!8nKM1k{C5^i z^}LK%Wh2m37ZhoTtzV@qf>$o710bCEhh&=fu{hOUZu&=>W@`JU#=28?5y%=!FszHo zxXQz?fEtG)Xa4ACUO1mL+@6h18+o8_?j)Wz(vNx)E!6L<#P^J?hW)#}cw3*d@3WBw zN>m>;U-|b6-*?$M?DfnFuIyTG+KSMjMQGU~^ie%JupWI>hYn09_*UWxhC^`#)%gF3 z0i|IC9V;9)6B0Mx&C^e(oy3)XLx%n4Ls@mKZ`{ekHNQSOhq{gN`t|kIa}a-p(RG#@ zI=ULVo6|R{{Szx*W#0NclccY>0kS5>Zn>HT{c0L*`)Hmc(68o5(mv4vD%&ZfrLHta zN?NVV;RcAW5d~B#8P0082RM0;oHt|;HSxk%R^NGOxlBfXw+>6S(6R+?EE8#^RwxXZ z`-<#%IJeRGpVU@h1I1UjX$?Op%WeuczL5EzdYDiv%l=#Wi|w}lsPT&7Sy$EJz^P!M z5O|RIr>fteoc;boSw`EMEp^kHj?NqNQ|znJ9fM0#Rk(?xQs`sRaeU>!_PYM-x|o>au|y#TWJY{u8C+TWXJy{FNLc6YCP zPB3qij&-R|FDlt8VWqLtF{6O0t4J_Q&r_Al2F`}jY`-+H?^S9a4CQd)Wh;oU(6C#F zj@c}1316d=WJ!%~NrB=U7Of?1!PDwGwGed1SXLWAJp~R6jER+8NcCiF;QuO&K)+nq zXhMyH+gMxC6*{M{6O45E?=n_155PsA8%tGtGPLzReLbI~d9OeWE$|!c%$c_Bc@;9`*d2m+cji1X*Z%kQ+l_n{MXe`u zNpI76qdaQ6bvt$9XUZ8S6(YtVM*Z3^cdeT-g8L~_7q$YEDvzFFE{1iZydqOS4KAA> zD9pWn#H-Tq(oCTJQF3d|?C+YD7GA;|j~6k}TKH^QPNrs0x>v6HSYsVe z7Qfv}Bl;>U`-J^((EZ~U?r=AW7V31_$fac-`KR2UPj;IUTTjz6pWMCLT}ylJYjO#b zM2S>03C~D<%?9ECqO%vBqxCX^{y0MK82FWW(`st%Fd=24#vS<1o4SY!f9w3^l_w?U zEygR4UrXDAjt-}W_9#v>$og=0lK)FgJm`2EdN`;X-g6$GIoRAdu>;xKw3qxoGbe24 zE`*6#-uLbN#=(F($R|`VGtI3MN@XxatcxMiM zsHYnJ(xf;euzSpBo!$`AsJM~V0z@64HdwZ+&qG2x!abgB=F7|FV>b&sLR894Acd#! zUs{Ax;DrdP^oXyP$P!*}hr`r)376+t%!Ro%MiTuAJHZOo80oJE<-$^IkBQT3vzu$i zZbYDka>QyFv^n%5*_Fje^jYrdXq1oS3>QWrpGE%!Ebiud6SPEly0ULNVTM%vqH|&S z^Xxh+2QJ9CFxQh+El2auA8k}^+Fn%ei1fYm8(%lnSBFuy3e)YuezvLQtbZ{v zS$&1i&k3x?>a*NU-=l!ZVLNjdgRyixu2itS=KWyGpwAZ14T)n?7Xpz&K}6CEuuZf7 zEw%Zav4|d~`J6?CdAWd(IrJ}zsJYMD+B=><3nDU@jtZ0ghMjOCc33^bP69_bd5 zlL#T1UK^FKFf|Xgu*~P>w6J!&e!-+k45V*u_`IHxGYFPi#p;`;9z(l00=bU&KXvM{ z2Z9%%kjD>KdMbX_9z@ATY|w3+9t9VIJE_NY%bB{H%%>wg#Tnp1=E0)WA+%-=81123 z+Lz#LndEe9(*88VBl^>|Yx1ms(QykoEt#^S2?4j<0JGee-@hI7B^I4++}=JLOD1EV z0;0plw*wfVOAp-brIey@-UTKbYwQ+{yX5nXe1{=>D-r_Qxud260$$kZ$fU^`tb^J~ zy>u0*_(MHZWv(xfL<)045xgvNs&ss7t}|l%2(UeoCeRPq_raO&J{g(}^z$o5nyV5o zzfoJY^QL=vQjKXG=<=UjwARuV_EM1v3QTdcKK8_@dn|O`-zl!#Z^(56t5}x@5A0)G>iI-&mob*3E{?mg1 literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.(1).cnf.cdb b/CPLD/MAX/MAXII/db/RAM2GS.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..f8b441fefdefde684a8b90c5eeb94bb04009fdb0 GIT binary patch literal 1261 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KDw00000003|U00000 z004jj00000008X-00000004La>{vT*)IbpS@eCjkfdbJXfoN!WbW~f>QBqM@&e?%e za_5tMr-+98qx=FSULu4y=KJ>L^~(3~UW!DzjmF-c*>7e%-d%r}s;a7gkgHJ?{l&ej z0A|}!FN%8j+tL~gqd)M;`)}N-KFbT*1xQl#_xI#T;dIw(mZ8(Z$U+#yZHr=G#Kfd1 zU++#Vq6?gqK|3GpfU?nsKW@C8(zV>OOnz z4R+zqZ=Y3bH}mUSwDm&j6wE@k?HYEi5=%VDp>8^y8Ai&Il5o)#1dVhS}a-G(oU^=Bz(BRPjteS#y3 zbym~N8*oICCXYfk+<7$XBivCW4(ZAE9SW>Np@;Tn99f0#oF+Z%=jV^HJ+3|5iP!VI zD5pE|!Jx>VILQnAM4jvhJ3#pIZbE5XLuxIw%W`28_jeI zAfKU_u^|y=d=oZ`Y*-(%abL3Oq4EGaLtZN%VBXyT7{#AsY>dVa)GGdbAFGTR=RW`d z0RR7Z0pep|WN2VuV3@OOatx4S5CY<0cfWW)*AO4i;E;G%zmT9vkOTu05OXnDFf%aB zP;h1hiKqc_V2Dq=Q>dS_hpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGdUHAr z+(d{SB!aFVWFc<-ARSB$5kT@_i-#@90U|(*u+GENHOMi@*#qHPPKFMkvc!FRo&gnt zeTGmC^&dzA>@yw)1t4pismvabh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLKYz!Sh zI=wr41}BgP1s_6VNRTHma3E?WfHKSs3xMRb@M3FTAPvF@wVwWr>L9xcj z%8&sR+%fyY3uPcJfTF-3=wDx;2qeN-7#x7Ceh16_IzSp#r6*jaHBgQZ9NC7qR_6dY X48lMRvJ@Uo@lKAxu8aTx00960xb8qh literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.(1).cnf.hdb b/CPLD/MAX/MAXII/db/RAM2GS.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..619591b1e4033601116b169ce5bff133b8f202dd GIT binary patch literal 929 zcmV;S177?Q000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I&700000002+|00000 z000OA00000005x_00000004La#8t^o#4rr)unUP3MjLv(wgfT?ZK3m@kljYEn!ZJDAUi`&aV`dp%-y zux}6Z`0yx-r)4!xqb$#oXp*V}4;BscbOw7?(&8;Fe8BswGYC3C=Uaj%46filDydg%)7h>cOk*K&xL}1!4FNR4L3wRyyOy#F4P6fUK z{G=+b47db6pcpttUfDAXJ`xX_{G5VGb z>m0j0TW85L!}M^{X3Uee6T)SV;Wo<*2890W(7lO1)&lyD^3r9~J| zNH^OCq^7?%Ar{q1bU9H;*HwD1(sz}?F4io(@V|3Zx`oubIyEXA)dU$)I!#+Q$Q`cw z+>JEHhg1{GIBH&uW5W%pmObOCNi`z@4~Zlvm-=oS=(mAh z8yFDK_g8H3XPXw@VqRl0Ui|G0jQ}hN`9nhzMo7OSEkdDxo>lmR+i21vqJ2_%KlGm2 z5%2KEJ%Ko=*hg6CkIjdrs-wgAFJ1uv0RR7Z0bEhJPQySD9R~sd2LU1C5<(mjf(oUg zWn(t>B7DfZMIs6}1Swrg=%C;S=;)ECD3B;9=qZvPfG?op50H4XCJCZ=GwU~R4$qXy zp(`SKxbC#!r~+s|%1&ZW7!~88wBZ01fH5k;_Sc^iX4U}KCNkAf$;f2dq$=_=Jku6S zXa^|J9PIXc<%O9+hfr!QeECPajOZk>ZExuaAK{2Q=E^|HRHRzYCkiw ziQ0ohXfKeV;0(K>vK^vVySref3UJClwKHW8ws`in#j4p~l{&(KR09JsYbW>t00960 D7QvDe literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.(2).cnf.cdb b/CPLD/MAX/MAXII/db/RAM2GS.(2).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..0d881683cea55a10ecabe7684df98ba8ab6148a4 GIT binary patch literal 1267 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Id600000001%r00000 z008v@00000008p@00000004La>{rcd6hRQ~sL{lzkeeuxfZ{<=&?o4eJmsQ@USyg5 zi(|6cFq=hl+Ps-h;0uVaAP6do#*E+BJvCi3JH+kiMcE2HUEN(@{q$B>ck(>XkI^n0 zV}7EKZGe@udd8Rq{4EtT3+Ruall>3$oIcAB=sa4Ib#}Jh$m0sOocSHPo9>nn+R${8 z?F$f0veAqFeu;>IF9Ovbj}9D|z!)&&?v`H!DAg~I`3t}tt=ThJU=n66QAwxRZ@mJE~1V5gI0MA za76OYc|PHp!J0*LhVt9w0tKMT1bAdMFo*|k1+2HIlYbUu(BWLNdQ7+Vn7p_cQXP|Z zL-kFp0wZH1Scry%XW!(Z?1jo`NXEEWN#;6Gn{VP{TvWL`ql=dy#~-?uwtw5nrCUBU zChaUiicCHDmG}NYab7M8%6_?NTxQkf|8+f25D@7*67!?lJ9Un%jv4o=P-XrooI48{ zD?+pA(ek{}3aaQY+~iV4|FN>G{k#IfWwU6$6%~P105xfX;e_@ykBiXa;V~heb&D4x z9tT!rnFr)0AMRkCw2C%JUZ-h`3EtircDC=k1%7x%X*$H_nKA3S_~cXQ*}y+PVH>&-WTSJSA5{iY&+91GpjSRt_wnAW?*J67hE717ziq8V4{hU ziHL}g*yOmtz#ky~3;n8B9rwhBud4g&uez$bJ3|KjCZhfGtwlI$2O=j>frvdB#YzNm zSm6z-1DYvcPqf=-8_e_rbFrtaQeeBHpn_sSOxOiWMUEK@y&TBqhK8nCOpQPbIRa)awU@Gila)wysyP+ z*l%Jk`De!$yYO;>F5W1 zZQPP^q_?uU;e7QQaBy0GgWUb`3a_|CKI-iOm1Rc4Xwvxxdw%uv#df`jUQhPXrjq`w d2fjKol)~iZ$Hq9X+Wc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DvZ00000005!_00000 z000OA00000008m>00000004La#8$~p12GJpvWw-w6$D3)NL)G1i5o}Gqv--vP>QCM z<$w7HcFKEBoW$*vMMw}So!EY{oo8pJqtR%TVQgZ)WBpf?F*z9b4RQZ!z9QBOW&?3I zFni@~w_6O4d;4KkG|&63vpu5LfOy99r!&hMS>som=MlNATIXj^2#+-!VmNKPdttN- zu$0x{^!UoC=b&y52Y0HRQ4j4}zwN5dFSPw(@GCADu00u!xpk^?(9w93@hr6cK}&VP z0d$>TY$M@^D=6mT5Hxznmi>JkMoLgCAfA?Wk2A>ymfA?DNWA)u~~)SFJALc1Xn zp^!jERCq#kPb5VlC11s9oWPXsiKQ9qJnnkHJV5#JkWWX>k1J#uIg6(wCo~9b4js9? zlD%Xds9PFy{$B`trdtfmxaL<D*gb8H*1neDc;Qb&6~qBWpe0-h@NgcZ8)j`+K;lc*b_#@cqnZ+ zKm}leO0fOS*Myl3fVGKCHB>S(nKr44{0z^u#S+>B3bX*b`&oHoX3!y&S_@x}X{Tug zn)dcVZ4G`Is0%Hdn8#OM=EV^@2o>ccaq(GO{77^^@Ii~igpt&lq5$-_`=z+1JCr1G zI;!K+F#^};hc9q62jq?8`l1-RouGHgVg8>y%r!c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I*D00000005T+00000 z0027y00000003_V00000004La>{i`x8$}Sefr|1iDggrVFb@bNh}dTn2#R><#dZ>h zn53~=Azsk>yyskdAMSpHxG((QKncIOwc|V24r+u153ID_nYo?c&d%5R>gww1Ps~cC zav!r&tybQ^;%hCFVJsEPmPhptB+sGrM`8XB8uM2GypPY<0MxfhBGhylgn7oPzJj0M zpv?0&3?LY*avvw(!&*358WW{s{TieX{Ct}widC;%7PLJF5p8q4yZnvIUGqL99kd2ycsZFwQjeVSew@dB$ z4a$k#lUj?hT$|YMLCx7+6FR9qP4t*c&5fn%G{RWzzI=|QZ-W5np^a&adRa=o9aG~Q zYJ9u9?d>*psOdEuZu`4f&frT8H_{IxVJ~C6j_D51*~*7l2H9jQmKQRnPHG}Eyk8m%}Bo{-M0LiL21g28DRjV83_1T=7K--=$Ld64OD3N+J7{Vo6&Odve^Pk|i9bH5tfaK;bu!pmR;$|_+-~!1d<6D!F zMJs>-bC(qTUZgqg`Z7S|kj=x$fW;{Jxx{c=qF1llNrWs2LM((moPmAkBPnd(M;cY;Vi=c<{QjBB}B_gH`PRDo)Rwlx1(n;RG=VzVEOEmP&JgHym4qO(+ zQ;M+Ka0?Nm5!V^^VQ{{AIaMS#AXx_zWHvQ4ij)i%U5K;e;l$w->F*%A4pd*N2={&j zMMTEkdwySi0pD^3ztm+*@Pdvy?M{pO1ON1R3I4H2ZUJ8koj{?u4uz-xNF=j!_NGQs z3&lyznm51A<6-b$Ij&f@MfwXwrkif{r`pJbPE|HmBFP_t`5V-VMKYm2UgKFWeiJGK z6>TAnZV++5<9|l^gGx&S-!Ry(|KMMo95a99Z+u_*!JYllavH1OX>Pkm1OFgzyWDlj z?S2c3cjsZGK~q{sI61|NnRa;$UE85MW?nh%&TH z22u>%Kpf=a6d&Xo;2#tM5@BEhVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j z{!U)5&JZn}Kn1K|EkcHC%s^U1pjupgom^d9TwS258QB;VfHJW=9=?hM(lCP|1_p-& zdHT7>yZX5Ly81y3jshxTXOIArH785TffR!f5QE$T(E?S=$iiR%v^9*)(w7-1FNmTB x;!Z{;1`VJRV;{F@ko#c9xcU3IxCX&o$HBk>l$><)C=XBxJZzu_00000|NlgNdeQ&@ literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.asm_labs.ddb b/CPLD/MAX/MAXII/db/RAM2GS.asm_labs.ddb new file mode 100644 index 0000000000000000000000000000000000000000..3d7511a094566b939a37f4d6adc55db837e237e2 GIT binary patch literal 2638 zcmV-U3bFMO000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FK300000000XL00000 z002|~00000002%300000004La)K^h&RL2$m?s)F*-Gz9s7s9$0MPswxH9VjQ^`VLs zG`kpT(?;1KAXU_&8|t`j6d@G#!LiI{Y#Fels$SeGxIEoB5q9#BAJC^2LRFN9)_rOF zxFAXmQl&gZ6+*=Hn|rUnVOyZ?`^Aa09& zFZoc?U&0c5vpM(|uA=oy5tEMB_!(yj40Hd`Nh036wS{fTpA_P_{mj<}z?p zV3<-W8nZtdh7!%!Vrh#mIKiQx%D|*Xa11ytU~+W4 zpjtQl-|ekPQy9Vbd!b&2{y@`VfJUHH#*6&?8d|vs_f#4;Otb}}vSb@FvEL<}1qHbb zcop0&025L2GMw@G@{pcC(4SRqz^* z81j_Oi{JX;pi_4$=<>v>a+e&Kvwe-ZUJr{NGsk#{j8nke>TZ0s(7>T-O!ukje-`6f z|C;ADHXqA~>OC0=*wRfx0o!kvDu795+Qx!T8g|DIQyx6*+F`?WE*lDyB)K~QX$J6D+YZEs-)e~6A>^Go3;&^*uVTa@cI;%$|>_TJ&YD{9hlCEO2DO^D(D#wOo?Yq zUJphGgGX>oZkmoOtFOv8>OzOtY&CDiyS2YW!EZD$;NtHkWRDyab9+3Dv5-4~^I9P1 zc<)sF;3E$sj+jX^H+hTAwJ`Ah2jq zEkK_=j@iCNzoD>Na!{|hbGdFj^vCY^3Hh1VZ?dOE=;79G;14tCQ**Pk=!)X}ZS~$@ zSdo?bOAoEgq8v^6HAebWRs{Vx_S)eH7=Hq?ooRrF+~t)3bqAUQ1Z-K@Yb1($tDHey z;Fjy*r-Ep8?fgMTz+ik@Xf%=vvNx@UX1y~Yn$<2vAes4TS;#um6|W0((yr6APuxjkyR4aJSv&Po_2w?ej8CEUn*MNN zYO47giHibv(!N>Oi}pml%bBH&R~M?uYxLm|9;Er6u$E#SEpLZCQZasMq~iC-hAsR7 z(QbUjY}W?65Op?0t;8Leo{Xvs1X~dgjN%t_@@CHae7K3cifL z5bl=`hzms$HBV{d#UShXcx2gAKjY-#8D;L#cPfouAGm%GYUbrs_F>?j} zgp;|~E16hf%8nj)(b~c1j*;ths%yryc8&}Nd~t~zZoVs>}`I&%RjQ<2TM<=GTN5Hu(t7qfxJ-bz0e5Zbgc&KjN4vWQ0L{dbWvsZ_zcr z3_lWJ?h|UIc?-1XKe)mQa*}$_JSa%k`}1H7M_5leAtqB=b77UtOI2vL5%v)T$wusU z{IqD)MYdVaWX5Sa?YsZdvYD>ccox>KAz!a%Xa3~lC4La5JaNPB@+!uyQBoUAyT8Pq z*#$h>$+HS?a@aTN=;SIYF7u`62UccJD%LhX1k^6b7o;C{z&*n8BK#rEGSE057nub} zvJLrYJa~@x332pLqW?Vo4Z`^Xrj>@%1C5f9Jy07uDa99zM+d}x(!wKkTV~_k< zKOqRwFmP|pp`|3==5AAbL|CRg{`CbIrxsxdnQ4KklQ4V)LvFU7mz)*Foy{h8O^Mk_ z=uX)&Je`u84IrAmko!*fMZ)KjCIfinINt^7C}EnTd|i0BrC-z4kE57ByWWix;t_9j zen4Ja5SRK0r-4uSp>?PPlOJddz`J_}{@cCq`_Jiz8lPmUI2;*-$RijU#G4{Is}{5c zLcYwnJLpXbJ&nfH4Nr6aYC$&YT`7|S#wwIp;W8V&++L=Y&7WM7a%P8%6;^+tqgEH; zO@4uoRo%$wc5!$3#?+WYebGJO`gx2^$v_c|d$N^>sIDx-f3H`} zlsq+_$I86$-*XtrDDIlTH{Yf{ac($8uhF}Y>M3bP#dX#YjCTKarl`&f%z}gC9CbwLGw~FAjCOJ7aa&M~R7j zK_cv6ewm#jZ%_SS>%BS8cS|%@{)*?v9JJnu${s0%=UCJ2df##u-f!1CSnueN^031q zCJ|4Y;{H-wjshns672{rmpd@Y9p?ppuhsqiyHSMIS_;VQI|gQ4MEgo@Q|~r@^}{?a zj^Lu3`(cipXcUEHU1ys68G)0w!g&#@n@b6fzwcGpUMJQ+6bPK5i}_0HLr0&MhZ5?`Zo#hZvSLk-pneCVPIu=tveJiX6}inE(rUy?Y) zwf=5QDfwWj7@d*)ZXDqMRhHy61PbgqZrwIjYDKXM8JZmXrSnnj7*8yAV_@~$l zpl#O6$U&71^n$?lZKN&h2ueJ+C*zXBdd zF*^3pPwsGQLX!ht6S8eA;0W(~+f>OU5i_wTRc!b?@N55be!g^8u~N$Vv$UdyG0no{ zZUSKV22Vy6%`OTanmNAeQ~0pZZPq-Ae**vj|NnRaVq#!qkYHe7Sn+a#7LZ~P1Y*Zv w-*_KKr+6pNka$l&H-C^g0}~i40Ll0n8hJp9fftC8lm>&u7}S6m00030|72VNssI20 literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.cmp.cdb b/CPLD/MAX/MAXII/db/RAM2GS.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..819e5d84ba7039c4495ea7036e8a6a7009b2405f GIT binary patch literal 41577 zcmeEshf@cL{v;f>_1WQC&mumA6@Jmo*2BfbA2NE#8~@@nZvs$ z>h>n!>HPc$#4; ztr7`7Rf&>&1;?{|!EDS)TZ!>^o)z3n68rY@)VlDFVh*<*7x?HBh-sT?c0&1#HsK%u z)b<{Q0waMlT@LDJ>t-zjVZkGc{^Pmok;!{lu>ZyJ$CxV~lUklxdb!V;R}c#x(;p)n zhF^Qt0w{TQD?&->B7URGGlOSficvR^O=`u*h8kw*i*X~ZjnT2MKWr!lr5qJD^O)E1 zV`&s(s_Um`SI4DR9;c7!v=)oJ)!n`tX;&v0V8CKE726!suvz_AWYtSAI3re0YMgbt z;Wm_+RGhBcA|EMVL24xYl#QwFfZY{7LmnYl+}*V=Pg?IHZZA*KwR&GKYK=JsTdnvl z&RbJ^Xu%ce+jWDq&Btz=2eAJavpMs9vIpUY{AgQr+xE|ZT?RFK1-hzO&?T|zBV>3YGGuUUzdiquwDm_v&T~Yh(2M1|;9hIJ6%)(M; zpXp^nB6|PruPg;odyvd~(+APrtM}d0HXfSHeglOG=>;w%vPlf0vn|59{n#Kj4S!s} zQoDIMYakD09>@Ck@Qh>pzxOmY=qjYWQ7*DIL(sJnM2}>80+>eJ_OusITJ`*;X7hFo z%qm4H2T$cj8{}51r;$VF&C$JXyO&UQ;68Kj{7#FEyQ3=9%S*Fg^0$Wa4#)`wZZ{Yju><8z(VqpmR?) z%1@R+PM^ePr74topK&Ef59_LC$G(b7c?3zN|08k=Y}MQpxls2QbMe%5=S0N7<)iWTL+AyY#K1YrNR6VM-8^~EqAr$vFT(ogHe^8?JiDv~ z7M$JD%?0(twgoL@4)#cfaHk!ngN{nH5AKQ7SKGQUTS&#a`wohLbtAM01I`A5LR$Tx zoQN=m6_7vgzH;Celi`EjEC+=g`dP4T67B39*N2s`{io2Kl(_oHb1(K|i?x(>3^&Op zhO&MXhe7#IWZ`bD`ejUI6$EQ+BE8O)$y#e%`?n#fAl@Xn8&ClCy-nxRo7|9pq0aI~ z!7t>JYUcrUx&!27tnaT!^$LJGl+_qbcsIe;HB*Rq{VJtMx8sfO4K9T zol%bTdA7SOVja*#M3=~i9K%?rg%qs&r4BLbWL64tRQO**MWxWrm(j_K#_zzh^+)*P z*{3N|_}upX_PU4~nS;R~i4nlwDeuNxG9$rY^ix=@!5HR*flxm$zBA5|nmc3)Jo4nb zP^Dc^1_aE`JP0KK9}xb)hzWmSLeK?$Zy5tFh<{W3wRs1@n= z3aq`c=N(o>B;xE2*WMrz)vUBySy%9feqI%_Afg9}J|6@4A#RYwDEb1Rq0tBM;Jz@5 zg1Hs*4oQo|GMg~KboNIClafctjXf-DtwV^P+ML<&Cr&be{kO=ENPGp5AxfSarTq?4f0++c#G|eK1rY+d?~#*ESm1-z0phmC%X>@3T30ZHVBzDxa^PeF4Z6C^=A6V`X&{wRVO- zwpwOf8Vy*D%yMSsae!>3zs)iP3pXZ^jbfbE_pc(fPJH@kA+qf``cX-8?inbu`?X+g zLL6;2T!yTX&gXs*0>Cm>0$>G|%6a_ljy?$LHt)7NJ$mwm9Y1|g-YZW1R1xIaU9cbd z%;|`#s62S(Gq9f|S;yxG8S_lTIBrk{T_l}OYF!3Qk<91B&y=&k?ZVq^2}gnlz}t`` zjcutwW6csIJ)sOpb$4$NE4{~x;Jff+4tYg)9bnn^w*r(5j?x5eZ-Yg!Ue<(rvaL-~1tL_6jK6 zY%LL-?%z}~=x0;G7Skfkau=CDc;BXC$GiJ%J$F!j5+0C(ZnmD?Y+h~rU(^2$)BmQ# z|DQLfv$Y~cM!5U{6Zt^s-8wj{A>DUGO26Lq+J5<34&gs`6VZe(3z<#-!HR}4OMxs^ zH**bVA}twZY(AD6QWuqwrLP(J`Wc%h<-n!twX# z+?zw%9<(f<1fbBQqC%ua1yM<_To>m_n*AhFR!@%%TKQOtNVy1~imFvT&p)lZt6}Ie zsThEIRY+XWaI;5*ylVy>Hc$Hjk$%vLhfDgbc|>II4mnY@8R%fz4E$i)44TR%;%soe zQR5_S9KHcUDMh!JyhC8=Bg?rM8>h8vu6cf<1Fv zxuOlSPEqb#(bdCSwKc=PYfsXl@VPAo_;8G!TkXNGU$Sbuv|ko3xBM%t(oxp?Sa(S6 zzF6U*J;W!|_4@gRwRTM2)MJ$|{wK4lWEF~B~YL2h2bC0S{9~N*l9cft7LQm zm_~8-JjT)xTkvzi+goVKHl~#?}%zV+$ZNNH*?r_ zUYcWnx#Jm!)}E1CB3dC^D%Qk4xN{fSYJC+3>PY6xk%)Y<8aT zWtz3m*^!>c92(9!MtCwO-;1ot*D8OJXBhm$qis1kz*9U3I86PXtJwp@?NfXPZjl*y zFP99?6d=ASDs9cO@KDRNf61ZjVgX2cA&3mKK_oZb89nM!wcVNL&8^ULGD6k`^iBN0 zrKr@g*xhI+4|mRvf4_B^PLxx8X!!ksRWr5wt7|4XBnx%o*x5^JkacGejnFk!Ls<1Gyj^@@2L2V-(?2{p(D?xn>Osf zl*#se_DgS!o_MyWvFnS9Qqu@O5w*Z^)Ku(eiHEFcMU;!&o_(rCdML3FlgBM;*(=Y> z7xXfI(P~kqD7l>f#{l8yt+`?`ZAOg-TZ}?V{*a-;9j&de3FhIGd&16{#{I*F8XOwC zbtWDqcjhp?Pyx0XzhV%)nytG(sGnE0ttAr0ufE*&GFagE?kkuM@7aP=P|{W4rso=$|Fmt z0qibj0ly;+Yu6r>UK3_}xAFDaJ1MF+nRkMHmvP|q{=)(r;9(6r+UIkjq$Vd>H!WBB zYsrtEkutVg8A9m62bSxgfmW`oLZFHE7}=yV>sKISPE~NLW&t@YgW{f2RQ! zVhEX#V5$h_0Vi>y^h@o)x->y8Sf)c1D~G@FhwrqTUOZmS!f{dTfbnr~aCDyDt<U+To%>3rQhW-e-8(+KODW zxRB?DwolQFPp!jj{m>dq)|Rt%Hq;XW4#4lsrsDIEM89%Ca75nd?dA_@I_QPxrl#@H zfo;=ul#=Zy74#_uelGzy)~#zcx9*z@+goPln$~Y|vJ?{JSz;bC&>> zo2)B3+6sO6^ zlV<5Ao}rMcn1zj+OgLzu?X~Hyi;gK0&CX-to3)Iw{TLxUko94)PUNo1LaT4bLyHvgIwcT%%B5Ql!x|6L4^5^d+G@LXDnSbx zRiI`MhZ$8(Ump^IF-DGcQ=Pc^-Ki=6>_jKh`ji1Zeij5fn6TLU$-LNz919?H{jybZ zdnJOBC`_U39U#GYaSwjr+2*MuUN5@kAjMvB*5{aAK5_Sp%~tMRpW(dL_T@p(gu}VD z1doslWQw83>{E zo^DrPduMl<-vBk|nS5Xd@Ll4nSg6@PQKFjlBSyPXSm*t@Skf{I;ey}Y5LS@~vHoP} zV>_Zv&m5U(=LYS6h!l~|Ie@}~UyHxom4$39a3kA?F?LD+*dnTe|M|B)v;+_rSGsHA z8D;4s>CK)h8304G+u2jSr%w6Rbkl7r?iP(_#X3pu)S%cY^SH={1_}=8J?R%BGkbo| z6zhTY41ZGs_P`Fe$jc7~V>AB19&&+_v2}il$K*N}sTnDc8p1=oR&0St)4{^YPJfmr z^2B_j1Y8Cagvx82$4Xz@O=n>Vu7%@QoifgOPBz&7m31pa{p*DwyG8cS_a22(NT9C@ zk|JhBI=k)eiKBA&@~T5C2?*OK*ZGPf__n|E4x9)6CQHB-&zm$PT)OZyX-F>+__L0V zbx^@hdJ3zpWo?0Hg+KmXbhbOWdh}O2z6|HC=rMgqcJg}9*)W0We0a1ri-9HT=B6_f zm)>I&#hOo5^wHFden|OG^xiFGP5rUgM$4}LQAl@x#f%#bFVYQ;Ppwrj>>29mHZzax zQgnH$Xqy%tZ<@*Cs%TRp?O?|r1FRx#I#Fggh1M6067!pwkzAos4keyZ5A*@@UGTKU z{6WY=?PvR@@cBjjB$d#|NZuMxA851Xgv9)T4cWdx7ZW(5jTx+&nH(cRDsYG&GK8=1 z_@0v#pp5LbEuRyRJoQQgD-T2kn!QO~>!+!FTj6*0yz3xSBlmf&aQE|uw3@Pu&Xjz9 zZAOvB$2Jw58Who#og4b72$HHe%++Re7yqB<{o?%A*+Zr*Cg6T>(~JKiX5bzhf4dYu zBE8odK`OxuK81kzxs9)x{AbW4CW_l1{=esnZ3whox8{=Y=*gT18WXEOhe}5G-%EUk zLZO>%vE&#c?s{*ldS|I&Vm|?`|9vsUswuu^*?m4?!fNq{yLb}3iERpF-bFM8hE^?tQJg?f@b#4QZY8<~Eh10KgB-Q@e5NrtLW4Og&NWtRMn}*+=)*3N zSu&1^i|cwMqn{VMru4A^PsNtWDBpgeDZeFT&Tezt4vZJ|NCAs@=HkW9^n4k3B4T)k zRkEaXi>2wSB!#V#Ld7K$L#z`nY?RZftuc8oY!aev66~BxBD?_0v9oG6@xiIsw@Hb( zg=0FJS>lDFb~=wV+&S0j!H6h#Tw`{cM+6ZAu{P(PxTPpO|awNo6aE<$9Ul`%h>n>Dw_l(J_$^OfS#IyvSNIwy8<@z|hnIU7 ztlNeLn0RJtZPZ$tmJ=ho9-86 ztn66XxfP}S!CRe*^G7=^zxCQz?bBU84EU8t?%#~Slp&JR{Nq65FE)NJ5g*cLPcwCX zw(pM0bjho9{(NAWkZ8DEt;=&nogx9Ie&Z5$q9F5K!og;V=gjDADo{LjW4KyNZRl|C z87@@}KmSDVB7K)*olE@dqd14eVYfdDlkOU7$0O&F z`Jt8tqu_l+VL0E4^KBi8r(*54YOfdJk>1{=`AnfNOJb2ZyKGC0<4)$KQ&Y!Lec^2h zE>V1eFqy20B>`O+NR4?Sw;#+X`%uD#kzsbmsd~od%;LO#jL$h%K3D6L6}x}iolk~W zg(YvNf6w>RDyH`odRdnh6R+lLFLau-7X#u;>a2 zHXLVqdmFqTDcmo1czZ&@3cTGpajgIs@ag$jXkggL@5NT-x4Sju7e$D#fkw{SNF(G) zhTMRRF8a7)dqIGq7i9pmc7tS~iv8(!d%oiXKhO-?tJr^26p%Gz;o+uPVut>?B(Z(o73*OXTxH)2rCeA#8|?}g+Ku!Z!G$sSfw#%qu>al_?N zQuwh-b{*Vbf3hr%;M&@rp z0h~LzVzP)#7E11kpV}TR+_|Ci9+Ko6DVaC8ylYFjJKFiSe?o3$x)S+jU5J(SD$T0T znd?hN>_lsri2G*zo?LZXOO1{E|eyeaos&Wyvn-Xv>==no}w_?Q~;AM48ize0OOJ>;)r-tVe5m7ATW+`X1%iFUp zJVWCH)mH%UOM<>Ml&PSE`rzQ#dIl3iN&JakGB4f7iC|&}d2#*t1n25U4^3VPy|F7o ze&x)l)n72?DUipV31chvL-AbMcyJvT#Om^Oi4R@M(%r>ggX2=9omh`d$(rbP8ZK$cDAuCpGf8e*~$32JC{7UhB zK;M)#Q#DjP)B7+20#%E%y4Dl-Fx+(^RxpoX?ZL}xAO2kH6Uz)8o!8^uP=aB`D^~sO z)VZFl?kZ~Q8~L8Zczf=HR*&r1yo!TxZr$-)@&{_0Up_E%oXn*TBch;@#=efRJ3kGG ztzChBi#Bgd32#p;7;P!g&qgf9A9bsk@mJX9ef|vj`lbj)AD^KhBPD1rZ9;ak^ae^&_b5T_IOAxSpZk! z)L0m`3N*DDLeESz9r8@{D^*%$OYJ2N0bo2?yY)+kh7LIkni@%EvdG&Rhg&BhliU>f z5`z*Px9O6~33+^1e}YM)BmiUoi0i`gcn`a8CJ^HDZ3k@_Y`x7AY#Zj&7D)9Y^TNXS zo+82rW34RJ-7xqGww77S>;7QqxCvV@~wfMwYJ@=gjwnR$PwiUGx4yj#%f*{B=|9`D?=~Wp&yCdh7+arG6yfZ9m;$ZZGD0 zb07wDt+>$*W;QVM78osY74*~6R^WVI3Ebu~TlDlXG!hvVe>dpC%**!d-SY^$d!6TT zalcT*8_!#c%w{qFwF|nI2q;dYMaDlBQ(1Vt$W8WrnR3zg$Xjw6bLSr<6gsd7%5H~H zAF;s>APe_73ho{Z2R>6SG^KPNu~rP*WxPt43d5&8V@|J2-9AIsE&Zu4w>njr-D0sF zUCfBO1Jis@M`3EAerc7cFD?l}z4kj9Cud@4IP%SAH+(Q!P+Y`Ud`7@SumP|n>?spr zy7tBg$H|Y|1b;;B`iLP#SS;khn3S)nqCU`8Nwj|L6GpVqAXdBzFAxF)j~>PY_zv#jS{2 z$;4DJA+--R6^8G)3Q91xa~dp6ujg|l#oEk(abpH1eZbdl5!Mqf7?L+m1?TcQKDe6p<8K6f&fJ?o~SHhuA%Sf@7S$5FjnbkWrQLO-1Y19jgce#*NYAd}tO_<<9<;BwkhvenXc8pWKSgJ&)+O}M{A zS^`iQyhbk!En`((?>M>U+?kT~sdQ&aS9347h|Y+8)@R;$s}|v>XF!SJ#=eS^Wf$&W z)(O>q$;g;3x&80tvC3)QEJCojbk7W215x8`tr=c>Pw>6eZQp!@{@{C6hP{UILAu|- zZJ>=dMYAQnMEp4$bAWyX@56MK^)E(&xrf-!@m8wVx{^T5HJilv)u(Q;6S~}?$9!v# zFC0%eS`QG-;U|Ld8(5$}M)r@O#iZl&T)LltN%{KcfBY2ngSbw92J()d@*W2!*=y3L zNGf(Y(PItY>GZ?XPG)kHvKW@E_y}X{5CBUsXI0%OU1w2DP4#BW*+%jXIRcGi1%&?e z)Qvr?uitubzEmE5*c{wlNQt}*eGjVa)sQCaQ>Av29kPM}_XYfj-9W9!`{&ogpjuDB zve2(cGllN?OHD(m+GhK0Lf3C-dj-8<4N7bIBXC?9xbaih=k4Qg3x2QNR301tXMEv? zv-;U*?<;!|17O9{ms5y|UWQDZl5v?s`^yss-ISI~9kH#=cQf+AItNb_0%omwF0HkqR8 zZ#^t(DX5+bo+48V1Cl=#Rsh6r>I6$7or9T}`|+_EW&PCL(XL4s`kLB`qm{h6pZ0Ji zoTCVXS?XpUw%;7OSsQ6DC^9ZtF8$J7@n%;IEV73KyyxK?q8=sSEN}*KUr?d2Y6G_6 zV-_KGfF172S8dMe%bU1qj**U!6MZdKkQwznV zq@3^7*J$$(!yHV+7T=Q(`=52X4}4(3mt7Ha2z)MNAeTfVqKiFzrRwFADi)PiuzgXs zV|ok|(S62ql;KbU(zEIFOP5UXas4{^mtxxV%n#dm7Z1ZM_ob6`4^ps%Lg@C}o28fj zS!`4CN1U}953H|$reUqgr+@xICidF%k-@x3QHiW-nksLNsLH)RCHaioUUzXyk~{XRw_o7Z?rRZ3Q~%0BNDoh_Pgm4C6`=MoBF7p%6BFd;oWI(doY`?KDv z&>1GHHQD-?oFINU+}ZprOh$xXn2vXHhRNZ4INKVSoUt&{^-)(YGyTBeoC~p9?Bg<- zGvdWuv?T7GdSBlJ$Qb#9Gy^H~Db_JU&|MnFXZ-SO?^ApuOkk+cov9CWPB%&SoY~xL zrlV!w>ot_yRSd%WEZJ$(_;mMws`H|;Sqs0h8Nko5o#*>#OJYR2*Xw-bKr zu0m2Xx7AslbrD8$hwo1m=$tNv8m&MYk!wCitUP-wXE+(^+(o*%y*C_0A3a6eiux>V zf!=*xJcVHq_IF>W&0Z<9ab`oWj}~+e1}N2Vu_*m%K%Dk^@?G8LQ^jHH7tR$q783JP za}-6T1ySH@2HroU&&Ae$*|71ZxzLHYBA5GuROIYDE533CYw`PSr4e?nSM(+s#?L`5 z`Fl5wylMDlV%G0r^JFYG)&Ysn`ujB&#PnzV-XvRwrCN6d`sPXm41;RizcRVzYO=I{ z$|QOy-%_|F#us#Y|k}3uWPR9h54pli+AN_=wcn=H$;>dg@gCInN}~Z37stU zYL-z8+BMM^um_dD`Qj`!4jk33GB`-z1M#Tz8ILCF;M)jAt%|sT6c?e-@-L4>&R#XY zuQp|{_(=#0EQEw_3!4UaV)|=@bqINPluJ%2vD;Y}(~naL9O{Q(zNZz~S(BSd}I6(`O51?1XW^&&mH_GlG$VKA9Dxg84%#X85Gyo^v?z@TSc`7%F zHbrQmHVQVdnEM0R{Vl@PSIAsAcB(l>Zz9VBQR-(n;9mi!SXV!2h^>^gdBUMEKG~RZ zxO$E+TfkJ3Wl?y*9+?I6a9;?oR6rQAZlMj;1b7IlUUfZ1hy+rm4ww)`f=m9r@fG5| zw}5ha-Y*kZjkJ3?5O&GspEqlAhAk&z707tM<0Tt}kVAT2D=B6f8ecCBIsx|Y&!~R< zLX~Bacpgc(Z$oal^e#XGC@$|2>ByoAip4SzER{q{qFAQ@{v21J1k^7pP3I`9wiHoj z;|{VPdCps;G7Opu!eZ#PvVHR^G3Zvf?kbE{vAq)*Q3+tvH%Pr4`XCde7Gwy`K%2#) z0J4;&9~UI`9E$5O{iJO>*bL_hQNB&$T0|Vj9>U$F}|B|j#OhDs2DMhj*Oe0is zUO#-74-T@T0RN!T1bz(9La(L3c}r1;7-c$x(q)af`E`aEd(HsxBb2^`w$00lj~;|k zb|gx4J;m{jliG1m{HCoI#V7^y2&A}a<^eS$Y6b+!l-Ic)3&LyKroNj|Xce)jDC^ZT z%4$3ZWv=37tiPa8o2z%EZr2R0UA-(@BJ_M~w2$5;RQOQJ;IWsK z6;9y3t2-E!6t%-U?i9m!g8TBD@?-C3;N5>w+WGpGatioWxB2KX`$UQ&aQXn};feUO zPD`<=42Q8aQL->(DN7e(71}`tIa4>4HqRI(}%0?qRkg_Ak za!G8Y)gL8ZxZO^Y=f&z z*VAP@hd1Y#F;-2Xgnwd`nX!PQ1TIfPf%8!Ugi{*))EBMciQr%!BitG7be11@f-yA9 z+IZH#F?i-I3(}0^e)mMAarRcPdy>-LxohM%|8au?VT!&D`66EU5++1|dXWhPL!(+> zNSsS2Z4T~Q>^GkbrKY3&a*2W+b`qV66h|>5#OL!!^sTKPc`ozYfl+o#yoG!KGGj0 zH!bJ!Wv-GfG#=er88OKh>JuA?tI0o`lBUrOmXs>rw|lgBpE+3PC;Y~vDz(Ma&*-*= z%I&NddYQ#}Zo3CbY(8FL^|S|96S(HggY(Y7uv0>tA9J?IUBoL!J|W*0NfL;eamfnq z{qu=OF6uA;QX%Wt7cR0aA+7)E&(GKIeCfgyBU=p`W}$AI=T`S)>g$7q7Bmsd&v_De z%rbc@oFwAZv03{4P7Vl;m9yF7pgYlU7RWJUB`TKY{sq()+czRvO-~=( zB6r%0u`bLI95D?f*aiSoCPY5>I@Yh_@-90)MzVN*D^sIq6@C3G)#97Y-ZjZRcb<-A zxTR~`tfD~|<;CG^mwbU7`CMY`&S!3FD9%nOz#>xIzxVbX=zq?F=bK z^rLYi`-RWrH3RG$`vUJZ@%gocmK7`w__&%JbP4#iFwCE;1qG($-;K-~tx4}7E0W!x@Ep0*Hmi|6vH@>iniu{AudkX%B5b6(y zZ$A%gS>~%t#9fKM91nCZEQbEvE4hxdPMK=;Xbk&fI4RqIi^YLe*hlM^$*IF*8H1VR z*8{e@R!WYdg3+S7q~+0*$}^>$yYXK6w=#TRo+j<*N=zdr1<|z&>`O*$Eh6Ib7uu_X zec6S(Ki_>cm_Q99F}nxjnE#@ypANPK7k*F|S_kh^Tia>1l7vqGrGKjISBAy@#T<6lm4 zYbl-UMa~{jB;Y7K$3_g*t~EF6#a8WN34Fk(MDr+k+Q<1!3`ZpfGIk`YFmx11+M*{O z@4Oph0|>S%uPB&Jv0;9*X1Q{doZEkEOsD46csznA1B?BY&|q$>EviXq^vW2j&foHE(zAw532UE1Rrk;^{C#X4IsH0qx6Ym2Nb4iN%zQyh zjlF1_bU$mhN5`;VqT)g6dy-W7s)hd>^HJ>?@@6}j(a!&mtj4HXx}oNTMQ|3&1^poJaf8N(YqwHP zelhg!Yk1X&6u$2eFutqzjAdzWIO^?KB}aFE`wD{x4`Scl!hqa{tI#O5u9&e^!O9}k z^RmfI{OoIaPuiHU^ae=YW&mXvS;M6tYn0@@PfanD;6S&~OD!{a7nEv^w5P|8rYe%zzoBc@q; z$pO&r#pI0dzizL0?YJBjNL#NA(-~;xgfhJe%KlL$BD0p_`x1I=_zU9pJ61B$&lT$g zCWbYV)qm3XeCpnwZ358s`E^l7+AH$!hmy6~EyUkd4*h`QR0*GNc`cpyP>hz5x}KMl?Lq5^DVWpNqbkS! z!Iw3ajeJEzeZAf$v_#Hf-2q&}7^enow%-E^__`Lg5ziD$p?f z#cpVGxQfKM(-c%EeML*of%v&LbFXCmQq#5)Z7s|+U^ z&Mz9?w2#Gz9HW0iwnx9Q?BqsJDwJm6$Fm5BO`~l9$@m0U`O@){J(ChTg6Kzt{z@G8 z=|H4!$Pb~B8-Zq#LA{N^N~_qp?VeodT4uOw@7%WK4P%#eXypTPuNT8d1`3dIBi8|*ov6r*GBrV*S11zs=gGmToKPw<{Mja>j_ zT}7tJ7!+*pr^jP1RMJ0l(;oCC!WIo62jjZZY;I7dB_Y-&$7{@O&Mg1!{z5~Gy^flc ztRgPIq*gMJ1IYRMvnz%Ea-Gx7dC2LpmVI@PUvZ>uKX4V$3?M~?8}+&k`K{ITN?-AP3Hylu z96Wa5U+8wEHj8n1UHk;0rgeEZSD6sA+sJTYOd+a}jesy%(FMyWp_P5{S67#(^%vdA zwp-{C+;B9=%zW*}q*9kfM93saVKOEDic@5qe+KguTuUVrY(Tn4>A1eJk;dJM+u|u` z#>l7 zBUcuoWkWnQ4Jc1MV@F8~n?OrQ9uDbE0{)P{l2e%XUI{xbs)R*8fXU6_dl92aN)*T4 zLvrG~5!3U!jge+Kx)i&?;w&kK%3+fGc$du%#e)9KsS?JKw;QJfexCR&9lTbp)KY?q z+y&Yv{MGmL*YT=^H&htz-f)Qc70jWq6T(xWvr;I7N$dXXR8uw#O ztojcQR!(4Mp9W42`+Eni6qpKqdgm~+LRpLyW#)NmG9s~v;~yzgz)eBrq5FlEn%prM z0{{VetTp|99_>|0*644A;VKbJb1;%mC7I00hAkd_Q}Ke?`2;7J(Npi<-;4}1J_)bLF_b{}9aoSv3B zad+?571}yU{C1wz1|@$o7WDWDtXVALmGG$zxts=XYi`duqyA0i4XT&rz)S+DUHJjO zmxtrmqgZwClo#oa4Q-}-zyfYUNy730eyv*ZXO4ElbDHR55&`-PkdKS09zE^-iz6-q zKdkc*8-^?NKTs6M*O`dg)6Y@odo6w65FaQpuYM&laA19sZXfJEv%7gS#0yY_$s7F; z4X=H0tR8FMlV9j$7udp6=Th@Gq4;RxHKx*ot~?boEacbZMDue0$$TeUm(lS-Vp_qA z(U~>-_-pBf0W|J@&~ixge;D`RLnAn2J<0^qX@nE<{+Li;yPy32H1)#B&T6E+#Nm|% z>QX+m;FE`M&af2YNd%y`t_5DyJDAnjiC&Mn3QLq8c$54 z>JpoZrtH#0b$Az)<+X$-x2UjQrHMdv|bQ*f$TGjESmb}P03dkh-y69b8N+Z4&iGOpKK z$n5iyLgX(H6`j((n39=c3h9fcKc8B4Z88OGbl6#1s+M4%C zv2Ehw2OcM)PByWCrMq|LaCz@z=`VXYj2!Tn!Bel+_I{4`ev_gsA+e>nQS=h9@`&6E z1Rm5vA1at%io{24&u$>c2n9H1Jhk0afG{ec23C2^5ub%7CtSvGP{{9dD739)x0Kk6 zAm0v{ztc3gy9|$#D)5&Sew${wy#gv`G0|cTz0|4ZtV$}9r2y{ZTO!Cf%l%RYI!hPI zSF-I*5{o=3X_0~?VD9SyO42SWCvsur?&eX-6YM&13N}_1uZb@>PoNKed&OhOmZxz$ zEzybddPb8-!~Ja|ju5&nzV(|0T-1#ZFALUku_|8S?-sF@;y3-@bOkZ{x;$LyAst;6 z?m5_8%O&P8x4KtMqDiO>AE4Xk$YZ3cwUXEs>7}O0;^(Nc7L7EEor=Ih70vZ_cw?*o z6^}($#C9@~_n&WEaQEi$^$+Xh4%uyT^*?_kzqJ$SzXizAjYX$zKLE$dyG0bAaOI=V zY&cb(6{QvksG?62k4t4-d9axgc=yjVQh>r}4N}p=b7Jm55;luzhVF2CJ~9hCV!>80 zkx5}I4Mr_xv1}ScJ0h=bo9+HQqJo7mAB6pF*hoEK|NbgGS zkXwAo>$rBir0vWBMOY1pNUuDA9lYI^0J`mREkS8;>qVi&dh z{`WOFG12XMOs(@nl<$GPhYvfBQfrMx2f%Jh;z0QKYjP*|ZS$zVi4}|5Wz-epuNa(u zscgA~_9YWNzaxTQLekSqwZOHb@O@IbzH>L%g}a&gibQ~npbk|?N)m?VAJoE7+B_!p z$h-Q8Jbkl>=HcS)O)7FLXByf(V7ww&-e8zbe+UbE&M!bb2Rr>ZL1(Vh)_&_bHvEcN zZKjB#?H`ZcYkedA=lJgR$3Ov(p{R}qTthjAgP*4Iof#Z}>c)6ke$mLUJxV^C-eh)H2#p}J=8%k}tHo5d;t(6mtb0L}_{ z_*lCC@%MX8RH!0ET4oE0nen#nIEf&pc|T4V@Bb0n&5Ahxo~WX zq0Fnth*Yk{qq>A#S?Hr@A+@!LnJt$H+iXYMb--*Xr8wZm8gbHI5EKM9Mc zaVGW2hed_FQLNhOh23f|>?)xx6>EMfi?|q*r{-VrWFcFA7kT)sN4d4jSM@m=xAf(hZ>33o26D+pT&k(Rf+aT>$?L zX!Hv3p!a*gZmjyM-*slCr$aj>`t}jS9+fOSHLODs=s)uIo@^`5ihM0Zn%na?LN*O_Bj9L>Ah@7d4HS^YBaLOj}-!U|pM7{ng!bO2~@)ww}A zG8|d5Lxb*FyqCT7A8wrXf4#K)CDkkHy3hT}(Ky)jP<<%0iVDI1yu2guuRd$`9iA*` zCLbWq^%cub^H zqkfMj%;HPg*H`CvBc{K3;@n@ng7lz9=jRgdn4!YcRq>LZCG)JySXv@RHVpV&mvMxnf`H)rY`KcBCijm6GZNJTO5@0sH>}DWHSU`TmbC4V78m4lO4N z69n}9^}N>kA1L8O{n}hmg9+&1zt5f|^Y$EPs*1x-0U$34soorSPb6$64HF{ zW=Q)n%*~|Ndo4=f1*G8MJsv-wTDjXfL{aFl$dobe{S_BKf00?4FxKHauj7t9yg`jI zxeE)N{z2uG6eH|V6HrGeEF3I`;7h7dPl-G7E$=;>TR~#bfESLZ&kpYacw}lNm#OdC z&@r@^KjC8Owx985aXQm;7|3oFm%&BckNQ6>U1dNUU9bfjifhs0#ogWAt+*F=cZU#y zI}~>0p!{dAJ{Y^G|?~a@^b2BqrC#*Ns?LxvT-sKQO;NB1tM(XNqhJ!)J z3u*rLA?>S}uQ2&<&(hslIxFo&F5VvgU8#rj#Cxbc(!b!ad3X5w+h;-Mftp6p-j5zZ z;^v1ynhgr6A)DrWiC5=B+DQ!#hExB5=Il~yb!0@ld;hAGEWAAklR~LT>xGfvTW_^xSw67k0iWX1aXhzLi@qc*eL>f=V z()k?G$3GDuU4EfhFwH8!IZak}419}8VpzdwZC7G(zUW?@k`tKSgS{L5U?2LM5R8ep z`CL4oO8R}XjS*6h%uwDsq5XQXn(ag`=-#rg;0$ir?npBG?yja?~v;D{%EP2w2V0=SXuY8ub@fp-%FEcbd!=2SCB>sib5 zQzkA|K2K0|L-%XghB~~6_-wqKSb%-b(AhjbB~R-p9Sikq$osOJCKtlUr}`a|^1;#n zPPE}&vdE+^pVV|kI6dXU@S8eE=hB1p>|tGRh`yQ}sost3B4D+%%l13-3zT{i4dqmE z^21TO#MlFv{GcOc(gLu`>-=cF5Z7?h*ojl$A{{*rV{7VQbJr0l!19;?T~)AO9{(C( z(^Jwg{XuY@U^sl#;D7)2WUqD2IRf6m-L&A?P{4;_XOX^S=+Mdr8h9)U0J9e$4$8bS z8O)P*%l%YcDOx)FbQ65Cs-tI%9vo-gQiG)RE2|wRiQXqKAUw|D_Mkm0ZR5KXE-!d8 z<_BXllEPJ>IdLiwvw2M`OMgng6DIrYjV+S-H(bKlPGBzm?ZTiC*$;U^xT(^DD(HUD znAL-*q&oG-**DQCx$An(^szuNKBxgqDuXAZIzj=ZBnkc71s+#-a z2h@4_r@>SDgMRS=Yxc^vx5@=Xsc~q*4}m!wr9V^a!@029uP!G)xWf(< z9NOz>JRH<<`LL@ih#hw^@ipZi?4sGhdo|mtnqN%k`1+CX_!XL0o##i#ujOunEI26z z%<664zeS_qJZ`S&e^!Vod`B-8&yIC+?zvuz3;ybpi@M6E3_@KbDW1iflu~x*gd+R= zCLJj3hu1}DttBC^(lD+4X^|Vr@OiG7nCEhWV6wNY21)|4!A+`PS%0a7GL}_jsK$(w z{bT%;f3>KOfbgCCP1OL(okHaraDRbJR`r4W^?CE(7 z+_)BxAKJ|)98MuJ2xqY6`CFqnnK}vuoPQr>dUd!0mSor_&qcepaIbRKq9<0>l9$y> z?_|BFBR;d0qE|$LZ&Q~Y)_`orW@*4ucokK> z`2AAfl(%%&hXq)73vrnb01NE`_P(S!o=25=LWIn8_hzi zRQ^hNx3%A|cW*7t5|WO|piqr!sDAYYNZnz$8a#Ypo72nnj*ErZlL0LU$b3RKu~E9^ zdyz8i2hv}55)fIn+WB<2C?81bjp^SoXN<@{OF*O5XSbnx?t)<&B8>E(3rVWV^4^p3 zayMGN1XHl2M%`P0GXHQDx!fS^wA+O)GY1y8Pgt}tqG7_WC6*S-NBAUtIW5MGYxkyo zOl>jsZd!}ODQJHWK0M)8*+TmV`WR&$pRR2hBlvUh;_f?xv^49g5FN(Bb#A}o=zm^A7 z)kA+BB>G-dQcgOw1G>o<0O0fMW_V^H_w(p4oM;vBefB~GDz$4C9GZH>fC4n)YU}EW z7owsquQaE*U4^t~p)UAsKc%+WVPS|x_H)zX=2w9*g4q$@&deW<HaHHHSAh24#>OIv0MS_ji7$-6|H4*{A0l-;IVkEPZ<4@OkkXPv|R^ z=<~CNTkmV|EwES9f&8W3co0BVgf{7ZKD2u4{U*0TcJMa*z_WU|vOMq}FTO#O8w9MK)- z<}YbvCM}BaopG1hsaFTWMecQ%H`4wZOCWE2JhYtL4?v2RWUOGsu?8!2{@7vTa6m)F zgFmS?5&bKB|8ywc`@$!H^*kMyL^*x+PdUWVKc$sM{^pm&Z$NWyDB4$X&b&b_cl%x! zZ78~4>jnqiw~j5vLFEu*iBXQt5jQxYA-i7w?}ucr){D9_mykob?PF1X=daX_euzfP zN>)8LwAD)^1Ac;_2|n9>(hF)AZfc!rDnUu~N)oztvt`mgdGz-P`~0ug`O~srH?a^T z;X2FN48bkXx-e!2g3=x1ZziIdMpH=Q1mtGwQ zvR}`&{N|e)kFJTe((eo%%2UqUEm>10$4hr_8em-59*Lk);N8ky4CghNI52+%Q;D`_ zI??Wg&RM52blkAOm#}xWSaFGLN%hhk{$07}u5MUBU}=9CNJP=>r?4EtT6~NUr${3mtLvUI zeB4!`9!Z@Z-iyaN=i(Vg#l#9ZdfUVdr8Dp%m24u&>O4;vzktl?t)=@* zr}~rHHG8Kt_4leXavAN zb5pI6S?^wbtn=sP;QbYCdg6x$R>%Ft>6XOOeG)?zTBheRBB6Z|^Tu{|C=jX($tTr# zsZLSn+vbA{`I?jXGkTx<$ce1|k8{<#unuZVmMSWOgNG;4Q9i3c2Y+6OrgyLAO+4i8 ziY^9>j0LqnF6F;6)ZPXV<$sc$R2iTs3Gp0X@~i*+NUtz_YbdH;7jbP@re?!DVe}p5 z@oww+mmV!PyE$ah%EZF*@#j(=dq4a$O1^4+)peoKBP56KVjCGQ@Te!=#X7&dNH|Jo z(2+^T3vTh*NR~@(D>De_dn0U7a3`V}g?rZsxv?`SSH5Wrh@9GpO6KkqFuos@y;z$} z)kKD6>C%4{O!7<{YZ{(-wcoX3e_@!D&Npv4v3|n4|~U8Ft*!i zNvlspyi<>KUDZfi&@8B=!+suvBVd3(VP!AYMo*t#J7 z(MUU*e{uF#9<@L(t@BXSqA8NtV}b&xpWRTq{!~|z$!Hn8Jy76l(WukSrJi*oCaw0S zFn6vqiJnP`B4zEqvGJ|lEBiZ7(E#p*hQ@IHKPmi+m1JD{%A~P(6CtkpFTj|J9}0Wl zdrkA)YOD?I2el_&FJs5c2oJ)Vr0y$+&{MGdQ8UlJ*KeM`AANC{-A)XzvY5uklR_ZM z1PDh&1mB=q)54wwY)+1S$kI<>zoH;%t_f zVE)n>ASY)PCX%=D#xs2UneRaxq zKxDrTx@+}quq18lBr?>ryyrp#al?e7PFV6DO_JquIZw&7%N4L?Fs4CRO@^vIb!*UV znatP4a?Xd{W+_AyF`fi2C^d4HJ$k7cBWRJMc|G=;u6eO*$AA~uCXZ++3%==w{L`FH zYJACSY9TI|#lZD+NfRY=^`c;PRE5GW^-_d3Q@Ku*A9u_+liWf(SAO`eyQktyi^ry3 z=8{A>T`WXUM_k9R+MF-;)2iITyx*p!H?=t25dZJKaEY`Cudf!XEq!`ByGo@@suy=X&875C&(h$Xf(m6gcIh$Ax~1ywF*5wlLb_|>zkvkU|6 zTTw3z+~9?RdMiKw4>g1`y0HE(nFV4nCKOK!@3Bt+jUs!by;sz37;w0A>M@`~?j+05_)-=_f^v-9qDBjdO#Y1ka>He;MF`A8Qe-O+61<>7h7B?s8 zxghtskxu}%zd1+Dnjmqw>*+6Kn}2_W9md!1aIR#Pj>dQuQkMAM$M?^4U2SQQxKU8u z(U3I3kMy_ql!<^M%cXUjR}8D$s_bP5oICwA;)$=g3l1J#kTCCheu>|>A-*DNk^1E~ z4L3#WH>b2sM(1Y3iO~?o&1!B14lisrl-&+2-b{haD`wRLo#f1a^+{sOVXAB z&12jbw|!skb`1PBLC&#knZ~IxKLo=>K`IZiytm1)t!&Ci)`2*7LW?^u^N7;pc!ecZ zV2qwHN*Q3zsVnOHY6}ydRvlwkL(9)gmFL@lrM1CXy)S)625XrEZF1fw_NmFrDU@B! zjOn+hzRDOz3|8*s`x+W>Hz8l}=})fb=o5}FN|O}VOTGRyTb9dj(fgxkHr;a190uhH zVToS^r=~fY>_+jbklNv&RW1-WR&ibbC4Ej}c5ONQ$68VSQPyAhJtWsK@gk`uIg$3n zS0(YzUwhbU-0QZNcu(W=7?$+HW{IoVpB;nZty!yr$e-bn{)>9U67+^>hYf_?dPC)A zmt}zck4FuBzhBYLedZiG$12Z#NBbWgoY?O|ovrhS&8P0zrdckb;T$Clq_Bjw(Jou# zf2XcUNBHg)z_CuudRTA=O$_Nh@*L_Oza4R99&LLC)gLbs3GV@em)Yg`)*dxgULj3X zWg9J87Y>5GGR@TuTPg_ROB?stfKs7L{?23q7LJxz{@OKKi?A)HJ%;_InNSu~HfNa!=xnt!4NkM&g8 z(iV$@8Mao&C`ATSnl6-XZ2z8wqA|77N!6_Q=|Jng7 zKUOkLqEXl%pT_BEUf76MaqnS9P)!N?n#Qn{o{p$W^8u&Zfp47G^=_czr|mX6YU991@((9^pX`uiGr_N{xPRq^N?%wB>Oxtk_-ma_W4 z`&U>3K>>&+zbynNa~@sB$Um9qwrUeeplqD(raQH)UuK~9%33R;M8!0+{>7mKOp2dl z-#$YN?BSnY$wD65H_3<_j<|N?wV#qA<+@YDwJ$`$$uzNTP9}kOL*vAgj_Qred&NGm zFi7K!CQAJl?ZNn*gfGZA(z(1*=Zd=XxW&B{s?jBfFBp5%el*0p4$~TKh7{qlZp&o> zVMhq8=-j>hz#yzGCiD4#==2;~2{yPxG~eMbI40oRrT>BvE8-khVEmcPIP@9-lnpy2 zcfYzkoQiY8EuRpOb03`~o8h3+c28=gbIMYB^O&)kXH|UqoU)dN!#HyGw^wuEO+9PM+*4(v$=S8g*1UZ$-v~JTx3Hx zlDlJFCjj;gWjZ?fC_4(p&OE_Cqvx8vG6voVbO&!s`Gu$MQbK<`3N83%)}C|s7TkSf zA)hssH1wONUg5=|fJ+pld}IvnQm*9WvJad=@RkF^KO@!Nj%ZQzr@IA!QD zd5>gDjmac^+<_lq#CWZH(%yK`(7PQqho;=F{#GUrDJXZDjNZ@8$a&C`-L7ovIlkd{UdvC?H}2JR7`;D;+*C|p7R3wr z2y&b`a9B1-&=K_U9vOL-?=X5AF4PKkR=es zcfTI)f#_S2bQf1I#fQm`r6w0>!W^-8{8pm1nfD@>9JvTbZ?5@^Aw* zy4v>_zI3d#se~x*U)+mByGTBUgYAvWX6B7>2S8Q0iogEqYkP;F*@Gl!5 zkN(H4QN2T@4!{vzeIHRVl%P0%$Kh1LK{o$5y>w0eTex&mo*1(e(LKE`r(oRqF+^5< zK`<#FiEH8F?r}cX{K$vka$bB#WO0H9(OkEas*$9Ct;1LRE~xp`uYk^FXXukjyS)b^4EZ2`d(6W{T4xPRfeQKkepNPdcJRP!Di9x_FEosRo}pN3^f^;;FmCh0iX zeg;9RW2Z8I^t*6=+Hw=m$B1E$9J&RS+BRDa~`Wexh7f9>C-4V!53l|I~}3`>uy`lVdH;TaTq^^~VQ4M!|gbw|LlAfEU;FMb!3SCKTtL*@~rpB(VN4h87>$zPD0 zDOMbhBc9$CFnt24s;n;o^^0vXvBD$!lu8S$oOxXMW3Oml;gbYhYvuXdqp>Lu$y=TtFf0kjyq!e|>4|?(Lt~Jvu(m zLg~Ab`S&@h@NAi5PW_;CF%^3aEd# zb1V$YxF{D#ix=Oj)HmV9_V?Bn@=k`hkrC8<&uIiZw~l- zcY?3|n7jQfV>>&JepniU6tQf^Bul|*$Z-pLN%6z{t9wVQI}Dy34|UMfUUB)HIE-*` z6z$?LcYUU}DeqvH{=$e$1YTKRUYOzd8$vlD?>I;o|Ms$aT#0+gQF}gH|0b-HNxS4E z+((BmU8AVd!#e0(*nq?ELvp@F#C6!oc2r*WxAltNP5fSXVX?iTublBv`fr~utEE?l zsieVx*@jE*Z7yn!bN9OvW902Sq~lqli97?zwJOCda-8YAouIG|OS|Su%1SRpPUgjy z;n04o6-2XPQ@G}9uU;2loJw2gF!HjKTh<@=sRMEwycGP{Z!z3x$! z?olWS4aL@mZ@0`<{}e`C?P>*mdD1;H@hT(dyar5+5GIi{&VQJ*vVMLt)}70?=I5Kn zwa$L!Wo}mFK8Z#=t#&4=pyUR-*!A^q*!3FE_BN_U3*}y)eqS1d>CHtZJtZ8{~ z>*c)TpjLYx?ULd&2m46d8S`!jo;EtM=Z?md;ES~))=PQgSz=+lTEf(sz7 zN3ARUH1iLl#8%n^9kfghWEu9acERslegJx9_hlIFhV%j34Ki+3#Ghx(Vp=~8ldF{v zaPsUx!aj*Sx+}kzzat9s803^vJqI4j432}^C##)C z<{8St*{-mkRv^FJ<{}W?M0-{YlSYIrrJa8G+HmSEmd^PLgnr>aiE8nepxRG@TUs+f z`@7LHAzH2yN)$SSJXl7@0>rS;!Kl=BQ@91lg|uQTdXNsVO63O{r%Mj4`^G@nca!o- z?@s+wZlH0M|DpUFe$bPk>8O=nSv@iq*4`tu5f0HYUw2cnL5vz*y282Bf)&6;PWZU_ z-pAEE z^_yzgl|8yBpPEG>XCgSKX{9|kIs_tO2gxvi3D)Ir(r||%c3fIFp2D|fy(1(l{I9S< z5O^9C4`8@Hqm{4r#E?HiOSKcoTt9bEcL!E#_X91Iu(WWVQZQ3qf@cTLDsRCv5RL*P z5Xzh_oR=UkC{ez`eIzS(CXB|tuY8a(Le*Ro3wRcIBhHb|oB1QV>p2FYo)R=xMh&p9b=>Jyy+-7}x1VHa=}=SZ*; zxTb(520WTeknC{ZNuCi@!(1pF29lXI#In-om1ODNsEU=IqP_xCzwwSeV4JwsQFBqh z22M18Zd;d0OX~0&r|5bBHi+0(q8m@9eFl%BV+}mGjT7kRe2zq?`0CHeIb=@xc_ckt zd$+1|f6dlz$gveb`FU?JoO#@{-EaWs=DE#hEdkRA>VHxhM?yod&x~TGm_%w<1;_%Ag1gr;e zW>{ENW3|jV*Q@-YRcDw@{#$}aR{1%63vtT{Hj`DmOKK3V9dgbhat0wHEN-*HP&r$i zCn~ZF>EVI~XB*K@`#%TkL%poB$5emON++R_kh(Ror3~u>fFT;#0Px`W9q<@>6opHr&A;3v3*i+Fkylv27bkw+m(C9PexI)P)5 z+5@P$GSO1d`X;m#8l7Jg4<&_?2~bip447oUOzEaYVpiNR%G*S9KSWr= z2vU7H@&Gu)_*L))k_gcw<*GlONalVHQByMbp3l}Fbn0BNgsO2(jhQq8aN{5l?M}ts zrTDz7tEkv%41ClNGN;f)ut$G%5gp-`wQqXFj7cauM|yP*K`!&NvLO0dnXRDhH=J!7 zOYbla!-JPE1n37C!-6c5I18JtyEYU*r~QU;AVsGzzu`a%%h<#AFa>N@h?*0`Sc_Po zy;nlL6vE15e(n(#ju?kH+L18jvk6%7H<=*CNU?Q#H>_fO(Mb!Y63|2P>DRyCcw)W~ zlhP*n@~sfx2$ckT+d#o|do|;z3?WL~2@#B5DbfFl?^8R+1AP8dM?QFD5xNk(x2)1OETU07R{3LwjQ@G_GK2HOE6q5a1UCCWJAoUR^9mWr(3X_yuVKl}l>bdC(l)>bN<41!O(#h- zxhX;WONDf`!7HcP-@NkkvG0^WuhQeKbsRP6gapm(HS-hrK7lq)l+=qS+7_%-cZ&A8 z_%^r~l~!>HHK`}|@C;prs3)@@HD>`S_oN>jZwrRa=$?&>7d2m8^FU^{aXLm(%=;9#Xqwa_<^X>e0BI84yw-F1yR-3St;36JqZZ70wwP z_NDt8rVZ^g!u($r1}zQ_ngHQOzieil?~_Uh=l9Lx;6rav z6CmlY4b{J0wCH6)P!9ws8AMXTyN@GEu65p6=Jub`4MU5RN~&pgB3Z>i67yJL@1zsh zmex!F!t9Nwhbaix3=%WE?}o6Ts0|SudEg?WRzcbP;%qfB_s;Cj1G2BoK}q5AkI)524(C)@;#&yyWqO6 z&^Id{4i8a5x>dYRs(ROXuo=}N2A1py-Vd^Es{1eblDZ+qi5_=iOZZq80}H=G{WQD9 z$(0U9P^Ww*od4;hn)Ji!MiV-gNkD)%2u6!C5eI%M8WWH2DLT?Ur-x(e5``{armEVi6K^;iA)Hf1Ks25>d)371C;gdw6!a9p=IX`g$n4$duCgvDH z#mVj8;lt|*^!V~74A;)}*8*)3|6l>`(fsW+ie{s_q`X@?g8((T})OS+uF zbEErx-o;^b)G2)>&2QwRIh5^fE<^Ai8GYIt5ID;L@aZFV1bmWLB5XuaSYpFph?$~k z)aSxipiUzj-x(%aOQN6#nIHg)J8ztH&JCjKHgqo&BDcpT37u_66D;wGIDxFkT_rfI)p;+X;B6o>tTW?mtKSBDx7@mFeC7>FCLy(9?OHG)7gWa$Z}?F7O?vIEk7mlN0JnpJE(R)-9JSz(PR3(@f&M`4ak(Z z(kRx7$PjHLc@Vzr&4$I^BadsN)E3HKQ(?I>Y)e&%s}~AdxqckB5VG4D9oK*6N%W78 zXIAhuvS!9&%ofsFSbWaLPrSialJDr`C5zIu1hphmiOsx=IECY=9td2Utyj%s;FQT! z_%NqHj_^-)CP*sr$~=|cUA~EHnIE`}-}JXwzU7iA1HHO>Ne?Xp&4~Yl3W(x1Q?z)`qSa+Y<*qIqrv3w# z{8KdEGZL%+oO6~Hu!`M>>Ksq1Nu@qa=m-mB!-LAW1ad*87l=h$3>I1w7O#%?p|y_Xi{liGllBJ!aGyv>P@54|F(PxM#{L&~*Dpye8~y`RKxa}94Iwo75Q+^m zT{MeG*a4Z70Qb5754yxPuUDUsu;u?)u2PIc%tM%J$qe@R3fzsy)Zg->G`?>e&OJ%; zS15fwzs!H$*1!e=O~U7q>7{Aon#{~iD&kvN3(855s#Y5Dy^ z+TO|I2_*3=W_T;(z`>SzFpq#PXVmFt67i6z*O1x+qM4NI;?D_!&_u47#E1;XhD{`L ztg*sB01^S&4<;Ny?Qw`5F1vT7ZO3Rwtfw;y3C)q@%RjWTYp`>{!ZPiRH^Wg=Y+)m+ zFbBv1GNb_GNFhbZDwxmne1!IZ5FpOh#WIzt&cqkWP1%WW6t8Hsz`4k&uF--@)f8)Z zCPcEg+C`I`K6@&C3?0vYXZdB&wId?WPIQ8IZ=bjob06g1KOB~oNK~%Ckj>5adf1S0 zNsuU&zMx15)anHI!4NdfsZoUyTok6#H$KmCJTvnxQKt5~n&K#mMP!V&+x7dB#h@*q zKV;;FJmNn?0*R%g(TwfaF^M_TE0Gyp_tu;vA#rM$ORdbHS|#b})lOD7;6jlCkR=;N z*Bu5OGg8s^&q}_30={>o5~q-E5at1Xnfg4gM9y3X$t}|!QRTw7bu(I5_oq9dub65KzRTxP)rM_?+ zkqW0tGu5-@c40MY2QD5YRidHw|Trd9%R_S0FH9?>W z^&}8AWe&DJolda&v1Y)8@JjFL?rxb_;eu71!MJOj^fCjTad~4X4F2XT5i_lQL+N`v zi3mxwKL0&aDjJ!dqW_&h7-u({%ZM({*8NTtmV~Ak%-Mh8;%FSyVLUUGfPkT$P^g#G zArBCKx!k=l^is=LtUh*4o7uJ8%p{in?^ zoBAcP;nqC)#cm^ntU{8J*G;EpF+O!cQJo-?;|m#}j4?;zK7&fgfETMmffGaOczWF> zJo$-4PGv=Xu=t*r#jV_#`h3kNb8_zJ|2X=f<_sVK3*mAY3H-tzodIFreLN>;qG8>f z&unNrm=DTW1ffmY3QLvm_$*mNf98u$=mK~`IruN#$K;U#xlohRyVZ|6%k8SMgw;j%|e+VBDoJ>&kLl{Utxoo0M*hmI#Q~(M_3%L zYARC17f@GjcGPMo3x$SiT z6rRoJcIudgw7gfr(@eN#TXEL|#zn{sc0c&M#u%8qZ5x|du>vvWFiSRMG;=|=oWEST zIUGa}Un$wFLPaHo$*h7@^71OUV3=*$kd@~G@-F4@yTfpjgr^rjOM)9F^xG8X1ztM5 zJ4)o&subocpBK}t=BuqQob8b31~z%67$XR`Obhf0M~4R0^2$#tr!5SwvL5gHS70aM zFnaiN+l~cvB$RB!xk%%>?MIz(E$6@k%ZS26ziQ#WktwNc!?S~EPpQ9#KUpwcNALst zf;gqxj4>&3pe*?8sc@q``XRpa_tI*z@Ea7Vfd4Kd28yM1T<2u}f(`j`s9I>qHofBYBcs9wVh&eI!NeesLsNlW40ZH59)Il~YLr{&Je9 zVu!-^G5w)FGyN$L>@zDe*!Q zn$Mijqny)R^b7R7mrE_+o8u;PZ{xZ}3*;*ClokdS9wb29SAIE3VrVYp=8JeY9954N zDec!Rq1&uUh?ktm*3kU$>pu@ToB5Cni?9}A1+tBOu!u11lO2)5+7b?k)Lf3E5}MlN zoVHvpL+dzx9aMU!a5w>6S7l*L00pMtI&2s6I+m_6YOcgVJR|5m6@R7(w=O?L3;Y4; z`$BptR1r3)tZq;UaCe*uat-$@sTe*)b(skUmTgw|>vLoPnZ@UO)BZ(o<@_EF=}$pR zLHVI@R*Pnmg3kmzcCOCT+U6aaf!%9Y(&vRFLO#QU`f^IXj`EYI2iB)9l>%@YVr0c1 zk(d-%%S8?3{7${2(T3&ORb8qb91mtpNNlUk`u%UY0WVK|F^OcFJKP39fdkxd2?Z;a z@p1D6{U(vY_o(i|y@3)4Q*Tnw_yx%Qy54P5wO_})!2(2q$;Qj6tH=_%7=(?YHcX@Z z1=5ha0i;MMI_ya~zKBaW;Jb8J#w%;GaZBtOcUrIc{v@p8zmUMm#(l_E6kHI}xD9{$8@p;E%RR)-#VGDJGi94SeIj77rm{> zS`7_@JzHFa;+{KuBJ?a3sbf3`J$c4arJ$XFBmrsY<5}+eSqqUj>DI8|LHjroCKf`b zedu+xf?0l%*{6FPpd%6Pg4f2#&wlZk6dq-XLjDWtdSij>AA)PB9(_rKB&3&Zaw~?R z8~ibk^yzet?%>{k4iGzr%Tt>nHEtRSaDaVb=6#{had0j{JZVa0@wv}~_fg+7Ch zB#763i9Yso&y(t2{X3b4HTLAoGYe=}KLF!wGWiCSnY`avDG_L*&n2FB|G{T z7{{>(ER~KP%p28H7>TPBO+{Ri??CHFG^80zkb0BSr{EN#Utn?wtdCPk)#rIOLYwc=t*tcq72%8Her;(+4PSXUXr3SMwHT**Zn z;iQl{YN#)iJivDV(k|+m7Jp|K>G$-0c~;}-%_G`l;Ll|f^E`VU8J-?}!64OD({sp~ zfy;8B-C}H5zzKw#P|UsjIx-@J>xu@W6CC-muD=Go?yytkaz2%Go9!s2bo})QZKuU@ zy<5r#zddpNQq9tjei&Yd6Y$xN7|90y9_=+UxmEZLI?};9tC{vc#$yBG<;>ssmI-i< z!@3~-l)L;YrK4y@erOoIatV(ZXk_Z}iP|_L8pBj{Y1q1+)mbs%P){c2W;C!jC{!qa zoTb|nlk^a2f_`8T9vNNWJLF!NLaix)LV+oo{WD!i=TM%-H5z@27tN(T66E}g*BH8W z9$v_)s*fw6mzD??k{-@NnqdJg7lew6R}U^#BHDXQ+%ffFngXPoZbcm#qXG(!`{}vQ z1OvXwZ7n(E6zfQY_Vw^XmE&QMdJOgV!DOL^2#>*NvW~_QN20)Wy1ht>$TL9+_P*`N z)v`jtOF!Z(*P8+cV}Y!DtbWHIL#&Nof;^V;<_^Fd$YETjnU8mh>S)$%^!oQZ{xkYDr59gS@i3IyK1A~0dDmXO09OsjLSU7<@ z-AbJ@5{A<>)48pRMCdg(bb{mG&Z!iI!owsW6olXqb4L5w18Z649DY${Kj)P&24~F( z?6=qjTi*0C{M-|YOZf%*QdqNL)#eoEISSib5X#C+aj8PI>*f6b`XiCE#%BMR6+0+* z14gmty4=VmIhSdZEGgwC>)v4(b6EE)Gn0jyX#PS3uP6P{!h8dz7t})G@g+}7(Tw!* zEilHL6OjugF_-JB1HNmb{|VeK59#V@KkYKCMdMe}9O4>N0O#)=CH&KdK7X#~qalAT zD58+wXA~I3Zbn_(Vzg~=i79}OWLpWooR1Vn0?5RzIZb!9B_zz&k62C2YOBx<3qxfW zg0?z-WN3oRDsl@oSXmigXX<3S3cLPd7h1WuJ$WR-r3Ymbf+h#@K_P+9|CT=qvuP31 zW1~OzEgvk0EaCjSnX>shYXSm+Wj3n%^L3cnXx9?Mm^PkJcVh_AqIT8(=8SAi_=JNf zveZi0UnD~HH(mAj7b`GGQU6|$*wIZqU|Ipu&Ka{inG#MDl}1;~)aUS#OBJI@FmXkC zQB@2O3j=B2Pp-g3p?#h|ErBHK#bKKi3&vDeZLEw#icO|f5E-aZ-RucOrluuZ-AV#? zm_(Q7kXb)D$mO!JFQ?(MAXJ0A)CpEcqbrJ)@z4=SX8(}kU(9f4gj zg4oTl1F3+r4&hKKUU{M%fIQ$%%K?9-><-NuHKNfNRDw<=sU;PR7J1LS84Vh7)Acy> zNfG`R%o$4Rb;YL|ha#p)i~#QI-{Elwd9P8R#k^WfydOc)ZcXcx78YAtPt$vzd-62e ztzU`sfLf@3Xt!AJw5axJVQ)l3a%IlYmBstXO&UQ4Q21aB#;Sr;-eVc>i3}*g)}(D` z_mrE$gK?oO=`0eYPK=18ko}EUtCJDl-v<^fV6E9 z)1OcC?xdqMO8o!*lzI$iY@8VCN{j7OKG z$xz)maxyw^@MsF=rHqMrEE;VluKdDTIheeT)=u9HJAY^oLd6Zl%PB$eGG?^Jdtf z?Ap<2*(W%@)!;6Y70z0z_!ow53~T-_>HMj7k}w&5L}|7ox>KL?$sWoSd)XsIr|ZQ>$s_;Y+!7 z#;tfy0i7e{IErGC=f^PHhG6Rc7s}bWuBOtZy1bV@irKku&tYst?5X?I36_LBsr$nT zmdA~O0+bAg4~z0ePjka;2JER6evD{g$`gGDz5+jlb*Lt~gxO;st|{*agG8 zzPH$q4;6!z@efbYt^>Nc;{?S${7@QoHE~@0;>0sP8{C;*Q9U6`J-O0He%qOIcZi%2 zdjQ{Zz*oEN+6L=+&3Em2vwA^n(3&$VIMkqenyi{+_wDcWKCx)Pb@5weJ%ufBr(G5K|)h*e(_6lARtW*m)l)tQ7& z9*;%+jgmsFl#rDtxmNxxSW2m^_CS(n7uVnd$4@VVhzh1K2|Ct2F~h4lj*5kcYyRPgZMjM{I4UPT$ZuwdY_9zt((Q`i~IN(FmKe4SRtCIP}(n-x~3lWe$sN zGe_f@ccc%#`z?Z8W3K7B|5AT74X=JYpoT@ZQFXQ@u-;GShx~?l!f_N_{~I?=@-&;v z80Z6%SZ(8t+MYNj(Ar*t85$hEzTh;;@f_nD7sPsaz;%)^vOF@r8Ipwe+)&6L(j(9X zVN`v2=s2+K)MHaj&lc6^jp5U5%@&ABOf?pIctQA6E7pPp8@J-6k^nM-j>V=HSc?@_ zKnixk*VDLf&vHaz6I`5z&pzUF^q=yvSD(PmqFy5suz!M?boMWf^=FB}r%7b@s)~K4 zbf=aQYXtJiI<32`%lI>aj%j=~S~o29^Zm0Pb{WI=*Kd4PTO=RLW1ov8s1F20TekeX z9R=O>C&DLBBrNvYZrDQLO=-{QOicMpi9L~hhsn~OYo=2dq3LPZs_4C*IjIWIp?|W? z_=zwJL+NB0p zNY+?!>${LDz+|$f8RB=?5qjoNh*)Z}de_W^Z}}~mj9$!HCRiFtL8HH?I&~fj_@W} zvxyuf)@z9U@x5kCrDyou-#&Y{O!eLMH(h{wX}2HF8qw^#1A64V#QM32kmqgiM>oag z;>5U6Epm$(PD>Wr?9zK{LD|Byu|3xVZTM5WVL=vT12~cG*nyFR+j}y!Qf~g>dn=_E zkj3Poks!t)<`nphILaf!nQH*paE1A4Hb)YXiY zv_h%@+O1F-#ep7au+2KzbgpbfXdEVq^QSQTKE?(DY^G+}`@6<+~5C zfo6u}>EH#eF?D-Sn*fXJj@vIJ#X=?d$dw5A{8+-Qat&+YHxO zH)WA_7mZ(A;%;`QuznneI(g>I6KlwhsDf5rEw*H1H(=+s>`2FIhZw9Jmf{pqxDG51OQscn=8TITdp%9;*id%zUbb&P7n9SZ5An zFLhkl&PAWC^d5S%tfpo`;#vUNF6qAUqY26Syfn3brV5w@~%b?W}$9GQDmrei4 z6Q4!%O>+$mYwU?aSW-GrV;X6quJgG`7e zLLVPVc!qa>d)$>HEu(0;YUd{+jyj+5)UQ840lH;U&xB=0{%Xz#&y8h7QXO59bI`m6x{c2r^ukPq- zNkWlQGM3?$Ei^=yF-w~z+lXFMwh2j*CEM6$CS+@3EHU;m7-P#Yi!uAx@AvuK|L?u$ zo^$VW?mhQ;_V3&i$v=U(WJ_ zJ}G&5Zh8ty=c<#?J3qv8He6q`pbpWq0f%wI({Hb^!mD5qM~#>h1DWcfONnV{&a-zLf^GQdAhU-{Xd-bv-R1?3~guj_^C?@aJybc~-#I=FGgvE~{F9R;6+Z5RyqOuB_H|o~ z95P}~3>zx)0QB3eC>+Om;2$==%hNm(BiD3gACm*izv5q%f_epIS&IsZq)3!br3X!K>2J>%h$-taNAe z;_kX99KM{0A@6m9;X%PurejL{|9&$f(ou-!{fjo4w@?C^g*qWEipo|Sy9nK~U|xVl zrzH`C(F2X}-3FamJ&KO=AO2Q9d;3fDC`n(8&t`Kp70vVx^k|I4azD?q5{Q=o0XdJ= z4>LJ}gIMB_0pJ+e2t~MdDVk4bg;5}I5qUL2)ZWWx7wD!JErKYlQ7|>j1Qf_P9#MyS|1*$OMq)+f}v;k|!kXmcE=Dy9vA!C|k7Z(i;9cLl}ctktwpq?&r zodrOD<|^xV2Mq5daQ)_Rc*}{V*V`lKcQmbq`w;dDL}C46+U^2X+Ooh~Af)M}>yLfpq92Zx4Q>vZ zSa-yOJ_&S~CI_AnvS1@VC4^02kEqCip{|n^nm1QpV{%~<*py?|ueY*)0T5%{_=kxe zjI{t_A4AXxw|~(Zdta4n+*c1Gf} zM?ycSP6M9#t$dIXRG;bo2Y9q>Q`Rfs?=tOv(lZI|MkiS^3>0)0gy9R!`YToeKTq@O zg^B%tY1xZcPwy`er!w20^3gor8LL&xFJaKJcv>@c(C%9v>+!FN-bRf-l(V1cAQ4!Z zJ!5(@{NLRCL(Mk1kOoMBUfdYJl)qAp=`%!r?{{Ao?ch4nwJ#L6g0J9Ax-99d87KvU zr05Ic_B2Qo<2__vOQ!%k~wY^#kJ> z%C3CAXa#w;&l096EcaL|I{SJ~kPJTiQF&}GXe(y45#)P+O#j=Kx|oyp)Mr`vU&+wV)H45Zhdh85d~wyDY8hJ)S3>Q(_#IP&p-+@u{38e` zv(?frTrkvx0I@Tzwo?4b1s>F?9G#5yz77!gEt6HteUJV1<#-(D z+x9>4(1I7b)3z=~Ym&6~1pV|#ZV7bwDn-9e_)8>qm6wWZI5%~7v6t~^bq4$;~r2`( zDRb(>RE266Jpz&Mk87>eH6gfgCI&YJR9%x&(_=~FYEAMERm4DxMBTsKVYU=_#R-6$zl6sj-5B-d#Kw(lk9#icv7?(T9&^dX-!reT`i9Bv5tSHwGE}7Wm8+ip%7KNs`TPDi=>MLL; zbo10bUXU0UvgP0A7)1JpygoYloycvuVpYK|2q={rY@ng1fIKAAuAJ-b*n{xgc;_B* zPxy}NkiJLr4I}`qS(>*V@q!9M{D5tvz^yWBI-J|zz&rF>H}#EcLP+PJUB)+1jVd5S z2z-6w{`&80_`8&1Rf4rkNoWqEP!DzMo1W6pW(m+rHhQ#b#Wiv8Z6f9T=ox=rOVZ9g z6Cly_{Q|5@^QiN+3YwIIavVR~WsJG=-1(a*p^4!8EuNE`e(g#XsxrA7Jpydz8SJQgJ~>3WZhUT<3s(36e%|kmpA4WfwvF;ZQ_?9d_nRW!=JA_e zD@GV6Q8gA_ZgkRXQ+sGj~Iv!K5ub5H~ouElR*5HJ4%KY_p+I$tAu^ft}75LoHTqqgN}r1$hec?(B91%X@2f;S`qkoIeWRu##ortKkgWuVD)|-{+*h+`=5?&ID)(` zj?}=kXycI71T33co9u65wanE|#dp394U!iT7vdRP9IpV3zAJ-s9sw?15abTCC|{JL zdDUgFEb-rXk~2`g>P2qFL?jLW=)TIr#Q4B4tVpmPo>2DRbdf73XDw#NPBgv)oML8U zBpnb^l$53MB}y*xz#&ENAH6y=F)~(2J?IO=r4K(R@T^mV#IxT|E&Ky&Z(b#KT45^umtpGuU@f00rqKX*mq5{yW-P0p0_#C`LQy= zPwR#ukFyhUPBo}Mp%=i7E#F&fL8Frx;sP!<5dr+u$}^%)#>V>Yj}Pihpa&$1n?D&~ zE=WQh1U7}_EQe77@K(L6T6u@_%pCoZ#(~vYnGng~5?uXpzh$QiNEGV5ZfY+U7VoAE zRCs*h1NLUik_RGPIGBU0(=R>VS@C`f)FwJ(jG%vdanItKpJr9EFFp)WI}bTG#n$ih zW7uHl_YdD6o-)aX={9Y$Zgbln5FC-ncCHk>Md{cfa`iLw%u;s*<>fk2JdekZ$Mnu^j~uuc?D4D)XA-Z_xZH~g^X*}8>J=4fJcjTc;hIWGyEXNaP= zB&06$VjGH4OV@IAazyzdQU1r&FQCZ}Mr=pUo1z#h?J1 ze7hAX7NM7%SN$8Yx7>=viym7-(G!%yhJ$Ydik(6yLB=B z>BB6!i^d*Ptv0E1Dg#PewM1ApE;W3!rk4RR83#P0kI)2eOyaJi>%@SO&f_oR**k_X z?%!mNdRDsJ$~gf2KUiPRcq@|!u>#Gx^6}UBKo{mDnH@ZdJZpzX9$fwL!QEI@2FUyU z65MX+zbn4ylV~5oXc~rWs1<0WpEB#tKq%!6+N7TPSApp!3GmAG=s~Q})Wzou=mfv1 zEd#RmwgMk$HM4*BPMOn6Wcs`j(byS8+^pQy#>FuCD*VccjjcHWJM_7ifKwpmcR6<} zL;Bsq;>O=-yzA?oZPf|i1>4v(forjjx{qi)OR^@o_=k`*4EC=gP(B@0b%ky=>{HoM zKPFz{6>xmpWiL$JSgEL_;10pf`1z(+S&|%$Y5h)}ryeQETPsNS%tI?ANn>StBrwIn(}d0$OT>)wexX2wWq`VBo&GBCa#buwfrQK3s*ft64nNH3DU)RGa zKY?`2Ym=6RxUg$CV{YcH*H9pAaY1AF*ZydN|I4z)7cgQ8-QATynIYIEDN-Ouy68gF zShad44r*ZQsdrJVi#JE7h}8F)UNmI?3jK*d!*fOaCt-Qt%3S+H*6zF)?6y5u8_j&? zi;Xg`&SO1Vo>&i@5lAlz9IL^B@Y(1exI+|AL-vJKMg5CFaf2h zrMWtdTTGXUOxn$CL9c%wmzKjUu=fPuPYwQ7E1e325=RNk<>7x}#wuWh=3Nts%sZzCLWM6Y)O38XT$=)7)Q5b;|TO z0cz&*ZQG(HnJnVClVk^{leC|_ghC|^pKd25f4i7|ULx)Q7WgqQbE4uZyBegoz`_O# zhkj&2t4;~qVOHiaM0fSGl`^+`eXR$f-8&0YkmGS3=W;_NQ$d05J|eSABfAMYFhD3X zY_L;{_VP{!0Kd}WaUet&|E=`5Gvjau7zOie;6_;hHOscF#>4w0y~gaTb-(_75R+v|

B5?_E^#^csoZ0GTE{ z8vA+AISu>fpJmUZ18tKpF5|NmN#=A@vITMEJ99Ftu+K)C-SNJ6WN%)g@p93sFJ0MlV?zfMhA!vB5iArfH zKsHjacXH!J9+_~2bGE=aogt*)TA8zO`bOMGm{}ePu@}^+!S^X#9Cvgg{gc2+qe8WD z55s$jO1;G0XaO^x5P~)yt4Nbl+7Sm*J_5grLMpR2nMH&m^_>QZq7v0H-}!aR6P<6g z`NKD;*`i;bXsa&2%3#69Y>c~-2yF;DEO7(`mvTH6zI^fcu`VMB$807nV8J>uM?E)x zY=Pln+~_SnsX{%+_jyl+YVP%Ig#A9aYf%#0OTdhE!cD%!@>>)91D_GA{5q$QJ>-N5 z^_=&+X=Yn*x`m>5BiUhrNnV^()0I-TUyv0k>J`=J8GADjH|f_j?^b(VAaVmV3CDcr zmv~fmwM^rfo72!G=RK@jrw+-OKEj(0sfHvrGSR9?6Pi{Xj*+~HL*g=3b=xBZ;ppCB zFLas=^5aZAQO6}HC*FxTgwC3(+5noV)qcvmuEP7H$)tE(IFB%v`W@GAa2~ICPmwH- z?PFoXUWs)yWh|pBnd_h5wN0f-(Fz#Ldkk%Uf`aZQ1Go%*?*@Ee?#;rV)E(*aw`S4Izbpyb`qEJ^Rl%Mb=5NDq8zvWV@XqUYN@sGl zr=}N|#AGu*u!AbRJSHUk9u^+)is+AA%iOHI6mh_3jA3f4fe|7MNNo+o#%3y7{y#wP>&)Fl_7J5HXfY)KuP44!s@TvEj@J7RAujto{ zU~B@bGt$vJ97rvd235VSNm`^1QmPX(+a_j8VIG^wk|ufA!g|@+dY9P37nBr9a-6mE zKI~|(K8deSwoby;{A%)dO^|f2XlS@VM2oz$vElVfdbok|FxG@_-AxLM&PTFs)3$QP zd??pD)?&kXO)|wRxFLApdB$aQ)5{(Cu|4ty+JuKrpgSraH^veLdsKbJwT(^6y3=(FneybLs9Rg{WA=MU7G z%IFEqc8Pa*hQ9|BL*$dgl6!HY_AnQg<37L{pD9f@{VkV3z0A9b_I(ZDO=NW<5n7E> zsDJi1_+zJ0Z9pO@e#`|ERAbIwmHF%DqF1rNa@g=`M-Ro~WxC8 zk>n5KEOFGo?>4r(EY(O*TZAex!+IpdH|L=W;SPKrWx|~CqyGl`!YL%8Xg4&mXURBC z#!^^?^7u5<){aZxtZ{z|K@!2Pm-5p7Y- z<6`YM+DM~I2QBsEA3Z1>^SHxDuAphU)+SN)R}_vWv!Egzf#sfG3EZhM#+biKO=<4! z7fy=r=V6KImjSDtrdj%42m4#f{@kjCq#r0NghTsmAA0>zpA3h)ZTAh*Be}yic-}2v zWQDItsen=nVrd{_w13q7Ai1n)$>i28r0K&uM_xDIc2N2iWnz(6F(ep&+d*;j9Oim* z`%!{{a~R)r5@jqWFZcgoRta4EpjRqAk=#gj>*JLMtPO|NwB^g>&L?55bruZo7Z{rK zGRv#+U*9%OT$XC2>yc!B7dViCu`RRe@qfQoZDu$|=jQSdXD@$p@wR)*tm_sJv)-Ge4FL498?>LY5AZ zehm&jmz!;3GLIZn2#u^Q=9Dz8b!b1F$XvsLDOG4|+jtJn)mD5i=Fz3O*5L^O5JyxFP9vy z&)fq4px^zzMiysJ9+zlf4xidXVoO@s*=yDuk!!Z1w+Gs6!3Pp6c0D3bN6)XiJ6ueB zbD>8V?|q=GU|-^%T|)J*_b=dYDN39{Yp+NY--R1o*w619r|5hllWEF z{QmmJUT1m;Wk9R+%c{FMcpkZy_Ch1*^|UEa>eKocU3!TtPcVVO|jiVgbxK0(cif@Y^1UvI&uB49(5%@m9R-Ey85Mvor{#RqvZUva!=7YZg%}sco)n1 zVa#p%=W$uLFVOx{o3E1gCfMl2*}|0E%g`J5vNS^l%F=9OhIEfS7m}*ZQnSASt!3z0 zxcJ7xkQwd=ssLp2Ddb2xaA4z#=ZrO~@QSANhu-GN38I-7A~<(SeSu^{^AcLpF`@h6 zU-0^>+Z|6oXwP%r;Mzgv$}jRs6r#-=Z$b9aBK#z<0@6@$*31l?!ClJkX+1iW6|g;J zl63v=+Gz~@lu{VRw`Vk(ZEPAUEyU|xZRKg3+h$hK;e+<|!mAT1v zp5bE(W#73V#?J)W;Ulm0ECv4|vKtHWC_GxG5ex+{%1gcmMGc>ye8_OhdWf|-qE$@g z|Ip>sYx31N&$%)7v+1kE?`X#p_p^@9u^xNkMiWREjQ#1^@O-SxuB_9BN@jg$d~YhS zFqQS|E&NE&#>V%Ntrm9$&hz>SyxOGWg*&BSm)kCFTjEPK{3Ughu61IN;447;OBBoZ zFL4fbi~g{KKCw-jil26R zW}GAj2|qua1O2oK42+zL6F}=n+sOlIqPiYyo=3Ai0dMW%zj3KD(qTn;p zlc8Tb8)RGLgwmFtJF#+Fr@3>i{J%a|!0I-W0Z|Tc9icjI9O1Wme08_`CfkPHjE_}7-V4VB~CzQikD&OuP$Xh#QQ4m?v+U4SjTn`-x zn4ZXiIs!Q%r5)?#`A|oB$QH}ABAm-98NE4M9Is*mf*_Z9Ny0HxfXTY|CC(k{0~sw2 zaq!ipKStpp`SVFE2xH^nt40!^Fj{2HpXE)z^4$IcAON@81;pQ6K)q!k!b>M6I&|hW zI0pT6PY9nOx$gQ>w`F9zx3TcF;)~=96f3e`Bz^VT3Yq*CUf}?MrqV_ay)R)Oxw*TY zo3i&XRxn)}nR+s_Ruflo1k=bnq({bYvFDB`yE>6zjzk(wQKz5ZUW0FzYMDZZA-*O3 z5@Qv-1T@^I|9@oHv5$U@Hx{_U}}Ji1sOtZEhf z5WVWB39`;$|J^0Ss>pB;~qWOygeP|(7;LywwdiH z40t;O*=}<9;Uq}q;f_!@P^1+B0$w3k_5`rT;t&nq%QXojF3jg_|FX^=D4RiOyPuKo z(K_iuuqMCNg)-#l`3n$ePfn7cmtTDekh;fFe;|hBO0Xvu`wn zcHJRpq&nWfmC@zyR8^kt<&=B^>LYN&L>q!ZjlCN%EfsYB8mguh;0+#itK;=Hg$r~b z?qNy%2x^m?%G8LDWVqR_kg;h4R>2oHi=9CH27&zBN&*c&$y!0Q*bf$#GTxmEpIEFT znRQN--(ni#IiZdy+DvbD0peaAnwjkN-lE%d{K>5O7n*cWSgVEb1K$3-Y@1?f=kD`G z0W%6CxI07aBsClZv1j#uhcZF@Z|Wa&-+dS&Q(gzugZ_N5l-V)p@=o~01It_`Pwo6R zuh04TZ;#giO}j-H@|pAkp`(4Gk-KcgY)V=rd@(d(QMzD}Tbh|q_N=uhXXcO7{JgJY zVbTd74%;-jk3TsNl>2PJ)Pju?2iy|b|An@c2Ld+I^0j`i^clo6-9~Q)R;~H}b93ka zB4*|<3A7k#>Jd5tKhMu&B4=n!Z^57obJSHk?P_-I0-+B9J_=u7$N}rgScISB`qrIs%=#X(b;*-F2Q*0*BK$-KI>=wzhCAY38TM{$(5dRoWnc4fgU2wo8M^;w9 zFZuvzZDyLx0I#qg%*?k+jD9DBv$5Qi0ke+=f=lv+%-Ey+c-{|!`{Z}#o9`}^aaewE zIDX!~l9!^Pi=3*4M%Hs+!Mj}Fnq0n^q0vwNUsJK@o(hE)!XoF#2$B8Tgg_U7XoItU z!k!MdZ=8SCn8W*heG>XAc+Jn_M)hBDu;~~+mZOzXvjEKF8qyZxJbQw2u1NhH4VNm9 z)tg#uFi^`I4f{h309#y1gEg>N;rh+|0m3l!Gt*eF!LaI%BfSiMeb=!8 zYL^piP+9$7agpK$7u@e{74)TVJp}n5ynEqc^+T4W4L;a%sZxMiv2}}U0%J^7k{P?2-FBYsXS5gNq}{1dn7=RgAvWQ zQ~4fLm^`eqfxr&2*i?;_tb_%gfX1`W9Q3l)&ot=hzi52y2w%1LaMgkU?8sT4@{MRr z!j$*y-u+&jHo<#Y#?*7&r=nSDfLsHEH8pIWsq_mz@p}>>bs(%Ru+eBUIK6Woe~%t? zH#O)ns};N_;-}2~t+p37%h(33dS3rj5$g=?k{A`E4C2wdMrSvl8B}u+UQ2{MyYQp| z|6?KRxJMfkpbqhg26!cs8{U3vs(ckQ`dXGCo-pXeVeV=)ue@A>^s|G+zysS3*i45V z>2|LgNVBveYAgqt?IupTu*~D7li(s3TLJgw1}f6TT~ z*e{iFaAwN0ffW2-P=XKLHo_n5DxKsKuydX)WlS^8(K?$u4Vg>#uc9hr@jhyLxUsED zOl?V*UGl)Fn%4{*9sM%qOjY?R*pnu;%`e+p=k0`F<-l83>?rmq!R{8P6xqQrl=~85 zU?EkaYU78mcsXv6Na6ys!?ynMr|-Xrevc1o*;=buWV+B=3_9t)iCFRr5LHOkzn-il z%we>24I9(XP3(q*zhiDCUOA!LN+iKcxa+K7JC(MZ7GZy`6(@CM8F7&|olE+i=CfP# z5*D7wk4>W9rl(Ijw+{5qhUs-^L2{FRJ|HNVa0f0}6RHI#$6mcyD*x0gO5IF?zqgQt zF0o@aLW*Kl{%Eo@^ue<_VVs>GD_X4SA3X#o%CdYOr6xv5jWZbSCM5DCBKL~`wXjrW zTc@tqrq;ski2v8ZEX;f}Za%d9*$Z))+tNy&q`r%0=;dC*0Rsgr|HscR*b6txX%YsM zj9Pg|Nce@&Bj5}Qwz75LBN{lVZ#W+}Kx@yrJ6k1!XQo?!T1uHNHs=S+D&xZKZ&d$g z2YjKTwsBHbB|zH5uISp^LoJt*7_YfoP#u_H9LwkrzToV&F=l2^x=8rI z0;^E<7nNsHJlZbf>KDR2Ge84*Epcs#k-Vk*CZjyU#FLz#O1ml9a}ano8dqlfr@A=a zVUQizi7^pDZ9$7ib1WoGw~`3WmHemSpwm@7 zjBJ-MHIh!hU&E|hlWvBilhy+rKhW){(V+Uz8d7oyx|qTr(AA-efHZ~ZPR9JNih+qi3nFn-G0zf ztpWQ4JBo3ie1`4}(&TAD?RcNumV5KeH`tQ~xQ0WF04(geT$oKp^$0AyYCAY(Y8gY7 zl%W#SQ<<9t6%+ePvInnOhilH>7-4&VK(pTi*jEswB~aC$omocMWhqUvz>H82AxTqi zjA;qW9%kWwihvth);Ik39D_mbB}pXx0iFi;8CvTH_*X!Vf0CPut^*nBaC!=A<-iEF zu%iNjCpSMv1cgow5Norp^bKJ&D|&5aKZvCH4sE4DDgKeUeFaLgA^A?JKEsD-LUW8` z$y!}?^Y~8jV{F@^(e^ejJ!Uv)OiY*L^Az6l3d;+N`mcAMJ&jckb%Yd^HWkBQB> zMFS1~(G#)WvHtCUJKYsFGy0@pT1_)~Cy27?Zu%P>MU>bV0PpYOPPBWJONFSjA$AXb z6>aq1Ejp2LJm3E~StVh$TQJ?%W~nmjIKt&&&Ce1hY@Ju~vf|r^Z_@}6u&eYTlk8zIK~0X*DXKIEBvVNER?EffFp=XnK+JcSyfU1tUpjbo-G z-oQMiIr<&aN2;ZI^sjO<~Og* zl102GO~0*y9ki)-Ql?+b+>+>4?{%v#%E8Cwc8pu=wys$e_L3#)O+>I`^WPGgTT#)O z&n=if-Cc0wrd%gG=6iJ3WS>uYelN#~ zJQO{5CrIF%9NqG7Q1XH^Vn!w=SBjho-;yH)(s7*(-@x$5;O*=?W>|K%{gfPUNB?io zuhews+asnU8*{H`!|t|5(Kf^J{_Q~mZ-)1-a!xBR8Zq9K)^VN$T(DoVsqLzkRpP9b zk1cEY9IK&b>PfBEF}QMO*Ea(o(34TM+sXXkIto|prGtxziM<9OjJpxo3CQExf8KJM zqw#2S$Df>XWp>E7Km7VQa7IFppaS3h{XMDrX19rLB^Z21pP-p*SiL}?@7bkQ#)4}; zO_?FTR&v@DCG~T1Svt}%dS!P!U}0y4W?4my=SD&^zNlUu=;3lKap1FiIcN7R;Xl@^ zhs3gftT6`&QsUG9xP+tZMIG&VZv7S9G3sIq{5D811lL?QR9LGh7y15{6=H50V1CN^7{jtpn0PqX}?*h)w zxoZk8q1wHGyUk-e7QscM-2EDxmilJ4ID%(Sm%(O6yUdkzSZBsPRJDPkJtU$Ci2WR# zy7xBtffZV?U^XErmMd9h_8e=juQkLBYGktK^_0 z6qET1g*H%KOiDfJY)J4r?tj4y&m*mHS3EMlGBNMA`Tk+YVVhU}XmzB`9tt7D-Z7uQ zR$=EO!FWmLvHpySG5wIGxDv&D^kNMYj6*w-2N1EtmE$4^%!8jc4ZDY>c3N}ODcsMu zVU;Ww#DwWLa+kLgJxF-N#c@vOwV=!zq2&@y6xhw?6A1!iu_5ftMDHvTxB1P5wcfln z56&;8j2(A*=;k-{V;0Z0fgJSUw$+bwbgJjj?x0N=pXEB_uZLl|AhDL~w~AUGBMrf- z0vrHwvIQ0IGmzF*!UeI1yMyw2Fpy>+fKSX~OV486N;~#--OOB^U8UR=oV~^RX9=>m zc{;Q+4Ldffbh#nu0w5CmI;5@dsUx6}&JDI{ZBFb#^QyB- z#rIR;N0jIN>%+0SpCx8UJ#SA7>_-KO;n0_^#g+XQdV*Mk*N<>fKT5hHA_1i3Jn|}K zN96s{(^{N7xrr@V!JJBZf$zX_n6XbKQqQyj{NUhC_n9&BkIt8q?eY^ng&UF`Fp2sA zFjJwt)I16Gi`mltz6sqplSilDoVzm{5>*I>ZiIYiahY$>bUf)7R6B_D3iEQ8Q@M?} z$l5@FuFFrWl&@#4b}--n@!V=zU6)!W(|9@K9jm1rT{>O7|7N)a_1W7G;kLkWDO%Hu ztbmJhXWKni{RG$NegwaD0BB%WYNneX2i^c|DgvOZT-Zq^TyC|;-HRGIm=UafxF z75a3`v{pM;+tZFp2}P7 z*9mfMQM*P-gOJn)9INqA9%V(kCq8ZT`sRH{Qu|d4?-%ul?eJx)tE!L{5JGMUB93*d zg||4CBmI&3b4IBUT!8?8#o*BWxpSs1;&M0nph1wi_+^j42kXV$;H@ILcFeZaiYZR@dxu4J z>xI6IT37LH@xL9R8gGum@j&j5`Ab&qcv#@Z+56<8dG1DV)OH z+fQe=+}978vOeumIOwXHy=1;ySZ|&ycU`ZBZ5QEepVzpL%3I%j3cerr{J%Y=*?`cP z>OSQo3|*8_2FVr%XEH1o3Gs1snZz4axT2Cu|8_NF+CJk>8CIwsn_7DLe)S`Gwo_a~ zg=t6`{AzGdM*mC7W_&h)PzIEs>8f>BR;jg2ZVyp{(j@L5TfeNYO!o`BzK;0sbm{w z3)U;o<4MNd1gmEfA0+QP>ixq>-gn{Xb2rlIUas^h=$@ns8gMFHGO#LAdcbI$w~FO@ z?hRbufHPsjeODK)_6{8k+i{x_*02ZTgwR>M-^(~XkoXEV(9gky&}_L zZpPH%VP<;XML7%-Ntz4A8d0do#hsA3iMi0w?hQj@RP?Gx1F1<-N&!ObBo1wTOsN^R zI>kdolJsjcz6uBms2PDpj&qClSZbXd5!GE>`)tbqy55tR@*8DJpG`KJ#m1AfKd@U2 z>wY0an|ylUCi-8tm-8;;2-A7n{EhUy#CxX0WdHIZF~3bcJkArl7q}4y?kznSUNtk_ z!|&h<-+?e@dX?hYY96dK3%A|g)OvN$Vx5ml`$Kv`+)aZ`#l=}}mLs-6?urHSk@kRk z!IPrWS$zwX(qELI&l?Q$^bEH0CxwR71Z)uYE0Y8WI^WQTu%d%x%; z9()oKIKz{1cTF>RM;NMBGtUE=%}TVKfl|WOhFTDWpwB@4CtzutyK+~s40H4 z!D*KGvsVA}x8jM-nG#RFbA#kePl%9Nhqy^W1h|jyTK`~1e)v9OYq za$(TULDTs+UbgB#4%|equS|J*(5AzW?c29+cQ<%{jJ3JD*n<;D&*49$PrLObG=y9F z`_!yOL8hhobzo0o9AloQV- zu%>UcB_0utdHmAXHN8Lec*7Mgr5_GMVzrt}*hjEMs1ecvIPJG(f=P9#B6=(gthXD1(5O}IW}_~zy|K{MpTt=;eFT;BR{ zYUo3p>6mcZ<;0Vyoa|d>OP7pxq3`@CYR-LHG>@N`D$h-LD&Mv1?c8r&U&nBW41jGK zzU+HfKiE*);3WuBjg`IDniUfG{jOzZsUko~c>+~zjZgUGsB$S{y@IC%xhrsKM5RzU zqJ7}w<1E=5W-><}r@7eq2v#0cb>8?m`C-dfTuoR`w9On>W|BVeRv~n$Rrtf9&Wcen z)1fF;Z`yI07_Wlq5mM-mhV3826EsZnrFBIwl>&T#+1mo|6KCTh{%u0=<+p>(W<&+@ z2ThBfx(TfxUlDUBU)H_vl<1mfe@p-6U3P1Sujpg02z&stS@8(GGcgGTpo+E&8LA zsKUh~AyvmrJx{RefAwqUT)BDXb>wNSq=*j4hnL7hOuJn6GwFiM+)IS$Qt!K~|MrEr z-?P3s+UTLeEsIJ{-Xxs(cqQKZV548eC+~IMb_natZ^;vZw|&&ek3f0{67)Qet1CsS z#P9EReZCz}e_VD!w*?p`#k>N{VLwe~ZvD*|mOynWVw(KztU^OtYtfLD4a zm93w>om9V)w%cZ1gYnUD&`a;k_3Vx-5LEur?B`Saurr?NOLiX9(WjU^^c77t3pe`yw1G{uewc|!Y_vESPD-f~YN+qO0~H@0oty2Cs9<@0_1dEc3HRpXqg?yl*n znd;Mn3<3i37asImI(?tQ->IsJqmzZ5Eg=&NJtI96p|FdEwJ{+x3o{`jI|CCl12ZEN z3!##UqpO9H38B1!krg2mp^_A#nu#Hyn6ZWP_mwh7|EU83Nf!8D0wRa>f2r~B|4ZFL zFMVLM@x?NHDfU6}{b67te{h`sVm4#$sA9{=ASH1Mwj+l{Vmc-sBu&y1BpMsP{u~s* zr)-1kNUQ0Lf)0H4gya=kaavJPgH%hHP(g*{)&HDP+FAm-x~Zu7>`cZ(^t}D?Q+eu{ zd0*DD!_(36nH>B+NH~duDfY^rel*iz`d}*}25HU+{S@Cz4>*vE_rcp27|v8-S;n`0 zD_A4ooX-Rj))9Pwa^h!a_{Oce`lIoIinDw1L6A~KoggxKsAp^U7!CmNPVBV#dn~-C z?qg~qmCYOR48Cs0{%Ir@?43qg>qN--E`^)5BLdn1&KO1*Ca=)E{XZIa4 zlKEPf;nEvhm6odYR>%In5=S+T-opH{19o$JTaL;mU|Gsm3$3-NVKercdTpA1%A|F* z!}JQs=r?S4D^hmXmiZ%CFUXZ3mUHEU&eQ)h<5DPRk?6pXi^wAjuQyN^pXgpJ`i~yW zRT||@z3XgPbyCFh%G(&g$SbSM+DnAR0c}QKhPGY?rz0ZDJBZ0=aaYFrg?wi9cjKLj zhNA5FMAM>>*CCNdOlb+e$Dp`A}_52UH;l!K7Bxfznb4Cj-YvZvb z3$5*0YftQgdftkK!x(ytxV?763h~mPy7MyYr)l+vOmv796T76n>Fn+(I9M9GEL)F) z3*cTe;Et2md^CWZ#3@|1ochOC=Muyy-+;Auyf~T5Lc8{oabT#IP^WnInS^cVB>3Z$ zF`{Kro=J8JQf?u_3UUpZ2)iaj+Rr~7oj!n2yVd#9&FUoF-&s9 zkVHCHX5&88bYTG|=14M!+|F6j>&Fb5G#|6gZKCP?@7`##I13L69Vm*|p{Bm9)zQ)W z%(GJHO#D?Z{CFeR8;$y#Wb5Pdv*?nUGMeri_a+O;aOfJ({z|MlP-xH&&m*jhmcJeP zQ^RPUcK#esPY8Wmh9SQSnIJ@RlK9kP>!${ZOfZ<(c_P!}yZ*>^v!)su8)t-??o;RX zrK=leusfq*yh0fn1{vW>HI9us7SmTKm6pU-NKD*k{jVG5p8011|MlnppJ{-$jb1h+ z@JDXby1(*)rW37pcn`7Awkybr7 z&E3E{+0|$K;Kb;?Fkp1AwZ?E56j8@~Bpb8Qf)3ALUYK?r5E5+I;F-6TEV`MmjjC=0Co9Zg5l6xgM?_)6c8 z;!=D+Nrj$TCw03nKwQd(oV#vJ7gjIyn;Fl^Tg|h5g*bxelQwGh9*;og%ARk}V)p2v zAc#8Osj%$b$^|^#8OXSQQNBHE_4h&xDcJ$bQi#O<_X zM1QTqB_){YJac$xlU%X`<95nccP`6jVt_JN7l4If($m-XejbYJn5&s%&RaG+IcevV zs509;b-RPR?D`Y+ai$c3ygG=q>>ky{Bc>9kaF=kKxf6U#$_?0k+blZR`SA&gcpI!n95Qf zZyK(21vUzOJ9SPRdz_1WYkkZ({{hVU0cNMB>z`tll$D&L^l``0a@tnEyGSR? zrB9Oc2&9!-N{sO+hc~tNA1sTuX|ldm6GH=-4&y^6$r}dHH8;~xN!bjiEoSsTBdJJ+ zIH%7b{80S>PM@~xGd{fs>R38BIlFPfx(^v1Q6|>$w&yd>8oukV>-_6TVYDG#{d))R zm(OEfFLyvT-IX{iaA|1D~D`ly~9)i{(oy#kt;4@A+?Ob7!!@D`$DoX45y)@uVBkje^s=#_F zq`JT0$xKmW{5Ix`e&ucAljRqN$UoD(3gioUZ5}Mxq5*O_d{K(F=e_+Tl(ZxZFv$d2 zIFH_iqm5TAHfbTzz1z1i8ev}%cKZLd`y<3<)Dc_6H{Q39=OxK<0c%K4bCTo}H+9Pw z@b_g~JfhY|WEXAf1xv%bENnSb%jI8tm zmI-CXGA*R;co~od)^#-LnJ*4Vp*Ct(VoLQ7O{_fYDe7rNH9j`q{*pXo$Gk^2O>f`I zL(yo8?9+Ds^(?Up87EnG_-z5ZcKJ;jaCQ80@IcZ=_?xjv!3@<;gBTG|&nZ@k5ZN1g zQ)k!Uts}sn(s|K4F|KX8Sh+U_)`|#N zB`;A+2UOdiI#FD-X-%A53ntWV#J%@0hzu|L;se(|s~2e@O(L=R?mK*G{m}a?Y+Qcd zrQKhu?92f+o|Jl`^!H$mQK_nV25dC94_PJm+!_E@9y=eY8aXBM>=P^K1FS$Bqb%TW zVq31HB4bs7>6^RP3S|Kuzu0c|hDi#(h0K!ZPo8*vAc8oq*kp+ilL_fdLLqG#6PIrn zKl5pFuSc%z?>WeC<%+)RzV*gyz9JDuNmY8=WjiB&GO2#=gEpl@+kbT|9W(rXh8WdV z0&5>{jre|RY4jQa69EEw9|t)%T06COO*cET4Zu2w@LgNIX(pAADqe5B_hGf%>-&Sd zi{p*AXT5^ojPR}}BC_zuXGnCrzJmPYNsytJmMV{ngktPG|{MdsTMtF zE@x7WAshcOz&h;sb}etPXr`e?1uFXpJ18`VMrvy6{WaIyDaG5ks6_2!yK*E&0_!Rh zdpoBN(Jg<2v~Jg6NV6?Q9ea5q zs{zX;9v4WDe?*$SrPbo>wD3hvPw8ER*BjqaWsA)3sJd$m|+u~CTx`B>16O|xPP&;ZrIYkQ>aFgQ8w>PZ+fQeh{Z zpR-`UOYkTHHk0u^K)rjty;%Z_ikd$m5q#((h!$OI*qiNJOmCe3n9E)_v;5b2d!dLW8+Mbdit$x!q46QuqPVllce1yB#CcSXB|ea|f0}c%J#De}#AtARpP&wC$Tdn1#{=MzZTp#$ zHri6QM6=e?P~yCHRtUeR3(T2M^B;`k3xdw)1KE-W#zkpR^FU_U1>b6;9*n`}Pp#R! zzh41y34+x!>k^Gm4w<7XJg)s49&SWvAoPE53_83m=ooO`FKu@1-aGr5}guu%C2Mde)Ff5Dc-BlK-F!aRbHQuvHI7TTpd3^SO(s+9W)>3iT%pZRqX`^ZHYZ!h zq9_{?=eE`eglG1-y=`*t%?;5ouPpP{*4Of=J3=`q?KWkZwfPV#-pPY+j=nkh=Ion` zZ?32|uiUCg2287!JH4j&2N+6KxajNB)aJ@Tii^T89x=O7zMPR(LRnccP@U$zmHaz# z;+~m+W)BHj*yWC}E=65Ui z6B79JYp&)NI-+CE-0C$MiTpV|gfqTI@Z#;v6TpT2|uS`k@!eRSy zJbTnF;v;PplD1o}au?R8F4DT_sX2i59BpfZSReQv+5Mm|lHL~t^l@e{aTLnPX5xGj zGYhxW;oIy-=yMcF`>UwcXy`KAO+|h3=K|@-8r4UO7Xp_?7u&cQpQYSDUG0da=b(-F za;wR}c`EcJh9JHnUuOP=haI<7T;!*?9UL~ag@|jI`SOFrCZo)8ATyT{i&i2cy?d>A zG$HgynMosd3elv8r~N$K6!tr6=GHiI?Cy?6U)B|1tqvPEkb+)6WDCw`l5S zxww~{|4DA#qSgEYMq!40_H(Dn^#YDHU?;!YKi_wRs*u9AhH;Cpc@BO#hu~2L+y&YV za(zp!_WGagfQETj%c8(UMxSu&g;Ttn?xeK-Jz{Ej%=u4@-FAeTIqSdYL&LZo_;JtH zHt?WIOnpS^)W7KlRN0|$ts&XM)caWW3q!zd3`~np33<$$mhlW>bj0mpQX@xRXH_`c zVuuDfJAQ{7$||S}hYD)6&t_6s42%Q!9Fu_Rih+3L^exW|2+_0HC~cs7=~3Tc!34wQ)(GhtJViI0#htwhVakqcsf z2Xo^fpIeHW%aRB?edlUW_l@Wp{?2~}IgCD7(3fi?WT0N*hK9i**L1iuVCxX9dyr2- z4rzb+3kY5{cUW12VLaC4?G!Bi5$5#2Rs*9KI4ziS zO7dI{kO8IBZzh`w?gn_Ou&z9|GDtRV)z!k0uGsW*tlnM2WCbozDe|Rj<8OiWg)@>F zi(oW{UBCHWwGIBKb(KyXY6%|E)l={13S>6s$M9&uuS0Ro+FFav z80wQW<5R=#S-6o6uXJxvv2~P1MMc8$dUa5rzLOe%Yo>~wcFF{>#oA}(fk(63-Q$>M z=w?+@;3lKfybva*AB=ZAkgA7m5?>=AAFNm~J}MCps(TOJR7o>z?V7Fe5_!Iu`~6Y- zxkDp0o*qBjNG6tdeP#%JnAEfB&^#xy_Z}j7*CAr|oVRntuG+%GCw3miLn~~fAZ$<_ zn8h04`?)|z1mTQa4Tg^US6z7ZqjfsC&iKnaUX%$v>J3!d7h1 z$ru~i-hH-cXN3$vr)+D|;TJI@r2f|8v9{Szt>Z`!8YJfkHWN81o)JAgRFHS(ZfAg7 z2kU2(j5Zhzl3OvoTTBd7G*`yC7jy8&#wvoFs#*(U^9VeX`V3JTd?Z&pQqj}cC+k%G zvjSWOA!Ifb7NZdr66=a2=%SFS?1jpk+J`*h+90Bn#d?ToCkIThuTF@u6L)ol28iA2 zBVrg%@@|kf^&@n9vQygj-AN++)aA2MjG^KIbBQ7(N{fO%53ifn!pQb*ac(fwR3HG2LXia;S< zrogwZJQ%3gA(-Izw5{E*XlNhEe^BUe)hMp+%7oF8+de6s; zgg%dWlZ`DEP*wCG7#4p3MXp;OcMQfWE-roHee;yvxN`4*5z zaM;Ta#Qy9q$6OizfsKOVR5+$@GU?FV8&{yEaI)X)V}iz{RFNdAd>4CF;rE2)?iTiR7h~3)Sq+^XilPW0E}uc$E5us@62btOLje)u@mA+XkwhoMZjDfzX*3*D!=a z8d-HSmbz5lH6c(o@LTtiROk*$u*d|n{mc2~Ksi~AfV7~-)f?2rp)M6x%#G8Kuxx{r!w5>Aw5PcR-C%RQ5%OVnYPvL#BiL_5nf2jRhT3xktAR_1o( z7{~IWCQw>qda=@0IqPgA5>8*2H$7dF3m5(eDfMT1zD%HlNJbo~|)F$4Pu{+ZiH5#YO&$7tN5TCO7E>yad z2f?u#bwkuUKOx*NB#ikZjga2n9dH94t#O@;uk>5_K%uMNEN}ZNY8c%eF*2DNyriBAfB)I!;OBNT_7?1mU&Ml@!@-1%AXnh z3jJ6c&03w^L3}fu-HCZQ3f~EP;m_9RG7wB*sRsW-#%&6rSXaf>3sXQOInMW>#`NqW z1e(l3AvNmyfgI}(vc!KuoqC_0P=v1p+45g?{PM>41NBlRI};FplPMN}+H?rt2?}aD zA?`sKiHheZm+VD4BT48173Y}GLe_(Arwe~2vY0RTql;~qX#7!nLfFkM=|=1< z%R4Wr6`Jl@N5SKOv_Et3&-ZCirF?*QF~sJccs3MS4?=^qIc}LmH~gUJ-v?PNa`D*( zS$t09%fl?m0PpE1%K9B#@`DF%Spb*+s{VFNa6KJq4}Jqt8$sbT1FilE(F}ioy|MEq zxHg74gMW@(*p+r(!n5V-6G8YI19k+1X?LRIu)=V)Xf4$W+Jhd^J$KNvt8aQS2M90x znI7RMsPzZ7`w8)vK)4*#mBeW;^c{pd16cP@=WCk`-yf=)dP&EF!uvk)Ks!>8oe17o zwBg4sk=<@&rZT?mC??D{YER zaJ=)+n$dhs7E}Z?R9BY8y730`ZyZrlDdwa&fUt4ZMjSu9tEQwGY}OIFe0L~ zdd%^dpkv)v5esUXs?00ql%rI5N_v^8&Sy9>9#p^26OP841|@QS4fvV`E;8H^c}61) zE2^%~c&tIFX(%r1m(irQw2vQgO+c*4Y4ayIlZ>dJr(sGW){CEKS2i^nR63(S-hN<_ zJuO&x3ug=I{jw1Z#Gq{o3NQ)?cv+~k^Te4S+#iJmlr7lv!b8{E#IBh6DmqY#CQzkR zJ?c!}?k*1abr)VqAg7IP!-}$8zT@j3IDm;l4nc4ggf0hnxc7}BxDX=fLfi$$ zQ-lOL;06WZbn{}_?~T(B3<1Ka7LlFA-{^N=+Fi%BlLkx09Tmn|J$P5|{;UrYH8sZ{ znEKCYMf+tZD-~lre5w44LBIdyXJp85)rgl@9-rc=o44DBLVPyFdZAvda^&G~iwfe- z1f~GgQ_KU$ULdcVoUrdAuNS&LP4uNY-Q;}5-fty7h6Q4U@5H{8aK_7kGn{)A?m}*c zg3b857dSGwKcMj2LEZHy0Bxku(4aX)$!f7C+L$^Mb~m?KSer*Lly8DXF8KvoiX z*pv`l`~iu0DYV@bKz9xHmAA`tuu|y`TX*Or0;gc&j@E{21~r&;E3lsqi)}Xw#MyU3 z?!~yn+<)F{LpdvQAj}9oP#~Q6P!P;W193w|_>LQMN9%WTBR|}bln~>1P;^F!ACgFY z%mcqn5>Li*vwoHd0^T_-CCYHPN0sIZ!YkrNzV>&26E9?o3j_pN#NL!}^5+~P3T9?` z_KI@_<@-RqA`fbB>P5YHgd>Y>*mr@Rv4z))d4n2GgLYYVV&8G@e~Er*;ASO!BJ9uX zxc% z&er>nv=+1+B)5F%37Zd1Evn^Dr)Q zjG&lw+IqN)r3LCn;8OYkB^C_@X|6{ zEsaN+>NGikM{=GTjEqfDMi^-hU%}qhMYzId4V)lYwoNpVu*BMfoGzxd{mDm-`U+6J ztH4T+aa;3%uBRTK?u;{rzL|yNO1(_X(!{T|W~y?E=DGYJVK~O^s%RzyxB$6(%P#cO zqAs3|l>1b|P^zzxCbm?G+`J`YWsUK)pVDdb*qqO5_W!hOBE5e7?)Dg5c~2-jNX)04 zVRvj+3jd_;Rb9&AP1DK1r5oLl$(`69yRBSjxOUn!qTBfOpzfG~v2p`h@|nIgL;sya zuaZs6c~o9Tw~{hBem!y&dFkm<95Rbgxl%n6Ps6pTpQx6(ay1vXV7K;KZz#fI1KkaYpslY(~ zEQH>ntBGItK6J&Cl|2eWQ5OZz%c$_Of#Yc!EfKm^^Efj;jped;6tF1|#4a7jlVfol z{P6NELp!mXpp+9TIT%LUPJbO8Jlv9xaqJ#SuGRbP)+KZ? z<989T8{A&ZPgM<#mfFf=DtGQDzZeX7?^U`=Vb#*}N0cUwtk^vwj52-afF)}blY)Dz zyY6J2bGK5M&j7l|b-q$k z{z(LNukzR*XghA}iutv}r5%B?qOCF!v&|N7y+&TS!K1qbZj71hw6nT}S|`(x9DtXY zZw}y1B?j8#k8n_8{z*KwZc)p(^-@|H?kdk2@w$8}uHzuJN{eDjMa)uatA?G??6@zp zv!^K>#udi8iWahm$jcV^5&6-b-!=ed$d&>opVjLdwu^a=JEg1FG57oRj=?Sr1(vu& zT|`wghTBISa_Co&7fsK7Ky;m#^6J{IF!aS;i-D_ z)()$5`lqM1cwMAFV;kh1(|3qSi zSJHz(ps}|8-se8!i=rxT$0!2TFERiA6Du42>+PIl>!adf#~9e_cIfkDcR%%EYL{pK z)fF@#MP?*j#?{083SWIdb0F99F?>kD^XdI?aF8RQ@pXN3pLDhJ?gbpUI@ zI@qDO!O7!)jTX>&=kPfHLcjRPNYUFqnVRW!{dzeU(C{_YGy1%X$&=~r_FbS8&;atk zXL&pMln6`|a7rY~tM}@e;Suxz)^=VOwgkLCGp_F`s{HIWZfN`(KE~cgDDu|Idi{zg zcSWj4^o9kh3V_IZuYYkDH>Sp10hlhK+VokK^0<6AEgG>${uGbqbc3Df6=wbs94@eC}rDz&9JXGe?HHN$+- zum@2MKA5^8l+v1#S)xL@t_bDH%<)RNqhTtf8WZ!ngDKH2C}#Cj)c+PG{$JHEL+0QN zv68nr4WtYCafay3|DssQFmhF5Y@|DyoF9d(kXdmoskDD!kE1fE`W}@8446|x(-PO2 z(EjcS*1uO&MKlLfGQh#NqNF$dR#zncPQZ5m9iq#9efq(Xq_vqg-RY&(JM}(f1`|UoAjl_bgTNE9E~00@lE6@Xasao2rDoOacJx zv&(4ae(>v%kn0;lT3Y$t;7t$kO;&*rusIr)18oyXoCm`%?Z=0^EG`GPo* zS3!`PKbyXFGzz}U2eiO6;lMQ^&)U+?h6=sg2e3n|i^DYu&E^KukgsvzXChO51dZYT zg>KV=YvRh^(#&@WXekp^%HLAX?}li~f^WhI3iWf(q0M?oaFn|3wj}&>rv0@ z!mOvkH`&hiDCSQ=UR^=1L+0x!<>w%-yTLb|1OXlczplf+auxD{P)$MbO?p9q>%g9e z{2M;V^$EfE6EGVr^BcO^X~-)*xF#pT_Z6@!>)Fr0f?9CvE|BZLW)WytKM1Y)%LTna zn#$14d%>^XA$`gOcb?&zl!V?D0$jn&Uq-6ieeIde(>v$e?+T5HrC~jflfr-P)deR; zCd)d#iMA;xSJ%36vAUCz4HM)}BipYfiFfr%s7I&OIT4eUl*{XmWD^&tE;{%iD=0>e z?I>AG9$ttcTlXEg=rhH)Cg+_@tHj2bz?7sssSKs^^ho%ZBUB*x66Fw)B_rKLf}({d zhUfVErRFS~Q1DmarmUANuKwgSPrJqZ9rxJ^X>sJNA~=a6<+_WqTT!ApxPxa)7s;ys zRw6typ>8{-NLzPbn#Sv@gRC&GK>Q=hPyn*=H4gv2Bv}-I7MDZ*dm!65jFb&e^XmqgSm&>~$_RM3N<)X|Zb zYIw81DkzcVs5vZMQ7_$z_aW!|qXJKforg80!-tzr=e&N=u_X4QR@-7>?B=lbyrx&r z)mMkh1MJfrSmyDdH#YBkzBBl|W3i;hIbUb=S2h(!MVm)Eg;mEgz4xgMk%-PmYfjOx zz67h4bpGG6nZ-&=ag#GTjgCj^F#J~@vdH-pPKBuI7fxH?G?CO+0qe1@9ItR?^4E3B zw*jx|QmD&%v+1tf!F%>o7aT}UNDbBI<*|ndQ05I|N{lH7maxtZ3WEeB<;nXvmq3lN zl{1A4BkW%mZDSS@e*m31Qn=p;qPGlv6iX8%9 zX`m}Fx6h>Z?4?cID{xQzh3{BE{8cjq6Fen!|%4ezB_P3;ENYG9er_A z78hIcnG&!QJMzmg?(|YaZ-ouwWMj-H$rSgdf&)1F2>vekVE&fB2A%2i{}Gjlqwu4q z9sjo)bS_Choq zzPdjzN7hTanVpUCS;N{1Qx-{cE_5V$Jqh414s^K$mC?FXI^b7Hk?0w%LWfi`7gdPr zv(0+F4rX#9bCjZ@siM@lwUlIr0u@ywHJOQNNpY8s2p^X?a*3ozCo7d*8u`)H{C8bu za;tRGy{kpyP)$1*hNZHb)c%h~^F6_=Gng)9t&4y-rdpZ!QCkpUs~(*AL=}2uYUG9v z^6=_XSfVO3;Ze&Bqy}#C;OfxtqTYA0EGBQ{JRjYnwk9BPO$zb9EhQ)0v^2OU&@+P@ zjq9MA7CRauFZ;qj7=wiln?+>=i9C=Meg{&%6xnI?5%^5NeV%Hm-iq%u1PZ*R9s!xQ zH#UN9FpWcFo|ip;$COlWynK`Z$>lnSt%QZaExRiOe^6SMn_yG^Yadk3(H*QdfFsNb zT6ShQUIYJgvyT_38Mmj18DrFt`F(48B%6E=OuOne;5s3XG>g{`qo6|5+)5GuC~aM* z8Q0-fF3d(G3Keosrl~tSb;@7qgesL(@s$s{cA4OZN$G3}Q9cN(l|13S3H(yjQ;EQ9 z43e@&6mo%Ds%IIk!V~J?cJ%3A9aV2})r#+34{~;a@F*lH$KwgoEN3YXIfgjPL@BPK z8GYjtGAgGTeRc}$;-)AM;SRdA{Mk^|+)(RCe>91@sIn2Ly@MZY2~Sf$@6PhK1(MBG zOa9sN=ll}3v5(bJlclyEEVGLB-%F0)D(Ye7m)gHo$VSzd@Rar4?A3$Gh6d2 zWn+ah!EmvliZ?TZDKudr$ujaqjr?Y&Ry3@$btp%E=>>!EQ!tIvKR?uaPr*ghvN?uR zp?D#pcq5{CC87XV)G2skgwIcOIx#u6bruY^Yvm%$NHph}UnhM_iDMt$OMo49)LEka-@_DQ1IIdC434p4H}A3yfRU?HeYSwxeW z2SAafVkHTKNTeaz(!B8rm&Y{nV}YL|+_>Yg{|f(RgzP z(_np;hF1jtA{D?9AV0kj^UZ$L7{170 z+rdwN`{%u)DVO}awG-~y7kg(sb8o5D6_#5_Lmwa;BQIl%x4yfzaTAA=B7X=X=6lm# z=LkOxf0cDecng+`U@+H9xRW#LJ!UCM)Cf8qzSUXPPujhITJks=yqCC2FN96a&U33T z!!>5qeQ6#SSroS(J8uxTF}vTQVDDQr?|+N2gHw(A5$Mpf+xqo?XX*XJZ#mPx9zf!W z3$_ciC%EeJo${2Y)Ot9ur#uXL|706b!VVw}F#gG39o<`a42r?9s5jlAHqi!wjGD!y z6P)<>0fHS@Em(hRb~|I=BVh5ZasQzr%71TU#C?i8n5@VNzY9~aMd^a}r0{sjUmaV> zgscZD{0+S_jdTbdL#tmSEtCq)^wODf>PL+0D^cx}h%REdt`g=A;0Ho3iPRX`mmc3P z4al%wvYtA;k6YjcmYUl;(eoS8*Gbq1I+FAV(z5JJihNL+upDG?kfG}Y=QCLK-WhCZ zlO)OP49q{=OO6vHfkSzLLq=%PX#W$Cej)9P8!TMRznFtFE~md-1cnhkatR!(3Fi01 za8JT;Z^E*7!?I7qvJb=1+yW7_QybIw>+(cZmm4@LdUAMPTOK>nt%RGoJFDd0zsYT zyM8z&0R5*oXR1&o5MXoRSy&x*B?YmMOz3`|8AmwUeh9%>&BgSCtMnI_`oSRVfSK}M za7V_!f>U$Z9?<}I;rvo?{{cOVJiT&HLq&Ci8%2|Niq{n0wHgTS$<#9?|GJvI5I+QG z17@wlATUNb_VYc}wFl9YQRRyI-)hiks03cjOrzN1bcd!os`9lvrt=s~Ju-(|dLk;=dnw(-xg zY&Cd!JIZ_A^gjjt^d?UK1x7|R4jEJjo|EFHt?s*CQGMSW;W945Ql!C|XA#|;^h7>r z%0rj?M2G}FUR6=;b205}jzcBiQ8Qem6s$)LXi^c;yay4$3lRsXAr@YtkF6^u^0MzX z=(p%Yd@UrnCljpv3v3XHr~{x2x@RO`@4>P-ah%zG&zl#!Z-3Z!1KK~5*kYsJ{Sk;q z6JlRecLP40;W`w1l_kYV3mA z(C6DXMivM9gFU5GAC!AcYkAC^wSD{Qq|q`w zB!yWskc#X0yeH!6e?F#wkAmDrtyE(dLF!k1J`1=LwV9uEyiZ2OJOzm>h;6NFE&7Y{ zF4Ya2=%>8sYXJ$VVthvM;#&4@jgZg6(4pvv&Czt{Q59JDR0eaZ5^8GSe_y@Y=e65J zB6FoKkTc`Nx47`m;ffC=y%oZ+h)zffv!`{zo35c&{CI-@&+5-FL~pNeTC29KC|eZ(`o5bb~$cl7T()3k3PzD5u@ zauBi(@x5K&5num_w3xu(g(Abi7o0v=hX%&)yc7A|ir_;PufOvqxK|%lnYoggW*I^u zDJ$ObzR3u3ZY7)Y7ahQ=`i}#I5hUQKwvB=miw?&8?I%^Y*tlj3e#^+pxe4k=!-|9U zEA{6g+F|QG7>X>_%R7U~^U~4xk?;kPz^4|i9Nq+1$lR0ab8XRSta7w5ZG`e`+`Es2|E?~y zCn6?kz!@*V8DEB@Z5S)6%cop})A3qJ!!LoYGTgE@e~_+9C|Z6xW?}Tn!Pu{MO0Ojl zHngTTyPAxL7pkox7t&*Z^$m$^%NOhyii!a$YgHMPwX@4lN5`kibaTontIuJ03G&ynN^0H7GoT?D-Pd!JMTHNRf;y^CcT z+xrmP=MY~p2~*)B&|ljAbB##|;dKBd|J&=)`GB7Kkco$Ph{8I#cQzj=B)#X4YIu_9 z&un;->g@wYadqz`=@`m$Q~k=E4-X#U^m|B#{U||q&+WAD=jfeC?@r|VYtr^fqz5j1 z$gZDe25KV^sYhTu7KzTi36IFx8od8tZDlri(#v=!uSjM9YoEYwn0$Q}~3f!NQ zy$#|#NT~cGNS**rcnc}Gg9+wok8n|ZlXCAVu0x^!uB0OM@{p!&LOgV9=A)Nu?xbhOJEnEesyiI#SFo4g=P<;c@9*OzL47GoZK^>1 zehhoV-R)s}fo=RNx87(#?>`le0lDt*JP%|y5YVV9eA$`q}?9Q(6&hBupu5hpJ za6NbAuM@G4`KXr^42L|7J1d5L4&6bZpu6UCt1emZ12O(BG5#aYeZ1NjL07ndC$ir? zF+NoPK_iBdT&<^hZUoVg(DpjNmFvW5;Rheaoj$mm(QB!t)&;y)r|#Ln3 za>j-K(TTrCRv2K@d)yX@9MZjr=mBA`B^|M_MR8fhl7jYVm~3PrT@b`j$oErxW_NY} zRb(PeJoKH#p;+1VJuYsh=>61GJQ@BNea}m93S$*I^4BVJ;Y&pcb6}Yw);P=}3q~oJ z!_Q4Gn5KOPVj!X1&A#SOMA-~S^*>m=_LLJLsJXo4%CoxF@zA=D25_w#(+X5U(7GQ; zDbTuQSC_ub#p`8*EH2ne!CLh9Q9tbhP;oZEU@6O2%NG*~w1-@ql9jA^;7R`_8{)8) zlI7WqDzHWqo6LmASQ+<1_p$O$h`9LOOn|&RWzf0y7|9gG!ePK zk@{>l)j&M&*|+30n{1gT7?*jN8yc?cg=hR0P!W$}jbe1pMgEg1O;|cN*vToIF-&cZ zvBSk-am|*_I%7dTHF6=7x$|Y~KyG80RvmYf=rmU$ckk^H@l#T6c$=XxZkLDM!V6nx0nhI;0oJ!}Pl3Tr zkMdyv(ac4_3ESJRWHwH&xV$Rq{X;6I;+i9ClwV& z*_bv~dZ7zG!4JtZJ5X1a`$uq~!6oZmme7b3@cafPXN5S%fQby$L^RJ|cT>Zp{R3m1 zdurj`E@7CrrYAI=H}*+`ll10d7Y_swlDuZm7t@Uh^S;ZYTSMdR!n5L9yaT=Y zAT;u-)k^gac_!dCd*d)`;|?fc0RJ+Zo$7g{DPHrFmAvOrIiz|wxYhDE>3xTthqD0i z09fYha;N4HAY)8O`SS@DNbc-1)4B{Zt*ELE0_()qD!m)Nm*}2SUCy_A#Wraa?z76@>t6qspmW1~_xJyB0KdRNK)$}* zL5tO_tkJ|!b0H(?j#Rd1H05dN#PEqr5aFDjr?)zfu7kF^1tT0(;811YAaJAuiHX87 zQG^7^eg{RQlA8-FCyT<8QzAux5(uz<5Gn*ADjV)24v=_GujO=aX_-r}8k=5EdY?>s zOmR6iTBGCq!`yh;IIh+A$1*xI?q3N$gJ-dNsra#St}+17iSyD(L$6xbrKp)buGu^nkuW(If`7wA$QfCWC}GUezzuC$H#7Rtw-Yp4JB^7kyV z5gu%2R{n&upmbH>xI(!G)n?L#{l5T&1$+7*{-!B39RWR3ncM)JnX-IC3r@i8`Rq~X z_ciX_hqE!z5R1V9foBUdDNI!tE^g9SL%dHJ3$i#J4h`|TtEPp)W1I)DgRuMRg+GVD zz@!x1)y%jQsAnx(1pOa_F3_!#Qsl6%=lEVCr{PRC4)B++z1IN)8w-u>67fcSl6xF? z*$Qa=SQ+$(XVhV!v0>1Vz_QV6{!*dhD%%BZDoIkgs`DgTTyl2Pmg5B$L~XkoyN*Jn zylrd|vXGqh$lAC{Up-}ufd{U4;#67=qEPpm$RYs@dN{d1W2e_m6ez<)XecaZmF}J= zqH@Sd%-49Y4 zm<@o`f7zE1i1x<=Lisa#!r@MnZ*x5tTMG&G$cioRAjQy-Ld{s}Vqdj#-n@&QhrRVX zHhcy{WSK5`3YSTxLWahBy<^U=V4z5oKnBFH0%-TJXQ99Gm2se4KZ#lhr%-#qaOAY??kO&Gl4okJHZ!w6@sZmL@YI2kXd zEOcK~5zym)!b&zF34Nnj8I0p=j-Em~X%TLd$iZcEg@eV9;1H!?U-Z>CTg*+kBt?qf zHS~IdO@sd3{gF1D@1n23y!hxXGp z_b}XpuYfdMbMd1OPA1i*p5tl|nLkm+GkR>~)M98Cx^68IlH52en zCFO?X!YQc-xgB;G!}M55=!MGDvrz_l<2@Z}Vt`a;LJp{Xka!$ER;<#p=(> zpjVFl@G%UL9O08FO7w`Fz+QvCn*B5r-voo9QKkqUPfD6n^U3Xh7r+K9iSN1*Bix)w zuaLq+&t1Wp%+9%p@;!(b;o&Bg1f5tP-KCz#6>0B4(GaUNlD^F)kGCU6`k#W&72HwN z{$i0!Ud6;wUs-VuJt$S7_hd4G4Couoj=;FOv~xQeU;2`nTc*92A8Uzik>TpvF5+|-2Zl)mST7 z650|E!bJ7m4CL2(B#5$Tl?^jjXu%6vz+;Ddq8=9mZ)tvlyP}~i_gBUwcT{X^Uya<; zl6#^NJnO3*-iCL2f)$e=(?d7;yLcZn*6nLy$Fwlz8Tne=@i}Fb4r?lR7h>qh@@_!k zvr@V0K<-d=Km;K?iKuox)l!7%sb?xzSwi+Y^ZWjlNH6Qp&CXC9y1wmuW=%zCEa|G2 z>2ci*?>cU~z55}ra^1ckyuSJ2j?bA)iog8nj<@%MW@sbB)qGDZ_xz}e1l1QcXXl`0 mN&U044@5ZvN%GLj-IPhjH2*~w#aoXkJ)Su+$^8!i0RR6IpxeCw literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.cmp.idb b/CPLD/MAX/MAXV/db/RAM2GS.cmp.idb new file mode 100644 index 0000000000000000000000000000000000000000..8b3dcdcf0aa568dffcdc6eb65ec4bac0b7129e53 GIT binary patch literal 12219 zcmZvC1ymf%)-Dl(GXo)kgF_$$cXtRL+&wr1cbNf#4o)&S1Pc<}eUJoqcXt~Y90q@! z`=9szd+&OyyLVOZ>fT+|tNQ!)-qm<0C@7^^&z_{~(GkX&9Zg50XQq235S5_vC5*bRVD|T0#v!B1q|Q(r#?1T6$P{ znph5tf0WDR46hJJuB{S|vLH)C0K*^dwZ>zc4nvcFucSzhk{N6Wa$BFl7roM_W8c8?(PM+uPsgg{=H( z&s=WLe3Gg+8&WGq7*}cygza4zykcA)sj`Gd84UbVE>)W&&^Q`8d8Kb9j4ve~mN=TV zPwO_x`c`O<ciw+3Q#ERI%k&*9^;vK{1Y#dS4Oli<`<)6lSvek{*buq0Zzslb>jCY^ zW9V856ameEl0zA3wdI=R5|c_Ob+xK~Z1O_8XkBH8p%>*)Fjb?TOXVi6O`B|J5N#06 zRsT5?^p*xLXO!qM27P1EERO#g!!4P9Vn&uc@q`DeNozH5&JT5^9qB#y<}gqQ%^WyZ z>}%(EDB0xiJATB;84Il-3wib>MQ4Qg zq`wQ}b}OTt9`z2?k$iz#89GeZN!%m`wB3~uFUejCR$#cJ+-3l#QYv}s=vNHyF21t^ zb`!rawSQJGYdR!GWcxCV`&lP3is>*Kdrwd{)C8Ig1I9LDbymkVRZiz1mfEu&N-lI( zR7AtLaXLAlnMMUQXjg~}OpB)Lz=1|g;kDQ%C5EeNawUrBX3WnkFTd*8ik1Ae${;sb zSnvV_EGZvF{pd?MkM{F#vA*2#*28HQ_L(d+gK{3j6jzM2-D!5=#~WOqpj|X{!A>e{ zNXrQSnvy zC<8Ak55E7c=wiVnWWZQjLtCqmfzcQw(;(2=8z?9dXyZTtq=obnb>2t`S($W(Z=%0e zg_&f4Z%fdwV_TstOaJ57Q*TT%ze(^-2DFgvTT_x=V$7zQWTKd6q9i6T(S`d4B95`m z+Oh3 z1bo~rC_@6COcX(OiEx&Ix#2+D8KPma@_3F1uADdj1VP`eA*#UJF zJEmz=AW;rwH%%BjhSoPG#&Gs%0E7bf9@T09$qzlF$y6}<=HUV5rm5Vf z7M*?rv}Nq?Y_>Tn^RtaILlJoYYRvI}MMVkCO*Gq6+se}g>vPY> zSb%2aoyceGxMET4Y_j;ilF2ky{ay#Pc(FTZB@7tCc%d1q26DR*Y;LHG7-$mO6%pp# za=TH;ka43Kc9qZ_1(t6H^x-XUPff~EP5-r*ed~0MV!PD(?7sH9AIgo&8p$PuHrBqz zU+`f)>xGxxX)4X7Y*-I@A0@gV@Lz8?U{;b0UxqVZx%v@<*s4n zSIF77PA_qy4b)CscHrNV2{=O1|J(JaZC3poA=VA{7@#yryoT^2NPIU|z#ZbV*29OY zDjMb^eo$+YBRKm9AP6i+6`!S2^pu5A>`{*6L3YSHU1LP3vG;K=k8@gTgDK9alO_2o zD$K?EB%s4kRGKpdMAG$1D(>IM50>y5H}nl|G9s$KD?9kOgUl()WPPlGsc z==TC{1vztw7`enn_1NO)Zg8W9&EW4c_M@(4;dJAK-M-kN5BDZELoorGM41ehQ?82( zFDu=A_fzFt1OP|y!gkQiC`Q=BoMX6V-?4U6g*nHvL!7v=OiN6#e;P!I$D=_mHUo-9Cop+3*5t!@^qsXZExym z0*D%QEWhz9!J?c-1;U>b(S%>0WuWJX0Jj3H0bv)TazHp<*ai9|*`=;i1)8cTY5$)L z)DzTTNk8B`HCTjlTM&3fQNl#}G&fiOll&?7r9~#A!38AOD!ixJ4x5roy^yBw01*As zP?Dd}<1rl9B*+Qtqk%sBWqY|`u0B@1N@p$M9_2dQH_cYst@s+3TxOsTi9j5EM5Dn z!zVC9_opR2xCwU=FJ)a{4oC^X!gFqt(Eh(@;*xUxhi_$i5d! z39;Q=X-!fuMcDiLc32T2JA~m|zIXS6*EjCAM>7kB_C1&OFt^h) zcZPk9#-Hjl2>bN;tE07z9oDMGJiNM#gqn`vA1q2! z_de%)hZ^;9nQhSpFdx;Ustd;HA{)kgzc+9Y4_huF)tK)gK0Y34Nr-u>F#v8uncJS% z^XF|6`K!3*H+4)S<4RXKI879Fa?I_r)z~?90~P40XFsuP9uH5M7J^Qh7vc3M){ixpu ztHvS=TmgqP<8jC^j#!nTkQddXEn6)vK59&yU#sl+7o9u?bo)6pwF=*-%(S-^&}tcj zqYhau)wkuJ<$YBXqyHB6d^WAMa^z3(GB@HQdA5N_s<$#O9kHc9v-j^D?R%(b4Z!RB z&6shTe`SmR;~NH%*N5Wl7_VVL70j%Q#GBu76xQS>Jz392z23p%w8fGYciGhaM z&P3uS8mbXv57+G_q_%#+QR^`eL}Xd~{zjYRikG3MIk47G5!uM&i`X zVhz{rr736B4}LK7>40`X{f1E~akPm-)9(Nzw+*)yxl7`9Qd z$1f*o?;Iczc9|FMAn&g--O`et+23D#>VVGABW9k4?hjTJ`O;Duc`?NIE$OOsMDP}SdcTVE(>eIdex>>dh32~~(?}OYesd;ZNgnJ9aci<<ux^O-8MqF^U$|nixf9VCQ@f29_05Ohr)xey+=?q@LpUwzChx>C=8KN?po1 zVrOb|MV&aAggfzND8elQNu~@-TeJFe^gh~>O((_NtjQEgEBST=dhGX9SI|0GqkEl8 zyub0DvLCBmB(7^LeOw^N;O;%7O5r-=n2)QvmtM5e*bM^ zCUVD^u6zR<)T1(?oekUOtts3+Q#kP0^du$Q^2;+OFKr!@F={HO3A!+uq1oOUbM@2$ zc$U`@95aX&-$MOOV*Lm^8bNwI>55y9*1XQ4Z;zT!isf@oFT4_py?o{yL>M()(uS?_ z`P2r6CnV!O{dL4owdE4`*ld6IMY)|Q!;nS)&0uVzFA+ZeK6_s=pXl)M+Qyx9A04?a z?wpPN?wn)rZRgmF+Sc8z(B}F52YYCLHg{9r#RnapupXY$3+X0@t92!*`pvz12$g}) zBt|*b3$3mdZXBFa@9pH7?&mCmWn5nCh()BQ(Q-SxTr^+tVJR&B;=}hsTIN*bw~kP) zwE`>JQng76hff##DekX!))piO%ABrdXDHqFL)l(|caBHAWo7C2_D^4DQXHhhxS-MMPIIo9DhCYZEcjashtJWzg}8`v zJxCtn&(CLFaZmJDE_B|d>QKCpQ2zK)<7=IO98B9sbY%!Gf)%a%LO;SK$CJfBexRko zmR#wqKb^xkg?=5sOb_6@&YO!HU+sOalo`6WcuUS~VnWz5QPq0vCsHmSi~H%+slgwd z`e&oWpIDIJPx=qZ)eq7OkhI~973vI*tKi7sofs5?(%EclDuVY0sZwm{D@& z$S|e)HROe7$J1HV!n|gT3G>aROAd#9O-WZ^3MX@uxj-96W}3jtF{pz`&5n0u)qNfe3DmoLP+H;(SSL?+2g zV`*jGB_=@avXl|zVk2{^Z)^Ze6Re>x8djy zng2$~RAi&#Qc66PZ~JV6t5xZV<={XYcT#Jy<=_h`ujB<=7g!J0T5CL8aI@wGPkSvgtoCd41(e7)V)GIzsVF|sM9180(2 z>g!KVQn{;IgS{SQ87G28UJ0~Xd|<^K{GPLlsr`x*d^`2D$@t-ZQQTBXI%-8w`w#`WWho))d2p5sg7`qkQ_)eEie z6V3zrUppA&nFmx0nTvU}%SXxG`{M-0X50DG=5RLVvF37x%5xLHj=ZZm7hGKQDQFGX z?ETz);^%vthjs62yMbTy+$K06ZCxKF{+JN zses$$9t5*%kqR1T=EPM*!IrT9$KQ)t#7BvNxz1ne86M+a~@AE zSWjL#$xU0a>QwGO(DrXgcgOnXH|Q?&`0F3J8sVGLOIy+9bFIZ+fOUd*Fehy($5r0U z*M;g)%B*z^s`URC8lUbLGsQGOB<5HT_l@+(NX{d+}gHIlyzIq^t(Q%|e**VD@gP|p6kGPYfYW3`!cDL8z_WoFc*IoGL< zMRNW5^aF0yu#BdF&}zu~;}8YhY;&`&QmDW|sVK9RIu9Unj>s$mDR-o@n6P+z=h6?f zTGado;RD#*xxEyZh6G_6qb_9pUI9t@M*Tq&3u7tqBgj9%ZE~u%vs_EuNkg`EYutI> zZF<7F+63Vw+@D%jpwxxRoD+6GM9Vj!1=7u=mG^gnrX6S&g+2QU%>_O3!xg3&JwE_+ z%Bm}CY_DSvNxBrx-nz7X3z@Xq^hIpVL>)-iucFo`ITei9Fx0;btVe|Jm~h{?KIZV8 zfA}2vmUsK3yFdyj1!QRCk0Qz7c&llm_r5&28Vl>2S>34O()+|OKURw7mVJ`L5i-IX zc%9ZlW^>V7y*kC=ZI59W%`gw3pr3X$%k^|N z4jO~a{eW@)U)&c3Vv=9s6mr_a&Fmmlsz? zUg=8MWWD*l^5>uv@gE}VXwiQq4f$;#J{@TU|GckviU^^%8In-$=b=o!EpKSIc#%W{ zcAIW#vi%(qMKHShR)(4E_n*)>w~nsRo8_in$?dlFnt`%2mkr-mm`#u+#bMPrt)B;) z{J7s+UJ=-Ld9Rk$z~vPcJ&!EAwos??x}gt0Eng4SJw_Il9m2J9(Md=c@JI$~;}*tK z-Wb-@40ET!T4&=!prs!9eJ|9%uY~m&j3Q_~FTVWBLkl)=qlcDqU2i#MDZ*G?YY`x% zL&nr5P&0{FnZXgUF_TA1ubtAh%{QAM0QwdaHPS~HHCF@TZRrq32r-fw8u*0yyCi4r z88UFtll4DBXS5WFWKbpjvMn9nd6iN{#m?V;*`h!nQXG13o<}QOezKme-xzLe<5EO8 zFr?+U(I;Z0VfqEDv?R~!_ISuNVaWbwTsnhuvXzaLFQ7h5=`kymm@)9>mc`;VeD#w4_9#(e$J+HQl6BZ#%#p zeetRapA7k)jM<3z=bZmc?qAHuL&ewchJWPDTR1vRsp?uQJi_%BJKyw$C#JL8_a^j= z?#Tf3w$Fs)Bt83ePWeb~M#k-!jwE+p_aIM)Sh#FYb(!sN0Ma7Pu&KJ}VL|0_pskLKyl!IO?tn`>W=gLMhb^3y0e60gU}b&X?r z!TBu%ea4=%bAkTbf_Mvck$J}>A1FXuxN|LO{ENMf)+&#)d2Zv#Tu*NP>tC3u@Io+$ zDDVEhys`ix?IT*uVqOZx1!#8&;+m2DXQh10?BcCj)3t8lbWUFLJ_$vUh!0!aDZt_$ zR=dW@sFK~FMbLa-QyAk}qvc=)E8n#q>CsD1Kg68K!xAwlxzS;1TYGik?G%5@i@klx z>9@&c;%+T_dB}BrJd#@Km?)tk(15bllE|iYN8;@|og-QydH2^m!Fagzqh;3ZFr4pj zZ=S2Dzkad)kAl4jo2Rr_>k!X^`*=3t;tET~i1FNjS!|d?d1hwTvNrQwN0 z-ulDxDcxc?uikW*+$Wy^KII^Vg$ag~}G0g3jaaexV-m z(DCTzetJUO$$sPm94vIYs7s9B`kk0XXi)sjWo&E~4V)MD{g)_<(vTu&sv!rYB}GxYz|78!M?v3nOD*iPBV;qe7jOLwlwgDE=Q*W&*9-H zrDbU|e&b%nTW&_y?nVk%b&8Vi2;SUs%+1MmSHHBui&9$~zdIWsIEKW-J@>1Khu-45 z`t;_0VbRiMWSF40*B56zKPqtD9>y^DLtUXwE4!Wn%M1l~jKS&XWw-J-Z$s`H8J$!*G*shrjcnB zKX_}|2Di^m3d;;fVC9m?u^iM-nxVo(KZgyNY{z&LNkQ_~b}W6Bih$`saCqZ)t={}e z*MMP}#!BCMoPZpLqlNO%M5 z^X$7Nd&ucV-fC@rudGOPyuGLj75H^^5~iM1lgTYJ*KjFPWLgmp?&kcEZdvapFX}Q2 zJysucl@_Hu)gQUHouY}2yz(-eKiHf?a_}~~AaI27<<<`Lh zO7;@6uq8~o>I1&Rchw<^*I{EkJFqQvXuy@1c6@+gV&%l1u6IdWt~s>c2dVnccf=NH zS^OPuiD*4@_sLdbo!ko%Xh9}>e@r(jn85mXmHWpn&HoI{kl-h0<<^lxrFm?={`J&e z7s+YC--)W@O3Y6S?)k{uespWEUOwcQnL|CC6mW)6h-nj=7I8_td+^w}J#Aqe;zi&q z4=>`6VrJn#6hUQcDh){c}M= zEb2_`*yLhRy+R;5Yq3`-Chz=HaHj${ueDydZ}ftoz8|h67mC=*56#!8P55QG(C$}c zJC)aigp4`3RdkDp#Ajn@ez!SOd)#a)x$tgHnhBDAqT)kNTDm0eB(J6Oqnc*Whm_)} z-Wu~ktMbC8zC`}HsIl`ZGtooNoTf>hxoVZggy4~lcerah^)L&kn zq2FrMRKQ;wZ8WP7tk5XRx1dKWrfE%%n< zj<&0DG05OIiO`T_Vy@&TB(jIHQW}m|Nz3LFf?wnc{w(_$kz!04Bjx?IEDK z!sZQ!xU^-?lMMLo)jb)sZ6O}9&I$}d z#XTUklAq*K+s?MC4qrcb`q{9`-@2Q8;qFqhmC03Lqr2eiveN&nVCVGvJZA#z?xhEu zKX`}G=4V&wLPMBL4Mn@jb()Iqh*ufKc`~aT#`HdY0ym)*`JPO4XyQX|&Z=dsFDdxq zWhN14=Khe=);r^ndz0aclF@xt=8bqw1$tN5YlFh5*N;LL)Ne91GHg9R*@O*Fe`|q2 zY`15s+_zyT8`j-M{zelgA7niAx)EytKAH_csFfU{)8ZK{pOCo)$Jerx?YzgA7;dMW zK;gC-&a>2D*;CnkTa{!2&oydR8q_55+wFdXsWXAd^dWNSUgSI!em#=sNSIA>7&n^o zXL#}k<-7aQy`6z*=nQHC*Qe;g+&!M0-7g4=T?xrLFIVsaY##i1F0(PRsllUp1PqFL zlNYH!(1-RTN00u9E#rb7j&{;_F4t#+U|AMvreAp5FJ>ESG1*Pk|GVMX;RhbbQH1p& zU|}o7_8L6LGOr}Og>fR>86kQ+_00jpxEKG@jJS?_8PrJ%E&Bk&77&A{OD-4JS_q12 z``bnDdY$`D79JR~$U=7}jBa4Cv`XzGG;hZ|$aXUdhRwqL|eig9QQR-VrLBQtggtDNzJmxA~((j_*MB*Y9tFDCpEocT~4#BlEhpL54R!R zFXzqUS=h@hOQ0ovt7CI$8R+H*7eS0iRFE366$!2^?V`a^Xc12 zyV^qMuCsih>WJ~X-b**+8v3cb?8uejVo9;0qK!}GV&rY6jhN<97^`;JKy61qQld0p zHI%@JpfU8ib54VlQ*;z)Ry$r}f1%}|8PYqwtPP9ZdV3KybNdWoDnK_;f zp;8P@nZM#JQoIY*Dr*~D=HyhF?uH*2?_i<(9%_sHYYE}sVm#FV*6ni%->!89(u^Wy zW9V}Txwij#_I=-2M~|?j>E{mz$_}N7XAe_Y5CXI>Qiz-4>-hWIH|VFy+siK6JEPkp zZyV8mS(8VA5_E7EMF(z^lX2GN^X79~Fq~mStSmm{o$xCPzX64Mw` zL{?rjV#fY83Ft^c@aCf&VIE$i6{{u%2pmFXEB+otwvAH0$` z6To3|RaO~U1E^Ij@jYS?W!Ik!8Q>_*(AEbqpT55TLnYOaY_>90cBPpEKjv8(4ssJ7 zKIN}JNXjTvXMMePHMe&uzIV=5euTSf=dZ*|pW7!8G5+7c`|o9*)d96t<&}lUa&XtWvf!!367LjA-fw@UXBUDh`<_{o zM`DF50XNCv3ir72H)J3FQ~Up=XynAzy{ZaEC&^;Aw8T{?*vAf zBL*(}B+<6XNBy=<&Sr(;ne^X@%)(H)brT``cWOJ1d#lEvH-fX7ywUu6sRl(v1#2yL z^N$UqSPR=8i7l3%Ygn{`HmVc9@R^tXEKV{8z_dBu;cD-&40_`#&dfuOw@ae;z*@#P z-rdZIW%hSJKll$+>os*u_zue9o;mVN)Oy|7ELBn~FX%#D$}&s3EE=x`2}$ar;9bN> zlbK;X7&*}=u-go8>QYVZYi`&MVY$osd^dPt3R0xC&KHh(c285~ zq076{NYW-z`aJ!`Z~hBf!K8#kQ|s!iOn=yY!LvGx`U8$6ejjbEwlO*yG>gFmx@;s% z(SynxBjBN)`s9X-dCLClB@+k3&m5p%?7;Q?!4!F6CH%vPs2xrEjhef-jzjufgtP~C zfA-XwmA}y&r@X8*9P7jQVgojBp(|o&=DZ(8*Y_4Bo3?B+ch`C>J7@-fQG&6RvrK<# zi8f%=d+VlPzkARwjQX`9F9la zCZyUw5^5T@&>3_8;{FsDS5|LWp66aRx5#~kd}omFO4WbCc%~ey_~Q^ke>vhQtpGr8 z+=W_sWAq~rwcSeEoy&UgNJYld<*(4Urp|9xN)B3>xjs?Y#RM6iBY52Ehpjzy7LIVd z!7@Fr(eb}(FExHZ6FuBoMv4y%xYEdQW%&Abb4XW463V8WxOd=*JrVgI|GL)dL?v%x zM$@!+P=GCN)N*w5d8gi9(mpOuR4~rrC^){h@H_c*dw4qVhqjleE;(N{--DAIhiLAzkZ$)old`bY0(*SMAQcBTh+=5$!WFMOeUiw207r`^2iIoI)OZw;rj?FKsY zA+6u;Q(HQwVal&9QP@JXsz-+$2uqkA1v3xz*YQnx8|iRXGHEN< z58h@!bO~0ECKuA~)<NRDvQ!GuUMQBpkT5gVQaB1(t_NPV4GAo%3kM5x+zX-h$ z^-LN9T*2gS&Ku92ht92i#u~|dmzYx99Mc02EGaDG_c+Y~{8nCr0ro+S_fZu5iaKP( zT=}fDZ62Q(k3!q@K-9pg-_4+fX?4@7(-Z@1d$ zwi!zn1YX)9OV{M8SNJuKdOXBO=B-P+Q6K+l8 zWj@R#?-*N1F9=?G5#x1wV?^0J#)*LgP*xo!8MB+j>b^1RaRXw{CN8)V|2R*f>fK; zJ-dlR{EVcG3ia;Z`=yA_d+T`3?P!GDgnYg;=le3eKMY?iw>Fdxg;*y?*cFS09Jb0GkREr zKl-M|bJ>C~(_GKNy`;Fp3v;B9Q-xWIcd)@*e$Uaj%*&15+NYw%a%WfjYq`7uN|Sn0 zR*YNOcMCtgjx+RAGqyi&ss2|`xK8lIEyjz?nq+F2;0(+HNz8vy41R{9yX?u;_J=2F z(p315Hf)kgsvZ2qKq7X_8e0FAReo%J{=`u$ZD>WPPsww_|20MK{JS2o{Iq|x5@c$-)lURD znxOLc8s)zw%#n+4kodUt4*(RD$49Sc>!zzH60brVrb$9#PnX$HU7M<@pnJ@S*oh+a=B#d#E zewMu|3#mrBk2(3ZZ;-Ll%>0$0XO4|HI+hBa(98RK-}R2K58D}@)%W81H^H{ zQiw>unzQZ1irG*yzv|2azAU^1NT`kU_EDn5+gg<^qvjGJv-g3oI5;>yp!_l literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.cmp.kpt b/CPLD/MAX/MAXV/db/RAM2GS.cmp.kpt new file mode 100644 index 0000000000000000000000000000000000000000..73afc77cce3987b44386c824647e1f5ad09811f6 GIT binary patch literal 220 zcmV<203-jb4*>uG0001ZoTZUbYr-%Th2Q%t4tZbOq~en;D2(A?;4I9?65A^aUDGT{ z1z|tlx`1P-AozOE{l0VI&RXNEW(zGl%GrAUCSJ<8?hL~z*pqdt*E!=cXZRkZ^@IDH zzJ~#pOH3zE3+3?JF|;@XA&ZjlSO>710qa=aBlP-f0m4 literal 0 HcmV?d00001 diff --git a/CPLD/MAXII/db/RAM4GS.cmp.logdb b/CPLD/MAX/MAXV/db/RAM2GS.cmp.logdb old mode 100755 new mode 100644 similarity index 100% rename from CPLD/MAXII/db/RAM4GS.cmp.logdb rename to CPLD/MAX/MAXV/db/RAM2GS.cmp.logdb diff --git a/CPLD/MAX/MAXV/db/RAM2GS.cmp.rdb b/CPLD/MAX/MAXV/db/RAM2GS.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..4c11aeb820cb3494a0e0673b0b38efcfe35ed518 GIT binary patch literal 15283 zcmV;kJ50n8000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*H7y0RR91000a+00000 z0071U00000006T)00000004La?0svDWJz{bHL$?84b1H96V`BdXJ@8ocdEKFtGXV& zTI8&(>gt-U*JM^#PwyHfx3X?kYsnyE1u*4rDBY_0AWEuWp|KTBIejp(P z0s#__KVW1e%OIn*Wqx>BjE(JG58oG$8|UVYeAOeXXEv|8I^)JYapJ^@6DLlbc)j=D zd++@r{=SF5DLl7sO??DE#pdZ*tsb^(%|=j)&YE%ik0JK0wYS#@B%fP<3UA*<>Oa8W z?|1$E9^U_-`TJe`@{c+F_IvOB7=C^XxqS-B_iCrnTb-!Y4iKs}j)OuYte<})idClN zy@Je;;amLgkK?aY*vhY$|0qJOc8LFf7#M#LznjrxDm9Q z&3fyNpx!*LRfD5Wqe>38g428YdR=QL`xwKyPMt$|!l^(%`K zy?Yi%tycX!Xmt+07FFB9?RHa914f><6yeooRJhP^JO00xzs-{IL=y;cnm>QR7Sl|$%oCLDSrxc#iw zJ^^b1c3RVAeFJ3(+rhc5{2JH|#AI`;34~`INQf4S+mf}q>NL+=!D-m&9EH_(Ck8JM z&TTnZ>A<3*ZW~^Zw~&Ld14`oBH^}>1s~y)4I_+lMnhAp4dK4nVI68ua7#`miMNEpO z2tDe=O6Cruc37*oW^Su?VB5%pdh=OO?wp>6@p-0!AmRt`cMHvA>UYpo`FHa#Ac#~c zHT9?Qvj?3)@m>!*$5Bgt>H6>1k0S!=hAJod>w=lQe(*U!p=VE}g+6LiY`g-)F6SbG zu35-z0-MctvoXCI9fh5GJ6Nwb56~l(`{ev0bN&$iv+Yg(PCh6V^xUun)r5!D&1zFp zwN?+$T2R@vX4KcN|7fo~pVg=dWnumdf~qh(<$hfQrSwLN;wb);mg3Fkv5rmuf9q8k zi(l`+sOq#Tr{P&JJsn5kVWnkSVnta#UxJ-GJ^d`MwWG|JqoY8ZnbTW^xA%kTs(HO_ zu^!dhy|5zfh0%ts)9XMBL%BzJ6yqobA4N!+;4N4@uLkAw2FwVAwK@J|JI!H;0y|~e z|Frf0kLRy@4DcJ;_GgQDP;0|TRG1%Z>}4>2*kHC;IfAgc@YjwiepO>kRQwj~(XfY2 zaF^NA__06%jo3!B9l%J1rPM$pt~G)%cx$V?uFUKi3OpV%=acx=y-4RwnZ1Cvh!jxQ ze;3G&GL471vHXQrD>{V%tGQGtZ>g{1KhJOo!bA1|!>5UXqR=jOQ%7; z69Z4w>?Al82Yy|*ezqHHVfEBiY%J6!-_mKQ>vqF9tkq zVTjnlI6V~$b7F?n*oWSZAsd=bLOt>-f_^Q4!7XxppqvRM^o+e2r?R~|^VE^{gSH0-_A)pzOEfcV-9?ZMioEu!5?OMI|4edRGJ7lfVuC>o)t*)Yp!gUwj z4<69lq8bc5KyeN3E;?y~_OoWt=$sxzXj^qSNZ1JJl(BK>ywSjr1Xc^u)Zlrl!jmOn z=q-cGN{Ls?y3USkPb0YS&d(yc`jlgaSX*aNwRQx59uR`kAgnhVXvupbLrnx7bFJE; zauW8;zujzO9C+cp;WUEcAjNbP!QD2ks3L1nYLa_WgYz=3o}4F2gS=I4S~+?eHvB$H z=!Ec~wPdKiyup{sjK($EJY*8W+{?>j-+)?+)CYr?xdf;i32F zZDx}yYfAr5-F>};sA99xfYY`1l+sx|iK_3ksH|64R`7r70VTTg`!$`KI@3#*HE4VB zhvP&&D4M~TYUb;wtz%&#-|2<6oHdz8$bsEE3P66+`KLz?wk8pgd1y*LXSyB~O$^*j zMNJ|GbAV#6+%syxoOlvd`|*sNKM#zzs0O44OE-qh*GWX0TN8df5B$(ggW~^vGFIaR z_HL~)y@PQj$7K{lPYZt&(Z&0BAGKfx-(77U<4@1iw(hRi+7CMicXbbax465xdKbP+ z{_Wq@v&Y))X&`3KY7H7Yc*y1#@C#E!kG;yYESilTG$`xP`sRlHr3v$2wE^-mWM%sC zRXs&bg9uaRJ@Qi~z?kMF$qvmBnv-joX~dK_h6SrpJ;W^T;UU7D4t~f0Ha2|Dua6^mxkB^3<}hwBTp%wzU2%GE3~Bj61Sd-{8SX#_~b+bCwuJT_slQK2&Vi zf2v48{=;1N@yi2D$w3Yo8mW_xO3$#fug>yf(hWTi-O!|7x@^h!jaWj#V5W&Z8%N8f z=$I~}I4E}yMjwZ}4`g6MFdD!h}rK2~S7V6oW);B2p8P znuye(Rx-->NsF4as7Z?&rNs<_{XTC|FeLFqWocnjrVF zZo(Gt5bpiU7S-%jyQ2?l&7@Rv^Jj z)Hpb89=bK)5evjouTSE8#Z40Q;*5-+a7l8bS9rpuUYwEf6D~<^^a@Y7)QdARe!?Zm zjb7mimwItV#!t8;xzQ^;;ZiTo$oL7DBsY46CtT{q85uv}lH^9O@PtdfI3wdHT$0@A z6`pXZ7iVPrgiDeey}};^F2SXShfaMi({*b~u;W7?;x$ zG`q{q{%%K?K3LIBKIpCTtr?brkJ|o9@?@pGf0nVUGN|g{{+rC&W8WzPqAn(f2=qR{ zU~-5+@AaQf>bO}z@AWF4;7};L(Ok%whBYbh^ZsdD6H4E&T5(cyAKaQVngj+2cW7dZ zP&;no%upB+VZGP(3DwCDbyCT9`mBIaN103j-W$jVS4DpgL366>tHBN|x;S_c;t2Ep z)uncC@jq#k*|0iN(^m^u_`wx`OQLy1ttrGqoP>@N=xyiy3-OysncWOT!v8n^)R)Q2 z)YOCr6CQB0<3tW7JebJAq+giyjT8G|Vk1qu!}p0v05b8=9nL$NaJ)W_K;@-1C7d9| zOIHS*422^%)H%!FhRApS{dawq#xy{NI#_jc@=hWwrN!yXIB%JE z6jg(E95!0GFb5Yi;edCZ&>9DEr_o>`cw(w?Er-YS^63L+5o=E7#DS_j--)Mm0=%hj zCAwn|HNwg7jn3-ZC1cza{*ASa|)tLKqgfued1QFWP*T3$zXqy zW;x|x`1)GaHxB8fQ&VnTwBn9F(zn^*g;6KXXDvl+yLlGmac*z>zG!zl|9a9=#PNM{luelG4yIJGe9%2&6(v7H@KK*yQ3cHl4}EVVR4=ZcRZ~u#G6M zSA_fNaESE?Cn?>`xqZC)VxxgcS039p0=4YAvi_~aOGmXi#0!spA{dcr=KVzk<=Ig< zNU0rncrzT;vpbI}xRd^}8^yeEFFNEg(wRr;y`en7mT2GaFo#)V&yAGn1S&2;ct&VVEAL1_lT9CXW7B z>8;LCM<(~A0EyrGhlKY~!04YMc52Wb6cFZe6#N!2{5_)aP*6ecSHc70pHkMnzPAhK zK6W&l)U`O}PL&t+9Chl!bg+f7W1({#(mN>ImgUsS5H#ajolM7ZlHH;kdbNOI);chN}S6wb#-VJg=`OV;QbP%lR z8*z0)bgnp?@@b8zg6+`WA;^whpX02-*!cFEXVdlQDK0Ep(^r!8250gI;c2aIu6Sjy zfMcM8eT|Ku^});fom%?dR(^i=D|@-w+2TDNOVZ33atk|A>xOy6^`M5~sfOZN&OJp< z9le=bSPJe2z#iNQ7H5N30%Omx-_V#DsOR&n1I857wAn zwJ4&Qbw*^!DC5fsHV$=qdVC6-n>&vyyE~89N|i^umDQc?y-KlATGJ3bY;@=7NWZWb z+%XM+;c0pb@W?O)x45&lyRiv;<&E|2!rr6OT4iHZL8|jbmlyI&bBnp${NlpgOl~2+ zoVz!-kXx9&w>&?up(MgyVK&mW?ZV3DT4m)?x$0-V?3L(9FYb*GlD$oo&S6`4Il7l+;VAR%CJ+rpW_6Q7P`A zj^2E|~e+;U-yc zJ=)ycV4=2=waknuMv^d&RUPeG$SMl`?&ii?319ILYtGanPJ8rG?0w;v_#AhJpbW8@}z4;{{LIX~dGPyc6 zgR>Wrb4&e*WZ%-$?LK@Su!DLtg6&S#Nd}*>DWs&xu&4q9>6Ig1SiKciPjJsI?yhLH z8;Pw+s|Oc9JCUPlfQXcV%cY6CR?yUKd5FN%Up!d5xT9oxx2S-XUGx|i^U?disH0fD z3p1u(2>WDxexXD8a+kO&Hb4O#^=sKcn4%ZuR=A%7`D9lPDE{=Pja>UGQkl?5Tzb0>%(pj;P_Y467 zdagJ0ykUue&i8>ftxiDa{LpNoSwd!e0~^e)v@XO3lVV$o9OLO>y3`KxB}AC&YN$bv zfKn=Q!DK^}1~81V_TV()k_v}XsUc%-yy#%Resu7TfD*$yY7B$W%3V+mTh$OV8V;>U z@UlzLI&V}@;%1}SX$94K6vlV!+>)72whVYYpTnOee5iD$ejSfoi0VrB6P9ElM$4u% z`D_BDoxRnlURSsBR>L?x531ZmCX(e$GPZOcWanI8WU1|e*jsdavB(=!J8Yj;@gHIB zK89IABdP8W;cKb5`DOgzVIjI;RlFLB-Mgp5bad#F8gx3EBC#+(r(cN34mna#%r8H) zU<$^mV&Ufs9@>kEgYASu8mL-ei{uvG@Nl5BS?nI4TTZMvFSqh*>?T}gIy=Zo$3jy~ z?{K-vX3^w9ueQ}_U7sk$NO0GFc8OTtjm9CtnFU?3&Romkqc zh`K`*<~F;@Z%St`JKWsjVsHoly^b&*3Wt?AAqY}tMB5=o_)hOE&6?zl$it(WUltJ< zj@(&T5)m*Ek)?@X#{(1+LyAb&`m<*I4u0^Ev1oSRgwQ5e8GTcIQ9YPIB*+v6>5858 zCha0=q*pc>Lw|}$ol&|l_Yp}QqRUeW>+R0bX{CYtzbmI-i&go$;wshV=^4H^+Rs&? z*LxJ292l)wJ~q*O-H$Msi}hDZx4CJ%OS)4dNrIKozvKAJU=?020=ZA1M|Rg>|mvbvpPlv-~4Zb8GRUiu3S zdz{M|e#*aWF7_R1C3gmL1iN!hI7a|{aYHcEtGr_BM5-E2^43z#?L-2aa?Rr@=C;r=#-~tH3lo%j$JRe}Nxta?E=q zMpEGT$2(~yvX3Lp2=g9l`m7OR^)x21Thr=x+hQ%Qc4}=qdhbb|P-D6(baANYEtjC7 zjW^-Lh_%yX-&2636r=2*b;^lW_N2AC8mp?WX*W7+B$%2OcP?YjJ_#XAHOn))XxCGNUN?SyU<(}G}z>{zQ)1E zD<`D7-$_WJ{wzFi1%@7d*WOC!h>P7dk`$X#FO>a6lrnc1b8|4}$mqh+%hRob*xOyz zu^Ruda2yk?W938$Z&MVo*=W=c!>3X3l_+i|*CS|m#hsQWHC0Ecm%9MKn8bLV z;LyO>;t0yiNS~2oU@~R2UbGaF)C-xn3ANLv-rK=+0Ow!SP64eC2T{c7FSP(fXdhEL zCC#Qt)Cz3qYBvTN=efq)Bl-=66a>UU;rKYl%A6WRgiR(qsMTxj^Hlv_C<{%u%3`BY zYuDfkbLz7kd7P*03e1q3^B-lnSc7ssdKQ!tyEVnx)Cz9jlab8{2`y$M>UxZ!jv*VIc9 z_{>V>VE5!48&AO3@_7RrI5=a-ZmF1ZKk9)$<4rPW)u#}rUP!ggB^jZwcFyX^j{ZHB z&j|QF@55JulS^%x8Iicx1hRs3I_WjCxGvx9N<{d}rqsh5D5vP?7v&Ir8(2l39s zg6f1nUGZr;(9wc-0L8;G5k*P8biL6yP-5m|y)Qu;St6z8%!wj>IXan?Oc{Q~=4g^6 z8Fw9z(jUtlK0j#2)nv056kg$JvxaF8Of8&YM-@7c!~%UB#;haLep2<4dieohy@{zD zS_0Iw&4w;D6-;Wi8XJkR2|AqPX?4!fK;WIi$T@CLch2BF1?Pi1a1K5ymyDw)RkqJL z6#7~Uz2+SHIBExXR@e3`#m!Q~my#{YWG+)enedLrNSkR2!_Jy7Jy$eXgJydJt4Xj1 z%3Pd*FL+XP(u{#99Mz6Hyfgy}pjE{dIx}9Ovfkw;ICLRp)U;jP!#to`qZsjA?9gd| zPlv(>%Z|FxviEfy#+QjQ`Ai9tt{5 z^uP*a-*|!XoX(1!h+85GR}?!|L<3MTcU2H|h zc+t?6ZY2YFsfL|4Jxh=xWk6zjXf}>9(HA9o!wOM`FLy+%O=t#1isz{p`W`muPgPpB zuEq_tj}!)s>k`!UX7kKoBMsKY|n`V1wFIZ<=-R-nardKeT)ISZ!ZY8YbHt`R3J2roT>qi86X!zX0AaO=`(6?K}=fODxK{ zSzOy3I#c#&hT8JZgS|AZQdne|3PKOY3vAvSaFiTF&vR&w9VFOiGO$X?UOD^@_M+-Z zqxN;XDd5BSz7p0s$~r_4Pd0|)i{2OJu$khF8pn2B5UE`>?M{Xht6n4=LW0ydg5ARO zY8+yzksD)5w#YC|E<5&n{G`0`_{lnSFcpz<5kweq>A@!8@T9a?>LtKem3{f7cGOP9 z9)UAE2q1#~V)z{OTU7nZFkR()+)jhn4jGXGn%4w-bvkba|ULQ$rMM%J;Hp-XZ_ zhN|=S7Bw+B!WHS63zUiS7U9nhZ10|L$x+rqdh28O~(DdOl8?6~Ew$$EG zFbZo(1`mGck&u}yb+*ZY3QB-p3Q__ey>WEGeAh^|NGE7zS!6g5q^41-13}@1SLGTTaq(O#7QoVDQ9_yMpFT8(J zt-DpOU`&IT3_hieUp$HI2Ed-#z6{ic4R$ezJ@he?f2ydd7q&zz7g!OeECSnkcr*vy zXXHN~5xidCEwvIA=_x#jTso#U%qbGoa!chxF*I=mB;VPx%NR1l>TNmYkW#Ifw}$*2 z23s2YK;66ylx9UInw0K%-I)Z;h1Cg!P=sKt@tNsZO?29LO25seu$P}jXKiEYUk>m6 z6yD5H3d4|1vB6e5U53<)8o4Vs#MYy?X-jjVYvR+8O+|*;x2h#T7}T)2aDhS6_S3pF z{l;ZIj?E*ZRBPJ;6o^+z>T=5h$nY!pjIq`9R(J+4!ZD-(cud_( zano2*Eim=+G5`wpoS;{Q02%S@o>tn54r`rLjg`VL-|2t1gSMne+b*qefi8_c7{~ZQ z?sc+%7fk^_c=+ZPfzVtjV}cCp5YPEl@6$}3HAFuJ#+EhX8RM(+U=vuQgI#Z2n;asR z+Z}W-m~mR)UR59}%Y#nKWI*ohD9@Gq>M-mf(r92bU077aKpZl66DJfQ^Gj$bo|HLQ*w z(XUi0di|oRfgrWxzV9Yvc4=JcHNq6Z6kv)HiPo3TP4jd zC{H6B&*$)GDVLpC&*jxdk{vr;=mp^NE|aD$w!E0^{l@_Sp1uD#OU9T{?5eS_jYkD= z@Bfm6R)b`95}|F_G?=P=7g#G#VE5q}a&ZrpN_qu03Fv+JfMJ&&p`qVy1pvpxj*cf5 zkmyBhqYYkH(JHGT6C|_DoEGgEobWGTOyzKbseAP|(|OM@pikZ)RI@vVnS`G=RHe>5 zpyqsYudr_5n0yv+JR3pO&ufS-pv$ERjp0`mSM`X)Y{&FuXW-{(mE&R2FS+R5 zs%aW5ZvP}%47Wz`GJf$`LF1kEI)<|OHaw_Jnk*PlxY#F|*dN44u97!9U^FPl*y&ky zGn&(%U&4>=l3<~vzR{%6@}gnMTC+^S_#F=Q2a=7YU}}Yqjv?8}GKJvLnTyRXh+~h8 zF}xllaw_haM`a!e1Fi_q+*fD+l18HH(TKkoD>7(y$q4P<1z>bOV`m+h_O0i;04@a2TV@;NVLV@_~X&^(u6 zV;7%Ava#@aPSR{F5t1Zm&a$x!VB9p%OPY;BA4n1b1ouTz$HM1(l4ie#@bg)S_PA+Y z5H!yVfe^uL)pu2dDC$`FyeMfFycKSCTr15SW^Wa`6|`TVvvp5K3!<|%Ar+hq zUryO2L`w~QPq0@6{X~me89S$?inbHVT@vdjT9is?k@1L!)X~-f(N+7RnF%!_C>6o+ z5G|FookARnXg~eqAzEtb1iI}@DF@{|S4#>&Pg!&lT0rJ7z8l!~ArTCi6Dh}iLP zTIy+gEI@DZ5IhwPaqL7diOywF&?Fe?=d>VNz>bI0%aUlpGC_!+XhR-rMI^gcqB-c= zeYjyrV_UKAw%)QKcx%XHI(vR6(;1Re&F$*iA!&A7?upl)(Zak1bs{Ka#{^YbvwiqA z(M&HzqgX7I@ry@gE@<+LMwWh6SP^}_jMhY#B+>TZf!@-QEtMiVYj|7}rzstc2anej zpB1C$MQanh%TgpnmqAgIYj1<&^k=<*vg!FYOoqx+Y1AyDXWI=Ec)eEiopN* z1&?y{l{Va>Asu}=2iJ>0QtV)5Ar|13+xSTqOnm}h)J`^2BD+|_uG{|@zV~*GQCN86 zJbv&H)}S$q{ji zh>Tij3IAL$K#uD2eqk4_;R7fEa)dZ1Y#vQXypp!OZb~CzL9=gdXkmT_HF|OK^AA!@N-anX#mgpi!Z8N0KCt2zo~s`{^tF{ zFB-fk{`s;u;_j&azyKlwW{jAb~#TVn^8>;?!JMfWB?Ec1i7pOpWy z;!mEHieFyC4<6S3z(Jp>a>Cw_;ggj9lC`gN-|Aai4_Wrq?n@TVU-v>dZm90BYRGF# zzo`nZrV*A!aZ$GeEB~fN|0)_jieJ{1&(e!_J+Sl!K(@b8 z!>I!BWeB6^DjR;Il+2H_^!@>lV1!`L4M~1d`V{Lf(CaZtqhx2=i7nZLOjd~IE`p-v z-?Z#YQM+LA$2o2Paq#pCx1pG+>}yy7@ByFd*BxHU#l0+=y^wX$*0W;ICL;900A-MB zt5R@fI0eL)A#~yBW&n*{oXULgqoR0bJ%C}2G=rB-!MZep=pzMd1V2RZ##xi%YhO(< zm^acnx+EL~LTvoJ5q$ih@TMPD^^>%VmA}7}OOKT6(vBJXn2mt1muwfnl-3d}#-<8_ZT#<@oQ1I~KM};k<*K{5%T8Vcnk_O{!E!@6 zZTq{T?96Gk7SG@Z4~v}Lh|N`yDb85*?tq;a0K&DE;wUo2;34QRTfhZd-LViZ#5R%~ zw8NKUdz!L?aUscXf2@QMHNs%FZ?VoG;|ilWghjD9lNtd${skB=U$U=+!~T*}{F%!W zrqm}5`nQu4$loYU`(({IC&l=P65Wo_DuyVsN;rCE>^)A6a4uCHR(N zR^n+HA5~)hUhvIOrI>})K6bn_KC*;^Rq#!SyK}~mk0@iYyXMS>DOjaC4pE_Jyi*1s zcwCy^`rN@tmDC-!*H<}c7rIW>NIG(+a4ts|y7s( zVib&Hg9}kEDG}RyiU@UcWlxF7%`ABg1c$6!3akmCh!E;Tv>PFqRCwcU!-_7UP{d$Y zG!U#Lv=hqXX~l{xqfk^h+ryI(xx#Ubgc-3`gc&Xg4Wmqt!dLAIgfY@(gi6sRT4A~( z?TXMb(q)87(UtA#4ZrL#Xnb_p6_JWA(Kgfk8h?AVtCbX8qHU(>vP0@I^2^ASqRZdg zzr43qc3M=ZcBdg-hx6b1gy<>kHAjq9Yq$cdsLtHfzEyu4TZ@^w;R zBtlV;Ro9w=)g4Viw{SQ%yATnLSPeom+eVaCfYwvkO~atE7YE11X1#OT7;*T;g4lJg zD%?{yTmSBONfYWhpH~WGdFXnQrBJ+@DM+aji&1iS353A=qq*?7TR?>tiZnd0;G^=&TN}90I zJx5_CO4rutSUYUH30_rcpB}-sgz*w*dIZ;dcU9?FtciKU7Dt5(UAHx14{1=&`)&7ijci@6GlEw`FBp^ELA%g(TL=rnCZ895-54vu9;BiwM9fPW63Bt%;FWIa^d5cUxR;R& zR_`|c@DQ(m*$D|*Fq+xnav>`i*FM3yAoQ^9T!nzL)4J{!vXHT%9Urb;Hic5P{r9+w zFSMuatOW_WgHBejjIAHE$NZ`$BV4$q$x!T-g=)uy<0DL%&h|nX!LNj{3p#DQ?fD5x zi>H5kw&!Lmj){S4H^=Nib!;57r;sbc#1O5UFgrvWA7O?9MVJ_#brW_$cg-cLQW(wm zvRzgpV|Ky7_&6riwe8b=!f%&;jE^v3Hrozg5H?Cb;`4*M9)Ysb)7V-R>fHAEH$a8Z z=(dx;0V;&;YkT<{pu)5sSAi~c-JSbV#^@F0w-(lpQ8sKT*J64v3G>&QI)aWdwTva9 zY8SGd)3~S*dew6p__(N$N;KQ;jEf4XF|&QlxTp{s(;6^0Ae};ET5IJ7s1O>{b2Z1f zYQ)F_J37y`71UFu)zlpGLG7>>BO1@OF4VExaTR6RA%UUHdU^OdHp+yKUCcV|3}tde zFgD7B-nG_=kZePl91@I;vOeyI>+YGCjr2HMK=5R2J+s}_sN^|2E;4l^2Ondr8>yAE zJ(QtLP8E-hGO4Mvy_TU&It9i?nb6+W@)J^}DSKO2Q#F7RSv($ z!8A7VG}cCTgCvP@7jAq4Z+lUE%6oZh%?7R`Y1LZouu+Zh z0gu;jODPc7QE*G@cC#H6o`$u0cu+S<{lYL@T0-S#?gjh!#WQ>&Y*V>Nq7ifA)yten z2+GxyQykD8?8UX?W9%s1Xe2u=hohO8P|z#Dr1G1|k5>BI$bNHwwOm=N%#{$vGaTJa zSSnv2Aq$K%bj~0tO5h&WaO-wlJvk4yn}LWE=EtsZa&(Z-f7g&gUzCW{RmRp<9ND0y@*dpaMylziC(~$qPm;C zcoJgQa)OPt?S|ENa1nG8_ff<>=-_&5QEu#VMl2q-n9bS&_yKMtvGkLDvsBp1ua^ll zHAUX%7O;&Q|Glna^qFs>^A(ny^qrWYJTWd}8~0+)Bxgi^Hn@|Y6%mVzfLIg}IYi`a z1jU2^;(LlnR>HGp{0@Hbkg>Q>{+p<(`}nJ%cG}-mUzEHdYnwCGrkGnY1?h@40Hi@A zz0B*!fXdLHB2v?sF3f#IqA+*}S_$jz&e3TFSFlHw)33#k3@D7TpvK7*m< z;A$|mT?#<)8VoJ%kHOG#$TApO4!s6LOOtyrv@|vcLra(aU})(_?+MMCb$1F5WOim< zOE==26q3|E_CDMRG|5OWFzQB}NFNP3E(h<&=oDUeDPCU^EM7S>=_VqA&0VZF&7GiaCE~!2$kJN>6WTifn4*@*1=Iv6D1lY1} zC}3N_p@3}xhXS^hG8C|_l%asFG!F%ArLG?^M@Xr4A-#A^B;6-p41yh|Iw%z4Mx1`M z8qg824pYSj>o65;K!>Sb13FCQ8qi^?)_@LEu?E!k1w-)Fb_D%El@y2ze1?G?roO1y z(?`(sk}cg6*`u`k<*#wt^H=*pl2_uO+QFd7dB%f4OYYq3VL*lFrdRn@y{;z5Yw~r) zImcOMXMq`5TLHts+7>emtZg#Gz}hx546JQ5!@$~BGYqV4Hhp2q!L(tVJ|v5M&4aKp zjru_d53z}3uvpFO_2xlX=SO{Ms(z>YrrC+BQGoNU4)s?KT+P?bS|btz%Q=p!)I%@N zX0h`2y~>mM%C>O*8xJ!FrbUjhgQ(#gJnSFsA_Cc0%5ai}@+2{jh02qBW!oU;XXD$y zcpm@wz4zV&9z3=j^OYyL%Cmhdp1ia*^dT z^&mi)V!gQeWi!sDXz0ggV=vZbHg=)b#j`PEtbdo3#&T><)rxTb&KYm(Cy{UYyiuJA zs`V(0-w5oZ$APET zeItwWc9My$AG_F)Y_O*fUA%sb$R_NXvf0>qewB?W(#5ke%pO@0(Mxg>P4kCYwwaQ$ zJht^*VGHSx%Cv&-W~nlak(JpW)kqcAAC^#6u+0mC16wk z*Aw@zJaJ@H_p_2jxIA1a`8f3*559t@yuaju`^AI@4&U#4;EvqqB;@EzqNYMmT0|K> zJ2)!R?#BoBzdUI-l7udWYYfT9Dc5XWoJ-;2-uCe7S3LRtnkR1B6Zan+>Llc(Gl`n= z(c-Jif9a_sU)s7uorHcd3Aw=ENN9Fgelm%3aPI5qaevvv(|_YBN8nH=p&$3qQt{yP z)wwUur#C+3$>TpI6u7{=2kx`(a}p|f;Leh-_-I;yPuASmB!O3U{~*EQ;CyL+%LC_Y z3qR$l4B{@qL(9(&Ny}F~Y43P=^`BjKNyx`PpKN%tnEKZV z78m#tPrg6rJ}04758S7duP)&0Q;fanfDrmysW<&HY<)cP(DDl&e8261^YtnJ!&7hH z@ZkHXCyry2?&m*x^8GZ2PwH`{bRqj~%Bze2iif^o(~bk~0WxtV=q{!E;*)zHeIN1Q z`<6qKgnVgzaUUNNpRa8Np0vN3P~ZZ-e0_1ZJovur&?F(B4OjLw>R<54hOdwE=_X%! z4?TJOj3+6{zvA3h)dB2OMa z?ZJoQW@z!*NI&Z#@JBqn`gsrB{w3i4z6alq2j4&UzOS(`2 z>}*>X=}Y@JJ+yd=;gvNXegDjZ&u1U}j3X-veaw^gH8AJ>-5(?S?k=A=`rS3Tq!A>dhO!O+@i^xc6KIb zw-aztUAc`liQq=nLF|Y1PNZL1l)Yv@+6>;pj#^QhH0ld}O@@?|jmFa`ZbL%TLS%fWLDSBov5q+f z{G+HqlbpOWvk?00<2CDf-eO|a$f@c zR;S*sozowoeeo!x@d0uocxg!B>c*P{pb?#l`un#XNQd2yQ(i-|jqqG~%pZQ3QxFCuns83~q_czx5n zyM2jOZ>D6bVh!{Qh@R(dP2HD0u~s(=E11o53#N%Z$Ge^8@K)KkR|57NvU7 z&&&8hRZl;w9Y#U9cH9W-X(r`n=H^WChhq{oY7RXYM91Wj{P4~$^C>l}`a20TDXBR# zeXQn^by`;nioOMK^UID&AIA5*&fUnAoAgQdTeh&;=sE0?jQsLQg(iWfw{R0}j5I0^ zA@eY@pSV~!-GLc#Nkt-GXF*nVfImbaA;%CF$G^Al}x`7(zI_@xyX^ZJ*XWC*K(zHc~Q!>|qrVX=ITA2-~ zc(pjSt5N1=E-s*s43?swfNECpe?l4_rrFg`pQV!<9;TD`<6)YyhKG(@ejZ8zb@{7U z)9M@nD%OYF6`PqYP_f>!*}Ef{+y4gu0RR7Z0aTJZ zO9Md=$LGvb6Z67o6hR9S1q*{Am`2>oZDP#bCAnOD#Do(ptgIBYuoASh(cUkhjR+z( zRw?`j3KrT}_yM%AadvMvl42Nk_c#BYR{|7>0YEdI+d&5*G)q$*i&iU^&2FF%%?FDL zz*4I=>%r3~8iVQ$!ywkCV-r=UPDNd%c{ikqKnP+Oa=y3y%R&+&q(~Y?S{JMmhGo3Z z-abB|gA^K*6^uq~;!vF$)O1y&_}}x2=*{?4oi0@$vJ)A*yvd<)Wf~#SioNUH0s>S+CENu literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.cmp0.ddb b/CPLD/MAX/MAXV/db/RAM2GS.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..62186e1a9d115af9a1934eb3436119715ad638c8 GIT binary patch literal 79054 zcmV)2K+L}p000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*E&O3;+NC000d#0RR91 z005H(00000007Q30RR91004La%)NDV6<5?ZJQI@O?(T92*@IhgcP&MO6p96RO|ak| zTnhwuDee>q5`q*c*5Yn0P$0m3`?pWdy*Fu}=Uv}g?;r21m6LmCpR;}MBXj0l$8j9< z8y8RF7<>g+z+YbegnR$5{yhP!d}T9CE=?)%-!NDh0KD#afA*34s=g|}de#4*+Ir*n z#^(K5VODq4=Wkw^)n|p@|9{}k8=Lp%8-hpikV)D;^tz*Ps{8llejG3S8RYY0FHX|S zohNcfwM{2?p2?lhQYviE*=S!~Nv`7tyxjSxKZyB~b#YqdZwzUrC{K zqO_{~R@G5B6?J9V)Ph09i{eM&RGL&Zy}d|TP(j~`9#j;ln#$JR8df$^7}RG)MQK>^ z;B8ZHU-ITw-B(l;9@SQ1Qy9EyDGewL-c(c_U-ogw`4asX#sAs3hChn`xKi`IS#16W z{2%%FGuMB}?)HfP>+gR?~qiB59=I z4$9yTD%g1b<|j%%wvS!t%?zu!O8bVfnlT8kX5I;BX;7`Y_SNA#tYz>;Tn)j&Q!bqI z%P!HSUaf5V*mJ9u^nDDD!)ToA{J|W(EtUPHT!{Vmn|{{d_fAmUTYPsZ{}~%0$1B}YP zT&Ks3RQB1eA$H$uHLU_#EnxtMvQrq9cbuX`s|yQjS(#x`%U@vP02k(#)pw{?&2;wf zueZ5Ewa&NjD8ncO+D-HJqHYV<)Az;pSefI3CB9w&`5aWv(DO2#`@X7u{MbAz=$#Yf z2eq{0KVuqDEZq2<>eOf_v=b*Vf}^08n^4Q2U%1f7zlAinhA`*SIvJE23AOl@IYZeh z+0wd#PjqYcImg@&pEzCd2HlEEW#7*>%2huRXz~>d{S4&5Fd9xBOIiJ<5$-np%Lz&d z)-nQ86Sw|ea*$eNSXc<3|6%uj57r_fvP6z45TrxoXPvq`EKiC3dS-88{<%9zH^8&Hdr8nmDYmo0p4LKf*!o3Yjq0S2NIXH z;&Xk`d28srFss8)66!gs}Dt6;GU2o=rRnYuMyKl;UB3t#NT!)Zj+csf)e zLH?)OQ+>e|t1j3`TtBxx-gmlvVscL_|A%OMpJKTA7g*c9?;&NcTT!$oMgYp zu+lPZNgii8dm6vOQ%`U9v#HHkL5`IA4K(L7#GKCG4D+m?{bMHucC+RSyj@|@HCg@b z9YYk@oq(rtfS5g@y6s2(>>C{v_>Sg$Eb!d*R!fdww_DoPRE(_w?XPQJ(*2OOcD&CU zt-M;Pa7D8gXpcA)N!1%Rwx_0iW3^_Ia$V*R4(rA+=I%>v?@tk8ADA)CI;IoXU&wfK z8JGF)&1*W6s+Gvi(ke~2f;)@^f74IArj&^k7`=_CMe1?(GR%F0-q4s?D&^+m`2K<* z8pvFk5o}QB;U7=w&WgtNiyM`!3p&1Eg32`3f-$K387dbym(b3^iV%Y~0hs|z+ti-A zzTiZQ@2TwY7LIZeaz|WSLVL=p7;|&pi#az_aBZh(&ZX3&iUOm$T=Sq7_8r6cCEil{ zuA%~`=SD(1C6hJcgSPhbV#RB^rfO#j0-ljT5wFe&Ds*~0oz6PWdIlfDm7b{@{g@Ra zex-1_wx*n&sAdOit!}*nrb`d-=#QcF=a`%}l1EW6gDXFZ<|y7xT2IS+Cl|H2lSep^ zZ$h#7Qdpth=gv#`cV(?f@+gM9)(48$oR{pr$u*gIm1`Wdwj8air0+@J9bHHH(yq6D z(yZ-+)_j;hr_Sjo6nU?%-TPQ`>yGx8>g>bLa3F74I>ROP~0A0hpJXxOFLF~ur_GgsuIdYY3I=7wMs1|v|0kWGc_}U!uj#* z>HM5nYKaSPX@u5=E{7GGGe@ZUi%^_h5<>0P zhKo{pc%&Q|jn$>Qn#~=rx~^-^UvNERBeQ0}{JNP=Ja22Y)H=5y!Yr=rG%OTO zwYKN9Z)7^;nx(DijWG6DbFxd)DjoG;#$vW%#|?78UH0zvP3MkochFgrJ~=VutFCzD7D1ZYUvNW zJy7W6vAIcSP4DT?2s$W|lb7;{Pt$BPN*3`%3{ zpjm5Gu&KqpV6b$tCEyN^pd0_OlWAtBhl1SVMlukshxoqxnUQPOW)H0Aiqbm&jQGCe z$93}b9!L!vj-i@Y$6Fy#1g@MY>a$?ZiTr0MQJnI2)q&HjFx|Q-WD>!`Hf*J1TPjG( zEdo8^stmzKpr~P8ND|Gg?$}ODbmE0b%mV5WLGSX2=5EXz@7owYU z)`rlz-#xiSd`-0Q2qw|KR%Yf(S69t>I(ULFGwOWzsXMJ2yp}SBEVJTkU#o#`x8eW-wwLGfC!oCjCA=4xM?2ybbEC>o!$Cw0xdHm0xr0>Sp8wRP+e z-3Xpn2>42A){a7^2Pm}8_k=aDSXW-G#RqF^R!yR*tIi2>&R2@H;_$V9;A@(-)rG6M zc0tv+GB8;uIafBjO&dx*KaQvNT{~K%v`I38wK_1#kn3ydSwV8VM zNgC5zf|x6Xp0qk#$3!Yq ze~k6JaKlADe-`-_EY{=HR%#Vs+kf<4Zza~8Pez~@W~Dk4e!5JFvemUivYfNp=V1$9IG5wXxMcncX=&0RO0MGYwb*!DhBKEJ9sc_qP6!_F}^R~#?_o5Duw;$RB=7< zhN1ADD!RSpIou%wbKuVB{oAZ|b>r!rTry1LL7U@zXx^2oXIV{uQV(mB9?pFO>j`0? zoncos@!AFIA3C*PHc2W!nREf`&Mey`Rk*Andx&!CYL zK=JFIVRSg}COSQ|wAD%bT4rb@6_mR6-9`%PlSTUPPz^o=r`rS+{Rhsa=~?5%jFQ3- z{2p3w3=Jz(wSvlu$yrhnYyt#Zz-u1v)Pu?WOq~_c*jUAt&HtR-3%E6-aJ(n?B{lMM zkZBQGYq?u&TjdJU$csTEozOaYXiqZ!Sraqm3UVnzP9LHZ!&J&0r;&TW8ad)SxmHUg z(>Ak#0Uh6O>vgcQX`S0l+xZg=v?#Zh!q=;$nb0>H>pZ4n%s{aq&s;j#K33A~3a#}< z@vw1Nq1GE!(wwMSTa2W+6|FUEZw|F_9niFAv!fWbL1}f4(e&N+i82s74A&etZfEsyHRM?e%cu9 zDy3<6LgGRgnq}(Oru2sw(b$(`tSy@Jp1`#iexByj4l2Dcha|2$|2RRdZ!=`6FE`|6 zi6En276uBF|}ntE*GaC&yZ^AvGJ|}+EdFT z`W^z_VtyOS)nzeVYPre!tOesGMaQ^_B163=w6g@^NQDS}587obFQF`FJ*zdc&Qy@enspetvp2^Q3X2BU*Q})k=Lgtb-Gjl8 z;h{3w6XcB6acU!gH-A`84d3}o!iFeQ%RRwOqW|nU5K6JhX9kd=R7AjuQbzZxJ#==X{r#n!>fRVI& z_cZISn>WCF^1Q^Xd;T=V%T(A-Rj#>JkljI+L@+Tk2C|&a;8o$|zfifuADZ@7=)4mD zxknyH=4^M#UEmEy5rEeDYjmI-MK;p9CcCWzXoPDg`cO`}j=4MXF^$^U(jK$fC9w|#1lv=h8PZ*|kFwT-!+d6~gZ#E(zt{J=naOv^D=DyFSGl^Z)Z z*sA8sEfKVTf?D$I&D3NTD=V#)m~*ElC#`}RgY14|PFig=@<|LaE5K9lGPq+iB`irSp_=qmfqv=WF@TsAIk+t5`d`L?xg6Bed4Ou(zm{qD4`; z?0$Ks%3@9%t+mOJsT=&Uy?L6Zg($5xV_Qjt7G#e$GNaIb{_4*L7SmEQsu%F{<0!Vq znM}>A|A&APMh7La=u5u4G$-Fm3$i<*RPD&MX4iM^)x+4U zXboR-Hn$r>52}r(K?lFLa+b_>>U z)I^V9-(y-(7z|wfZ86Pl=}A4p>t;C97cg);`x0u?UV(Ygtg>Qbgdk7KJR{9+CHA?l z=ol-F*5|phd2VqZ>YaEa?OSlmvb4o^fU7#-FC!IA^4{+3-*L5 zI4=f0qN79AQ^mdP4if&w+#R%Tz4hF9E2&2AQT6go=jn5!iZ%xScrGXj+XLR(JCeft zD|cwijx6qQ5T@n@Z=QHWbDnJZDXWBTRRyN*&77MZ`#z;S5drqvKI^TzI==l7ecj>B z5k;O;#^z1zdTFm(?jhAPMq|#0))u|@w;zn@V_&)3$LhhXNsPT@M|NDG{o&|C>YiQ6 z{aVM^P`FfCgz37}Pigvw0Q*6a1J-V>^YuuGi=nlDI$xwx8JpO6s@Oq$iNPnWCon9gF}@&(JK?ad3&Jm-Kvu4?XQmq^#g{<^1%l~LQ9kq4;+nC#dNYL-~#7M_Ru~E`cZc`W;O1)S&mSgfg`(z*rIdHQ z>c=$Wcr+hu+(MK@&Rt4_rYLYvR*NXLCRa3bAx!m9^4N&Et`pkc`=F7u2(*!N+Ed>z z%PG^l0ajd%eF}756bvV<6Tt(b9FlV9XkR;z);uY5jFs7TP@mtFS`M=V2<<(PJ|mMd zHS#V=w^(I0@-&bsCE~DL=8KfhDsK z1Fn{U_HV1h=_W5)jv3R|`c6NST+iAyPWp14YP(L;&}k|R60@F!wUQ9oAEdNeFzIoJ z!D4Vei3>+hdalj5Wi8X37e&@N4&7`Yo5CIy)yw`de6E#^)gqpn2KA00fPXyohStw* zEKveoNr*o{5Bw*8Gb7i&roU?_a8uTrB#&y4J3nj9Svx$X{VKAzeWuU}>$8?S064Ii zW6b>am;!%lZy!io*&3s1^RUJ-HVc2lBk0^aTWBBDQ8E-Ro&ucfD0$OvzAL9jz5}s) zmRWO--e^m;zs;g%bq-s@wMl+q0G`$G0{KTj`&f=Z`$m}qRsroyzp{u%1qk1)%_Z8s zzMUPJzp9m&O(JTM*zE#bzn|VlpZDYz)+V#K62L!!wO3G!4ug#)27AK49*baRV%$#Y z-Sg>Ai^nomgq_;(pK+KQI{kn2H$!q!8B+`$yhp+8J>Uqa2{||pqCV8m)v1JIN>Il>4 z&U30UyRF?a(=qGGzmaz>|C;)yYcFG_u`IVBuLHS-fmhQ`Q@?GhljJO~{CpSW7O=KA zHdF8ouT^LP*KQg@tG=m)L*SD!lR*Bn5RzC(H{!V&h_1+wo|V17!W}+>0UZXx6}!0l z>o6FP)|FB83>`_g+fSjI^+s9zR7&w%j!Bq@fZ|1xa7y{Ww)ZCe<{6lL=XQsVIda;a z=S;O5kLqsa)b1d>>|*npgEl>&(Z!WoIN2zBH{=gydn60(ihmYKZtc=K-wLL0vGbS> z_RXbs{6ur#;Jwx_h&)`Cz;q(Cz7YDF_LXmAH*0ppdZSw(2Gc$$2E2JeyU8afOtC2@(_EZU?6!1bHXm;J< zlQjKe5lJ4+*;6G-9zyO3K%rU7`}n-Y=+tFGVS|BUTwWi0T;q0j-OKkqL#jSdUv9MS zx-YK%JWa4&xMdHkv$ohekiCi4WlLP5c$L)NnjpOsD-&oR$DnOj!Ibu=S|PGiW2A2V z03w%z@Z%?Kqow!NPL0y6M&TTjLL(8}L5D$%w08-z{-f>m$a-$tGLt8VT9=PRK-gtcq#!_Y!2HS?Yq z)<*^l=LXB|@USM>C|)<5Qhg~VoNH&1?Sg6(@s@Pql=X%J!v?~12{?QJRQI+RJMs$! z_SFJOy_M*J2bVle59=y0+I&U(Yf%jO4dA{BPE+x63Y?!Mm0oZmQtm&HPHSy`k=w4X zI)F71!`QZUIJHf?mC9F|Z=KcQ&vU0}Qy+bKjwbNXqGQ`@RvxYMBuv{m49%825y!5u zbf|sj=f)O-L#_=dS3o>v+v(JH)4Nk7{N0PSmr*YdbXRW01v=5J ziT!huHr9{Y-ab%^%?y}hHl3tB_f^vD$ss8AX7Yy7h#MOR$G@cvQA6w-%X(WQbO`bY zCD`OebjO*P?GlZ9t6Jl`G`s>wX${WHce_Mid{o-wjb|!Ce+TCW;VoLJr7Lc;cw(w9 z-h=6w)u5Xnjzv)E_l@i`ZFA+vNJXwyD8B*u;eg6^kEEOIgTbqmoXDRaBz%9jNHkV^(AF`);6Z6&IMRTWlzq(pw|~;fiXfcTO$rH zO?*M6_bc$*_|d-h5^@WZnzaIcb1hR34zjbOnAZ@3#d|)ZGV|2H1X`1&zxepH6bzhN z^^8tzhz2K)Mvj$JQ*?B@@H4W46*w+CQ(8FFe7K@K?kVA$gZ30q&Qz5R`V`KT0QyV- z_A@7qpln+k+4ssdvG7bwt}@UkE0v$z_t{Cs(ko9*q>)F0^M+i<=}}!bcDm?m4_GzQ4%(Q}Vq+^GDGOt^f?E81LaE4|WcIBD`>hb2nSN$#n8~?t zW_@>wQXgn*?`_b?a_^nvZozQ)QLDcVIf?QYDofsI;5kEJ%f4_CAyiL@>QG~AK$7I80d0DGz!Gz$IWBGA?uIYr7z z7H`N=*G9Oae5@PqDWxq?MFh*HY4hV0Ma7uVq9pl8%gwwvZ*hMud{|mf{_upV=T@zG z$E+GccShc51L<`Cz4WJtt{J-jUcgDy!Mc%Ilcv(#MVvS%e6rGUd`mC52pahr&NC`r z8v9|`5@Ai-)3_YPtceN_=1y(T`9Xo*YC$}hJD{~v=Th5GXDBcuQ~ctCQ=26>$G=K# z-&?G}5KP2j&JDu{O6rj=jooI00>>2?7reaX7=JJWPStd&?RSk8_%=V=k#s+h0p@1Z z(Sl8xu)=z#?ak{rj&U13#=WJFscA?PSsEy)<*wmYYSQW#sdQ=;z-jbCvF3pvL`S#2 zQ7K{m_$;_U#v^`syGF3NDNinYM%pEIfjHHy1-ca$@y#Wm@VUoo8nrsJy?L$Ie&lJ) zwDS=tE-imb3;$_k=SqCRDyYLh2U^Di=W|!Tp^=Gu$UwBa?#I%@&xKNxBWI~b^s-}u zgwY+9=D{#Nj(bXrIB{X(ffZ31LN{TijSMjQ_p2|_yZ5RWOrVFco0w^1I*VzBq$q4( z>M_@DRb#a5caYT6oZZYE!z7$aK9}is!g}H@TII$e{^UG_NnRbhM4i8?rTrIdF&Wl8 zLx6PS)^1k}Y!GRE)ysnO6Iw=*y5dnYLd&lq=SqPXkG5<4oZ{ott?Auxs2Cn&HGh?jeM(j z&@Z>&2$(aI3gF~u&5wN@Q@;@ej?lZQaVowg!w?@I^mURONf?X-SJ^;8vxa?K{CrH= zn|DJQCa*K`!r`+?)Hhr`b;!c17QvpO_umbx>g3UL$Xl0tjZ3K2f>Kv)pGiT3Pt%so zC#^<0Jp_REO_;4w?N_wyd4PTD%_IvJ6K~0m=3=pc6|ZPottR3vSOgJw7zKspLPx4c zxykb0a|P(6c>`RH=Z@4^GGG|_GHq<|2*ob`8!Gr@|=BxGeUZxta637s&U( zvY2+7jgu6!$L(Klhu2+W#ns`D^=6|51baOFE&cLy4`B^yP>^GCWS)Xxx!1p?`WqBD zRQnpgkQ3x^Zx!Tb?fD;})4<157|aCgMPqrJG^k{(fOer zb6jtA!X6H#HeoiLH`O{*#-hvUYtji;9L;$NI7)AD`S8z|6m&+#n6`-Fi-yP~X1+2P zX;Z7pLK}-9;w`nobbm0Qb$)AIJZqzF{yp=kIPW@t9!(56N5@%pk0wM814F;rGqsJ+uKw9Y^~F-n2Vw2K-Aek+Q;6V zP`y6}inwPag(Lez`21ac?Dij2+B?L9U@=J?7_>i%(c2zU;?L#nHVfuk@wL5?vy3fV znCt7kpzrIc*1n8a<_0Z5f%BAOaF5DC6h5HVA%EB;tO=Jbp^X%?qyb}c4U6@BuG`v@h+Se#II{V zjyvCa&uWog5S-!twqSGV`PUTpx>Acf{ExEf%q(2RIXv_Yb&S_uf=C<8r~s{XK=#`4 z?KQ=DtXkJ#jFM9~!drOm;>pOP+boyPLb75yHk~;Yf-T7~pIS~-odrTx2z)0I0OWHX z?4T~?;tFkTZX*w@F%z!1diPFx=%~JgRi&ieh>ElEtz*zmDmzrQ=5-3C)WuL=TXeD7 z70cGD=E8JDu2~@aJKS}}ogw6FO`r}sA>yJA&TfW@dW0FZ|z0!I^h3^g(x!op_)&tRccwry= zukVKm*e!Q$G?Y3~WqjM;>C5?5LO=J#d~He)jcdf%f6HE36;2R=GBl~?w}@b~(E2P4y6nb9O0Zbvwbc44@>6K7ID%-;S6}-t3bgySnqu|U z$Uh=y32Q0KMbg0d2I==4m@tP z*O{px7@J2AXzFR-X|922yX!X>a&_ie2%{4^|ouqBK}gNy=!u3WKVsTlfk~=zZe8tknRQb?KR9!+HWX{x{MVo<^poFa%9Cxs=M_%iHrgYDyj_vP?o&af9^Kfmp>rN+IL_1@e)fez zfia^5nHxK69b;AlKf7E_1xD`$fbkn*U?o0fZJg2$ITWPqE@#({6eAZ%c=mv@?7W zyf4XF);24w4l$+Z-b6}FiP5kV< z)u)MCqO{I$@dpz-v5Yy7eC=CV6c}>JplA-ufzgJXXBux){$=K*-+(dqM z?m`O8P_H!j26MK_>HO?gMHTpko|mvvgUwECAfx}VIQF)^W9`5%6Rd8mHR1dX@bIe| zhOzt4hcvL3>c`x!$oo@y9O%n->9GDGy~wM;X*F^dli!Gk{cc~5V}E`SBGdB&8hIol z{|$r)&AgKmzEsomV$6&rY+g9z?dhx~_sC=Q%>Y*hJ;LV69xqt%pEGIe7+RWkB31F( zXzkR<#cdig*!cMAC9Te)qQtGSK+sMM_FwgRMe)nWicqvO5K`vCl&|P&9tCEKUVX_U zC{=foQ^HT;5vEE##lU%SXm;S0^;FGN{TO;+F{o^tlkCv&z(&f?XIp@po3-cA=U=e* ziLo1L&nN|EovRL-*ioDWa3)Q==bJn5RJGk{7aD#*e4p8Sn&wnc8}pzmxypmJ3MgiM zK25*gS5NMNQoy{8-7#4=e0oLN)8zLhyRZhZuy+lv_&v1NJTjaTY%e5W_wt*d;QeU) zEVf`c?Q|4!mRs#dMrSG++08lD9k{i6a(@-gCDHW%IEg|os)U`78%c!n!n-=;!9C&H zJYb^hzE<0QFntZ|Zww2jcGYLo9hz>H(AnWW)N&8(7v8^}PV%0|}w#T=!z&d?{XR0kXL%KF$NQ>dY28O=G?unk^6_sr%N zuKL9_SN9e-5c%?^-SDz~Dd*kw)c=n~Rsx+oGCYk90MU7Nz3liJWG7(F zL$}VBc9O+U#nqJ@tp{V$vt-C+`t6u4=eKBoTtM zHRr#AHWIQs1iv+yBIi5EAh;I$thpGRr|}QhPk{FyHhuO4X znAN1zTKgMXlaZ00)vR@$w61Dr;uI8Y6oVYAE4-pXeFLNy#A8FXsDb*J0NhE!ZOw8ObB<)o%1lqfL~c{5os3?%w5*Mgg{v zdA&jeee|nmzN$`HCJH9;z)$fN^{;j>$T?^&`J2}_T^ucND#jW;u zUN7^2YuWrW>w8T_=`-UIGX1cmqI?t%Fot{@`< zg?6TWzxQ+9)SP?TtQ$Us-Phhr7emI^Vir zzGrmb(>=QPZP1CT*I7>c0;gCz*w15)6^nLxO}!qg712OWxv|_qw=VPDXzMk`Ik-#= z6XjEdDv>l{AK7;NFpKx=sLOG`AU5&G%XFhsJ$v(w?D-cWXW*&~weU(`usI>Nvo>3_rD0SPZaH{Twhwx;H2q{0c%?4*c*FB zJF)sAhUnMPsTp3+>Nm&okhgChOdOH?*osil;jy?ekKd71WqTiE9(kTFoVd>Rpz z#y;13s$J86jOT^G5m2{)_r=*vfBbFB$^ix@5`rG&SjRzF%-&xC|797NeS!=N2 zoqCF~mL>IMg93P~NX(00M^lUQX>osW6g!+YXy~ENp4FP(Fji-->{Of;N|7~GFX+a` zuRI2ub71d;n?vcw_X^C|)Y~b&xV6(AC^T}sF~BNUL+GTihVT`5HI#g=seE3a(Md;z zqdc5?P@VR3bX4x1C@E7hc0hHyqgwy-7psCc=s_zRji#*5i)d$&WDEtFn(17=qu4m9Eu+vn zHE5?T{D{g1my-?}FZsyG11l!xbI|@zUt14rq~+G`h=;t1bZZg*DPl~shJ&Zv@1_b^ z3VrZYwq0L6yWiGM)E{5e`D#mu` zMhgaS*{Qwd4xF?;IM?1{lN_;<<;9)6jMPEor&6KLA}etfhi?7L;ro%wTwHXkLY zX#WXM5dL&N$DueK_27RnTKj=DeJIX}rg8Ik)3hg`bre{;9m@0HOH=FwlSW%vS*g;0 zS8>y>T_=({{h+o(fEo@NG7h43U)1pii9C#&SwJZh_@Kl$4h|`BXT#V$tK_bo*X8NglRNJv_w^HittC!>XU7Ieu!fw!Th1 zCd=fEMQe>5D@9kew;=DEgVDNhlV|kPdNpPOFT!+Qw4Q+0_ohChlSdSI5Z8}2bpUT!>Fw+yl}1~aSun||6&YeoM2xka za*^V{SH~~nyId)mwowJe8{U!hYNVP$^VaX!<5cY}k%iZ}p6ejeSPY(C^q|oFYh{~u zUu{qUbEnADwLOuPW{6ra1#+=iO^kARmCA81$9#M`$(2T{g&mo9&4SZ=y+WvJpBZ%W z%6qG?R!bE0*@~UrNWUS6onq${yKeQ%)*7uA4{KS9#Ichv4z#C~Y;Hv|Yq3T>2Qy!y zt3j%(dC06ul-y@WQJG#_4AYh)T5Y@_8Er8=ZRytLlV`;w0%?z5)d)6kUG%sA7!=I= z6;E2c!lEu-j&aPrES$4sfxms>V|Ur^REk-X_)dvh^2Soj3eRcRdllcPF3tVmElVMM zc(dnprJ7ReS=OZtEjWt=n-{@A@=9^--#U)9?{_)w+3s{7>bryRZ@$RiE_JrMyeRcZ z)9wff;pfJWop#WRPby(| z!=2M3tHmhVe<^i-<^g|zXf4218r1f_+(C5%BEu%iF41*jd@+7?=iO+qyS02T=gyqb z;F!dY$8L@BxqmLM`y71S}oRhZpC(6UVdk7vr^f zp?wumd@}81`u2lbC~mAx;$cmPf1J=AuJk%HdB9J;b)&rb))U^~o~Y&afE!dlL-B^# z@>WjFx3K;}KO06Tsm&C{Ikup9bj}ZM`S`_Xj{_?qz%wO^pXcbS^R_ZH3$PFh%14<#$_ zt1kPRCpZ=_a5 zPgyx)B1F1Whw&f3weH0Pc**4V*&@LyQB_Hgd~X$G4xR%@*_yhY8ND%$bjh0<`rkR{Zq zLaeu_JsB+O$P%KaZm>emn_r_Zjr{zN!#tZnmm$Wa!k*0w>HFqtMN~VBZp!}~He5(Q z6jtEW%(+;M-?k1mlA+MZBU&|(H4o7_rxnMz2qbfVnN0q#&dNeDN59f}ITq2#%I@Iy z7QA!MZIs-+Yk&`S_vK<=-^rA^hI;3oSyO_&MPgVCm(jFwN+Dy59p4f6#!(>erve{* zc|}P&XjZqj?l!QZxV2|t;R)=$HOExiHb{BuKiX3pL*&#bbjrotppqUdhOuC#>;lts zgZ$%~S*LW2@rG>1%W|5|iHnqIvl=UYX5`|E!lmrm_eNe(BNy-jm`x+c>qaLTxp*oo zj5|rN=3I%S5eG?T7I?xXzP1?geG977aywbPHr@(yDbie@Ozrn8xev3SOK!=C@Di}M zpI6p?-kV|0axmb1EoQ-lb{aO0I)qrqH{Rf0rn91K*yJjFo=5Z>+)q;;uyUgjt}<+D z<2cyQ80Blvo)=(eY<|u4qi&5zHooV=+`OSbJ!lcfPDb;r0a`6`5Xf;1UY=KcOb7e7 z6}2E;3G!>u$P>1{bL-_Js!?Boku3zgnbV$82^5;Ah}AF?bA>d1Jlj=;rjB$?C4<#$?yw&3lINro(jV zn=P5$cfe??yY{tofXGY#?~Y%f`8m{J&@J~HhMK%%!7++G;~!7xsla1d5#oyT5MD+3 zo7-EKZw&IBu#|wk3h^Cm`lp^xkIt)2fq2F$f_*?kf>O03KWkLWwO-_!rVFF3Ei5cMm<_9e4np+sME8`6KXbGfjMkcX5uZ? zyx9=^Y1%vgS>tM}JBzNccT4cs^tWzw^!s&$pPZPkvGbmyU^5?^*C=}?rM>>}ID6QZ zQPxpC>ftpc-mVOTZkc_NIvPrAy0HOM8vG(QFPoH#q#B#l0vbOLP(joRK3oT!Yu0c~ z*%m!062YXx zrJ6UX)(v4$=fP@P8=l)fUGBKWnM_?nz*>E%rFv3d`^9#(w`L$alP4=m&BdM1QB*C} z`ybFck4D1(bbJ@C+Re33d#VRKph*yY7(azp8oyXsG;I&~RoEL!5YWiZwz-)V$h1A< zwX%rs+65x$eRV~f8!4p@gfq=V_Rx84Qsd5^d>#&){OrrsZ`gAw-mqAUwMQX0Z##6% zG9Tv9od5+s$POUgTpb{P2^8M(jhAsG<)#HBYi#?ur>W;5*)w#7+TQ3a&ggueof{jN z0hl_UV?fqP2k<+9Wy~}q&l9RRQu%ol?&6ZQu1EMbW6TrUyikEzP}P_4fGo)1lPf&| zyeVmSPZv89j*<_dQuw=2nvh;SqCTMW+GwcdI$Hmy+`_=W3hWY;@b^HpJ0RVc*6wfv zw0)6Sb-I7m;+(~MN0eZBK>H~RW;XDNXAce!v=0rNWEIdGJzQvw^%iFpA9g)#W?^|S z&{2D8L1={MM2_(x?m24xO^x>O>|G4Xn_&2T9Wba(g5}n_nl)Y|a*SSx6}{YAFleuZ z-R>e&P6S9lu49&&6vyt>p^crjQz|P^w=NIXvT;r4T;P0~QzMQza#!uhd}=eFC<~?T zKXHcsZmC$~>dKKvp!F~m+L2)~7DxHo7_=UT;!WOAnvqIbERqEiou_~;t079XV5`Gs zx?1WO+YS-#gSERO>d?x{Yw6IkR8|Mg`F9ZPI*@0-HJ%1sODd~#!?aQ(m`9^7D6DSD zKg{A%6OWxbN{XQM&i|j@azS&>OmRNPijMY{-oGqzxi>otcn6+a&OF?XX5O4lKesDv zwbYzXV1=1k!CI9DODOArq~Z>PHRt>kC&;-A)~e@;q@53y&havvxPu^@j_5}n@CbCXJ7@%em-eqWFab1)xqIxqS_`;*L?oEr-_Gmt9WYh0T<%yXU5w6CD`DCG0zC&m)>UPJw= z|7@+&;F_4WBw;0)|13H~Hv=o!HB%I}dT7>`fa#&|iQAiJ(}As-Vg!bf&`I-e7m@1)webd4sd_%7Jj+8B7byO>J$^o?mTiI(QT;uOiUD>D28}sEP@Q+{0lM`} zG-OxgK>IJ+!(eKDSv+Rz-C8Z<(E2>$TZjL?4l`XJ6M3{5{i)S=Z7FKY44KWjf7QuT z>u}M_RPFFa*~_+12T?F+4?>}lFKzgf>%B&v4$XNi%R}gi!E|ThxR}WK+$ZinQCNu% zQPLz~g8Y<5&M7L`n8JlSam85bU4n-Fxp4GSFOGLvtt|$s>e{sK1?AY>!^V$gyw>3U zK)#7d8TVF?r+~Xj#op|{>Y4)NO^|mNzg$B!QQ6y_TehI#K%mIiG^|kI8#M>Mp*gPy zD!G73yCMdnyqiy5zC6A$jvxoV+QJ$?>Qh%UJU8f%r0$HGN`LoM zrwqH5x*h7~ckb++V{>Lvkbt9!ZQGjIHYXF?wr$%sC$??dcJjuyv#Hg8*sA^Bea@|0 z=k{%#r(fFuN3}vzcht*V-!ql6WvgS#lEdb6V2eHF{(TSa?zFo1ipaSEU>-c`1$oD8xgjVn8 zvZOj8+9tQ&K=xoX4^^46U)ASzn7N(T;R$@-m5#~Ffj?yz*fDFPD*7asdu(^a#Y74% zrv7P|$XCKJ7eJQ?f{%)v=KRgZ0n=H$;#vhzn@u!|Yr@I$9jpf~i7Ve0pXjqn#UnZ` zpY%C_UkfU+WQz~tSfIXfbOj4`vtWFk1qUlvVUAlZ zk4_{faW?+6H6Ei9_%2gLA8XFGtN5A`6dHSjvUJn7kj|?<;y$IIG z%d`h#b$JeR3Xqf;F)IO9 zMUZdwGOwI5TB*r`mAdIW42Vlvt`l2x4Y%lSx9?_xVtYR{0>_B;nV`&lI;8K87F-lt zd+CzFK7_P#MTys!LqZZ93>)!o`|6xo^yzQG^H{U4vJ293-M>}l1~r{__yko4`Ay5% z#mA@4CfH+-QI2L+CC40%Oo8ynGI+j}N(6g|Z&-ObPrxlnXHIm?x z15R5syNr#)+;(sc#ES_neWdNGTIO(C(gV7CGAsG$w$r!jHiN-3ue0koohmR#ZDj|Z z$M@m%J`)PsxoSrDjH`0KglQhmS+Z>w7tgp$c&TvLMG(R8?zwTgX!dL1(n91WHM6z3Yl2JBkoG((5!=)0XKPGQ|t5JPwg15u4Aw8W+-sM~>=&QM8nu zzgsp_XK#QSi{T>B=2+JAf@f=~F=BL(hLDEMogqE`;;-RKM($Gv?pyz|H{w&`TXhQ& zfZ^Li%M?Bbs%rB-?Q|FqQzOUfT+9eIuCz+w+9Kn9;5I< zwQc08sz=(7$MAmGY$`OcY~;Y*pNI8u*+LMqdl6=6ZuKP>UNEa}R(j)|!K??+pS8y& zoeLw~Bd4KKneX~kpq25(R zOWQclcw~+zQF1b`(?r^TtT%Wi{k1?!w$=TV)e=V!8s)s&M6H%x%(5v!RDmfQ z1^14>_5WTTzlcV-Fn(gF3Ew9r>1Mo z9bT3)j#!?A8>Nm4lUMk#t!*g2iW@C9VKn?>`dj|Am;mRh_WS#?j7m|r2wo9qg3Y>9 z49jvcvEhIo+eszbdGDMcJ*j>mKB z1^VgH`XhG6L7M$JnICgP-}aL3pt{+9YB}K6Yl-2OXK~EQ2GzKoJ^qe2s`lt?4*%K{ zkR5pfy^{0Pa(w}pBr4s>KQ9nWKx-kVy$B5KwDpTQ7^{olD`C!iG zZFQ)FncPdt$S78?rKtf@%UxG%WXLg4&3?X<`?j`O>`F0{T=*nmV4E%ToEc6Mj{&}e zki@{2y7xP+Th2-gI+Kr4$B4AbDfp=0yIdK%$5BHSxM$Et-II%m7lP2f9ru+$9dl27 z%Vuh4-|h2H*Ds%{M+;#I+4r3Hxj+@D%klooeI$8(?W#)emS7P~x#juS~-8J8}bx#e`N zVKME}lG$kM#N;rj9~;<~4$1(X9yPE1Y1$~&hx^t@oW~}hImdMO7lJ_2aem7KeKDQ= z!n2sG;le9-TBZJ{e&2e%w%GktBnT|@Ug@K=4{RF+SyE4v+~wUkU59V{F_hKn{Kcb- zk@~JL1I*SL54N^Lj;76!d%ti%CC?qA?7bI*t7ecR{dZs^u!UB{8ehfh-b znV^wQAPm!=M}!iD%ky>iGv3-}v+0H2ypKemPWuuiiw^rmi4Mgjy|pi54NvgEuhFXP zlF(d*8;{3}Pq}5qO3K%asTsa|>#(h+bFK3#9krX$F5+wVyS=VD--k2friClQ-N&d2 z8P6LKS?qiVY6@Wh{PFH+^p6ROSZ(T2A{p@%kNN$w(D8)P4Ab&bxxK0y?)3Gv^}2Og z^~FouLL>E0Ft6)j<5&5-7+}#RquGywIMB>hKvyW^iLr&`yxZt_*p4Q=SPLII@%Mo4 zU|ow0bYmS+JbRA2ww%AgpU!)y%AmJC=;t>LBDXzASe=kVF45x_nq^{joP-tnJ{XJu z*6YfXZI;2F;R$a_=xa+V81gETS?r3EE5KtY?{M4ZRV}90USF_d>%5vqiziohdG?$| z3BYF*$a(h%U6gqGn8x8!`Yi+_$MVk;Az-tqmB9kwCdg7#^+0yda=g^5jKi_%>wq6L zs{~6d?hzw27*O(oH zjr+Sd_fOj@_sHO4D;X!v(v7HBOFK`@ThCuAj4QfqQZ}jGV{*f*WZH33(XvixRHMew z1{xLR@D{|uyqwH!Dd(0azb^hgH$ihepeiVl5w%bKLanXFl4`$9s8;P+GwfwEuI%f} zJ6yUz&LDe;AzNpRzsVDPPpiWnbo^Uf2@NIbpldYyhmINd`SH<^&eza){{1giCwh)+ z4*c(SGx_yGMTy5{UMRWH`^HBz_VXTd_ND-1Yzj&W(j_@x}CF!4Fzp52y ze4fo}ZU7P%j2ctnFTiE%rGU9)wv+wkrYgJ7#r#xFOJ-ZSqH*J;0x|VZwuIj-?Z{%f z^Zyu}PYbuj46fzNJ0U4}Lu|M8utNQ-VS7jR64}*UMZ^**Z8)f0&cSY~wKj`U7kPu5 zey}f3O8h4p<({B<%BkvkILQaO-jiCxbt>mCN4lLyy7Umoq0OW%i79x$$vLMrP!dT+ z70p&R9WGI~A{06dV{UeJW#$oqai>2xp|&YnxY-D7e?w;*{aY@~v8g5dR=_6!-##Q> z(%q7%2enei@8Q4m@?cQ(XL~ z88igH>kJSkji&pIx@xgG&XFJTcqGeqOmO+G{_tWFPd-f9G(fhi)~*u^#Hy!IlW{4!?x?TsmJ@IKF3L4r;{Wgid0LRnG3YGLqTwvP zv?y<2i~+Mlp-baH{_e!>F+cvb+yN4WW>?%JxPc?n`8m`!W?jyp?ud4A3Fk6`C>Qer zWQ4k{*HUt4?k31VG{?}@H{LV{sj7myz1wghV?y6OHe(eS&HB-I3vRklxfQ^gLQL7& z=iy`R1?V0u9aT1%HIY+#;)C)$fH*cJ90A3*>3^?foZ&|AXmU|13s~7VoHu!|SO!V8 z8Ov-+bD$6*v#q_#jYjzE>Jl=nH?@WG2~5p%Z*Ks3R2)r*Z^AC~)EU2Wv`8Y=HC&Y) z62^#^pYLC&4cf~2!e}vo*3yp`Vr?VqoZ3_uC`U1!J2r)LhHCOP3Elv+5ne-^l1nfc zB~?GbW*3tzXsVu}{gAY{*!M{y@L_;EL+UTo(ye-yHZ-9!hvB4y0$Zv3p41I*X*+^r zH^McAr(CIA?#*9R|NK_|g#(_vV?8GUTj+VW=+e7Zh78U~NAAo=AY*RYQ@R~Ee6z6d zm(RLAVLV6UFOl4gMk418A!TKA%knt6_Yrn+6L}5lGf;II%1>Luf#L;1viIHfoCXe< z_qfIU`$F3VET{02uy_?KktdFDZsEp^!nt>O#jF2-?p+4LXo?uE*`Y8u=~V1NUXea1 z{S?zp)ie_4WqzNu6>XZyk`y3O8#mN-lykV3NzUB*QN>|?7OrYh=|s3K7qCKx&sV@G zNc~u3oWO+03mrJTXM1!6+IGoYrm~hViLXY}q(alHrW-FfkMAM-+pIN<>zxLM90sO8 z7nNIF^zRZts#PEQ?o=w2^x84@J$H7cA1?DV-Ur6l zw}Ra99lxBy+r*1N}Y zj)2;h-{}UvlV_>lj#0}y!gRt_xGwF@`A_@InzTNG{V;8L)N7x(!K5};sVBa}_X~%( z^nJsKC!JaM34gk;=qHegDp}*-sUyukfVAx8ct~?&q-+AurGuVC?{-z2VTB#}Hho)^ z8Ne^2D!E2XFAXg|D&w=Ak1@}ECX=F;*^hDy{KSGU=dYO$394DSP@6XqI+U=LCg~GQ zlW9qf_uNZqM}quZSXaqLH$@-MOWjYX*vu-4l>5igE=@>O$K)u`c9-k!G=})66JJ%D zt}5xCC=yvch1u5)l#v_ly^lH}T;OsPxa#x!)b98&UQKC@2;4nZEJzr8cT+L(Q-4`H zYJEKoj0$u}16p4j%=^HCQDq&Enn!+T(f6>k$2ijmYz2(I_x6Sde`q#!k_NQPt?`T0 zYV~Sn!~+yk!!e%i)oM#2Z{aB%&TAZrJcW z#QpjwrMnO88+C3PE6uB8#%#mK=UR3u{Bh%n657b!}KH5k%KgaB!p7*#W6@;>&O4L+%QH4w? z-U|@buST{{%`z3g8gHIk22a;o-LF5`xIxT2jId=jb16$a z{W@-eFU!jk7M^a9mIaM>SVe-nWsQNh5NAIMBjPH48Eq^|DP1!e_5H`@x+_A#W7thF*hU!FhFxQ^}$P9PvOYl?TKhRLoUa z?Thx%s_N=yOJkmjX2;@y(d$<13{SGpADu~?Hm5pV@Sq(dbpB_*3R8YLcfQiigecS5 z9H5xc$s*0`y+W~RJ4-qCXQb@}!&k?QY;l0&v)fc)r)(k2gLNDThF-Sx{+(Y_3Av@+ z+M~`$3&e-z*g8w6C+NFVv z@h88HSWj7fT@XXAdI+AnQA??NHGhf*%DPU`2JrPOk|^FPO{ESAI7imz+stzsbvon# z68$rXzY`%e+@+Qe+nliAWMK9@nek8-bLf`Z7OCfv&8s-DhtXor{M{bSYH`7rxpeAv|9u_4tpl3kWVO4Ve zcD7*2j0VGU>L3DVF9K^`tH`&UQgTNVKsi+Br-KdYphaRm_Z!A@;^+`l1_} zL+>d{rrDT~3mRGpFb+b9)$5D0y4P6w2QXGV*umLAT~+sO1%QPLAZLNOx}Mnbca&Y3jJ|j8XrFI8e=F6nvU+ zzkHV*e(P)C{i62PlnRRHW-28?E5-0X9vjVT=Y6{VyyS9$(vG&h`YavMx{5kE8~8>z z*aQ@71Fzpb{C?7luHc46sed#3m@9BGjG|$C%SF@e6BcUurY%9c`6)*)q8%d?GzR4*we4 z`xfo;l-?zVQto|F%)abc(PJTyO_#l{tAzDosIH#ni$twVfasP&El6R@MyIP8&+xbo zoTWEJNboPiuUae%|Dwk|wN0zyra(C$k4Becf<=&dEA_DIExJ@_Xj<;965L+w?YVh8 zvb+#mv1$)+=*gS>H+3Uc|JoHO10^zlGcDnN91!)^3Ei&vUe~p>q7Z zB-#bf4BBZ8@1dPBjRn`Y)%C4^E?LD?FjBdwZ>%J!8{xoDuqnTigeo^NiPDp0K`<(i zBCf&onRU_r$d%K;`d3+&?6e0){A^a&6qw5+KID#8Y7M3KVYEatOn;ISFf8X%0qPt4emPp)h85xaY*Gwf z`aK@4)Py0vEPH*)*%!nQ#c*2T#lO6z0a(Sln_M%zf!V3RtpMJ7MwIud?_Ye0`P(o< zV)S9OQU{!u6iDL3#4iAx=RHdCPJEV;d+|1v=6FES(f^%&p)&Ma{*Py&?#Jd@(oSSv z%8uJpA`VTDDv>aE!XANo_h0)Jfshzt7{0rXo_&)A9kCd6SEn_$fbhf8JD)SCgF->ql{A8Wf&8|zoBw0pkHj-fBqQ@3!2p+z|N>@E3$~NXW!!xLrRyxK31?mmVYc(^`ol1lL6v+?o)siJW zM&Ohd;6b{3f|d{rryM4_0{Xqa0!SH8KNjWwnOrwS%zyp*bWDx#uwiSg>1lXRg$!(4 zFta<}ToBe4v)tsATEX-o5n_Shcbdd=e0aa^<#N*xd=Jam*fxOjC4-dv#LaU}MEy4k@f50|XsSx_g_j`*4 zxgC)gLUXuZ$lV)U`*F$c54}KeQmkVkdUhn)@<_#+VY4L;&v=n_yYN+ z?%{Etnm8KoEG>uI2t}=}62&RXCaCtYh@^B?94MUMYo@;O*;x3+P8_|s!Wd9um!n`f^B>>Ah})O|)T zWuvo;dnGHD3_`uq3drUgkM!>p3a%@RKNya>kgwcT*^h`Ut&u`K*+eINS33s+KUb+o z`p2jZNwFVAm^TIn^&h-vrUjQ$x_g1AmUaJ`eLS=H_wc=IiJr;7h%lEzlFTg^sytOq z)0j*x8JS`CF|(QB<;KXSWgKv$`#KJ(J%{)tJSzz+)JeZ^zaKMONz z%M}!E*%2N*V+f6sL~=Ewfz*ZEqxPR8yH^5fA#-Wq)05Xu=(L-!t+J>^tOlfJ9wNnV z%b+L^gn|`Rl#jNzrTadFDJSVvUNa29PJAQ1QrC{9F;%GL9E)Ei@GGY>7-E~1G9IQi zITkIDO(IShH7#KaSson1{!LtcBPZbAB1MK>b1q6?Pd!>P8yX zVKI$P5jCP`pl$ffp$cd z)fnO6U50eeGE?w3}?VNoXIlY|czT3)^ zoU4Ke+T|24eej0`HT1d8+37t@D#%zlq(#q5#dmqVAsVC33C3=w;~b*NWAYhPh^#ua5QJ`Y6m=VrHW%+CbNOjjId(R6r1F!WBQX$@MZzJK$2m|E z>URU7zFrr!sM--OI|4#;e-0Uy0C8Ys=F{56X4utZ{G;McOHszD9}Z9v9E%97x*4wxYv;26*a5_cuR z(1JduD?BO*fBmZqgmu2(;JRU})#y_|q^GB-|Fke9m*4$# z%Zb}NCvdAh34YgDh^>2=mp>oL`eWTDc;!&ZE5wmRUS8J9uF8#)XZw1Cw=r8WLeAf~ zetkyA)dU0g{_>Bg+wr1#lGtjORK9ios#l4X@zzMG+ zZKjSXI-1uI>1|g-{00>^`{6pk;!b>o$t+D&X36bzOb{%i=En zMxxRR3pcYqVh7^^1iw7{X38Mu0=9c(>{TG7irad?0siU zvUB2Wa%Sta(3`w_K<+E`??a;aUyd~Oq)oZjp0DfvttoCfKV1$qh#lB>l)dkP#@PBD z`CW`FjT~hAFB0dtJ&IJtlpTRAS5g1l#A}+KQ`r>AdrXm+bL^^o+zARj3$gF|1-tY0 zCHhlP<|MWE>JS8*kC2U4&|C6n$r!W0rL)P`o%Z9KZBL2ITq`8E3&Im)G!-e8y zmWrnUM0kkjjSU_zi|35ocXyj(TONmazz3^w>KLRa?&Py(w~G2UB3lgkX> zW&t@lR-P*%pEoOUf45JO5LB#Vnmm zVnsgjgzY8Av@}&@4`J)&AF%8;^A%E>FGz}M?GeHaOp&3f?kB*<<~uTei(Q{m%wC`) zL zAPB2}I@{RRwaE4S?_RIB3Ad&=!?&>KJKt6SZT>WYoyEs7)v6zJNFxb=N&20C`5dd< z(Whjv*3m1wM7GWAY-)`DI`K{Eu)T?v*Ao@)VTfh$mdE=YNQT~z*!P0sKNnOTS(vq_Z@Az;&HV!M84m~ z`%JBI_`%v+lp-tvl;YR2&zai6X-TE7hYHddIPAlhA?~MK3}hh{patt`mz~BkYyUz` z2Y~s!31@LR>y%iPyCLEbHJ18=Cy$;$Ka5Ejwx_~3dbgYvn(qilV$1$ z&83Cuu}AQmk+4jfCA}`0xxB16TvLuwfam z>liBx!V{h9y~WVu3_r@ORAAxZ(FyuWAr{b`M0};(aEUV3cBcKlRlHl{y{}Sn|DyX; zpG~CuiE^pVJ{qEM#~tK?>v8LjRE%OP4P>h&kN`M1*4^K%BR}OUC-cjD?lD;9b>fe9h4}r< zX?mCztc7Y`&k}+DQFb?xc!gZ(E(Zp;n!lBFWe3?4*a=N9afzT=8HCEC&!y;@+dIg^ zH!?|LXcND2)mKLu$I^*e@8=cf%v}%HX5E{(litL0JV3Y4;abhvr%d@Z#_(1D&J>&W z8Qz$)+sUH?WQnBWclIAO7iYh(De=QX=>!y&_kgCsiFB&|6HlD1LUN$Uh~m#Oo&w?L zHmh|Vrm9~unozyld1LYJWlg);D93IAyknnFCoF@I{GYu9Ye*lZ=5v3wQ=gTe#Quo=$m;U^*K-IWB>Zgqze`Ff)R6xFlK=lM z|MT2F{2w?(-$c0e3y&{((NK)UQB>fpzY$8HmU$UujtVQ9WvA|3A1tF~Wot8$aNYj3 zT?nhnzA-A~mx=QiJJTpLjMxer!L?uWwxVyiw9Z9gznjFeD#*}M?Fa{X%8+RvnQ~{2 zS9uEo(1=Ar%~2=K_ahkDS{zfOqM5G1s>;|08!uAgdXu0PQf+ezc1Q)iP+N8IMf z4p`!o={rN3$?T{YorY)<)s_jEq-#6$PCz`jg;Xy{ECJi$$%mF&efDU>{6Z*~OB(5? zUSv?!h;etqdI~I`!~F4OFwcq4;gQ<(;BU;MK;|EvkYjv%7K*DLm{aACa)!Eesp8Z>Z+7 zjP9O$(A}~VKwYl#hQTl-a8CJLJc;Eetxg`I5adRm+%u%WHgLi&q_oWwU+fIWBDQdG zOi!CjMQ-twb7_-C5Y(6;v^@cs1*NG6gYkF6R<{)Pq9a2|C$WuYZ={-}zwzP58QRC& zyFs(t=h<+EwMjaLDXC^CwGS0qZ_}_l)N}0Xx3aC6Qujd;?KAzDYOE#bEHSbvd{ni{ z@{18a&Q{%;cp56B8c|nRAxWd)96y5=@7C_y_KWrn{KLx>FJPIuU?Sj%PUkmbKim1( z!!LHMayb5IfnkVC>kw$ODWy$d+|(uUF!cZOi(r<#@uw(yh`AN<_c~+CE_1d8u2@t* zN&quJvQSTL#NDw2ow3p85p1M+*ED6V)3#>`5pY;t^#`CJmbP-CfQC-Vj1nUdN5Qb~ zkJp~w4`J@_*J8n`m&|TbwrkO!=`1n_a?x*cgoq`hif|EdN~WY^fZ(veFPFr;@jjau6UlH!^mvv=KDk1zFXIZumY1m7n@E8a4>iR4S*>6iLhMl$96j=o_q0tQt15mok->LMpeJ zRp@-_;_8l*7q#I~Y#fUY$B5!+fgTJyxQ!R7ZR)FF&VYBVJtNqcNVGeTX}jL*xuYAT z-uy2H-6DebS$m2{Js}dUEg5gUkMLn%yON8bj3u*0;Oe%kZ0CGS$>0zs3nbx!k)NbW zT~ezR>iwZIhTShc1q!zbQ%sQAWV`g&>iz)go4n)K?D}HuaBY*k(&lv+`Wx$3Y~;x| zSFjmiwP_PfcCgRnYvYLBbmE1>U+t*_!wqEjIS}>Y&)0{%#C5%ki^(xqy6AuUMGJEg!&=a@*M$f>!DC{@Pv;O(Yudf=76J@SU)iVC{qQvkZGJL&ThC%DLd%#ya`IPQe*5 zx5*1I*)g#2`|lB}Wk-hi1JA**b-#U0@}(D=qn&~bscr!4h!!NAL0ZLDph%W~GAO3# zrT$|ENE;KqPgP3$Od-Q|u__t_8Q-(Fe>R?J6Te;(F#iI{WCqY4;jl!xX+t4heS$kj zn0na~*WDWLIw-VmQh$TCksTl;fOAt!we$nH?c9a)P46P>_K=5t1(53PQKho!U&?2U zBm>hO8^wH`1RX7elXkP!K>@%cP6IDcL|o9aQxime2jbuie`y&yaPG?$ORuf2P_r<9 zjFS}Tw@J@*pE34Mzc%u)WQ{Sld!?Xj?wDrk*5VNjig%S6vND`3 z8(uhL#=d0sKj7+>>tgXC>ZSQ@rTRahiyIXWEPks(xBS-ndC_-b+KeYIUPoR9(u~b@ z6Oh)}bB-+0zoJa9YPclGFT)xn29jhL|)j>{6qhK!3VgoPbW8CV_8md@=$Fce( zL;2R|B`60K8UF&|aI8|jPoPVLiJWfGX$doE*#qzj9^-Ql_;6wJ$l)`(Dx&okQN0i8 z_YF!a7Jj4Tmf9pinPk0Jv;>7q)2{{h_1LMU@7C7wA*ab^NQB~$K_o-j;GD&A*zNea zVBGxvcx%{wqk$pg8lv(LVH_?{XC{=cl^+S#5{<6_hmf?+O4iLF3tJbwM=nt`BV~$m zcrZ-m{?>@$y02pQ@7uK?H+_RZmeJGZ$#GcvW_r_1uh16fjFUhhOdx^A(#w+T(O4c+ zh!=-o`$E#P2s(0alyxvjm8z#N>un!hg-f+FNYaXDUnO3r&hfbqj#*sS_j|4xcm~7= z@bbXK3Ze%qw@UZB^=ah_=0R1@ps*x|a$_f$Y_UX^6jPwe*M#6W8@k3-G8BeTe5<4mEGQ~_j7@`BIUZ8g!E)0$R!zq|aRwyi+(|nT4>c>H}^+&~F)ML5=if+3(|C5T`2jgp-kk-YdloqrGAC0a_v8ntFdCXSHZpGSHR;TUWT5uncAn5kU#dKL zRFz+qtpLIn3vZ;87ezmL;KqD$OC^q{MRkl~cPxc|DIRz!oIxH}TM@s{if$_}#FJFP zRIkWxz6tz=mwAiUeulupnLrUwVLF8lY3%Y!1y)VwZ*qCW%?Y2082+gNfg)so&|#L} zH^&I!IXpr#cI3&i!qhCRA)ubwgu(_J`?uo+BaU`;Q1-V8%-tKVQ|7f=&&F zu!ET3lyT8G9pnf7nCjg}1SYacZEr>#8tBvv9{a>rq@~m!LOXZyikwWq!2m13>z^f# z$187^^n6*ml`czr3!XeSdOv4_YGtW|e6?VJA#8t201*=I>3ngFjMsknsu;m)6jsi;O-s*jDn|MJKV?86n`R&t zsbir4DG5^AcNcV6?zss^`s!q7l%xcjd`#eMI9OEf=GVqhy2Rl#z-2@)Dhc%50&gu`i;rlty8*lekDFVq%qUl=*Qi9-g`{(UcxaH?~B@f(# z^WIj%3`Q!&1%V!|U7ewqz31r}#R(XcR2VBR(Fnb`3vQaBM7g$F{raY3YC-0YQ4r+} z!$AW#6r!dA-~max#EH5p^m_WMH1|Q9?jz{gHo+UEMCLns?KXsXNQn;gk9A&3-CEC$ zN93gxIx9F9H?0^43Q-30DJq_&l6O4%1{^IPCBo{cXM1wRk~xj z#ze)7!iRUM5cXh3zwNe)@SE%@NE*Kf^gy}}-TGByr-`pRiYlnHoc;!J&WXKXAOX$r zeIrl&1z&~XXwMvT&+tWvU71QjzDcMBvWSR?_p!8%N@exv~f1+!*c%RNHu zS(4RW%Av~Ud^vC(k?AtNpdC4}6Hb%ROHBj^sDaoCn~hc520e(HIhr6ocC??o_sX$_ z65KT2kBBWy3qbB^)#nlgN_0tm&P%O(pes>j zDX2r=un96V9shCva)%1v)bAnh51=vAv2j_R0D}HBZ4AtKFpIcur6nk_B6g(FCgdJT zPR*}ZtrQF>6x3!Z(8JBcOq#<1H~Ooc1I9{&C|Vw2(37fd6YeQB7t8s6i_S?RQITn#%Q1XuSZiyZXHRkjYgfY?M9#Po7Ou)FJ>(fU>g^hDf>X|7>$?B z)5^aiw{69lMa#0&JL%;374O`_4)KRYV15pvy#ORyqzirYSOwlzY!L&NefHx<*lW#q z2XgN!?GZv)ibdLVIJLqy8u5yw6}p{pEzFs>8&~C4{1!od)c7B`6Qj8Y8i^atlWRSM zx5tUr{`sO+O*~av%QuT8>-z0ED{WW+(BGRs`5PQoW}(5&>L-b9A2Xy5^W|+ITC`B3 znQ*6xRT8a}gQ^^bYmFFIt-XEdLqj*ptKghgR_f`|5>_AdX-Ig5#N=oV1<6OULOK~} z5QsIkA>JF7-C6IN^g09e0!%SSk_`CFGi+vmC$?}F3p z%InzQJp`XOx(G>pr={v`|Md*&wIf3_MxT~*qMByE*CZuUsP5MGWeubSReOOS;3RJl zqEuIp(s*2rU)(GUp^SE`9aZHf9>hhDh|G1uX^V%hBx)Y;-B0GddbE0jf@sQCP+UWX z4w@AORO?YhE2NK}HPH9~>-g2ho0Y&dKrLarrOH(-fMro8fk zJx%239C0-Su{*ET@B&#NPL^u$cSoVsg-Om3)iW`SgJ>@H_22lF@A~2I=FG;;g`y~ ziG#=6^lhZvcn(bs-PQQN0&JK9odGV+cY3>?96r(KW)&_l*$km?J3FSZT#N zJgjOLr1n34(yVfz-tgDk`QbFP`|9t*273%ML?E3EPilc|HT8508 znBjQFi5axk=;#s$qk~gASrBtof>>GmX|2X~D0XzvgS%Ul`(hg>V$r)Q!RFR_vvMMy zA%kjSyE2BXcVl5~_hwI2da6nqP%#k=Rc!Dj3aopI&_S(xU|lZC!5rZRHAl)lJ|`)< z)h$c~v=DnD5C~OPkwIT# zRc(vYDbI%90Nq(i?UGYATl}Gq2S4fMa;&4%Gx!6GUclJ3$~bi70BN(%nlUz_HI5}Y z^U$Q3gG4|+&ko^dh#=a=?pT#Puc!B`_I)TZc=hfmG)#nop{<05t_tN-T*=@hVAIb< zxEyd7dL7}0fI*%3i<~#VP{>(79XG2xOf_Xia9KrSYg-6x0Z(@{yB|c_FP|r)80Bc9 z9@KFxfHcb!>tF9-P;M%D4iA=f8b*QLL^s^w!C(x<@dtBKt`svy&4tOXlD)(e?r02M!FZjMW>H~(=WjQxu=&HFQqO^SQF%_9cf|1D%OP8mNQ6?VKR-K> z!3=kZInBH^obXR6I|g&IS-mfs=a~smGVGf~Z+7N%14?Z?iD?MypCmzZP-SvvfwAGi znz87O)^HXb^2#o>v~f9}kdH8!74LgYaAEN%y5U`Iuod_e4@uOUUG+49CSc84)NLi6 z^G@Kvov=pYI*D9|eU8w$m2`!I4JEFXG&Pd(NZeG4a0kNJt6%mbn}3wGM7WE3{31&- z;_wNr9PGLoJ0j>8N6D+x!zT+%Utgg}S5ac;DR5erp+Y?pvCCWdx0uJ~Su zD{{h#b076kU@Jb4J0lp}91Q@b%_ccbd0&N$U)&Yp>pbs{-5 zdRp^&KqUwdlN8{MM_AOgeNdknc6d&Sv|l3Iwv9HSkl`AsPPs6At5ljjW3S<09LxO( z2GZ!jwtpjk(aQ)0X9u)Cq0iPiZ}~P++=?C3n}~HLW{^oeVQqUJwjn z@3a5K8T&35nVB;gGa0F>=Y1=W1Azqw*(59GVRgS)6qG9N5NlXzGl~>~>&hPkVHnU3 zw2uXS`VD@03EtxU!#NM=HgUv`?oqgbcml5N-k6?Nh_t`Idl&PEEQTw?o#w)zM?^rl z(Bi4DM$#x`Ys3~zD(vy}ZMsmTeuH5l14JO(F}EjXF@ttCkgM$q3R>ZfbBJ1(9ROte zLt#>c(^-VmAMf{2bu;)J9$__JO`An+v;iF7)+O)`JX%x2vZU&Fb z`6o6*_CYsUyCF`LGT^LM_!+Q*$@`&9IKMuOxe0>Jq)3V0^uNXzxw?NgCfej%r(M|I z1zg#Wjy?Bca{kCWWLIh}FN#W{;P*^sfnQ z^Q42Tf0fZodZU2Sl13I2`{V2$lR-MQpqf%LV&>LY1@IMBu-nsPK7I_)Q#%IinmUWr z(y;J?e91$?>PVd5t>J8=pFqO&bctqIhp@L?P{tpNJK9EK;bLH%j(oc!p?-uA-c7aE zA`S=7hf~&Kdf%1<*n)tD*d*IdqaL#Ng8Q8nhu%7z&Q*1OL}y_)+x@wmj;YmPZSTZp z+iNV9dbzKIMqB_biD-uKeQc}NHP-1R&VQ@eo1)=2?HK{0{hwo z_SXi4a=l@_B?+;E#fx!T4f|Fqq#Eo!80VqmDjtra-M>B16Ep3B3M9Z~e!kJ4J40C` z_>2NJB8tus+L78Jt4SiGn48#qVWm&x0rLNd3;{oYbte!E-}_5dXztjm$fVotN%aR0 zbCP&;AjBA?=iG!6X=E2135GBcdCVJhp8*6e|C$ly4C>kuzVcJ6I^o(l(}=I2b=6KQ zx3}meC|4@JnbMy>*I#~V=_uVEP^+=x#@@bO0KW$39KSb-FJ+K4GWa_*BKPB796-x%h}nn6dsk;$TUIR6+L%%s5qP-bl zl=a3pMVw(J{!wh0KCrk9(JOZD#QqZBnrakfu$M9>!>Gk@?_XVAp#2AI%1HRc5MltV zp~Djhzeb?A2ghy{+_Z7yRl;A0Z-ygL@qq-zf>UynAbBK{{PoF7I*In1D=s3JlVVRs zgNK}IIR=i%FJ1i?7B{&M11XX=?Y%P~)##D|V(V{?Vb8)kk?`H=BhN!Rq*Jg=!Dc9v zUDZDXvw(fZ9m!1IwbQf>uWi6-$GqvxP>?=7=Cy-9w!{i$xNNOBacFY-3%pyzKE>Qd+dyxr*uJg!2n29m}JJKB8%D& z!1Rb?%J7wvJL0US8viZGxkDWdhE(%M7x-u@n_B;%CqYi6QIky9z$>YEroN}T;?Xg_ z7sEr@MwvgKo|{gxxeTGpMNJGK8{RB|;O}DEZ=dG)tJl*3VwCU5WJQL!9K@_C>iEg? zcAh6Ib%DS)FosNofXP+Xm%AJ718$XvJymY7_%``T%XkU=Hg8{mJ=fFq`G8@_r2rAg z+F6`i3rrF8n zLM94y)$?WYiRP~+F3gcM5t*!us~w;2p0b!m`1JLk zTZEZ(NiKx%N$y2Ff2VNU0B4qp-y|hs-lZn_E+$OQg})x$VheIwd%rm8lU}0v-mqJE z%Teg-GyIO{6jLP@?A}@oZ~0AX9M9zuQjvuf_a8R)H9tGp66dd_=qK?#K%T*1Zw4R# zQIK!n*^C9M6w5>KKftG71>I{QY(p<5t#=3Tp+wrIHmd&ZJ1kdd<&~B06{mcu8(ktg z^C+s-DsOKs2V{ONkZ>m+54OvL&5h7%`Lpz!>}`ygxQZ*U)vMW|?YtU*c{D|9reB>= zPN-2_h^As%`S5p0@rUNI$M`Bj=lxVMxwY6gT>k+b6ZV0=+&*W;mBe|jqVgjPJk~f@ z>jQ=VH+~}quj>Eruzn3y>k(JwcIq7X6K$Ewi6bfpq#9Ax0qmlO3%@Q0;cT^u)3kPM z510X3JNEIA-ZG+AZ}$-}n~Hu#qSPEHSk@pI^u?B^HxiL#DJY#?uJuOQzWxj)82$*f4`|V#I!ZZw1I-@RJ7z7G?I}aAOnU?S zvF0ST5>cZ=Z6*^Dzs4hh_y*JFAZ8G2`f>j|~*n)7n3QjE*a0H+T; zayo?wQJ8EmbEy?O8M%)J{J$N!;c5APC55@fr@&$@r2(qxobnf z4ktCO_V?9v@`3@yJE}2F=UZzv_%{k z;^c0w!!b^GkLDSHV%LyGSo(SJlCJDAU$>{fR(VY@pe*U7va}O1u^p0|lPdG5G+mKOplX&(STWSG{{c*{~5HuJM1R| zjs~TT{VDg~aj%Aa1;5v01ZM?v;%Jqd4HpqC$cqfb0_MQcB6G6875smb?eE3{Mu&(9 z&R}n%&z|iH@RR;`5*wIPC%e4ap+5NF|BmAYW5UFQ<#x3D-{SuFtkA_M>bdLjIO zc<1-jxrhiwP**^H#-2->r*aZ8M1taRqSKgj*w`rxl4m~c4uC$p^%kNrOt_G;e#rlt zgm~dEUbG+isF}I8TXW8}nV6UTOYAaxO|ws3WM_@Ip+<0oj;b%{+b-1dxx&d|XEbFZ5ei z52~7EgAi67wdE8IOxbtZq#Ys>UI&S?2uZl&oDoi;-El@_Po8+-$9xP~PvDdsdr^K4 zdo)7t_(YdzQ7|lC$D+7pIiufeRVac zB0{}(^U>?3s846iN=npT%TPmu_QL!?xwqr1C3mJ9eYiEY%I{X;VqqB-4lF=7lO7)I zm)}HPtI(QpzF|zTLnQ$QLZ z?y2Ib2PfTO5nOmOL7$VLzUG01>A_$D3X0capwMQb*|QuWHJw2b@xqVd2|`dZYKS~x z>}IM|(hW&4btO4V3L}FGfZ&JIwO990-G8mB1#$n(>WT_L7L3px*qN!TI~@`9`3WFo z1#_x*b;zr~wU6q@$0PYoy<*p+Dj1qjdB}5heipHnOrlEyMQ@%SB}3Ch5V@|09sZi* zy6kA4m+K;`)OFVoWnlB*p9Xy4aum-hJN~+H70!5GK1@OcSF)TY+qx{pgVSF9nLEvl zwLeT<1B~;dw7K%$&#$KgveCNhs1z7zg=U7H`}DYtM(3p!FjD0su$qjRRsKy_-!-a8 z&V?!6+DFJ(-EYv1OT`kbz}`@}RP5iPFBw6N(c$4$lXDL85R77hw}qUJO zs$@0{92JsrC|L|S4KJKfLKM!)s`Lti(*f;aczG3_l+;;ms3lT2BgyBy(%}6f5=ciz zZHraEjWQ4dpb25X{40ud^k%G z7^#)cV)QhCg7G*+eRwQCpCM{1SeBN4JYPjJgCXNA1cBz|zb}}#gFvYL{PQCRWK)(* zMqdsc<>R$Z!NQ^pcL?-gHZ1A5RjBAWJ8)67)Aew$;L_JA%w>h5i8jD1-mU9MePgKb z&+(%)baSxyS6S^}H$oc#vLgqJglQU8f2!(L3IK?}TREkS=9N+?9suHX*t3?UpdQ(ki)9q-fwlP&F`f*KzpAr94vbxQa7HeyUdhxR?pxr{o3$A(=QJU6U8bk>5f*PVyt$mo|HvhSM^+f zNuICkZ$jHYkoBCC7}6PD3)=jkdS$1y_g)=9|3pe_lm*AfyC_z93tGPOkMzX0p6x$8 z*jD1F`R>T0ln3GwhM%xbM`B?)^Do(CjDKs~s-sG@U|FZT2%u5!GnVK$uu#4DGev4j zE2_x7d!9n(ig(*V4_sM)#ysAx>x3IHMxu5Q@`LGAC>Tc%A#iQ(3n=L;7<8pOh|`-V zNP(OfW&f4WA5L*Sq=Qf}Zj-_27DVtwOE@LfJX%Yt1?~~YOqxL@+__BEtb|<>IYz!k zRKQ)D9Q2Sq2u)gP%Yy9j#wgHr!>8x8Tps|=W!bW^=Hj#&*N5TKX5~Viy&lCU=@$0+ zvy%FyM-c4xUV83pOm${XU}K@KRs-swU|A;xlg~!VkCA;i^Pqty-5u$@m@uKc(SLoT9XPt?P`w+=(k6VH9+=-cAG2JlQuTV8&b!NVo6_(z zdYOJ2C@=w(MdcJ47@~W$u@GV@Bcp6&;Z=v;W%NER%!aEh3c^Vfzy1T=U_H8uE*h zkW%m!`Irxp80$+aSSYFqw-Q;A8jEnK*(G8Q-ztl_U(hZ~NvD||Dkxb@4(8M#Gj4zj zX&LK_5@Y(+a|(HmG>i{ZJPiYHvkuzmxMNV1v4uH6y-kTdT+BL?-AUdV+_Vse-~VFW zno%agnchG&9dj9=^QG)OPHC?YOh3U{@Kyesw&36(9yH>PODLn zk$$#mY!aVo0lEOag_>Whu0lpI!SUC_(loZ#3RY^(4qg~zR>2++8)iC3h&j+S^(JIS<3Szx<}+7T zO`=zn!=^^LI~BZ*1;mI)hb^faTL6Xi4a`BBi2j`@qsT{YBlic{A|e%=BgK zTmKp&vW!DIjaMR&DAnSTX`h6VgFw2c4D63HdER?ACKDn^k0-4N!+GbQH%hRI;tv#9 zv?{JaXadB{nq|t~N6yzDk1{6{s^~46TrGEsE`ejA;O7u?eNb-1ErqfJsxM6q#S)*E zMybg!K}}4)vzoF~TFU_wN~fYP1LrtuK9l-Kceekn0m#UbD}Rfs)ZQ&(&nJYcpPCh&}Nlw#UJAkE~TY)7Q?*A`yZ}>1y4#W0Rihm59hxSkWbH|h1 z8D^mq^H3^a9+;CpPmjb|dNh5Yct#{GN*~PHkn#KydbdWa7n&TG0g+@>VbD(!}+89wps-I;P<-+LFJz{$qzSO42K0yU!q6?bDll1a3LEbl{+bHU}%ZU$r+IbT9=Sju0cYu?LiXAHmZY&f#iZC{|&03IO8*8 zA);O&;7gJ!)NjdxEq7CYACVi z@1#)>w(As_N73>Qj?NcO!$F$dJz&{0SP|+sD0V%|7|-W${sRyA12B+`UbtB5D&$Hf(5M`-rc5={6KOas0p%DJii+p{JJM zvx)exhED|~on*IN#j(m**VfE>Xo_taX_id4+5Kk)VLh{qMu8k!bsvP0`{3k~z`?Zr zIX@9IMbeOn3};r%sUtng!>gtg<6xjw3jtMSpiukl9f`uOF_3RPu~z!-1##}LH0Yel zmE98GZZ=_p_jw&TZPTX=F@bhxd*Ay6k0iI@FO)3*Y}KUdM0nQOzNcN@Zj|@+I7ct< zWNbXA2ARpPIz=b6c>NWk)mYUrS`7jwmeK|A)pS%de}CCbO=$0A+h&9wsb?ADR=mkr z9C}(X>n7H9S$PU9zdxSS@PZbNf=Q(3syQh0k(liMQ;VxVot6-pKP?t1GDI-uVsh;I z>*CSs?&EKIT*@7t%LNJ4L5BI$S9FHz@!(iKMRSC!ofe5%kX*=rF73Cqg*Ez_BX#?#uScRpk%1_`MO-&A?`S6{p zc~CIX$%w0DU_p-In?$@xwVR5BccNL>D!=-0abg!vA%e0S=~Td!>-k7L*2|k5RJJ!V!WnwG{_~am7qZ)P1{8V^ZFOs3!XykM6TpX(zoy)8Ll{9Hg?vAY zDy6+Kp7$Qfatz~$VQ?bclZupaTQ){}O^bwnjhrHNLOI4V<)XiB$rN-?;djG&KOZfn zbp&rIk9MC(2}h}2Oa^~K>=E5ghX>0&Uw130!nQ#*2Vg76w1unnr-LB{VVsXEB$95L z$Rm-un?ys4jD{m_0!9h6eQYwoNxosctGtJ0VB-+N>lEYVAw|XMuRSr{Byiw?l?KiF zdfT873YKWEaH7YC*=$~=m9uZxDu32UJ4=5DemHA#FQJ4U!|E66LKm}nOZWg56jZu5 z$Ms0a-30*h)Ho=&9)i45iBbsYLLPJnH$3VxYEFeIwj@F;sX*SX8N2vLG9k^|j0v)z zr0q6BO1g480S7E9TuI1WuaHM^JsmuQ7yRe&KpHK@KQxR{(_jAZ+snGG{l;ECbapq08oGx936muxBTOoJ$nr?_&u?`(c=c=gQ52q@ z?<}8SgGD8`?cEvi*)k(ydmExps8&wc*5vOk+TjcV!+=k%6@lv!Jk<7+6QbwDCVkTtkHxJv~OZy3R_L=0|0TD)|zrWOe(aKI3H4? zH7j~mO4vfG)MKITWVX55%d72NL9#A(sN$Fv{l_GeKvw4bZyZ28+~PnZyJqAJgibZq z6e3ne{4p}x{c`rzetP)>BjV~&9L+)ny2C(r_Wp4RP#!d>3;x>>5aj^vvd5PU3VY8m z96!o@_<-|mr1{a@sA zgsWl!Cx}*wygY4h9f<{+HbYf;Bug7`%I0#oe^b<(eJ_qJl(d^+l#xFVfuoh}30E4T z+D7}~=UZOjpnsbebeT^Ftzw9kQS`FcW+pzV@^?B#odPsn*~ zsY!7us&VLOK&0!;LNayRvcxLlOjT^YB~-OZ(z`YFDi5LTB0>NjnA$bY#)}0Sc|-`) zrLl(hVnPNt5S^9!=L1XZ27;L zP5_0-WJQ3AD1z-FT>kR@cLXL`1fpn#*E0%`IJ4>xClpoV)(sesnLpMNDO!PSUNNH^3FL*JeQVv;Jfkt3b zJ-Da<_>>@KmB;V1#$+Ul{-@iU=9_FqtyJcCMHdD*FNOER5e{p2Y#60?so3xELIO^e zOt&QDCM_`jbY$bVKl>nTn^HIMP8zGz6O)*si!Rzy!wQ=IA!IBZZ-G~rA9y)Xr=oZv z2-YzCj&)v%q;{ns#gk2&c5-F1xN%$kXfd5vaES@0-!f}T{^+dzRS;x`J(Vyc+AwRS zr{*Bpdly7Oi+VzK3ADRi6AP7AqIqR5d#H1eN|-Cd!V(f)H3O!Vz2RbHl|n4;-(T@& zDShNp43Q%#2H7TTwPGtNFrB$YCu#xX3o5m;Z*cDsVc~4&ov1p-!#L`k|NJjsvWNl3 zy-^qKTq8(KO(}$z3I9};zl~pWllqf^gs`POmeIy^CMz zdwVaYRIV~HtN;WzG?+QehA98mZ}X~Vgl!^JM!qomkm14ts)Ib=OfVR?$x3s1(^JbC z61_`kt5ohXulK^#7S}s$n~W^jYW5-A(2S>NK;UfeG)wY&y+$rf3F$~aZ62ULzkvJ? z7vZx^<=_JDwZsT#W7AF2zo~rOBh~t%D=ewp5O&>S8`XO*!MLVZ=6c6Rw*AuLVAyNUgXNIks^r-L9 zrzyRdYS~Qu7J~>QIFDe5qsnHBIEu;{3^Dpc-XvkxNGzBv2^q+=8&NLBj%&x9zFA{R zMO&L8?1#0&Ee=nFQM9`TgRT5Gp=hQKoKabah70d)zHnT+sLDvJ171pw7(kbx;F?=FRuSB(Bt_?B zmfnKG1pmbtWbY5VAW}B_s`nK}pGk+OVAM7YF0`#1WyV8gg;01L?y(?BO4t2Mv>!He z7^+NS&HJqD~qsHGqPsOc2^)T=Oz>V6vSPdZ!l^G1*C68vq z1)kFOhEh|Xd^HSgH|KZ&VQ90W8o*)(q6|P9jua4y3%e#`k>H}_V~Pu^iKJ(d*0gT! zF>JrSF3Rc{_8bg~fv-H(ZRWduMw?`u_zc(|9R`RN>^*{X2Ek$K5Gij9>>={Evf~6m zSwtAX`TI?Va{AdvMOv96gKvesSNBY$^z*|?TO`|)ov3y7_C_>mUt5&Qtc~&mFpP?L zHp`n?KKPW13S9sgI(z&6^1}A$leq9&_ch6M3&-bY);pvXu}cw`G4jDS;ns_%{ny)i z2%|9uvSm)!EfDnxv>7ASD&s@nYyMR^jfsC~n2ZiNAb30~hn&R?HX}sKMM}c`mnrnt zBC2%%>zw>qZ|*oea2qc%fd`;utzZGpNY%K0Vs0TUk2;TWbun7HY9WBM>GcOGx1i4EGGI5ra0yi>kF-JK0 zN#QGmNxZYYl1WY9wAaYShP#p7d5Kx&;y85EpIQ>_MpOQk3iulHAw?R~fC{k0ZAh)6Nr*TaL-;d>Zz_cUNca#Qd8z{UKqAN-33C;J^gqH-_W#Ja{+Ur zUm=>!?5@QZXe|k9FT?ZO)MGIA4pxjr$Wh!dEG;SQJrII8?;YFMY z20q<@bp241l)*U={*Q45b~WgVJQY(hPX&B1O5Y1`da4_w%eRgd{5=dN#JFy7WDm<+Mil^gGYUw z*jjBZ$|(gu@SZDxq{4NNo(Kqth(!7M;Q8on>J4N-P*6}|VF6rEeZWd_#C=qmfR5Ab z{_K=9VTePSe_r1}2E)_?0FArfU2UCTq;B>cHgQN7KYchmHWu>Uca)cwmh@Rzm+L7b zS>$G|K4z~ETiiF6Eb)kE<8iSqak-_qD??f^D0;v=2%|#NrBBgcOovI9s`Bw5#hPw_ zeFw4Nv5|gcsw%^KK+1Fk3-lm4OxRcU){1QXhT-%sw@bQlSKK=Uxe^$oa?zMDOtI-% zaXF0myeLgu+p2)5Tc=^&UzkO`3WAkT|E-n|=-eb(x@}w9oWBVkx56|t8v!nB7WU6bG9=rl&X@v;@AHbPx*3u zffUSJ}Nl12{qM!cRFsBz>%P z=J6@UdQ?+7{^iPxyyInQUg>=?YKjK4x(x=bhXL6+SYek8p2sbdui1Ez?EF~ys7(c@ zic}_1vt+SmRgHUjGh*yasJ4iaB+76Tr|h`$?PS$SL;u{4j$9)%R-o>8*!0wXsG@VU z^y;}^%APS>u%2t|iNO2G>-@OLsPcGzp%uW50hND#S?;ib&CG?{j~#r)eNLCU6h}L$ zN@MWmf%O!@M^cQovFU8}iC8p`vwu!zLcK|6`TQy^JF=LJ4oe5!FAI(N$MP&bDdkM* zfj_Ew?fCQEu=6NC>xl!riuIXws(Oko(&1hR9vIKyW(i$_M(k&g9!EMJ$cTY>a-X+;S~&lLFX4CLtZMvdzPbH;7{ zLg>R>FFdfjOcT#o8OgV**%(JFeK4)%_$k-~rv?Q6iban?j7#$%NNHI#BB+p+@=xOH z`NH}L@$0b%z^$_o>}-d*e%6!xLy zw@i^} z<-eE5WzIH$@;tZ~TDhB(Y=+ow!;TgjGHfxuilqZE50pMV0YSyrKl2Mn8b!t4bL^pi z1F6O%mPMl#J(o$M%3P=T zHWd3b&f*eXa3>BA|&PSQD1d)++kjQWe;MUA79JFF1iS|Ow472n<~NZ zt5^6>?$~bAu-4uEnQj&KY9jaZaK3bDqkq5+B^p`i`T@^vJ?JH(KHdoI$MIX%38jrN zr%b;NcPcnFCe)y?P2*uKX7>;s2GV=+_1Y9EP zz2@2@mZI~X;}JqnXxtwath-J3`nf?jOp`HK!#M6|wz49~qC0^3awM)@#VtshOwCp? z2h_#8*Oh7%+sBN?b=)y_cxtuifhd9<+E7hKn9<-^1Gp?EkU-mISKa5hI>ny|Au4l)w&-%q$E2!{t*8n)5i>%S; zprR6ykT${I4-tU`Zsa7A6D1rLaJM@df+Xj$Zlh+DH4MkV1imwDE>~+uTUPiFlClQ` z5a-vUsD!81R?j2L^?IYH3$#V@>3sO%V$oJ4sU4+a4XaH2h=-QLQF4~UN#>^$R|J&H zKV1CAd*g7tK%W=zMZJQR$%tT0@?;j9nWADP%Y5#&(%So?hk4fR4kzS4H@Lq|oFbnz z2Z5_tT4v}lK%%p7XU|3!gU_GjGY~M+;NnFejYQL9298T~xP3ylJDZswLVScOOeZeE ziqxeDu8JrYCeD$fM9h)e9>qgmbW87(0gz(sHIUES<+Jn^0fhe?wEc1MCH@X~aJ`*w zj*_K!&8Ko&VL_H|qqec~*~kuCZj4p5-5=%&{V{~n?owIv6d+$?i4k})n_P?Bx8HG! zQY9^0-1MZ1zz}OGwOROjs!VI5m=U30nV<3y4ilCxM-|~hfK37{ zmt&h$jq@ws%u;ILk?>5evNSE5C1=#%_PIfn)krBil;h3$9#{Z1i2Sr0K7b$0tWXa1 zYdFr)^mIz}K_GhK*67Eq11povNTfy7sFS*SecjPFmM+W77K{tpQF0r#XdTGh4ENL< zXV0W`vnhKVR^;roe)F_RR;QuXNIr*w36C!UKY7~5aLSg92NOm z&pLMFHrGf78IK!0J^f{kkQ~o&pOG?lqX{y*A8T@4)Ez!hG>h^_z-c{)U*GmC(T-i$ z3X#3ppnh5UwNmDMD4pWohrvR?=6H1KE%C*9y{BlC--?aBDh^2m$nkl6T5#MCUB)V0 z6M>GOuxnV}a=6%japTI8TTmY6$5s>OYxxw`?1@e_)X}nzUN)pJ`TIIdu!e3QN<$2` z7ZbRTDUJa+8=LDpmga0aY+Bvk#du<7DWdMgz8;Au4`-r$YbTM69bA}6z;EV32va7N z+(vpXqHbpVjaVex4uo_~Sv9Pa<)8u&jHGgG-6kIc2&x;1;o7$6edSm>;^hWMrUpL= z(O|lcRx`*OUuc$_4JJ|}IFT>g2lcbPnN&sJxrHL&E51VG5hQjTkKq?Pq;_M$Wh&kl zImQN#{sfxVS@bJvu=19hgl+9Jj^HM84il&fvk7GQfwzf9bD7kBod08w_iy~U6zx|t z-&|?AcIgiGifMeY z@G?h4-rDF?@W@y0DQ|3owGo{i(r>0LmM;p}vA9jmljX4TBv4SQ+Pf;1r>u*IASk@E z$F8UriDitLgzGMBhI~8LbvL7Hg_D99j5%;T7;r8&&U4PwRKTMWaL;1wEx|`lsHna& z!rECA-EW2g`JM@uSZo1!_)2_t#dilDd*ZYKN{_Ou7U!ZssR z&Ve6zWJ#Ce%%w2r0Ikql#TzlAHA}Re>No$T%Bs1HYT3L{;)4IeZ0`wCHh$|hxAbvT zJBsCKy&f4nV&Tg4S9Q%s$1`&_46f)|V)kv`uUd&d_>d=`**b4uz4cSBnxy)#LRPR+s@wbHROr4T zd3HmA6LE7G?q1y+S1&8%X2Sba3{_|CneYH`t=N47T^?Kys_x~0at(xCU(OL>}GO8!RXKU+U{;bu}e7*?dtm{^zisMz6GAf zBiPZ{Lke0Dk*sixrSX}nyp)6OX=M|_q;@l{s!dx#+2|b-28J&7V;einMKqz1%gdv837;D56Tyb&&7`g?fMaZ^n!eOTNwC}MEwN|d1w)-EIr zjb2s3=pM+>@c zn$RlYyot$>4_S{RDH5SXl>BS6`&_o95jnWo7yhYO|y34&vC#kl1TRvj20-! zSYlr?Y?ipn`Q(?SZ8m1$Sfj{VuNAb(qEFnPfV7hagJ42Sc|uKTVqloeGxk|KCFlY% zKu;02WHTpXjf!A#{laXqbdlrAat~}!Jg;eTSs~id?6-$1>A#Mh#JAiY?hr{WTcEg+GfS>f-oPrC{f{ zzJ>GXj5^Z`C6K<48qhJ%+GEb#V=)`I1UOvgT^_?>xXE?n!TD1H`pnIAv>B({P z7T_r6(jxt~ZfX7GajBlv@vkPFSVr7%T-kQ4uQjaPcvy&5Ax~bDjC-;~Pg2HLKoCpP zvmmvj*J=}VRmDYl_Oi*UQcNApT^8Dl=6;&TYYU|VY=pSAyb37#JQBeO?4a$)un z+}g6-+jzqbCtr?8mb2EZ4b&!+AX!g@m3TPZ1Khk0({XfqBd>-Dy*baKG`ftOdPY%8 zkv1Kc_{Gw?U0)$9|-zY{=u%2*yw{Vknq+zKWShU;1z-t3OdlR!0XpX`Zw z{bKCJcd>)P*Er_fpQ0)d3v2#rt+_2ZEcWpnqFGqiAiD(A^B@7dltz9eEB$DC>-PE; zeq630nnto__z-5pKIDCF$uEa7Qv$;x1{2`T0+4})TQ72x^`{N%+dXjDIj%+)0I~q^ z$4g|R7qaJ@(f$+WF7MwFZ0~hDU-wm?SJ>?#D)Q*2Ck|rGT1fN#+#>;I^wEXLGD`;^ z%BU=kg({$+c zCavg&VphXD(c-gZxv|60*2j@uEgHY|xW_-G2#Z*)IuIZrPo7BJwXV0P{B(-;HHsI0 zG0E~4oD2Qi$_g*4BW(SZ)Td(H8HpSB!u+Ac>(thQRvmPJzp((jc<0_l@w^f4hTK6m z{2&z_*BgSi!lC5_bmwK#H6i26@N8PGP* z+J^BHriTX~K5n*IL_4cGxqCB5 z3&O55ln31zd)sd6A@TEkc^jJza#p(@RU8a6xGo%ptjGRskD@a7Ipo$#oyV8JIByV56<&wMSQ-qQ!%W7z17g@4xwo0QPb2eikMUkX$DsnhEap zj&H{IsAU4Be+vK|0^KgD8w4$9g=0(~>EP$+bAA|!p@kx;Vgr-yZ(TMG^>;B3SIQ^aeqd9!oYIxn_ z@3k29>A-R7cyLAp{ql7dL}KF_yeuo2!|vwb_qNZ73=3nI|BBrl*x z%1Z1HC=}a5`s>Vgr~3L+^MmF2MY+*o?I_B*V9Q?XpY{P%X)8VE@=tK>6|eiH*`bZA z;Dm?D6OJ8W&9Dk8Yr!;*-hgT=q{n#zA7FF=xAWlf( z&IYtHY>>M;06mQ>m0a{0%;;pV!FnfRa*w5kN%S@mAG!MJ|Itx|*p+Ybi$MOB;Au1S z=$sMHI^dtQnhAV80Yp}bT97I+Y)*_n7urO3CtSn##Z;J^{AP>%+z{#4AFH;!{D8BsdyCvJC`mkp&3Ovu^r6%#*#I--a;)q zRf^5mc%v?kgTSYy7uoxben1`G=ZaE+*B<>ipLlk7r1sW8gc|8bTP3Au!G&>0kZ~oQ zNE`UTyo8uy(GB;yf751p-F1bljLusHys%$kU2H|} zguLeIJ^=%oqyc#7U73%e6rL*;BTu_IWASLa{kh$IY_)9)Yu3LC&QzjE0 zajPWkcvmQe?U=^)^zR)Q(Fn}JqPbK!gUZ{XbGZe8tfcZnY%8bvS~WwpP{? ze*sa3kR3ptbA*xLGCv`P=};q>l6G{+Fl#f=-{18=fI_aLDb?6pxJMMRqUGTDx>O!L z%)4UGAB)|8p2~ngV-yGO_y=siiXS1z(1g0*fvpJBR7Xf3(%bm zLvb@iPnFebQ1lAztI1H@>MPWM8agS4wqh z(j_J)j9oO*avx3O8)6!70gFyt`SX{nZn6IFN3!xxs;;s2*@WfrkB+1BQfV<>ZiWND zO47j&`_>0S{??y{SEE)Vu) zmZ$3j-fu_kY`H}^j|=$Ae<}hEW?nFS0FV}sF2Y6cuz{M!Z8I!#F7y^z%8O*}=NscS zbO$hdT`)pMG~Hn>LXbTRc8N5-h9ETeRBQC`DCwp4dHrS{vd5CuVK$qdu0G%RyBx(*z6QEemamF#9x&Ab;GXd%cm0p)D9xRBVMa>Kju|*|aB>ByNw-oT)Xl=hFQMusz)DQ>1Wi zAY@G}qJGCH^A#zd*m~3F`_$!WNMDmtZiQ7^6rm9>fU)+kLzn1^QQ>3L&Jnv((eR9> z@>?u;9Bzx=>IQ5D_*(CgB`^l(X-CMjEB~zhJvy*&ld)b3E&FaO$sT>&l(pdbYZy+l z3AL$`q5$S@SajixK2gkNylMNKAzYm1qQ(c#ey^0r=TU-W5E&GeUt4j`v)yw9@13k`NrvU-c_WKh{ zXS(F6@NB7^ONZi?!QcePW-+}KGfKvoP}3n%)SfSJs=UKA%2PH(qyyZo5Q9WwgQ91o z>R|(&dc2l^Li4HG0UpcfJU0DimnC7)_Xd)%ih`~Hqhf}G9&~YmDYB_nr_yj68Xn31 zU(rpXA!oUImEGOu=D1jwd>8|5UD-mK&QuYrcap4^H~NWrwl$BV_AVx)%~OGscnl(h zTXVLN=S|~_v?qTlzlQj(NGm->)w`^t9#hO*?0#GkCUe%3lN9|P2C2s>{o`k8u@>-b z)_UWDWQ~Vt4<}5OLie;s$CcUtgnFiz65)3t?WubA&Zi*Ai043f5{febnY+WZk%|Pz z2J6N3R{YE)RB+yQ^{?&K)NQb_aQ!-ufiE9kM0Ly=NafFwFB~RKWzlVj|Ju|6k?^VU zSqAaoQg;aWiYN5@6KZ4fCgSC@@*u)|tRQFx#G^)aHKEdGVr$OsS}f5%e!=`l#e=-6 z6FYEgi;$aI(2c}henrxB+?iO--0W`R>12=ID69UjG{hnn%l?jA`ic5Op|l$I6`c-t zh^R`8aaiX^R^eqi{H?RjyMo`JUmuaS6$)L>SU=(wodtuOz;(w52bdx)$O5==kbHr( z2K77nO=^iy?a=s)+yCF^d{68H)l=O~icHDR~jjiLw|&&84M5;c8AF`=p=bh~1-)TNcPN~#p!HXIZ`5sG#|(GM@)$Kw1`##t5r;0s zMiFen=JnO}4(8E0sk2RO0k>n0&_QLTs45~Ls`1BX4G#72QtZl{SIk6v%wDOT5Z{(% z!2XEkf>kw1kYOWY*cJc7P-@7Zo42T8J9MkjW6!&-ww#eJ>>RO&@q1C78Z1rKW+CPQ zhk;Ur3cxD#m*g&^*-z}O@RULwGrmWo*Vq;wQ(6s3MGzPEc!&EfamtWfdR& zaO&gH)v?eNK}b=o40zb;7pk;Z%G-npl}87tq*~9H@i&yEf=GH!1+kkOZ=7h9NC33d z=o?<^OLP$ZEdUYght_=3S5?@GS~+-!JYf#z8~^w_1$(rQNc$BsVt5M}7b@1LdrVt& zx_Al0>k<@@y!9Zx8#Bk!2C*GX2JtC7_C${f6Q#WfkbY{wE9fw0oEqd)u0ow6$oS=W z+K0OTT&}qViijk|cz{!gD+RV@CM;ww z*z<|xXH3mW)3zrH_PAxV_=!JW@s-r%{#%+b4|U?!ACM^KEB}-f!&A)yW~k`7KqZP5 z;b1Z$bEOYf!V?5UU$ML089sOC2Vu=7M1H=@3E48DO&JeSf^LhFl%EL+%(5>$slNr- zV9!eNxI`)^WUT@*^`fQBBTX64_xknZCOCNd>!{$4d^xE-NC+oQPbADmtTZJI!^6Az z8z+a`)fw&k8|XoTVuF;VTCP@kiy^SLl88@yXutCH_l-XAs(P6v6mkmWhq&tx51xOQ zmo4ErN1${)Lz&n!xT>XZA&dIJ+VWYuf}zwXcZI853$8AcuNHS=q4%O>>_C9)ey&$F zGA>(lK%A;)mkn&SF;1Hn!LeKT+da%HB ze{n-tOV;8%dnXggGtP}4eLRVlEe?0ce&_eoJYY`dqoVflTe|dJ*w1~{{vQIVf zcc0GofaB@e#}Qz%K*Emn9IH6*Y3TK_%pjS? zv=7w}1+Q-?NteJTidzWj)T%F*w0G27mmR*PN_rQX5~< znsW35III8qOKZj)C=!Twi1ApA*kUpJsJh8}K3>tfENc8qxL~)p)6K3u4U^*lma@@lL- z`Y>`ULX5Xfa95A0I(Z=PP#GElf$_29^xJ&`ca=Z%$%Ib^vLvL1DCk%!pCYZ*Z~%Xc zx()H^A5;Ngc(M5C%eS(NpELy15LdNK>NxsngDSdJ5#FWsu6KmN)g=~j^AUtcx7NCo zet;Lzc^iuP%eqVEe(difG@KT{tR9Udg@Dzl;04%saFe}Wg08IFdDAIDuRQ~{^X?pj zypaa89otClG9P5;m zK<6c+5HF##{L=G2N} zhR;VAKQwfK|1bTqGAq^_ZP0?h3T;qklS>^IBXbMbVKq${N$KyRqsE)+QAjD>$F}xI zrllHNku4Byt<5BQs)L@Uur=s|nvohig^bSWK#EZ? zDA4`ZO*~B>-5}^I#zH}ATa_KBx(Xcufy&2 zbJF&ax6g5e{_Gp=PG%(}uoT#9)zxp3$b{oO$Nt-_D3&5HRR0uCX`&nqP%nnOoNA#A z)VnKtu%US_rKU-X!RDB?X^5=|62U882 z86~|?j=s?JPodgPXeFVF1~R(}nzcTXApFR+szMTTqA~#>=dVH+bP<6-HF@@~&Zb{QF2$eBn`bMES@4`FG<0(UINCer1PXWOhtp zrd6vcV(&VLscfgY;`h<3);r6|Kva+0lYrNuR`!cS)Z<(U4jHWz!f}ruVu?->o|r(! zd>e1qt6{pf^OWUr>!w=cX6xpN0~#nSS(%o4Kmnly0%$IzP~#(Huy@v|pl-B^Jn7<> z^i#i#mkg0{Zj2z!so6*wh#kkQ9gRRx6N0%h;`-p3rDuGv)$ayemJJBcY}TMV8OoKM zOO&kn+yxwZwV4m|HN~6ZD3T@FpN*5`iZ@@rB~K*TsD6{!)zNb0WVX&0fBBobnKAN` z22^G@<37boD54!Z2mvhFy~NFsn?3$;#kN|!>aL$SH<6;9gwZ^E=1r_4P=JxhuVvAY zb>thx893{s>I<)8>v5tulB_?R41G%zCwN^&C0MLSDh7tAa?U~oO)>Nk$UVgBne#Cs zUAW3#f1b4(_qD|k;;m=(ycA25z-2ErY#Bf75X&c{WalgNHe3`<@gD2IkA z^2Qq>qxI~%hAPa5N0>W#L08ij{@vrIblpqgVxi#TZk^4?zzrdkjC;{5t9*cGHMvOwJ}~d@zcBD&`Zy*IpC+IJk?P>Y4p} zF~B8|1yGyG_pa14}NJlk}h|$q{ng3hB8{1R<2BNAx18PU+Fz zVRPdHDHFv`wu~hLS~)FMoF@S9P?u&n4xLT9!XN3&fHoNMBNxq8kYvkCex$X9CowwW z%244F8rE&&RkiD)E9lJBy0RK)ld0uvhjs4;yrz2D=HP+Nf`q6!xyG(^%%v-xv<4tJ zaY&}W*zv9>dDA(KVQ2M2fi>+&SF^$O<7f8WnSFE~9>d9zy3dv}k-g|kT7&azT$$l_ zz~Na|i4n3908XiD+#O#W&JVG-2a6QC(Sx(JVE%?G(90o?Fa~)xMqL51_Zn7Bp|T8TP0cr_18T&RWUvN@!`tFEAV2mjE~W zO8uH?#v$YI-z*#)a+kuI8K~>WD$51U8d+8LuG_LMCC4A0?Rjqn%{inZnRbE3Bd;t9 z`efhN#00BCRepQt{+t)2&^5j_P8qh|s<$nBTrSEnG{TZ|=Mkdt`t6s>y@6+LWa9qW z4e52bgng~j$q!SxWWzw8BIYGtzx;fWy==?OOR-CO{``X5rY_H`+|xnB>Pnm=i%2Vg z3xozr9cn31XP&C6QS%I3_3_T;`(%4VG5(s#{}Zil%;3(smA z>B<{ZL*l(;y@p6&!8>{`3?g~>pYs7oJ2X7))^l03cG@Et?z6iy3K54|TTKojKGD>m z0F3Gj+Gy{eb*{TR<~8f$2SbTt2c8Xw%zj?OT4kl4>B)G1eS+o}z_5c=R91@T(7$na<0?R+xrTub=|%Pp@5>7_s?Sd#(O9QQHuZRCup|Oc|HQ`yL~$ zDO7m_5+0(eXM*x5Hxqb3y7$Bam1iYqGA;v28Y9zEhCD)L`g8OxC8spt7(#c4p@J>q zWNNDD0H4ERXGiA&cf9`+2Oq2IXsNz1Zb;dZ6rnF~g_GxMTg4-V`poLvNP8FFX(P7uI@qNtV<%NEN)QZ~u-V@o)=zSuG3h4jR_-$D0*)>qJR zc+T2KPW>aIz2r8~rxO^_NUpk?Jl$A9NsL%f>NvJ^&m(NXV?-AaN4wn_G> zn&B6;4RH@CQJO*RUCB>A$sji3A#0+9i;*V8P^-RpD4PI+1L1!uke@wIiO zKkjG3+=+h?jDg9qIihn|Fss**+toTAT2gMKyb~IX^+vkrz42Tx*RPs;q&^hQNLtIc zXYG`E?Zy0{^@~RPkV#~sYqy~77YVngg=rH~;?%Xy4;TNL6?vu*<2;H`;UcDxC)SV> zVHv$s>*gV*UL!*KkOE2NWT-16mdbmHNRk3dFc(y&H3$o4g(GH#60m|4e@xC;v2W{2 z3xzLaI;=$fsyT-$E8F6k*lnKd1Bmn0MeB{}#2Re-lYyVk7$GP-oC)2vvLSWV^dDFD zzZNfLmE{Mh8!cP<{yl8@CBZ1QeJ}O!OpvNp2zZ$_HEnc=_S;yjw*>z0jQqEMabCs* zyW3<6RDORt;STP43cRu2;Vh-caB1%X`|nz&cL%~TWko~iXQdowzv_}4!AEmj2$QHE zC7+BM{%l2LQ*~{EGx`l&bL_@sJHuu5|3b0+GjrNj2apf1k%YNRQkdYf$73Amr%lUD z%BOa}A9Qs_K0>>Zvw7MQe27299Q?wIo`Wk}8O%=^O6S>%5^qB* zyJis0ym*IMwuZOjg)Xy)=kMAkx$L#N*TJSzCtZwlS{kof{A_Fu3Y&=nZGLu3(fL5z zj_s%?Xo7q#`N}f|!&@Nt>kC$Ix0QSqn94ac>p5 zAyOh6^`>|*=^vvkyF=pybKic5q(2w>!+Z4G_R8P+QG#tv<9j4A@&jRIx^ee+)<%qa zOzhZ{b+y(g4(k|f0|3rE^C;~ic4qE+{w;RpVf0jr2zxM5+%G;KG@VDcPZ^;Gj3|BbaRA!1Dv;zmmA_LGmeXJ72tZCO>lq_J|PeH1}36^+WR1owf~j(5j&9B z*LbnZF#MVMsr9gk_j(C#Fu81|!-!u}d^s46cc@UkY>9k2003RQ$Smg#I+m6;0dgfN zpP-t(T4;EQ2uN>o9QfJ2V4n!mEbpu)@2}WdKYi|D6%dsqM$6{h) zBkh5<;ZZolftGt_-xUUaz(NS1geu4g*EKYiYIl)9};I*w{7}4cwWfK-uMuvCP zzM@O}@|{ROW@i7Uep+>#YhXk(vR%T5L!18TF|S}>%y7sv=Uw}KkCyWEPq~_?w($CK z;k~cB&o3ciQ%kqOP)sU@GYcbX^{CGW_pA1`7fY<`<5@dJQ=5)n{?~aa6mHH5o*m`2 ztvmom@~VZ1QCj{`_JPtzk=y0D{5Fu^3Gg9A`)+y0QvZ9SOahUTQj2+6+4Hrq^wRoa z)NrxY6i2v?D!Xi z!wzHQkBeRQo*H4zg~(Z%-dk2O*;G-4i`UlJ7a#U6)O|3ui6c^wENDp?7qhGQx4pcS zJzi*!s$}heej<{I%w33$@xQZDKpE?a1v$Ir8AF-|f)+=t(Pa+<@yTXCulW;)&DxF^ zWA!_$q&DgjG@9J$)jZqF3r@Mnx9jUi1eG?FajliP%0Qo_KRj7`5+k{gAPP@`zvToG zp4&r*c6Ci!0bGbzw^_{2`*R#RHENRAJPEd~GwrWQ^Ui9jk6LG0Z3l}2?9H^TX2c>| zuROGK|sU(4!Ti8O0Qe^a4CNN{uN8r3V5(k#gk+qQgh#%-y==Q3^Js^oQ?#^WCjEXo(npw7JiJp8VUddEB;NC+d(|;k90?zP>r;2?4yI)yY*&c@tu?!*ULSV~BDMksEZcVO)(2Kz8Ot}pp-->>@ zWFKd9R}7S5-xe`%;COuC3Hr`kY;T05bmA0j zZdx(x(xsTDP#l!yF=jGEK}5T9U*-^t2z+^zEH(;Q(IpkJYyZ|>mzO_{A9%IvkPO{Q zQ7Lv6Q@(OhiQQ;X_s%b|Xo|Ifrt%J}kBU2O`6TL?r*~$yCQ&bJZ$BAfj)J`qh$4=2 zJ}~@~O$7oc_sxvz7ZGmtHTJ%Vc;zH#?O=~rFHf5+ZWemY9AetmUgwrq05ciC@_r`zFNp zFxemy$H04%cjrMY!%1`gVHO_Ssl0tsF(&G__<5N=C-)!rbzaO;|2i3Zh0IU2kQA_E z0(OrnSIB|&Vc2t1?dmd|H@X-=aQZmg%>7TeCTk$W`#u$$V(VtqbEm{(l;woyV}Hfd z^ zf%Eji(Oq_Paqx#{`VKDn3P_90X=Rr7S(f6rUOinp+dG)jvaEb+r%)bslpMdEfGt=6 zguk7~Z*kJ!lwj?huUvH$m0!rQHC%QjQjE)lW_fNWG5K*>f1&cv<2Z*ON+DHgWMXxM z=}AvDBgjw0`F>?J!cAkTKu((MEBp{)`WodQ6+`9{&zupmjY54zz-GZrMtXREeH>@) z@M9Gsk&9~Z-08}7&L{H$?rA13Jx(Uu76u+Vx4F@pdn?ST&+O*(MVx1cQWDu<*$ zn($Z-A~7|vRVB`n$F7ByF2ik&$n1YBte{idx-ZkUzDE6BhLrFTo++5Q%EH@EY85DE z$^<`fXk`2eTjx*NFrJ8sed+`96vELf|5jbK{Z*v@K603LwY>ByOJoRSSR8*{tu@BA zP*?r)dG?IQYwa~add0H2voqaR^5nysJ+6!Qs6@R+q+iUR&Mk21C$0I57a=-^2XWY1 z-5_kW=;3&(^T^(-4Lftmfqy0}6Q3>dt#WXIn!S7xidTHrsFywsywT(^Y9c zmh>?3bGX6TQrhnL$eM4C&Fze=Wk>?KQ_DEC%)*z{Yj@V|oU(p^0*i#lHERRiMSLR` zXdmm@d|bKxqZKBpsBIarr*g84d3cI!W-6aba}#FUZAw4mvIee zEojsQe4eg`B)j?SNIuZyw-cB|ft$+w*=6r@tPL~kr^U98%?yQWkX_^c=_^6-t3NzA z5hU!r(`57hF`id#RA4Yi=OEVPI@4B2*a$Uk6QqBuHgP)0&2M6t(3gD9@NfYr7}q=1 zLsc6vHYk{T+ixL?%qrDue_iN?y0V~s2RJPHE67+*z1rv3JF-A|sF5p~YP#S|&Z4V9 zXDC^^QkLYJWR9)FasZl6S6mLR{2G=9FFH>cO?k;EDdE;;T>GiMfVxvs+d{Z6m*zuCpYn zK2P0b7Rd=|rN<)Dt9Nsjuxj`Nhki8C@#&$`jiL0nt@jZ`9BQ6EF;U{j;DvL8%L`p4 z7M{#KraB(IK0fBYP=hS&SulOkwVmj@1!LTeomC?=CA_|)?3KG$b&73y(uw|eT1Eli zb7*zOk7Hvi93OIm7#gkEvm*I_0j6>i7pAUK8=;;Ar!81nC|MNQ>ye0CWBmPt^M5K3 zBk`DT5Vz`!dxqTsQ*1xC0J!fX_s@SJF7|kubTvMgyRHCu`#KU8_>cz8HJrAgdM-u$ z0cDA2P5wM7$B55ffu5WHIFqJd{WkQxK(~Ax_C32`e1{*xIEeWLyn`BsYBfetujT^WEt=_5pR0P!bFk3!kp$mEd;aDyKdFRQOlZFZpgS7`XA`Bj+~xZ(NwtZ@aDpy&MY;2x>(_ z1@!<2X`_6c14vZTmK&`kfwcKu+I60n$jZLl9&n5`tl!wr=){yB14?W9x_UHt^^qQR z_9X!8sfQIuxBzU)?fPgEqDNN7#)ySpGY; zsf4!zIRSH4msOQDVnJ@#*gqazLAD`;zzR<`JG3o2?XV% zJkqaXmQon-kR&fGzfKa;W(7=%oXC66ipiK>jx6vi-Y>pAEPdo9;7qEC9uVknZMv~w z_l>bT@TZNcI4idM8Pb7;)?(k?Y%6v!;Xu|XX8%FdwEk73#i9%$tw;+moxpa3UZID5 zHp8?eZCDv>SVK|r97paLnowicpUi~MgX_Bm@kPAzpxME(XmAR2( zKb@&`AX^E9btu=Uy~(YcgL(L{5>Yw zhg7}`v9^F%d=1CvYZ8$VB3_FX6pBFcqER6{W75F}b0 zS!U|B-gpYK*JylQmUnxCIls~CPl==`LT>w=YKj3!M0_UuA4S+@LZ_#`L`-$$pMrK*dtzU&fRbb-dXXR^A0e``JWfV}CBvIp`MnM_kLyZpd6jFBG zh@KG+;j`d2wPWKD?yF2lQ&sSC-m7#te_~$D)tS^h>b5&yHoJ`-3yBymz!S(N!{3AI zkA_x-(~V#qILh@FhuMXoH)<18hBadONK_p!IW43beuN;>oXon^Bp5@+;IoIpmtz7T z30a|gv{D+WR=tRQe&e-7Xdh|~B@(+d75f3zoX>bHRKEU*gxuqC*gvN#>Ikrmydn@t z7B5l#_I%*m>9xJ+a21CXK!$l9Zld+c2RfhCQNnWx3^9L*BMSQlhA9602t~rTo*>_E<$%YzC-ZoE=GdOC@?zVE zQ7%L&(ty(z(Wbl7=mCFo9SlU_ap)@985mgM$ z?av#H85;LR&jD4{gGj&>PmK8IMbjA2J>qTyoLFOAjQL0w!cftjgqzl=5mP=whE0E) zux|A4xp&f--Z6bN_a96}r-I}@iC>4W77iJYaRucu@jxneG4Xbfdm{Y-(2-NSslq6= z+3D&q$sM>fcxAb=A~-n7n_<4rTQ!BsPmcr<8g@Y@YftTUDV3QJieYCml5LSy$nlH@ zq`$w@o30<{QrM79i{a*7*9)PbcX3+3D4UT|(Y^ZC`~-~edFh-yKzUYN_X+uqkaY1g zK4EdAa+B`Pg7Md7x0L|5echDj#knR56qy1P^v|hKBY%9aY(*HQKE$DK2z^yj41u+x z3Z>jK2JKcJ&qW-*#K&qqs<=x0dG`@AnqR4=KUeZqi@`iyffdkbCoM4gH?FV$+^}D* z1RWhWc2YJ28!uI7{D%7YLManID*D3^Vzq$;5L5x)#eomI;l+4~m$vIcT@<}kjHfE$ zWaH7nky4DT5)232O1XvIwMwQn!1amCZ+UdtZuXLb`em=|-&LOpb@PK~;%rGsMW+Fc zthbmhP&3Z_*|1HrrQjS{NsuRL@ z=XQ({8iUl53`)TMg*sU*?NDhoJB&8W7pRh~J#%W&W2#tVs#t7LHWX&tpK>Twd2M=l z6J|iI@ehS+*;NCRubyr^vAg8fM5q>>&hi`@dAun@Y?wAy0$iq#CD#9bA6&FA&zIs= zIXSqKK9Z$~sD`hLR0B5I2n_}4S#FQ!ej=i~lFtK;4q}22)JPu{*+@SC&m<`^Uw4}2(;pY#ie7q$xh#ArQ4E)BuNf}X^o*4$W#ChCd5xzE*mr96z(zW#*38MzR@T5c_l@v_@j|*df zAx60f z8NJ=|1g|Nc7j2isx&!u_Q*;cwv4|3M!XK`$x0J`_?PZDveJnIv2^jL449t-}3Ir@# z1g7XVAwx@@<;p6Z6tvm(Mi|vGk7Y3|T}NJs|%_U|x!5_tm3KLH>8BSD=vJk=h*=WnW)>e!XW2U&akq=zC^q&UHf zXoU!B>tjxXZ5kDncZ7dly{OZeC^{li+){@vjP>BQbE_8mmUw?~B}mUQ>CkoVIt6^| zPPIBJEttWlP_M_Vr0o2&E9xJni1K?}O$+e{`*-Wsilh$sA;H%#ju&i3O$!jtKUHxD zj9%wCbmv|aj4{qTfW@t|#ZoK{y6smn3vMp;FOB)``~Ybm59I+BS~RTtm(_L(Z@7U) zfKpFWm{M8dns=nlbg-pdN;Si;`Cm!Y8p;BT`6eZZCn`+~&%e47Oo({!mgS2UhMm=t zh!V&iKthhPffbg41ZI8qKmKpE?iJqthfVqcShQ->SF-waWF!avsRZLz zGyh$^zxDrB>e}054p47n`}8JsP^~)4?q3|nX<2D&tzGPAl8^k36X%zT`5+}I`S&)N z6u^juwalhuZSoH^B1huxCS0Xv@?EU(vhy&9$<6qBN3$I3@tKx=Ab)|wqY>XoK&~{? zbCK7yDUnXy#+Fv9eOe=NcQNIQEi=45?@P(*a(fz|`azFLo~-d2?83Sfv-S^P(i~cpS`J4x&z%&?<;|L$uqmas5XASsrlJOuXkN%J8d&PD&ITl9 zmkGhPB?fUpw|5Z8NPKCq0xhR`({GW+WgZ-ctvxz66OYd*h%dhH>Nh_9`E}hg zpK8wg`r;x2K;LCvB+}Fx$G|P_W|3B!*^Rw}WZ~)5r`KiHDqBYRK|_!HBC~NU{h7lP zB;5RSD=rf*hVIcUZ$p4Eb!Dz#b*9r|5=NP;KIc@jY97ESiIu2@YSquolV7fk_YQx= zf=X2&HX3dfuyeG?dO`8>j9-+vvwt8{M)xIpS}oJSCX;P}noqKQ zg>+)H%bajXCQmYeZp5x5Kf$*cjW}K$PlzOcxp|^9XOQt6brN4)yg|t38X-Pwu z;&w7tyaE+YT!_8?m9Z#)Vb}^*3@vUzj5X1-S$WLSTa|IQuU&ySP z)O`QwXyc{zzrqObfVpkdz;``HGM}P(Jn3+k-C1O>U+2uM)hg1JaFkd^y3ZeF8d&_e zM!JPA#Tr<0c402NG7%bBmQo(cpRdnhEtJtA&m-Qlq>rg0BInHdN`v2HB74zoPJI8C z_aQ6B-GyO*}!R5~x=@_v$IRa(Q&C_dlb+?NeY!%RUg`!P&sSzxj2hoHF+ z5eUnU(xlD*PAX3l0KsB0+f$*mgPxL`Q7?kePS6xOUE<-{YUS=R8V`m?G<&T0%Ng|V zbQhtKQ(qh`$Y=chfg92~!NnZ=RKsqTw~p2N#RMFD5}$vD2fB$vE*w?n)lp zkqxb0uC|(Yy{7|RGR;;<-IKY@o&}))Gp8E_kY%S^x4cn&L#;WFZ*yp0{S15HJZ&nX zJ`a)<&w9J#ow#;ibzg=T&jY9h5r?#PLxS}TJzvw+T8!f!BUXBY1dN6Z8uJ6B>OJG` zdRWs%%lgvLjDpOFMEba+L#`YCy6qzDA`q^I`?@-k_(U*b&AF9)V|pM39f-$|+y8$A z#AwN??3*EK7e%w!PNky*fOoA?^pWcT@pJ{wjJDVvH?6zGCI6ou2H5GcRP{|iwX%M(5(1Pz>s2x0dd;u(peBaU;##*#PZajBJ3 zAev=U5LdaDA)2Q;^zpQG>>jkm&3{Ts4cp;UvuLvzR|K-y4IcXTdE;gIZ+BxBwXn=R zPax%S3PO55Ty@<tdr1dySodmw1E^la^3IURYEaoK^i^tO(5r*G~#ed=(Ibke;zNg z@#}*6w9;Knru)llwV=;mbq+}#uUi!Uma6#n+fcUZ`xmP7{QhqFdp>VjC)zq`!Rub- z6X1E$az?#aaQ9%E0T5`a+V+)J6w)##MK{ersU#W!9C3x{d{cdj%{f4(QnXgP`_H2m zOVUmGByxAV?}NX8K3|8$DYBztlwWDU6FdIQ#oG=O8_?TVpavY)JboF$Hx)EdMD?Ud zHyc)rZzmr;dXB;(mZ}~%4!eb)lD%g2ON(25PwAE&4Hcp(3qDg!OlW4JBg3a~Byj|x zMwXq(3IoEBV*OW)B)F-OGnSU)=JcN}s3<;y|DxmQ7kY?@SMuA1J@w|1@W(Y4hEYm% zTGh6TF?`A~WV4QNo>-U-j#FRjvWhIh{0slWQTuzPu3oN}a(XxH$4q?|?I(~L3}^_o z_L#rq+{}_aG?SF)p$1XEJLr zbS+kDpNM;EaixA7=KrYJZnV*R{?JN(!SOkXUsN)=@ySDpZl9uVTTRYQMISzd~wAOf&biW&82^@rrw>NDfm8_0d`7;@db* zl;OXEti1-eG?+a^?nCaW=SoaI6j^eN8Vz60#Ki2vwvf9>JO^6RBhuul&ERR9OJh$X z_F1vE;`oa?`Ttw(cR5GsF{c~eqB6@QeGG{$U%~4x#@Nze*$P_-V~6)m&G8@BOFYlf zBvO^x)aN9i1UmeZI(MRae}wZ}B2zN2N}84!M}_ymYQ7H1;H`eSEm{~FY_~i~?wYHH zP;cy-vjjY{XQ^Z(?)p7*><4rMbW>q8fiq;O#WY}eIxfNJEg+!tdu)P3?KsPCEmZWXPsnGNi0m)m?ut&-~G` zv+taxl;=bBEt?-kGn%{~viarRr0g`fdq)6gXm6hzu|Lb?K6Ik|DvKYkXj#6(yu&{= zalb;YXZBts?i+R**lsD_$=(~--j$<#tL5Hmi(mXiyX$WcXZ9(_Y&^B4J+og;d1^8k z2htD%+s;>CxJ3t99bypo-q8>4a(1eaB_LnCvvVkpxE*MSM@z6hU17GYdOYIf4LucD zmT9HBi&Xges{-q_PPHB+TuUg)Gb@^t23yzv)42H)2ykde5t#?2$67&(== ze};4;0JaaUYg@g$;g3(gXA$c2=E5D9PLN=IruuKK#?K1RBTsmdpAL?7R45<&cj7BK z(qD(?$-*DG*Rj{Jb@79r%$R8>PD8$WomZb3Pcj6W` z5ntvvA2HW65rYEf9?DjtGLI>BZq-jPALi}!iW{tQhwo7k$CWulAoGe$v(F<_*_ztPUMURdL2VF7pKqmSu`5EGzqX2K7 zu}{WmT&})KB4YytyUAznbv^gXmLUPBueUTWCx9kH#AeCZ$)vma$s-9VW8mEc4u6!& zX79|G5sL4pc%pH;i!b8)J6PoP-525Lbd}Bx-;{I`@(giYQGHxap^!oi^^1z6B~zxl zDE}tQ9dAHv%^4;|!1w1>xJL2L{Y^^oI(cM?)HS*Z*}eCs&W*No~=?Cz_@ ziSF<@sPNm2(f3Tqg?M3kHrOWGBVL%*Y4Y`VKIt+gh^I_SO_6F5o6-n{Ooz7%^G?GA z#kJkIxJG%o^-4<@nBijG_Hu-a@B@=%!ZrGOwm`4<;~?EO;E8!g3ikV@uesv;nSB69 zG#{AR`sm{|!((WqEs$ttq&LD|qhOKZv;N|cbjo%HK3-t_)A@hc<)61AVOhT-LM~>E zaupI>9jey#)etDtM}&jBNj#U*zb_{fg9*W{^|xuKjDeVPE{79|E*K#kFB3s*ux49( z%=m#LdYn~%leLPFDP`~E=UW*vY0?uBR+pAi_HE=yPQ1Gvf{#8fEqa_YKLWh7ul?B{ zUfH<%Zk%ul9RK~C=6*?o4N6DGO*h+vo`PfzjNLgeAnDxw{{)J69{a3x5o>4X(*0ij+)XJ z*p=Y=fpZy|soC?ONcPMdUaY`<<N^L_>TkWQ+6h?_Yp;|u-v ztCEY=sQzDJT(V$lU6ASj>guYa+6tO|DMd=LqNPYE?v&zQ+}$0DdvOi!P_#gCFB;q_ zP`t(62~Kbg5G=g(``#b#yz@JIch8;d&fK|kSF*daPQ62=^?P(-NB){^&Un?KY}hjR zsRJILA_%LQ!EUaOR&-SAj+`F`e3T{sD+NLkPDvb938*Wtg#%4D4OyS|{AySnqWltl)mnlDo{vTjWrf<^AGGmKE^_)=qaW-*)~`y3I= zq|}Y_;g{Dl=;Zp+8JsE(_jpuaTsY=O=Z0 zziM#h;cU4i`$+`E&y(C(UXx_ue`sHv>3pj_|7bh5&{=Bj&zRIJI6J97-l47-sE_!$ zPG3l~aZ)d-&onpd;Hie@eMC10@qrn!d|U zEKMJ|bTjjHz0)M4mtz}^Z1p|SlN9NgtG#)z-nai2&arA;yz+P>5f%6| zx!qI43Xk%hq8?VuNCerlF3%1$^%;y0L&<^uN&nj>W)Gh*?lL(28}Xe7_K+Qzlk|;q z*fQmIMg%u(HEaDO94&%^U0!6zl>JY?&qwqO{IEur9}Q<$noQ}pGxfLX<3RcCs|mi_ z=q&I#Y7N&0PwI>k(4Wc!HRl+^0T-h`x!C0+FGoRCTeKr1P#~`+v@IGE^CL?)ivgh+ z0}<JrN}U$}akjj^OTj08?5;ISn6*hEzf!`6`A0l|gc>?OT<(s9Bc2qi zRBNdk-~ScB+*$Vd)Jso?`D6^l2)8X+FOBc3PORRf<+%=IHzFxKfL zcV_oZ^3|rUBdJP75?JZ&ooum6VUD^AVZIW_0(p?i$!hBL^7Df80`)oJuKWd5ALFM4 zx;Pt+=c3zXDgppBy=gYue!bkH&I-M&zfLHE3t@Z;FW|g)@Z-5y?@><-9&&ezL%gO4qzI) z#D$e=E^rmfGjtokuN6y!^qTa^>shf&Sir=jdFe_+!{tC23+1b9vUmYowaK=uumZA} zXjovDb7%}e8(&<$oj(Rs_*;nDy2?!bb>^KZPycSOYd{LIh3hF{TucqN^Z@lGS!_RD z>W+C3mkqiI;;$uyQBs+Ryywjjy=S|ZfksS_7V`RYRcJUH_RDO&MUrM>wsO`?TT|D& zdnW|c?07Xlu4GK3achA506C)63N@8lPVI4m7{&f*Imeiw?$%MgSL=!UV=ShZFzdyi zp^y1y%YkHet39YUHY9N~_+)`EAF^s{a@uN&b0-k|d{5-%HoH#b?; z*atrRd$t-B6>RmU>U6LkXckLs4F_HPSK*~)_=g9SsO#6u;;_jLt7Qe)B&-ngeQzXU zEH46`!UgUZsmu`Wv{Rn!ZOH4vUoQ6NwsI#c#u>=6? zAHgmY`0n0ue&iRY3gdEb#zl-Gmbm5yHO3RqBc1q$Syc^AzjIMWU%nZve^n_8=IGDW zAGlxi0glLi<#+L6n*9ML+kIT5cJ;7Zk)^Ukz271DsuVixA2Y#*rUhnkbL-4izeYz&?rK zk|2z;ohm^i3AI#zl6Trlx^Q&wZ19|rre9*e_hl_TMC@7OP5 z=z5B5MI(#L!pdv7&ri+x)Nl9b3m>-@r!3k(_;7?UqIxmqL2Ncc(;xo!CvS zf4vwcIzd7Ot(in;e%{KZ9ZcFhUc_ZROU=WYA*Z$`1+%Cx{Y1~_I)rm309%=Lg_$qh zN)gw3&eZGtk#c;w=lzebH;(#Wr}bAh+*>O{XEw(fw%l9)iYa;FfCHD9^K0u_7X3o% z87Lbx{JewAIC4vlLVcg!X2;#S;aXn>QP_H!0OUI!Y@&WW*bun&DvqV!A|o5!>V3`Z zzg#{ApnB9)rOev}bj0S~TIxZ6B@A9Noqbuj1F_uvN{}A#1V7^ahq5j>%GsW zb77RpcgDB2!KEh~3NMv1dK+h%cEVR(Z)Mfzc}5VoovCAE9T~Tcc|=nEM#U@rq9Y+8 zZXL)~9C$lb)(-EKnk06>+i$n5gj9~_uCq*D5eoVo-eSJghI!|GP@9m-16Fx_1(K6t+Zb{ zIo+pFQ@Fi67U_@{BHe0=X;7D<3y=29fu40Wmsef$5T@Mjn!`K4JUv`;JR8?}nuzSJ zGtZw+cR$>h9zHe}LV(%npxhc|A7W9^`sr5b^%SlsC?Nu73*|# zf1m6QB8DQSp7yZ--zsKEH?dVo@bCUCG;&Ot^$fdBkp}q9adp<2UP-X|2f!PfBcz)j z{M@^`92y{{%}=?S*G%r$YloQAl3P(6ZFPO`4g z!zS*MF^#cXi81KG^#i(kNsMNlevLk!xx#Ud(}+FD*Ma6j0|;$QqU{+%C;$=uj{>m% z%}C6Z^Da(*+-0-`MNZd~ftXh*>4X%!gQ(D7i%o2h%D3E$Vq1{s5hD={33wKyjgpmat(V5!sIh%r9mbFPiBpvI`-6 zF%PU@uEw}0q8mAMjux%gYMcW&z$FH(PdEhzYK%*z(s;PfKE4>ty9PPbhoO_)`=RTk zs%3ZKfl(o?XEQ_LPD%ydc5IJZf%zq{c9&shhHhGg=tY>rXI_anm-@U3Y{yLIZz;#^ zpi$eT@@nf8J_JsMt!xC^#IA*r6c_~gC+z_B0{gw~t#W3waXgLw#wXb}1*2jk|8abZ zH?+DtDEFxP^3WgZg()9!VvjB)jU|nPf)+mx=tWVnZx9}s{JRlH_NO&@h^Cg$G7j_U zPiI)%ZEzTj&4Pu_oMU_7d)+&(-YwNKpJrNymvb{$6gyqel>->vJZCJQf=FoZHAv>} zcNY8&kaXnb9WaHxoE@l;ff~GJUHZykvtU<7WGW42D&XLg@g{^Nt3BVUX`i<%HvMb= z;LzyIWw+pYpD*LRYPC?Qtrgrf;fWK%WuQKWDcv4Z_7eo@(Na~R^a*)5f9=O1{se z#X9d}0&v^@;iC{GAIlrEjVHfQ5tviW+}mZO=iCo9%hTahf;%5`Tt9jAb*Cv1Vm$X{ z`UK`>ZHn6<-v{0F9c(A|JHyD>>$$$JLdi%XiBgd5I8sCuBF8x;)qWsbGXHps8a~BH z#`J@=tslcGB%>zP8c!l@xvcgVt6bT6#y>2+ABhD@*Az`@ub@Fs9F{?s%MD)&5SzgY zADPB!9Xi>Juz}IoJLf7HkSF1A5?^+IL{FgwulUQ+e&WQjzrt0q^b=n-AT7hzP~wiFRq^{5Nr(x5%5XlDbON@>g2=xyOBqUm(;UZc|zeJ8{=!n4&&gBGH~Ho_>VBVjE#lKr{Z?y3O^eU=0f zrRf@qUY@w%$EZoSOBME_XF3XHf?nTose67nND4r`&9IT_KYRt{6+b7D0POIB_d)}S zC#hvjs4-mHL%9D0XDW}=k3&vbScNt<@E|{3pW|b`wk@da#@ByF;tCO@j*6LX63?xc zZn~0{%RuGapj%|36?&zNtLKs_4o%!QIM9)#uO*gsz^}vaSJqW?w9}P&b7hW(I2AVF zF>F!*I&u9SO+9KV!+WqxulQ@}usp_0&AfY6{smyTg>^9YRPw%}2@7ra4ztL~IwAgp z-ER#-au0L&(QV)}&88QFh6ZO?n%WYqD{t7-8b35JRtb4lb-n}|?0hOHZ*Lbo>2X!y z^!RNu0xH&yrQy;&99iuw@fM9ZP!Qqokm5v#%?hz21f~%L+}(>OyRenyO%a8g{ro#; z?=fMbM)4dhUR!kON z5b=)PKnZchGRB^rpayYF?tC-0wTj9J1{}aItm5`z$uShIO|7(7=O!G}48Jh`mOFI4 z)kM)c0Lj+6cVpY)lD=SwvP9`jr0Af0wJ7UMd&A@{CXGaxjx(q-s6ELLd-a1Qdj73u z@Mp`FKOq(Q@&P0#B}jN*kS*4KGl?)?ykcwfk1{6gWb&Q!rYJL*YIyyLc*9D`A_65X zVqYsi@n-nLD?moeON>-*Ujog_LQx_F^9-{O+_ao5^~2)6%;GPuP`N#%aNW6mt8DL@ zG&?4p>D$;LI}YdBYQtuQ|u9ZFM}T02@tssV@K{Lie83uZ|_f8E!`T znd*qJzoVbXYq@iSHn6er0!)&*S9&>nIi;_Ny6pm`Hc7ssip=qA^e(bJyB+gA4C_&r zwKSj>KBmOngsr-UduQgYMF84?7k#NXBPG14IHV}{4`UVXVZ+M-l)K}6FX+q<`egY@ zYnT$nZB2CSZ6P~t`6Q`_huTXNPHEn=II{A#glpeE$bx0bj`*6k9`s+yiA|qRZF!2m zPDe)|e?6^h#gtMwJ_+s1YiG--eYvTWLV78c_Y^O%|HXv~8@Xcs7=r-}RuQQj_*n`L zY&V`k^j~`dt+cl>(xb*`dD0gRs}qa_vfgk4I1fUd8UtSL#DQwOrVP%_y#8;CB&b1J?86XjCqP9X$@qkdOmW@}Da zVW!czY4LEfOm*lms&+79cg7=5W5H0quC7yt^Gwcfw4Fz1PfqN61{$^eWpQ?_WWUmF zaa-2x)osvKE70z-J|;$r%7B$JV88ZBdZU|o2{@6x{^WDa(hyT-a`k{F74i4C95V%>)mJ-bp}-XKnEcY$C(6=wF`o6$() z>~Ez7IiXtlcIdsGUG%9KYCb&U8#rqim^{(>94W%*sO;BR@rF4$&S?YUQM4G<{CRpUz%*wqhnGnx=6d4IU3qLU5VuAvd$Fztlo*4hPJ6;N35)PZixqPIMAiEY?fh9?+?GPdduYO{zJi zOE1UX+aB+Q8$U<_YiLs+bPG@497ac1q-G1;_6b+GrrCbvu6UT|etyfzSM-CM>Ah=$ zQA0dByg;p>S8#e+(?`U!%#(?nu=O3$v{q?#M{mG>*{^3EXPkZQeoz(if~%h z4k+Pd(R$z>Q^{YQ%J4N5jujg7DyDz)6BTfs^cWV?)AU~Pb>Jf7X8!5&%b%lvxrwy* z%fRUC^cH0I`ozcIcTj)Qg#V4UnR`#W#rVbDKhKZAO6<=?KCPA&hb_6oVP}tbR?<0)GfO$LI7aV|6Sb{rpYvr3By5w&67Ry~$7>!IWMyeNYKd;|b7DXov zto%r)I}{cZ=2UwonyulUoz8o7^R@0WRw5Xhb#3=<5e?eP#O$HSRh4Mndm^X zQ^)Hx^Mw2yY&2tl24R?Yk%t*X4Q|Rknk&ls6-xD;v&z$$nKya@Rc#Sv#|^XHe$)OY zki=q&CNvI*yK?XXORDcvIr~#vSw!BVn|5bPSFCyu0)z4F&U#%A{qb zidvENVE|7V4*g-97?4Tr+Cy@#cJ&B2 zUQET$;+5@D;=HkNUBwJU?q)MNUtt~p?cBnL+`X~Tn+WbGHrg~>KBNuU*Jh6bv^O1X z5I-t67@>6|V!N=Y3(kcw7PKw2tw?y%LG|G4_6p+1c>Hd`>1@f2a@A2azuskj*&Ce) z4y{A_kY$m;I-$ckhimy1j0e%tF)Tk(ln=@WSSd9VxQviMedeN7mg8Hk`KN5V+nE74 zjnT0m)zULnu2=`0ll>4~3j2@Pva74jn}7N&kWShUKSFl>jQ!NfeEx#scc_r1-}4ur zIQD(`5a;+ON0-CKO{BYaAmFjBldMR*iuBa#3FOKUw(K3`jCPjfe_op(>MPC=km>yQq*))iKhnhy3>UNjxY>eWk>_)2^okWLnR zqZ=`ykn7O(n~`0Q|1R*=bq7Ocdkr zUh+vyHDS(HDd+y;a0SldBoBe}jT>c^Eue8Pe6^p=|M7F)&oKKzzmS4lb8P4Vc;s|# zWtSuMoFj|H1}z#!IEmSl_O;&2RxoOea7s|w6+UIsT;6vbPafglL8mAY+*fRt)KhT9 z&JnYoBzg4s77^vg0y~tlTa-DbzIpo)1tdWI9t9vlw)yy*FZ^Y=aq&l+yrY=J?VWFF zop?o-c6v>LDIeo`eOQ_2FK+2L0p0ktL(0C)C0n!*u6qFhJM~f7o}z93v>CE}fqdoO zv##G<8a1m=PGJmlg;S}zl$tNIS^|0n_+tgcm|_+beSeY<&MV`6)kLM_xM&M0BL_5T z9?f}qNj=FH!UfBQ=ns#?K%X=^cj1?vAkO&1&hg<{`U~44#i1(}bi+S_2TOB)BmB4w zK0&{GSTWF{Ei9FwUes}GM~hJAnq_M}Q(Oi{0(RQU@4~4J^4?~8WO9X6j1cLwncCpR z-vL4)pBKi$=d}s!WmNh>BEm@lSi?k}S*=K~_Rx`Eg?zs6g-Y6~XcMWY@vXd8`@SDN ziT_soJL*~Ic6fv5W;m8|=NupkdE>7w)X=X|bYy|$hr zCh205B#Ig-q+m+iR}{;Bw*A5V!P|2rxacp%Os8IIm&xPFLnSfj+%?a*{&D$%Z>DT< zAfQn@xcqK@P&V%!j)jma4-&f}OHsV{W*a|PGZ|k%=oE)rfMra^z%Bp*Tjd4E`sXqB`O}cWSdN=Z$P04?raVH;hNZ!Vqu!I za&~H2!ay5@_AnH54QDEAT*$+{^xzLdJEtTK2Y#KXTRo|%&2L=4VRRc)jR#Ie)rN%% z4K5pnmF(XzDYRPw(?4r^aza}#bJi86W?Bc+n)gZSc=Hwh-lDPqOMq5WiQ}t=0V;$C zJJ+VNL)PdPDq&c~+YjmU!e=xj#oM3W`44FyV`qk2{f)=;W4GXXGbY|J$&#>66FF7I z+;?i~RV%nieysdlR&xGv+$g_xSl6=ZlQv0ZoK|jH4gY4txgLzgpGf3QUiidiM)L>= zz~9N-d9m;9E+jxfo)9lHRJ1+y&@Ch!yIw>G{_y`WwWt;UT2d|ZDaR`s^(qBIPTCR` zlYkIVqDt|9A;er)4_*uGPma%P*;xjyO4K#<6H6VRBtuK{jg!i}P_DD0ePvYB{W>kX z%h%`ZDVm-Did;Y7rci#kb z43NN1h^!HHvcBwmY-&euU*nv@<0Qx!SJd5pp@$uoW7UBjfQaYN-)>u1zt+R<$LYs; zqMkAOhVQP$4kJek5VgJ03 ztZuRbu_tm>+wR)Y6S_1-2E~J!o~AkVEiG}lN>T*}lJiPd*BDzq?P}T!Yg8KBDO=jJ zT`dns|13@`H?q$})bF?+AkKuoLka67*0g2VJ_)YoMN%=B5??z^Wm z-FqxgHZj+(rHj6~!$sLN#bJgqG`|&+UioU$1m`d$|IiZr0Mcpy`xtuap$o5=eQ@>W zm@@#bYy{L+`i{7b!#&df2m>nYagsH{^hW01iKnt_Lh6+Ga|+()a>`X4Kd_L`f5+FF z`d)Se6YnbQT2C%}wlAsv6mOyj5h3UPl{+px%vZ5Vqi}6F04(gv81EcqDD<5*SoU*P zWtW2x*$sp)=fBh46|pHdKIs-4bM!~1?~ zDDs|!cD&VZy=!GCZ=awZjbdN}bO16#eUBuvoV5En&`snQ%h>d=T_x&$7L@nE`IB-7 z-d;jMMVlT6+H$xRfJgvF^y4%WB+L9i&owZYu9sQ0#NdvJh3E(s0?Y(#kvfD)z5fo4}^netP1}^`~e;yDtV#d`GjhFZKf5D+V z!UysL5BNV3c1N%GJ8$t`WWkgk_X_TmzPVS`1`3EQt%!-Y&84}oW|Vb_F0HO^NBi1k zD`3g0|3JctN8Sk{8@77`?cL@?q^#HjRL|^D{G7NSnmDYsIlPIFU|CT@g^r#(*K41M zmkl(`Lz9=*m3TdhBgJvT(ZkR!Zm4iou`0y4w^L5nlaO8a0X{DgT~h@iu5G}4_x)#R zL)VwhD5~T!rLM2+n5U&79e*#uI>u&@0RGm}>r~Jxc~9rexaL^fF@Db)*{WmtUhf)M z-&5!N8o?^4++ow{b@gdkvpmqh$x7mDON* z+~|5ZmYh|iY5n=4>0AgomHpe2NE}DpEdOPbB^41p>6S*q4XJ)#2+^qJ_9Dv9Cmu( z1(M>G+oVF3STw!Szs5Jmj^zSr+&AMaGU;zGCh?z?NyB}tNmz%pT`y)@Z!bu zvp16acLjR_G2EfbqA7=DB_0m;s{r&EO6es7A-<&prNLvNch-2JvVM3%Hd|r?L9ox0 zDSrpN}l=v+{G4-X8{Cz`T}sZInNLM@*BJn1-Nv1f0T7iuctYjZRBG&YhC)V0vnlSpTUwZ6{g%cEj>M?pW!YX>PJeC&2VL6~Xy77(c@1L2=CYLCR(XA!C zia*AM(#@fgq#=e4{@9!{OX^aGMc=nG)l;n`tg#!xK~L?+3tfNL7vqhaHA5szm|~D6 zZ&7xeS7p%2pV@UyUNJ>NeVf5v;LpdpJU4kvJqX8Byoh6u;XU?i&Bf4z`Y&M^ichUi zB==?ESd|yFp89cirI0{xZ2I5A)&yY51|dH)kA}%nbDeMKq{%Q(It{&FQ{(615^@kLl1&X#Klsp>=@7B*PWV zB$pc{!1EIyn?0e*JOZa(8QpR#(P=N%x%={W!5_@lH1-lE9Ybo>pKsp@DY);_sFnT% zJ>DF87|U8`HfKi>Zu@={Ji7-_SFnyzrt?+Zx*Uw*i4-|t!!DwK7aUzreR?L<=jdAB zaS6gY{56xCi49c9ya>`5RxV@|aqG;W)GUAF+DB<;tP;^L7cVy=S4y<@8WvRGot_`s zLt`Wes^k5ou?GA@?G(XB4vkKu`HSyaVDxoG`>z)@Kj?K(K=b^IZUUc`PsWDW=n0tw z?`HhH-BaUV3HIo1F)`*dBc0z33hyB2eYt#{qNdKQCi^R0U0`Ha_IFrU|DZ9so@Hs5 le1V2Q0f@-I?`j83zLbw|v{t5_=R%&Xknc+pi1g2Z{|AcWmLLEC literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.db_info b/CPLD/MAX/MAXV/db/RAM2GS.db_info new file mode 100644 index 0000000..816de1e --- /dev/null +++ b/CPLD/MAX/MAXV/db/RAM2GS.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Version_Index = 302049280 +Creation_Time = Mon Aug 16 18:36:34 2021 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.fit.qmsg b/CPLD/MAX/MAXV/db/RAM2GS.fit.qmsg new file mode 100644 index 0000000..5f9e7a9 --- /dev/null +++ b/CPLD/MAX/MAXV/db/RAM2GS.fit.qmsg @@ -0,0 +1,46 @@ +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1629153618530 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2GS 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1629153618530 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1629153618577 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1629153618577 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1629153618639 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1629153618639 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1629153618748 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1629153618748 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "1 63 " "No exact pin location assignment(s) for 1 pins of 63 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "LED " "Pin LED not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { LED } } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 11 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { LED } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/" { { 0 { 0 ""} 0 336 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1629153618764 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1629153618764 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1629153618842 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1629153618842 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1629153618857 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618857 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1629153618857 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 38 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI2 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 7 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/" { { 0 { 0 ""} 0 332 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 18 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { nCRAS } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 14 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { nCRAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/" { { 0 { 0 ""} 0 334 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 21 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~3 " "Destination \"comb~3\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 19 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1629153618857 ""} } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { nCCAS } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 14 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { nCCAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/" { { 0 { 0 ""} 0 333 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1629153618857 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1629153618873 ""} +{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1629153618889 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1629153618889 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1629153618904 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1629153618904 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1629153618904 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1629153618904 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "1 unused 3.3V 0 1 0 " "Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1629153618920 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1629153618920 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1629153618920 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 38 0 " "I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1629153618920 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 24 17 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 24 total pin(s) used -- 17 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1629153618920 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1629153618920 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1629153618920 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1629153618951 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1629153619045 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1629153619232 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1629153619247 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1629153619871 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1629153619871 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1629153619903 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "20 " "Router estimated average interconnect usage is 20% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1629153620137 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1629153620137 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1629153621129 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1629153621129 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1629153621139 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1629153621169 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1629153621219 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "548 " "Peak virtual memory: 548 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1629153621239 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Aug 16 18:40:21 2021 " "Processing ended: Mon Aug 16 18:40:21 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1629153621239 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1629153621239 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1629153621239 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1629153621239 ""} diff --git a/CPLD/MAXII/db/RAM4GS.hier_info b/CPLD/MAX/MAXV/db/RAM2GS.hier_info old mode 100755 new mode 100644 similarity index 93% rename from CPLD/MAXII/db/RAM4GS.hier_info rename to CPLD/MAX/MAXV/db/RAM2GS.hier_info index 97bc269..d04c06b --- a/CPLD/MAXII/db/RAM4GS.hier_info +++ b/CPLD/MAX/MAXV/db/RAM2GS.hier_info @@ -1,4 +1,4 @@ -|RAM4GS +|RAM2GS PHI2 => Bank[0].CLK PHI2 => Bank[1].CLK PHI2 => Bank[2].CLK @@ -152,12 +152,14 @@ nCRAS => RowA[8].CLK nCRAS => RowA[9].CLK nCRAS => RBA[0]~reg0.CLK nCRAS => RBA[1]~reg0.CLK +nCRAS => comb.IN1 nCRAS => RASr.DATAIN nFWE => comb.IN1 nFWE => CMDWR.IN1 nFWE => ADWR.IN1 nFWE => C1WR.IN1 nFWE => FWEr.DATAIN +LED <= comb.DB_MAX_OUTPUT_PORT_TYPE RBA[0] <= RBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE RBA[1] <= RBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE RA[0] <= RA.DB_MAX_OUTPUT_PORT_TYPE @@ -184,9 +186,10 @@ nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE RCLK => UFMProgram.CLK RCLK => UFMErase.CLK RCLK => UFMReqErase.CLK -RCLK => n8MEGEN.CLK +RCLK => LEDEN.CLK RCLK => UFMInitDone.CLK -RCLK => UFMD.CLK +RCLK => n8MEGEN.CLK +RCLK => UFMD[15].CLK RCLK => DRShift.CLK RCLK => DRDIn.CLK RCLK => DRCLK.CLK @@ -243,7 +246,7 @@ RDQMH <= comb.DB_MAX_OUTPUT_PORT_TYPE RDQML <= comb.DB_MAX_OUTPUT_PORT_TYPE -|RAM4GS|UFM:UFM_inst +|RAM2GS|UFM:UFM_inst arclk => arclk.IN1 ardin => ardin.IN1 arshft => arshft.IN1 @@ -253,13 +256,13 @@ drshft => drshft.IN1 erase => erase.IN1 oscena => oscena.IN1 program => program.IN1 -busy <= UFM_altufm_none_1br:UFM_altufm_none_1br_component.busy -drdout <= UFM_altufm_none_1br:UFM_altufm_none_1br_component.drdout -osc <= UFM_altufm_none_1br:UFM_altufm_none_1br_component.osc -rtpbusy <= UFM_altufm_none_1br:UFM_altufm_none_1br_component.rtpbusy +busy <= UFM_altufm_none_mjr:UFM_altufm_none_mjr_component.busy +drdout <= UFM_altufm_none_mjr:UFM_altufm_none_mjr_component.drdout +osc <= UFM_altufm_none_mjr:UFM_altufm_none_mjr_component.osc +rtpbusy <= UFM_altufm_none_mjr:UFM_altufm_none_mjr_component.rtpbusy -|RAM4GS|UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component +|RAM2GS|UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component arclk => maxii_ufm_block1.ARCLK ardin => maxii_ufm_block1.ARDIN arshft => maxii_ufm_block1.ARSHFT diff --git a/CPLD/MAX/MAXV/db/RAM2GS.hif b/CPLD/MAX/MAXV/db/RAM2GS.hif new file mode 100644 index 0000000000000000000000000000000000000000..6fb7059b3f10346fe7560225c337fa832382b096 GIT binary patch literal 587 zcmV-R0<`_C4*>uG0001Zob8m$j+-zPhWD2G4wh!qE|LLrNtj(GB?(mmQy}TmGR8^V za!J8N6AgX$8b~LRg4!DY00u%P2bVl5NO6+E#J+@sL1VGAxL5B^Y!>gAXESHl zM{W&wkY$346YwW1G!Nvy6nQ~*48&30X~Vb5yCKcCJ<1(S@*Sr*KQx8I7cg0e9+pnn zx2N9RbwZ~r{F`*8q-3~cSt+}8WKE>JDk#HSo-j~XrR;kh=Q}xQmCCHjddJ1Sit2)- zXjj(ski>OLp3nP%Tn>-GGF57rghmwU3L+NOG($D@F)_DDGc^m0Wh9;nR%9f(Bq|$$ zohHA@63-^Cw+`{rSvg^gsH?&bytO~Iaj=;9jyu1y+}79W-i_|eUC+1i#`c}1H-C*d z_1qxz*V8a)zxu=6$`emIld ZJsPHYdXVev=QpPDN|<2a&3FFn9^M9oB60u# literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.ipinfo b/CPLD/MAX/MAXV/db/RAM2GS.ipinfo new file mode 100644 index 0000000000000000000000000000000000000000..fa2304dd52e67aba538ff1d8d0aba1434af3953a GIT binary patch literal 177 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE literal 0 HcmV?d00001 diff --git a/CPLD/MAXII/db/RAM4GS.lpc.html b/CPLD/MAX/MAXV/db/RAM2GS.lpc.html old mode 100755 new mode 100644 similarity index 93% rename from CPLD/MAXII/db/RAM4GS.lpc.html rename to CPLD/MAX/MAXV/db/RAM2GS.lpc.html index d50a19d..707f37e --- a/CPLD/MAXII/db/RAM4GS.lpc.html +++ b/CPLD/MAX/MAXV/db/RAM2GS.lpc.html @@ -16,7 +16,7 @@ Output only Bidir -UFM_inst|UFM_altufm_none_1br_component +UFM_inst|UFM_altufm_none_mjr_component 9 0 0 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.lpc.rdb b/CPLD/MAX/MAXV/db/RAM2GS.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..8216994aeb44be36d9eb61f771fd5be8ab8a6518 GIT binary patch literal 509 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRu3-k60tD?KQ-NS1gkty$>!XUBElW^_TuGxRiPg)t!`bk;EMP8#LR2ECY_mf_DR5p?b7#6w#wRX*eZMD%<1aQ z`DxqMz24R8G3lD>rRLeU?%3QsF|Ss^c*B&RXEtdseNipb=p{l%A^ zCwHx!^|k7(mjBUJk)pq?L-_tT$pb^@|Njccq{M`zfP{pEj=LW}Oy!#}jpdPo`0^hD z?&|W_e>|9=;BWuEB3wQ^K77Bx0uknBQvqXR4~CPoCaXSG5L8rf%V=Dcnv!CWkdnZW ty!i`j5Kogv)}Oh1V&*CyGh|eakg#aTQoCz&ius8_f%8-+5kn@htpLo#)K&lh literal 0 HcmV?d00001 diff --git a/CPLD/MAXII/db/RAM4GS.lpc.txt b/CPLD/MAX/MAXV/db/RAM2GS.lpc.txt old mode 100755 new mode 100644 similarity index 96% rename from CPLD/MAXII/db/RAM4GS.lpc.txt rename to CPLD/MAX/MAXV/db/RAM2GS.lpc.txt index d8d214c..17b369e --- a/CPLD/MAXII/db/RAM4GS.lpc.txt +++ b/CPLD/MAX/MAXV/db/RAM2GS.lpc.txt @@ -3,6 +3,6 @@ +----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ ; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; +----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; UFM_inst|UFM_altufm_none_1br_component ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; UFM_inst|UFM_altufm_none_mjr_component ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; UFM_inst ; 9 ; 1 ; 0 ; 1 ; 4 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; +----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/CPLD/MAX/MAXV/db/RAM2GS.map.cdb b/CPLD/MAX/MAXV/db/RAM2GS.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..e4871a7e489cf02a26baaf719ccae998556344cb GIT binary patch literal 16089 zcmeIZg;QHyv_1@lLMcv*6pFhPcL~LcyL)jbP>O`$Uff+uTio5DxLff6!JQBwxc+$W z{r-t>=1yj^&YbMM_StK%=UI{j9|;Mm0u%Y=-R`36eiBG%eN2#W4X{%`0BCmggtSGCPU9dte2 z7h1q;0MydXDo=OaM{tF~vq3|t_aeBeqN2hnQ;9)0^g-d<+sdg4OBs<=cHM3(h+hRv z!^u;tze>{Y$G6@&8&w8@>aAhvlo`u{nOCrj6!oTel!TOZ-&J9q&I?OtE6^)p2n1Wg znqL%)9?Q;*e~y_Q&D)m{dik?$^{CQQeEnw|wD-NJT0zy^02dQ0N6Q;^7SZ<|{N4bD1B6TX9hYQ3HGJeXRsX3gr%QZU6V#|JmjL zeB%G_co;Zhm@|Rg_Cp|$FTkd?zaBo`PEOjc#R^WuB~IeRvcxGBE~nyC=+1oWYs_8G zd1?Sb4`ct(^dhCy5}%kL7z69fIjr6@e`Ja2&+aQ&ovV_8VOtEKOAF3duveyImjY2( zJ`n!8mYAGBbx@PZFgXp8`y5GMZ{JnB^{at1n!{+hefS}--JzX7x_zqqVdysUOG1oC z@S<14mSDJ9UTcGiY`ku>+etHv1!F@0l<4hgft!JN}vRp`c&lCDqlS$*YX`(k~O zif^unZ}y^eh@ja;1c@xd)p1p(@u_Bs?AfslaOU{QfNY{^HCvN}9cv4vaf##_^i=NWbY7Sx961mbq3blHG?-(jxU~fFoNI^C zY!;C9oT5aV>}+wh?|ZG9aU-IS%65#5v7Jb~jh(KNc_(a~HcJsil>!qESFD7S#`l5C zh$Mp;F_>bTYHUjj&TiHE-!v@}CM+W`NprrEZ(lxc%krcD^8~KY#k41Ao+q!fOc<} zeEeBQ*SR!@Km>}@d$SNoyZzi|G5=WXCwPy#>}?4$o#aAn5ORSU@3=XzI?{+n1N!wF zDR6Prj)H0fE2l+-;!g==AmR)RR0O&Xk#@KVA<`RjW&*3RGqB zd`6x6+Q%3DhHdLLq)^k~EO7IaPSMBxxzIP5*}Ris5j&N`m(KRK>7SIZ0RuVw>-e8x zmPSwC1bDSf+FFxz-~&=`jN+%UV({n=^IhpsEao{FM$r{`=D+n`?38>Kau0x%CS^w; z)3B?l-Be;G1HP1pzu}dfA|}T`zlsl-Zq#>h`ymGk9=0g>%{Te=_GAII1v-Q8mE=s6RGUw=`v!1qN_^FRGU=X;elmun zI3ge(io!K3lrzIeNjiCviomPHoYv;8XySI!L?PW{%Y}RIU;*nDn&w)Q7lEgn?r*=< z>RlqWEN>#U{j&k!e(Odx_7xhieXVI?epZHyH<18W|?MBQTsRJCgANgwk{Z+?3` zX3fFJCMkky4!2Q3ApK{1BE`{WX0U1HS_;*sg;6~dAAQ|q`WsqmtDFA@%k(MX>yYoN zkWsxVW|WMng7cJ?FVNmmY>|I3;SGwInJ59JH*oMe8RB!*eJq>sFy?$Yf2((AJf4B> zNx7J8@YjC+)=J(SJNR`x)rTH+z4>HypVO54Mt+k%di7}+IsTDlJ7ofXkg~WY8;`gF z0F{|rDoZ>EKaiRr{!SsaI>s$N4}HKl6(Yo5l@2)Xo`wq${L90Nd6Iq9yJTbh8W1tV zhx=3n4l3ZUf-DhetA=|x3e_n_|E^^BJTu!ziyp4K`8p^CqQIE%kRzi)j_Bs^dOsD!i6J4&kiEWj!Isl)aJX2CNnj3&mXgN3qMMg~FNGv5qsZLV7SSTlYy2}HNWu75Cgb^#7(fpg= zjHRe~Z5d$b_j|CkqnFRe924=$KWyZbwh8)Z-vZv?nv&v!e>Z<1O#%YN@Q3uOWL;E} zs+CsxU_r<<0i-~@eE7vYdlk1gXo?n#*mCwp)6+gPNcLlY7QKS<@G;LI?PrcJ(zeC8Qo#LW*nTg0*Wdm=O*0L8`OlOT+WC*^)TfXFTz3d>q$RgH~M8J zAMFU2xq95^i!#$*o4VLV*q2|j{~ePzjdg+H9{!V0T|v!Zu!@^>-z;s<(r2NJPjmMs z`1i)mQvs2!Nvh5hRC<7unmaXn1?#*~I-0Aw(n?{efxnZEXG7D2Cgb>X^R~W8XZ|H4ut)nRBErj7R3+x2vF%~NHph7B3+~{s zXbWT9$^sW%S)Gp|C>VlI02Y6%qy9dDlbncJty3y~`S7C(&z7K5!sm=5 zY-0+%NgQJm$R3JSnl3NVxUC(2V7#|p^>5VKMk~C)G1}PmI(Z?UFtgz_MbszTf3$JM zDf&6zevUG1elu=JP@9oOy`0}l)l5w~-|U4>(9_Ut-kf^z-GI&LGGzCUD`IiM9TmGj zV{x;xR_*fA0|5XMS41h0x2 z@;823WnYIORn-~Mgmna_wl7W#uVaVHK-Xh_TSY`^GL`v&T#7U6X;&-mXS|(PJ+Xs# z@Fk)ExvDSmLE7npJ3?^I*p|FuJv5bZ8IB7^)yc5R7Fx%rP*LRwjxdV*3ED+AhD!Ld z?DU8GyBFc&btg{x12x0leefhMqZ3F;xo~2>R#9rbqtvTSlAPv6oS8X93?afe%6=4n z3kc~VnD7VAq|RpJ;QP|40R`I?N(S-{J7d3V?OyYXeTXT3niQqE=zTlG`(M10Ud{Rv z@t-DWH6|sNPo=t@Dmrr`vRun zM8wkojQdhkCw&_vh73L`;DUxC=S%i zUYEwgJ2S)n;g%-I=7ClE1N*@bcetSt8Wa9H^oW{fk_?a}ZrHAly*Q4INrvE6>Tk%Z zgTI4PVPD3Oj&zTMq;AH4c$chm8ByMN9PWdCuDF6oRrQnEPyLt@~+SEU<4&vQ4LleTwtvAA7H6hu(Who^V}HSuG7JXc&s`>%RP z*k5Lkf~@e)`aSvXs5k$vslGF-Fyg@YZ^?OAfkOvU8FAM$WP0IjZy7yabx~!VT~{rQ z%H>D*AXJcY&VL8KK=hszU-R!@mH)W?4cRFg724n%EKIA8UYY?{oV}`02WPp(_Y}Ko zdr1jjt#m~Q%FSx?uh5R%SsAab!s@>9;1S#)z9fpL`SzACcqP@1i%B@;R^q82VmE%7 z6{Fa|0(XL~To!T}SJq=tN0pz{I>+TBe)~s;kjVCS`$4h8gT2F~(l(N@@jr{v#kW#! zsP`g?L*&UKFs=!o3aOd&aA^lLB2njb!>Dm-SWa66tc50rs?K98KrtvYwT&G3tKT?6b!{ArpA~%Mie@k?P@wmH;lJlz&ri7Ndmy zIAxFw5LbZ}MWp9MtE0EgphqCtvq^?PY7Qqa zj{O;{Gnxvt)0VI}_I_E6hMerL*H#)FV|UATgsOWzo~kIll1keo&b{wuHuQJyIbv0* z#$iBdV`o6RZ!k>xwOknxO{go>n*IeijEbHZZ0W8ZEJ9YUZ>>@|aB1ersXDN(EPA&r zdiU`04Yc!Kz^fK~P2zNS)Q-9LquPXu-_iU;J@eg9lrE1`cjjKPuosAVcot#fADb7lovVJyoR=|!b zS#6NPE!3x$k?yO)7MG}a4#kY;XQRuPlpLWoH=5#ax!JUiTdc0lHVZ`RvI2_zR>dhjqlW5wcIe?(PFI}anVSuC5( zasd0>dRQv#(unN zWqT7<#!ph#98%BA$(wF>yGFLIei8v!|GAPC7G_&E&JLlK>tRn|zK;W3Y#qBMclNBB zF@UoyzE*``vxHMdGFpmoab?u`lpl+&h>a0D2??1@uE)~NV!%6GD)uaFX_v0r881AA zSqUT=je^U6jn3blg|4TfuL9Yn>vPVfp&pp_s?J(cCpC}=;@bN3KRP}u^1BEw@{!Xq z4b3z2v*nVfs!IOA=*A>EMRi|g;>s_(W=5F2qckM%4NUH=4G9ntwnNdR>-vEp3*`Mm zaD@6^?-!d&e);*1gtUzDiL(BL05{t;_x)A))~ip#%Rfk{f=p4`^vGRy@+c`Y4r|TgCU^HgDLt%WS8H=(fEjb>W&sg2&_Mn>}Q~ z{xI{x_e%tC@4{9vxYtjKx%1lojzLISsQJIX7)-M#2|vs~vbr z)?C-Sp+k>Oy{|v`FMstON;|@ak6(xRC!QYx;{_b=%{H>lnD~+S1UrOwtC^%DPF+vr z42c!Z&fdsTVWW<4^O9yB-h9Q;GMA>>$&~GjBfJlGm6Af)uN9-Y7SZK*xM>Jp8w|Nw z96K*l@b9;40}6=E!!A&DR`EA8aAznj4p$~f-0c3gw+ zOpty_6;FG7kI$2>Z$(euO~CDV_SNMZZO~zbuSKd`*B$(q^pD4w$NbeIa~(N+{I+5* zOl^m8I7D0>`>DmyBuiFKvL8*zmAeN^$~k?767m`j7U4rxs+l>tAePGOs4q>=_Rw}C zN+UHmeV_<@=*8WulP5dECsUF>i*S);U7$Vv5cMt!iE?YW@+}XVIJ6;nVx!)w58ZP6X*%ww8}zTM^9Uj= z7o1!pAgTd%2}Pj6=8w?vHK&=dqTX3?O>lx?bH{VI9=h~K!-s5ZI*Rtfb@InK$#87= zdmnI4ublSh8^gyOKdXR=U(Lroga4>;$guTI&2G_oyP0db1q}@i1yNi#@Fl6r zeSRoBkm@xzjOV1qzSp%5m%66-Oxo@+R>jC4u-g9N$3_B_10H z(f`KSQMqUYKwusxQK6v>5xVC`_v&?C3kY+j`J8da984w;n-m3}KH%cHHon@zmXxBV zF~L+LDq{agGBPP#CLz^B4o7+oqAL%N_>Z<{$3IXZM|X44ob0^E;KC95Dp2=3nT&Xh zEVJ$$&?JNr<=qpz!KW|Rd;z&DqZkY){6P1yi6_|XcUZ9Ulb7y*3+D}YSTOb{x$5^K zpc5?oJexrfPlzveULBg;`lK-X+key&F{WN&LyuBsvj$3fs$aL;+nc|NkNy3CB7GWX z(^kA3AldiJ)eK=xXH2dWYnsBWY=|b0WPNId^hV#^DPu5aVF&v;ZeP@#96BGKUL;} z7lFq&e{_)R{POorhf^!ulq&(~)TaR9&4i>)5~BR#xQ8JlkBf)H*>tV32D!Sh|vtN+b>y zb0(YX^DDey@D`IUuI~TmvMUSVzhoHyQ-{h`6XFr@$Z-mO>DvgTg-{CDe4xu_juNCLF>0x+7$eqS`iO(HSG9L%CMJb+n|dx+UAa?^MWEzGEurM7 zNB}L4%?D~Mw-IKW=6}+LkLEE7F`^z*+UAWW|4zyKlZ~#J#%wd*BlPXuV3()i83}e> zpo-~Mj?_2gTMx>Sx)&fcNg5&j_z&#~=@MCDfIZXQv$HlA#7d}KIVhVXfvIe-a8qvG zCRZMZckF+o6)Ie1KEu8o!XG~N%x$Y_z^9MUx11-}zR4DT?e`tsX%aenUO=oO(WtqE z(}JKkE%&uNBrKiV(ly}zu#4Cqp}ZRPX&IO{T;?udS4a^*NdwheJ}E9=g<4WDEQ{Az zX5c1|;eOKJ?WIF>D5iq`SOUHc`(6Mpr=`-^5WR_ZK=-zr7PT(cCtY;g-uqN~#BHWH z0MeLa=K|1vs$ScpWLOTZoOFR%8eB@g>WRFwGH;7_e8=cU4Orsz$Hu^&H5!5&x-FyQW{(-xjFdaEBjM40k1;T4*v< zZAUGagdAwWN49&ziMKb6W1$dGjb-j^>Ts>s|2)c?*gsg^m`Aw1#}3Y8Wx(qtynns_ zio~qON9;Q-z5Dt{FMbk#2Qth4=XrGO%%^c-Vbv%&girrO%eR#Vku6a*74i=5cT^Kpxe1giM4ksYDpm{3{}F?Y{8!XxAzanwARSlnaWZJ70sgOE^pu+^0_$Q zXz|ZqoJ`V)ETLTbct;j99(yg`C5O%&E`slJtc^3DPe%pORU|_;B9h$ZzC^yLt1xd~-!@`oc{@!+-=(mtzLyXzK0H7crK4d+M0+ zoc;-+zQlbQ(peTKeDh$s#Qn@}xT{%f)k$wym}-^s5a9GT?TN*3x313W@D**6s*jek zB?9L2~HHAZwyg}SP%)Hwe!W#evWE*EB1IbC@uC=f}0?%}*PtYx?3P~XMv zAFTvzD@!@&^CY1)#sZ}VAIQEj|5K)&qRPdsm6WqswJLv*-jKkGnx!hyP8di)o)Wv{ z6Ito~d3W@|CLc&-t;d+W2Rp$75!(UoV%WBKBu$!Pj!#>`7`HY~+nCD`N;*@>zeX_w zj*{V&CrV%8Sa!SUX6f@|^syjqy+2Jex?Kd8CSA;oBJ~xIRMcJb^Ob7kb>9W38=^~t zNJni0VFI86PbOAat+J5_urRenTEvufS)3yNgn2-;`f9sPv5i7n>&=@vOYy{IbzVh6 zPoeL6>f7~e-X_JdEG-L%HYopUE_xfsP!VlSR)=lga}JVNuH0SAsi5d6>dG8&gVhKj z%cF*|le)N~tm1A>_fgQt+TXkPax!zS=aZgc9%yf08;D@fTVv39dOa~v<%|(GSpmlN zd6T$qy~utTB^|^|w5J4kH(EaBej70od7k4`#yNkK%w24J97T);`&2sm8-pF8?Vk`N z)Y=B>TlH)9o%ur#HH~|ymc{huDQEqrZaO>uGzFFl=U4ncWP@Cl8|YR}F~NZjZr#-FTdeFWMZtT-)LR%g%eDiJ%#N zMRL|dL`cYEMxi&H0x@XY6<%+s^&NJ6dMko)3u_z$1>5glK-motE#)@OK156+>%?Oi^*p9$LmDVmF5#vC!?Y zFcE8W7p?rrFB{4-Xmb&Z3b{No)qKtdri+wjtkp~#-F|~jlJUyeYpc^5i@^CN2_Wud zWeyDtKlk2kQ^)YtT#YUdRmm4Z2x;>czR)zGv~-Oay-N99DVXj7jwdHt9kTQE-F{AD z8=CF^J4;R6puq&DuUZ07$u+_~gvJkJ z>f)oXyCx+8{D!+69dcM7%Ut#CY@iW7%j6Z`xU8wYY!*%iy8U}o{P_IL<5;IW z|DSb3pw*?$X=O?-U}^Gr5e|$p^{T8E($bM-W-Ri^@mn#?o~4lt4OW@+WCMDoAt2oL zWe~hv#+SYz?+9?$`#vrOkYxRt18H<9A(J(z9Qouel1Y=QrTJrn8Q~lYJ{L{S-6p+i zm1t{&`W*RCQX_C%tpEj|G`kW^#W;tK^8_g4#Rd=doJyC`G9+j-p2_>e5)btlXO^Ct zJw)(3V=5=^7;CCu#;BDld|p-8 zj{4EM=4so67%a|ocbww zA5Zc|n<1c`hVcE(Qi7myMxhwhMZf2;%gA%8h_UB8B~8r(N#Bv8ED@T>cu;!MkCpf! zFHgNmS>eiZwr%nuRY4feYTyL`9#8H=QRx9?u*D4;dVsU+tI5o%&dJ`R{;6AiKK=aJ zPj*P8@zdR5I|ntwOaEeQ9Z{Kcm2;tnsuB2YL=;<#rVRv6J<~0$%-NgWQxcB{%Ufx!j3ZC3aEhW_D>=bty6(>8ZNtGOo3pt*GIj{gjxxx#OQ1GKg)cFUK?40iF&B z(9i9I>uY;htm?BB7aw_4=elL-(7#9+uaF#}wy-&(q}RhA{hx&Y{#8Si5GYJ8!ZpNO9i?j^|xQ#hQd)mH7rkc_g6)#2;0e1s6`P|F{&P`)+hf z{WGz=y)bl!n!ZHdXziYdj})ED1kku8tej`&Px5 zhszhhW^by`9M(qUhc!W=Y(7y&R|{nK?Ap#D3Fp6|DT zXFZx|y#-!1N6FMCCd?-9#f#20V52)barBrb$IICerNQeSS9p8acx#p~c3JasH# zjpdmzeeYER4C5GyG7&cRJtY9u-0<{`D$6vY{dD9W0d*C9`=S&Q}CyiSy1Xw$Qg9LB)FztVd=MlaGg{ z;#6@UG@(H>pAi#IfcsMZU8LVu3&9cjX_N_v3;AUpB%*9cbMkOrs?A>&>+Wy7M*At& z+4Ec0=kmV=cTNIxJT`tdNHz`$j9Hu8+X{M^C{Rp^mq8x#-vq`VY0 zmNEbR9_#wmrE#9g<10hs$p$UTi6cvKD~^xiTFWprFYAY=CKoWO(FoKqVxo~ZIjZsNpx^L}E~T-*xon%LCz-Oc~CkpjgvZE+XVHZ%Aj+|Z$}IVCY%oHp+I zd00~ryQ1|eC_r^1enWG_WOL+G19nVP7tWoM^@vHOc6T=46kr~OrSxS={|pD3;Vcv*OLei^^H=qjK!bYP z=1xWa6SjoO2#zKHH⪻4-ysH@@RK zR~!LWnk*UN*dd-~jGN9$je^?ua=W8BD|w|>nPhvAaO~4))jYgv=GYA&gwp%A*h#pwg~(iyW;I9dmDu3eJqCa5CHW?pEFZ)5y+ zTX+v9u|`j=dgj=k?)=|One29>N3#V@=8xz5rVgfWiHBA1cphApZMRTNPtN!Bpe>tK zN1facAkg{Dg!`rl3XtZvq(`LqrHwIeSaNL|ILBMtsX?N^^_1~L%IdYsFpp4b(I)Z( zjJd-CaUK14lpW=0;vRLSf86j){;8QVs^ZFrwm<5Y=VOrS#>;mY{giO|n$+~OrbRbw zM3p74uCrw!zdV8)uYuX;);s|K_d0-hyTFUfA0oc4DcD9?O*G*BHm#RZ7+1Z` zxf~{i0u%Y7mmBCKR?k6LwIA1JTW89v&;aKM{^(%y)-%!)MrW8ue#)FZT+=>kTAg;* z{YcyfOh~-$3I>4v;%gT!^!+k)*uBH@CtI|}$qzoec0BRz7q{2C+U+DZF{g%;d!}Ny zIouoB+LZcEzP-Hiop>L64+P8QuG%P{^{liNHNs!FFt1=rbrctG*}t{%FvvX=sQd&h z8iz_L58wCxydH`#I_C3D<$wSIh15KAz^Wu{kz%Jc%=SMJnY6#h1sW+*4UTP1LeOhh#pHe?oI1ZKX~| z$4eK`g2VH&z#+%s^@wFc(ZfzkZ7|p19gfk6>62>wU|X%P;GvJfEDqTk1CJFtg2iIt zgy+xk6lJ{bQ0-B``-uzv&SLU;cE+k1cuUc%UFG~7=B`0>O6Fs6RHL*!1*L{xF!i3C z%s_Cq3w*yjAEDi2^y@YeEL_jCbGx zLG~ts%=K%V1)I8i_b&U75ne)K`wPOQ2;b&C1*jin3_6Rb5DU(J#sMAPrp}HPu!|Qs z^=Up{Y#c@fx4-A`c!m|1Kp7aum@(Wt*$S??{@oKf5s7QSt7_OES)Dvg9o4~k?;&1) zzRyf_`XM}Q+m#l7X_?MwSf3R~iF@rX5dnacLYiA!1w8=u=B7}O!hyk*9>*f5PwTB0 zrTW^d?BR+__)Bx3)nIat1h!naOmgOq#qP_36FaRTm8I^>suLTfwLGO^DS=LVgw`K&TE|t(YfnwTQ~iVXVE&}6f8c7%bEEOyxc4}#cRcmbd*!eEm?#_~4wS3L9>vXf zJWkKlD><*&`*|kiRctVuweC;Lzz!l7NH$wnJSc*Y6HS)f6Z)LzKZ1RiNH<#d(4Tkc zZymTbuM9eNN(50kisW~DgP_TjQ;bIMD2QB2t~MrZY}>Apa6nRmoHyNF_I1~V8h)p> zKY>JyZCgB(2`$$MLll@rc1^68=iiD5xke`KX;*INhZ0NIDtFt5PSO2k_U)1<`o^zO z9g~@dxEIrdQfF@~_2HzG%%GO5ryrJPaQ5!lVa^q799IZ##?x6!bH?-0Y!q)1*ZDo;o_{fhTn(7 zEYXHsEm^I*;j!)~uWyCqL}|AbsfE7d9|t=XQB2jqCAc{=KowgPFHxGf;$HX9^)U&ZFs4GgSI<-1BmZ>pSi6@aQAT?K_>ww zyLK*vIO`GU;&>A$S?)^`%gs=8XsdjD+Mxhg#rh>3#eTw)f)?oZ6Y{sKW|?*Wm=V;z zc@)c0a`BGsB0?_PZ+6RJQo<~{Z9s^{xy|zX>{4uGrwkV=*M3s@1Zwrn_IX~TxsHM`g3kbWk_k zMKAdVxT9GF$9(7elg7kBzVrCCqlY{1XYQRLrI^vt0z_J*f7cApgu6HWTJglt-x|P8 zs!JNHEHI6{KIZ5=Dg;I9#gOx4*rkTKhlgwQ45_BSu*)Haz+*#6IIAUm>E9^Abwu+T zWBQ(>r;}=3=RytOZ~G^G;vDb=%V7&nvpLeWY0ra}D8iX?@2EXh)UtcndIL(aT`++DcuHp`&i^y*65?CmVS&{2 z>uwP9x{=}|YzshKV-Xji*bsL!DB1yz6IEARQ?)c%B`5fcCy$VYT=DQH^kse}m2SR~HR&9ykr~-#w0upsUfbsKz)F z>17-YC#42BTIq8L|0=xXtmJ0w4tFI9g`LoJ>Ogbh4i~_lRQ^04W^~GO6rD6?I7W$U zl4z29>nkF~@g(^S@y(QhiJ8`VrFHLaBzHv9&bM8)+o*RKrFB;ogT#WQIBVkdWU%01l)SxI3edD_3(!oz(9+` zjmob$Ra|G7@_v^Wu&}+_9b*+?oqkGxD#q3%sZNh8Z<`eXnpjN)DFCI+&$sYYk*+&&t6`$taIe8b*AVTu*mv@cZ z9#+Tc82C%feE%gkAi_!N>Ra9=0&?6r`|GMU*C%`%`#}5HXs5Gyb??Oo?o`I66xV)T z7xZwvAko<;c)LSzNb#2NDCXXw&a1V!Biap0e1j-~T90KshqT{G77tRAg3l^+N{@W( z4uzji{tf#A+no1F_YyUIx%PR)<&1UlFw?;>Zw~s&rkKZB-{Jc7Mj;3Kgbr%^!i&S;5=qLMr1Gf zi{ECIuSRo~;{0KN==Xn}ZuR`P^6A7Hh{LCzfBX{|AhGajxW=W*a9b8Xf}_E+XZIbq z3H=zjUjMo9gvW<(f0=gM;7DGy)(!}or<7|1p9nu5$ME3h(nWq! z@;Nwa6uUITVqI8)P%w+NWX9Fz<8#6BgI_gYsMNf1$cD)q_>Vxv@q0tb$0=`=5C1)2 zxezTVXELeVtZr3T{t|v2M7}a3;rw>by|$~EoWE1qc?9<~?b;3?U}WDu?Rkyi23Sm{ z+>REobX9iEoyqccemlRmrThX}Yme_6#d~-Al_Nl6$Ag*smu{Jg*h1GoZP$)xXB*kH z7cf(vRrUbH&TT2l*1+5%8b_>%4!2PBGBDTWKqtNB8Fbg$iSf13Apm88oYKWxbAe}2 zk;rYsEvP-YL;UUKq7qo6IZF2D)9M=c;r6}mybfLI)_K4E$T4&F#9+X}cR;p)1y8ub==}?D( zE4K#pT2MNyFUolO7Yh1 z>CS~Wp0$m-=#T&PevUjPabBM0>`@ePY3_@T@hdJoP2!6&NtD* z(fS)PGb<=gH^lW)hW{iUTM~1{25%ijCX3G4fDXlI{eHtXBn0%%W^X&UBER?Il4vNv z8AEFH;(Ms~J|A3caqko@zXkwaIyM*QSbNtQ|C5hVUBWtM@-EUs(8PcxEXgjmw|Hr-CJw|PGm`4rO45|TJJj&Gs^xF?lbS9 z-8$O^5KkVnuJXP7uX4ucT1KO7V6R3QxaGNLZeWemDVDR~xqC3;94dzm(cEPyW2^2bO|s^u(eDjk%(Iau3S8NHkN4J; zJ7J2e3&F(TCX(Y{XRj$wua7kl=xe_bXMldpM)SC(>CiG5p|$A(7;-BCAI36-RL61uVlYb=w8}0;>y)MonB+uEC2)IKGnvVQc+dAY&NH zp!FmR7TMm{{W{DXC`s?xGddE|^RpLnh?cVLJ9WHVrx^o>XTy6Ne2E$jTCD;##bW2g zwDGd6m-36=P6ao=;~*cMD~;(*kQQF|Z!gw2msD5V-MQY$zq|+zy31%JQS&2+Yzmhi z;sY>~K)~HBpdS>R5rm)qIzZ&`k(Wg2=8zu zLp!|DELl&q)IvUEzBu%Edl1p6f>*5FQAkFjhs55WA%_fw<@c|gm}E2h4Gc}`6&;8U z9^wj6te25#;-sYdxWoP#DM~>o^uDOwcb|BoTw2moQ>exme>oN<5N=N|MYhEo0cY=UDO>}qri*O^EPEnJ)>6Cwde1IO3KC^sxf1gp-ob{PnL$igMqFl#sz8jpsvk|?QP>GA=Z}ZqMwnNal22hr)1Z#Sc{=QUrcKsafcCTe)#PRtWpH?U$+gq%2P>?AGiigZwJ-CPInRRPwR_MC zS=3{h{H2Zeyt@3zdsFp1t>c4~^{US7W9i%AU5^k?=62}hB?;q=H|&w+iNPQD?ewyK k3isoYN!#RUfFtyHJ(eFZzX#bbLnLj`OKWDs48vp^=+cxj9ZQHhO+k4;d`?1L;o5@qvN%wShcY0DaQ$2_P z007m{fd8b^zf0(!RyA>SvaquyU}UCapkpKua+8yx92Qpjt zkH>44KSBOg6v1R2lnrbKE9T}!#*|EAg2n)M5=b~kBVd9tQUgR8Nw^LFUC~N4c8jl0 z)pz8IPq~Y*cD<^V&1KD6WCKM$K_$ue@2umkQ<~GP$8N@W;O+ag&n)NcqhIc0_9@Nl zmQ&h#x7X{94u>TK_C&X+Z*yqcN@$catjtbPHhd6%U35vsa=-WrRQM6q0?__{lZFW9e#rJqE58pVXB>Gcg7s56mKVOk9}2=E)zc z8tv8=o8?s6${Jp`!H;nl8(f`J#jLnZo#AWi+9OvLhfb==>x=5d>zjFP*C^UcIzNb$ z*$+_PGZs9v_<%d7IlLhQ$LifK@Uy&fyd_CorkOP4B>Kifz_VjS;=Ix1^QeODxQAR@ zaGx=*c6Yz(JZt`z*2&2hHx_iYdz_JBq{*hDPL5eOj8$>mnc>*mhv-Rj6K{>wybHfN116L7Uh{_ry}GP!tEl~l8Ulv z(dWU^cJ%tZgxakw)NC~^D{I2c8feozOMLV742?Anbv8v!+Q*Kr-D7sfmvZyG)A26W zHx{Fs@gf|)uCLNN+T5q=~1LHYn-KcYR0a%GA)M+Ho9^3jUG{ew4oLrP?HA z>#?`G30#VQ*V$+Zjt50vrQ`GSQEgSD-s+IDgS{7{-z{t(r(PhFR$Jy&0dC{a(%y#o zIdPRv$7|A2XkLo68Hrr z!*C)%n2Q0M_m3Ni9!Dkgev>^Af;_-hJXNph?EgY(+U+6|NPw6udSB`BeaKr-tdEbL z#qeF&6FxIt9`EcrYGYH6)Mn}^H!*+i1TM-2&)ZQaFAWu|>APW6D%=_8`r@zwFD zSL~O&iDXQ8R>BJ4lH4|nPxB={e<>a;Yj~ITZ`&(J^=~@-D#I^X%HXE$Yjo!`r=(cj z?oD*ScRs>6LmMy@#;9PyVwumFn(2H-BDj2Azit z`a${Fi3b{Yu}1IAw4s>aL88VCk2O0~`ZL>(nzbfyd9v_+#aF&Q?1xwOnAe*U5F%$K6 zc_tv&RmYB*Wp9^NeJG*NjgzSF=RIjB_u}`XlKSPE@vDd0weuN{5>nGLK8r}{QchkK zU0+-3&A$@l7}%wDJ;&O|ixxF@F?tWKj>d0CEYhD$m$TPVmaBTk#e9{Ux$PtrCEC;& z<5gdaA+tWciy9f)envaXZ?dFDgOVIFihR#`s(I#%oX<~b>~6FkI{f98*`84AWgm}- z&f&K|WiR|rk_s~I%z+u5QxtU&(`FG}ww^g;Xp^Vfvp$VBn*URsFfb_b@ovh4_MYqo z((#K>zgU=5_@jUOlStQVo~LETD-PYBJwx%MM3f?>OhAqEc94C)E34@@?RwbNCJbEX5oCH6=z4b}4css#<+?EYSO)j`Y@A7{9m7G~ZkT_Pl#B%(WZTG&d zsN9p`BWS4b<$$t6IGuo8o3}^zL$cv4cAtiJ&yc4;wIL4mncDuUc(iEyuwwBkLmO|R z@Kpe^dGkD#+(iFgzQO|9Fi_y}G;1&gLBcelxJF&M5q5Z6xzXeJP01=N`9Fg1+X*Y? zeocI)wN!ymw<47O;jwXuB$J z-mZ!OSMWXz#dq~pRmYbzPo=1Rj65d~``u^=t~g1xx6!cc&sPkg7+oiaTo79`P4utQ%E;q#EIOdO?Q$x4P+QaEBbOJjX`iR8-%w#*xwEX4h`*wC-_`rpRFOf=?yYXu3n@jtMyJ;f@@b(`sCs?!CY)3|W$P0{JeLp0` zdZ?c$DAwC9GM_Ki9*FlV*{wC5Ot|skkCI0QtQF|?kJ?DuJipPrm3YXz9IsQGF`!7l z+w%|Gb#W_O?S`MV4Mb2_vX7SQEG{!KK%WdeSXK3UIE_J#UG|o8+y-OsL4l2=$t$eu z7MdR?hDOn%;aAe0X)*AwurbQuW8+rA(LJ7;HAHJHIJnTNGP{^ub{{Ppr&cJ@>zy3p z|M1*}+~(-Fjy{dKj(c_@l!*vOEpv8)W-Q zqd092)MChl41yjb&FIfaL60(9#;v6H4%ww_aNv2DHgP>B{WZB4UOzY=(7c{?_$x|K zEYU339;|#=A}y8fU&6#q`5WL}F_s30D!{({$#mf{9)gZ)AH2*hQ-;wgHJ%KNPUMX> zYHb^&;)-l@A|ST))I%?vee^(F^195flT+R6a4X&=Wnxg41~m6?5H;WGqt^5c%|FU> zd4t0~Ce`a79J0ojHraP(-h~1Iw}Fn3YAiZEUAZZ&e4ohn9N(#*Fu&`BMoT&Ng$c~0 z4AY36hC9X08{?s=KU8Fd^_vMu?(lmfuA@R#>2x7(>|nQ+FgxoQT}6!UUPgEAx*Xky z8%eQ8?FOPa+30`Fe2W!PuMExfMLT_uaDr&Ix4n}*fN_Mzy} zM%cNlH6r$vZT^0TjB968WYjCmytmb(a?K;29GFgJ$y00i&!{vQwjc>KrHKc4^b z0&?@}RZe_pS|!ryH*+v;v|53KzBXBDt^$y#IONhHc-zPCInYc9I}I|N&9aNScMFzm zf6@lDL0?TH5Lq|inQ%ZaxRKO%$ep=@w>!_m(^F*O?k&D}_ZC~S{}W5L3&@=1hsrC+ z)&i>bnLRzE-@lB5m& zKW6{2irlt)+b~IXcM-AFYyni8D?ysQsRP?o5&Efx+UV>kIjMp2xsxz~yHTt4F1u6KN9{Dhw)0!w;tPd*XNV8-17|2I0Gj~ zob)ITh7Nn+7dlaaoNQal$uW)BW%*eFyEBYBjFUM@dbI*8TeHjNpT%+h?Gfm#z$Pb> z2xNaqW(}va!_QRLmFpD|tcmJ}k#+NlI)g#oR=d^{7WRww+bzxYHD?I3qUSI&9P@cK<+8`J65Yd)Psh zmyk7tYVcbEdw9u~t_Y}vl`PHWhdt=v#j;}mR8r;;&thyBZOR*$9%{h!pMk9PL~naS zcisaK&OJ4oHqYf|t<%wH@r&EtHdlMcU%V0wb-}A=acE;)}}~tgQx1D-}eP zb36Rq9?!!Mo6VK#m~t@D53pbf_p${=&kC#2MX{K^)8W2#?)_Eci<}s5GKw@O+G?wA z2ilWGbMbW{f(GNsV{D@-!^{4v0MFk$P}qI&P%@)CZw|B%Y}@dtkqaKlKdAd(DX#X> zEp=Kpe$9Na@)MG+XJ?U$vt)BWyUy>af6N2x1T6p+zhjj}@+@jNwtb%OsaN#So@Ibt z#N9wQc<0J)#%u>Q%o}=mcqZHXg<3D26zp}Ur1T%+QW?aaN1^{c!KdaeD$j;ya5{0L zUMOi+$&S7pAdO)`w&funA<8vu@>pMQ@aJPv%RQ0*%?f)t(=mTau z|LF)q{*3{}!AXG*oeIX1)^m#Qd7omt(Jg1K)3-teD0WKfrCcafW6KHRrTJ(DGiD*3 zX$Ti~;-$stxh~V-lYb>G%ArwBV=dBe@Wc4iMHz(*945#OZkRYEB5jv@U6u~Mrbn4f z$Vkpdq=EvczW{MHaRGmNB$9OUpr%FT>^yEuRpkun)W0#>Ff@-ZDb^claaO!m(;qUF zwX~*)wtOhm>~u32UnCw038Ys5j;CDj_a(w((EM_80E}ve7om+O>^aN=CywIB$K7L+ z$QCs|EYQ&uca|)AS#TrJR~%CGTMtJ-=8t1j{z%N}4w7bu%CEGO(Bue`CR?;L^ypWe zIVq7bj&K`F&F?&_Qf_!{P!-m9iSfQ`8<3UBz+oPu(F9?Ih;#eo$6f--!5A1FDMUOF zSFf(J{D`GIM&Wj-(>D#?AM?ue_!C`6SW;3VEUQ=f_v}5Y3ACgy-RdMu0A8$mRXBVo zyE{K(T`N|nX!7&VWE&%h&ENy!oA?FV#Wjkn5>xc>N)8M5+T<y?o)8^qt&9^>J1qq%msn({?+sI4- zy9}5)uADYRNzpSouNI?4l4UKZr=q9>8~wXv5y*!Puc~Qv$wEit zx{D2MZHHOKRY@vdM*C>oR!v8xdh%OMw?kpuS1SX`DSyWFNvF$oBtkdOILajLxW|-Y zsyexru|0f{y!Hgbbis8`4vQt_uCzgJh_zgR|}-|{$C5A|-tp+%2J z;-VrrCrubyIBQ;B8JmDzOuH8>Gf+;TU0kJ9c!Z}^bP6hOGlD)-5C@CN5m9n}DD$%6YBAAHr(-hn!W}=GO`pYQ&P7273zcyY>;OMH8 zyo74#t-O|>G<|w$%sl_FS580c>nNw2fgv}O;>3c2A))$dE70|~l}~|JZxjM~pv%${ z?SiG8ry3HbW5;YEIS777(3rIl6RVyKE`=7_CS>!+GXW<)LXIOwXTu)_O5RMFv_>vj zg@857nARj8@A(>Sko>~H0nbl(XRSh1OnJv=ENFXKX6RwG{rtfU!!cO4OxFwO;?2nc zW6s|E+p=g}@I8R{EcrIUxNJD?k#}SagG%xEyMhcl!`uPo4o&i$6=WXE?}W)pV4g0G zV)B(8eIb$FC0{udqEVw3A$^tEv5Hl0a|n*FGxs~hIZlFh$K^hg{doBSHu)(2L6`Xm zrCV|^GL@}Hpla4vZg#){m;t|!5qd-rdzdg%&2cU9!RuGInHv!V>VpIRegzH>d38CT zNZRgtbgqR+FDlciN+cV7>rTy(4T0b> z=y~U+fy)zcfTnp(P4PrIgaZ>M*p3G-;Ro16IMnnBsUIuA1N~kbI1}dS7yc$Sw_Uoa z8ua@cuNU-Y6HYJiWiV7q+=F~S9)2t24RwG|$Om}e9nMbdOMFm?&&vxA5@8TLUUCctE;Hg;*jy=qP&Bfo*MsFRrL ze2(3|lHzIH&P2c&)#uu20}g{qpVS z&r;996u>n*=n%?x*yy&e5N=t+Qj_Y&G#I1|$L~-xDUV<_rVA-Tef5c_f9P-^ba^2+ zFg^sznJ}^v+~L0ON)sz=e+P6zgTsS~6L5%vvn6pX=^v%yib+?ccL4P~v~_-pzK8!=X8VR5r!rSot|A2`zV(3ycYQTm-vV2fSvdx;>&)> zFVvkAjwH#R24)X35Z#IgDO6%B_Q_{2MvKqx&KC##bN4)7k)0g}Gk$5``l~^{(RZuq zpTm8K;*dk&Fm`#P|N05d_k(|Dw%K{EWfnM$i1EA?o?=>G<&0H5aOZ{#y%b=kd|M#3 z?gHjHeXP!ZCX)aD8$%dZhU$p-2Jlb=J8^(__Y2nJ6Y?? z1J0gJhR_Sm_`Dt27W-Cf{{?b>x-Y;4Ia(l)IxZU4{*Wgw z!^?x$(h)0m;+w@ccaYcQk$cn|9E44=J5UdD-g=n-wLium{H8KA_4p=_rtNKM|DAG)AZ$ITEoN)cfG~^fJ&U(;Fs2lb6 z8O|SZ$n>{2>k*a@pl*J*9_xyXqG@0+Yj7AB%}^AnO%LSHr~}`f$=OT-+^W) z^35Had&=}EqolVdip9ng;hY#TFEqW2J3(v->c0d<9lX0gb_vh#qc;KYXhj!2v4<(i z-XqF6@%D6M?C35Qdbn@V{nnFn@Iq~4LIn^LD zZqKJ})1I6BsfWo>-;UAEy8&-|61L6N?$*}PGwN*2qKAM9QZ{H6=M58)Qo|dF88Y0= zBtYNeuQ&XXl*5bj<26ksJfbQbx8y1Mka9(j)^f2V~yD0R)O*LbM+-!ML>qoY#9?e>7_*7eOh= zSO(I)22%Op{4{#INC4VwD-S6iM5UQWK#fnIe9{pp3^4vFh0)n>&bblHDJD%Gy6=V( z!}4ax^aa_dhU2Vc<=7rizX!eB;AhoFRgmc=AtCuP8-SPB#;Wscm1d(S?9SM^`f_JU zV`FD`snt(K?j#qN7ncWb@t{{+@1+fe4E@zxwpxpS%q2ZH^!8=>_No7H5mj9zPw5@p z*PlyvVT64duQQpO(Lb?)&ec6G@b$p(V=Cv|47IRVGw+X{UaGY@tMl8P9acYI?Uk#p zF16R^*XK9pdYvWRuS^2YV`>)HmY?x`*{qed8BWt9uoMS#rG=^GO;`3f2ZX@aNNnO` zxJ}2ltFcq=0|EKUc`jK3lxV*;)dxn64A{3cr??XpWsZ2Or+hn#sE#!2?5`}t`7{At5vfUvuqE|%F#bmy`f(RjnpYcpc+}@ zkL%p1xwSE6i%*<4b|^NCJcW@w%DuZZPvV7@6U@(@MJlE8vAI&4hB^gPG`;3_F#Jnu z6>l{4726eGXGulVe@sH(2(!G8N5;36=6%!9H^}A46<7aq?lrEM4;YpKWP;VtmH zI|#Cl(^_w3mKvqZ{`A%+THTk_em5MNeLN{8S?HxID1X%p4kv3Yvsbv6*qwE=9z%&_ zQ#YC`TiUh5Pi?V>QK9--mt~`ukF%R4vN!uqc`b=*4REysD~)i-Hc48%K0t0WT=Pc zmWNV6e{yHyX)%p5!(Ducx}mS;+XsUh1shejs%rWWsgyT|ze>5+UlBX@snf{iv}3DT ztRlxsvs#%+-TJw{tngJGuC#omYB%-hxg2xu*4lxaP9;CCyV^UobnSSo-rpW%e?gJ@ zBZ^nO@yW9&cHGkBe(cNmNS+5u-;&p*I@$W!GsOJ;2)Lu5>cI_L+jY|`$HP_!>p87| z@ngX^T#Ex-zlWLE?7jg^+pBWbo8H6H7Ij$L)q7?(!_zhLsj<8>wX^RNxlFe-yVj7u z?=#S?9QNS4w%9VpnIYFK7}rasRdHk*$d25ia&CQ(b(_aLf5Tj`*hI^pt&}Y+ z%=2Q*1&tG{e~daJ*^yo4WKRXN9g}n1oHHBOmYh>(gXF<;_FH`NLpN?0e^|ahAiBX5 z>|QJQ>^5#^EUNXEbKM)iMQ>h@E>~%0-;S3SIRKd+@qU=~|1WX6`2PuGtRHsus9{M=^Fcsbd)rXGKxJKT4q5A3iX1KG|oVBL3y4 zG4%Y}mgvQ7eL}Q7^59!{3nL#QXG7;d#mM!*?wh?lurs(&W*wTcxng#(ps-acA|Iv08=d$)eGC#SvnS{dRL_>RMlCf`5hKYy*tf0aHvrM{CMCNKH_ z{DvF}AD13J)=FQdJ?O10y~!R&d7-V}`*>Nrmw(e+PV|<4O}+8|^{e<=@;iPRz5G?^ z>m6i1*meIb(d>16KY!F~|LNB0Rd_G>XnnKwQQGOb|Ji>HwT$FvqnNQP|3WQ3q&}8! z{yI4l{w5!S&++r!ekc6p-F{cT-j#Rz{rh}qt(eWPxPkLji_mFKW4yZh~*@Sm#K1A=2x#_CQ2 zLsa>>&XN=&PkvP*C=egha*?xd-3SVGPt-P;i1V*HTwx)K@N9hm%0h*-Is?$5sA?sh zCW+Ew4(^h`xmyNdK_$)~aLmx)z3i%pOcOt(A?LOI3jP!&In))(1i8yXHL+CXSo>yL z6*@wkgCj+oYT;feSi?a3pLC7DN@=ADP>;bbSA;SeP4Ngga-oU^n$N~Ggb>3)5Ui@I zWiG1l_zITE9~1;-!l@bR<+!)NHU9NJ$j+K9LA8Na&xb_NYbMTT!}CB(#u^H0)Hw9Qp$Gvl9G z@KBL*?3BzhjTdr36qrv>JVo=Xz;It52*U`apCs0QljFTZXP*M=PVUI)LjS&J6~0{IPj40WZH;HmHqH$0o+Povb2S z!0UOOYlJzx$@yH!jYH0j{(RnqqW#a*i*cN5%>4bu3QT+)hz(`V4Z!^CiFwnYP`|?T zgd9+;pL4NLRbU$;aW8alKlRub>ABd+`5WNtHyqgCE=68yaW9M}vr}{U09W_e7wEaz zv3Xy(jRek(pnTkvB3^)vdCraReB7j>Zi}&`@q%b@rzT>-Pmfqv5cA%#c{uRv0-WpheBSILUg##h z6Z5cu7l~L`3Ug^Ewq9g5`pJ1)P#cff7x?^~oFX6arbfr6+dx;{*caHjneq7^Sgey8 zW81C~Ib%q{S#Kmo0vsdC2#I}`Qu$y%>aP4+H%`#|I?{_}!BqdrT$+6yj{&8we4T1w z)QOAqH1a*YNMn~S@;D0h$Z>vZB>@x&z455MuY~1*VSyDT-&}5GPPSBH6xEe4_HG!+ z1DGUvNQ7lV!8_=47{~(uH)-H90Thu5$kxb}-2i#2Rj6wv^D8o(CS`XRGp=tt=zn{! z!ato9<*JXouTdA?4gBkMy-EVPM33D04u5h9D%CI`g|&OHUh6SDFc!!_1?7`5ecJCS zp0=2vq1OEh+>m9ek((sqyiPL=tzV|DG3zPJ`Wu+T77;0L#!jhxY2K3JdT6M0aUY%= zV<9vXuGmXVyz#rKp6*ZEO>TnW?F@VzCMJEz2;G(NonJqrj1~SS^R@v$orze-lJ8Yq z;CV=_*1tK2T<6y%?OK2Q{H(pZ9Pb4gZ{?cfCL%z=S*8+5zjO9j|H-B!8TfX40lTvQ zZKXkkw0jJ@9lo$4uvp8wqeqD_5eswZ=417tzP ztpS%66>odiDb13iTr?=>-jy4UwYPc2AF?TIq~;O@U(0(s^7Y~(6t<}OmPFWhJx6jp z=6b=8yXH>~-8A_vpIjVYQ0+WBC&=K>m9yEbkZ!RVJl$L|AM?CgtpvaS&FaY44!rl} z;J%{f_28X_Xt&q- z*Wl8e(3#vkADlObhmA-~ps|SXDlRIhxNUS8!g?k4js|rn1bXuxyx3))udnIu*4rDb z0JZgN?gHEt$DXDsHWgmeCx03I|B8wae|7!V#Q#0@)#rN{u-(W$U4uK;J=0t{tJnPe zFs{McPP_lYX6qTr+Oe*BY1JlRiK~IHzS>ln4UR@QJ59zHzoCb()nj;5JY_0zofcRq zc@++PNe(!n9GM;qRMIGLPNmQRotz6~qAOIe44lQN%)Ng-&*2qgYa-lZDbMjm+eF;ct~rri$U}S2Q$h1v{Zbs78Z( z*`N!^PIUQe|7g0JYtoo4fRI-Uc6_4h3=}Gag$^xIbvfzZ=ceGM)YSNud87p_O(9Kw zX$h;+7`?uWd^E}0nxMoDDfp#NdW@qOOx3lcF#5jD#tlHt^ZyPHc#H-Eh+~LpA}C0x ziXkD1bp!w;SYGkx}*$?vZsAeAxCexVh6^xI~RAwtqDg_pC;izXgKYcCi z^1>mPQZrMA#C@OI4w=&7S)_2&TrYLm`Q#_}x2FQB^F%CV4KwH>w!+9QS*^3s*~R1| zxG|yL`Z|`v&qeI~BJx2*O@-T4yjj6b1$sJaft6lLO+NYgv2a8|KKb@k)Wbzr1=>Y? zeHqV0&C5jZSbHLavZB&eq`ik3Y8g?@u=w6q^E%w}Dz@xq8LzmKcjDt^!eY6-2kEF{ zO?TZcyP^$FalJjeLMpzog1w?|r%dcB=7R09D6^Cu4pnWm%ytobIkH=EyM)`4>^x#& z`OmsgO??rUTJaw_WfSE`q~IjkPi;G`$4+kTI9csBdq^T&+tGn4*R1wmxq3N>m38~rK1PiYo4>Yh?E1pQo_UM@ z-LF&eus6WnGs))<8pOV9==HzW;_GW=kI}i9+F zRs!}UBvw+iF%xZ#$GyPzFyTc;&j~&IEkE@Mq{4$f$inTIA{LzALGo&$8#sC+G$9b= z2SMc~wsKMB`^=RaPge(`7(%uhr^v-q4+0{LrRrmMYmS1`B=VpnESz~@Ftz;A_{;}H zi)byUG6HvM(kq2R+T^p4m+APSPe4iw)1n6S5Y+EB0Z>V5#jD-O1iN;}0&3ybJA|^K1Fy4I-zYi5=*HYN`$) z{`mOc5gwEJ93Bc!f^Cj~UkdxIzf0$%15B$;eSDA)?EQqQz_S#3))6j4V++w+4k7FS zguCJMMQ#CLkBoeZ%8!xU6Q0Dgd8cuL zl!p->8jOJukT*odw#Lxrs5dwl+T`;!MFZO@`dFWrxyjJNR_cODJgYR|gomC)L}8(} zwJ8QjW1*_gm-R^#*jL@Z%~9a;DdKzbmDEKD);8*Gw2e)OO?zq-0aaqLTe=jPR0Rke zo`z5ppr()N;e~2LO1QjkBZyeTpfh8tG_Y0~Yhg6-s?SjSL(rPlw50#^eCV6XA!k_m z@0Sj{Pjdy57P;Ydp$W7of8yL0o}~Dzun$!FhpU%Ced^joRjUw<$M7Cf=^j+P36vpvE z5$zz*A4eFDWDUmf!wBu5g@3qUJj5{`Uj6O0jE(K}&C9)^xfYjtVW>Sp5WfC6hlmeK z;Qk*s|GEbg<289RMa|!hyi~h4}bl*$gsU8?<*z zkBWIKsE_ySlG;u_We5bX%!Y~R!^QORPy;<)K}_uPjR0+E%#l zQ?>vh=#vt8yS(}B-|0hKd{|-L$q2k@EG|QvscYAIHYjIDcY&gruPd%M+5YU~!KAqp z&6tA={_z^kzG-|sZu@cqtZ+RZ7nr-7uWtzv9t@IWz|RB1u&&4qoP|quky9Uk9Ejfq z2}YCG?lLah?IrQ{oy+D#o8R~8xcnk8q(cDgeF918BCI-!L1S9&C4N2i&j#wf>*2j| zdRhe+2NNg%2vrl?BI+Tv?$_c)aCm$<#0wAn!{!YXe*yPC?ifsQ;=N^NI*WhaS!R== zTy=v>YN*THK)Fr*b^~)Xw%lY9_m#f zOe`(FL6!=GVHqiYkz|3XMWCbeEZl!G=yTITDRv|xmNjNAz%_R-7fAjrif9_%(imb* z=D|J%Me$*Q;b@2PK(iEkqOxo6*Iuei#rolVIreh(Ao&!}Jb_pqx$!K36Ze(a^8>w6 zrx>NR*M%Jm+uZNj@*Of~`Bz%gUJyzn$T)*J#KwvjA;q#CNHVu`MW@kYG1Z28wPA|| zLg!MDmYNQ9l`Ns8hI*xHs8TAc^|7FA!5*~?P^T6sn0@T+sjqOq9@PEI*xTL^=#`|K zt`pPVd2l1J=Uz~xcwbc^uAgy-y)aJ-LxU^YW;*Kg+pNe9NM`{-=(960+z*Cm2UnyE zJlucwziomY>Wv(K0p&+sbu`0p6lO5S>pz#gbp|{f;+0S)wgnFIy^_G!cO9(r6&|9p z1?|ZKoX_D1vkb;r(2t9kE%G4hoW@!V|}bjWDG>3@u)I=#Q``pd}HZ zmlGQVxSvkD#i~fKVIM9Ylb)S>9Y9eEJj)I+pgeFJZJVi0F1JGfDQX5Zym-LGv%t*L zVovHQ`y!VPv>4hLaO~V_ecyLC@v^fcH#%3Z@X-m7s;UIh1(BXerc5c4LaZbZL+=gd zIRa!Q^{V}Af7WWr^_A8GET{!Gi8w$FJ&9Pz7v&G}Zd0Zmb+-vBknACv&V-}Fbf8F7 zh5IZZ!fAvTKG#43)6M`>4vh4$#lb+zE4RjkNN28r(ZHiVBPuvFEI34JAgub&TPod3 zy^{Ldza6d*1{8n0Q<>HA5#c0CK4igPsi9uzVue=02vVMl18M|9$F=-L`c&+A1{6Qxvx%fSO}^~^M7k9F|?IhlZf?WR*=%TUo;I&lh3 z!KrD8eEeup*(hnz6f%DfwfNh>61_nJ!g={oZqgF@SZSc=FBs}7#v-`1V?og2HH1Q; zuBxM+SN3RjD>zjDnNLa0lI>oo7_&i(64Lz>i(sj%6N_M~trm+=d_^H1u4D^G^urMD z?t^eIE)Y!i&HlzvIATXbFS+zKEdU}{ZU;&AZWM>K-^zb45M191HtAueKJLYXpm_Z1 zNieVh|3Bit*d=T*K2BX?_~4t_UnOs=_l#0}>0KHxylk%5ouE+Y_aq=Zum=ue^<*$; z5-hAyIaJ{ZHebDz^Ay_9^!Z0eUJ>Y*S3oG}2pmmWoupD_K8H^Rs{DH{Q+ZyFzac(R znxyoM{GU5K-o_&>%(($1QMu0ZSPIwN#V`&09P^sp*8%yg1OdG5Fiu zpL?FU8G?fkf&(!E_ap~n2s_aS)U6bwq93!LUH#|xTlQTfn)_v_T7N%LP=Z5QS*d4&5Sf&)4NeTU^iNPakBUnH8- z8-jyef`c7`gC2r|Qo*6w!0^wYaPYuz@SyNKaKv5+B0M0Xxej>nlrN@mcam^-7V9x1 zK~i}TM0qg8UMQkmAfmlSbVK=CPjg*=!ePOk9Zoab&9}rW-ZY)_AlR*#6zShR>4^T($g)IQK*IG5*7T>{+>Oh^!a+X&k z5>6)*^qzyQdt?TU>-U{7U%J8N2)A8T!KF}ouNp%f{QIcEcpd+g=46KVyuivv^up|m zmZK>1*@1a>o_go~v5EQmdBLNFd`nih&$zhRqR%r^c|fvb20L*!tvvvW3I8WM#Dw1^ zCB%N^au`vNiwwxcfHu$@UL?(Xjs)NMQa_9CSnyJ9&Z?3wY)t>g$MN{SYa=1f>vt&7 zJr8`cJI75=ez0 zAnM&%(&MAe8W1D{sh*h3MI=Q9ya$L289Oraj1s`{sXRLzH4&gOc6-1(g_}WZP=P_Q z8v)e!Xj41+(XSbg>ua4yPp;FZSLde|H@BCj*Qx6pS^mRMkK~{4Y|ujPRI>Gyw@4-H zF}Lpct)AGp`rAIeV(Byt9-l-W+YN=lPkWBdnRd%>(*)yE59imLoKZqktZ$VZk_DQ9 zc@HsX3%Y>xkpd36j1ekp@?B0hiyPK9mRSqB>CsE=%-v`E^Ffghnk+E+oS3H=j-H3g zZSIV`nV@Hvu*J&H?oZW)?#4LLt zj{t`bH-RK8elFB(b33@D96#)mU$V&G!xP7}Yx`g_df;3-*-PxG^$n`;AGaHBhr2Z~ zTmMw{WrwjGS=otZGNvNR4!d&;UDiLs)ejC_<=4bhw1O8q2 z%QbtU7f1d;m zZg$$eTDN@aI?*W@Yor1KB?I)0Bo#0Xx`kx9g7Das+|IiM$$QXF~L~*l^wBMv=w_jdlT0BLa4l?Gl zvLBBxC(q*2M&bC>uA_CYJL~de6vk;6cy^e)R6nDz=^0pWdzeu}jEaRJ(JeAdrf^H{ z?vbqq2YFr5nHN_9hg$7UzhjoIk(j$= z#i@|71DKW2?9K%u+k5eO!F>40dcE#j!>|k)+6(Nh56D0%FNZ{BJuM^MDvt!x$cYOG zcimK27~El;23!$b^?2^j7BDbSg1WIX(}7-SjG_ttj_yG_SN=ueth(gvNgK1^NQwde zI<~rX8R#1kBJLFFLd-kD8`Wt6+R&-O`50urV4PdCH~KV$VPS8zzV4rEIqDc4+f zL87JO?6jD?2ZAnac^|1MMJPAN*9xW~G2?Aut65kTST~#txYXfDNe!Y{>NahV00_d9 z!|Pi+cR>c7U_iuziqa@9J&iacS+nQi2J7A3(C|TvP{1IPx@=Jr%T0foY&Em5;w*jjXhkE6aurOu=Q2I5|6C>EbhleHk=`Y$NhXKuNd!sw(%Af*kHY^4sO92Si{Z+BSvRxtl47 zO4$!?RApbS1t>>RKeZfkC{bx!=MJ4yKAftL!hBIq3Lo6N}Tlx{yvD2+yv{7bjB|R zlOYZsv}IWT9F%sUrLe_hS)y^)Q$d_N^c|35BZl-TQs<05w2I3W;<7-rO&aSraS90< zj@v^h*4KMlX4jgMZ6gtl++}_843rJ}+5S$eA(E@WWDk)-Z!NngHKgZ7A5`EJhkJ@C zQ1Q4_pUzv`UX)t6Dj{&fjG#ih4GfrqO;f{D97?z)(sbrNPnVn71LEvy^ zE5Q_W)V9_OV?@L(z}}GG+#0lp?*Uq{pB|3m6IeHioSH{~%Gi}h^X+>3Cex-02}LVm zB9L}fh=>e2Ng*-HF?(b2!ql{Ow!6Gpzelz zDkBUBjI^2#ua*!{5dn?>7pYRhf_oMsQiQmCteUQYye>9(Aai-bQ8cRc@468q+?+_SkitXHU%{Eo&bf&4J%|_K;U<*?omd~;rJlzX zY41SM5UVtizAYtBbRb6hpMuX7+)>m1Vv$Q;#l%rxS$PgUC{>~NWHNya=o`Y0z__}s zYdb0|eaIb$H`CjbKv+tLvKOFl*fIB!!aQKc(sY%8%3?~gCtBCvKpI)z@jN9%#}6ys zuo1TgLqn@N+|+`^iK_WiAQbI`J+{@K|Fq8x!F-O$QClu5?4{6!YUTaPF`o;fke J{SN>D|NrrAF600J literal 0 HcmV?d00001 diff --git a/CPLD/MAXII/db/RAM4GS.map.logdb b/CPLD/MAX/MAXV/db/RAM2GS.map.logdb old mode 100755 new mode 100644 similarity index 100% rename from CPLD/MAXII/db/RAM4GS.map.logdb rename to CPLD/MAX/MAXV/db/RAM2GS.map.logdb diff --git a/CPLD/MAX/MAXV/db/RAM2GS.map.qmsg b/CPLD/MAX/MAXV/db/RAM2GS.map.qmsg new file mode 100644 index 0000000..92e65f5 --- /dev/null +++ b/CPLD/MAX/MAXV/db/RAM2GS.map.qmsg @@ -0,0 +1,27 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1629153616333 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1629153616333 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Aug 16 18:40:16 2021 " "Processing started: Mon Aug 16 18:40:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1629153616333 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1629153616333 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1629153616333 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1629153616598 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2GS-MAX.v(56) " "Verilog HDL warning at RAM2GS-MAX.v(56): extended using \"x\" or \"z\"" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1629153616661 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/users/dog/documents/github/ram2gs/cpld/max/ram2gs-max.v 1 1 " "Found 1 design units, including 1 entities, in source file /users/dog/documents/github/ram2gs/cpld/max/ram2gs-max.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2GS " "Found entity 1: RAM2GS" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1629153616661 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1629153616661 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1629153616707 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1629153616707 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_mjr " "Found entity 1: UFM_altufm_none_mjr" { } { { "UFM.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1629153616707 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1629153616707 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1629153616707 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2GS " "Elaborating entity \"RAM2GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1629153616739 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM2GS-MAX.v(158) " "Verilog HDL assignment warning at RAM2GS-MAX.v(158): truncated value with size 32 to match size of target (2)" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 158 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1629153616754 "|RAM2GS"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM2GS-MAX.v(163) " "Verilog HDL assignment warning at RAM2GS-MAX.v(163): truncated value with size 32 to match size of target (18)" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 163 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1629153616754 "|RAM2GS"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2GS-MAX.v(290) " "Verilog HDL assignment warning at RAM2GS-MAX.v(290): truncated value with size 32 to match size of target (4)" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 290 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1629153616754 "|RAM2GS"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "../RAM2GS-MAX.v" "UFM_inst" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 87 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1629153616770 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_mjr UFM:UFM_inst\|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component " "Elaborating entity \"UFM_altufm_none_mjr\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component\"" { } { { "UFM.v" "UFM_altufm_none_mjr_component" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1629153616770 ""} +{ "Critical Warning" "WCDB_CDB_FILE_NOT_FOUND" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/RAM2GS-MAX.mif " "Can't find Memory Initialization File or Hexadecimal (Intel-Format) File C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/RAM2GS-MAX.mif -- setting all initial values to 0" { } { } 1 127003 "Can't find Memory Initialization File or Hexadecimal (Intel-Format) File %1!s! -- setting all initial values to 0" 0 0 "Quartus II" 0 -1 1629153616785 ""} +{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} +{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} +{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} +{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} +{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} +{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} +{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} +{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 25 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v" 56 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1629153617207 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "241 " "Implemented 241 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1629153617319 ""} { "Info" "ICUT_CUT_TM_OPINS" "30 " "Implemented 30 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1629153617319 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1629153617319 ""} { "Info" "ICUT_CUT_TM_LCELLS" "177 " "Implemented 177 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1629153617319 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1629153617319 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1629153617319 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1629153617350 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 13 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 13 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "421 " "Peak virtual memory: 421 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1629153617380 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Aug 16 18:40:17 2021 " "Processing ended: Mon Aug 16 18:40:17 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1629153617380 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1629153617380 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1629153617380 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1629153617380 ""} diff --git a/CPLD/MAX/MAXV/db/RAM2GS.map.rdb b/CPLD/MAX/MAXV/db/RAM2GS.map.rdb new file mode 100644 index 0000000000000000000000000000000000000000..c7c78006967e7e031382be6d37d16427695f065a GIT binary patch literal 1255 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G?e00000007bh00000 z006K700000008F%00000004La>{iWg97Pb`4TvZcgdzeQA`W%I*wWhGSx0u_6Kl)1 zg0j&jYeG0hy|X>z4n5tY{;`={k|*F@cm!UF8{)@&Jw5vqNOI(ojMVDt>Z~0Xt#*Uke=jQR4(0{{+M-w@#>nPM9yaox8U>cVA-lJ6v2Hs{-%o zcLITLP%$4P()+w%k{)O$GXA>2yT74F4s}%=M94z)pt zF(V720b z6wcCcG#*pMj8hPdHj;&rUZcTOP1?K4JIaORmU5#@?0}mS4xn5cUg*#*$+}RP^s_+E z_&JcE%ILzdvJ5N@UqtC;6JRv9u{9=Q4)GW;gm8iLn!>G%5ZCC zP1aAJ@yt=;bmI+xEN$a>rqxVDG+Iwvn8(p4x(^2x5Kbn3YBM9MAWXx;a2^it&2b+g zwMY%8;}+hf=87=9jCixEk|JYM$q93F0$bW#Ak?GeLbx+P3$aqfSbK5;_v3D+v^RV=a%&$Qv=zn)SqN&u`k5Ru1Rv7K~=JyED`}W8+ z2v2;Lp|)}_X_H2wB78yVE~R(&Z}<1pJsR`}X*7BZ(?gH;@s{r0qutw^2g1=~ZY(M( ziu5t1J6rv&ln%TA_=BAR^>??^!FJR7lQE?<`If%tQ#y+E8WqDc^axE9Owj{YwztaF zz#fhUCzB}l1*TJ7ZAyO5B^@azT>TdDpnl9sA=~#iyn3VI6M7u2eco7mQ?fq9Zo0P@ zMXxVJm)ZCLyxpC+e{-?_->ik-g#Zk`VCG+m>)Ze@rELJSPHDeSU(v=t00030|9Ao7 zW?*CxU|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDcW(EsJ1_ryBKusXUAPK}EMZuxI zzK%hW@ga^*KCbcpPF}9g5G|}g1#AogK()QO3BN&FgrHj79Yft+gQ02}*%=&w;$|Cn z?FUi}5)em7RD}w@1RqT$3uRtck90GAba7d7+pF4^ckdYi< zgKJKflmj_%hd{JIjc4R!FaWwTjLp&)q(%^kK@LIo7b6RU1W*Z|qvK?de_%>{T-_ag zVBX;ZD>?e@nIp&)P_Tkcag7LpsbFHz0O~gOaf=2S0t*y3e;*guAeb6%1`eR)q?<>1 RK&HSV1QNQ800000|NlCYKDht@ literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.pplq.rdb b/CPLD/MAX/MAXV/db/RAM2GS.pplq.rdb new file mode 100644 index 0000000000000000000000000000000000000000..723fcabc84c5d28d091f3cfd28fe2c17e6cd2021 GIT binary patch literal 246 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5-&%fdycafuR6Oe}mE$jKBT^LA}En z2G*V)o<9z04r%k89Q=~v;<6?-Rj*L@5u2IDQV3H0|9=HzQd&ZaKte)7htU=vwjiE6 z4l++3iO4^ZdLS>tB$SxIl+5eK#+EFNKWZ29yoGenkWd~#|y&02avM3ms`+!b? z+jfVWa)q6aZl6c}P0URoa8a~J{b#?B%F&X*Xd)fJ*Y|n#_4)aA#W@ShnC$!`&xz`z z#`jHSP4`-m}@JmiZ7=@IS=J1fcMJIP%O2ptEe<%IiXc5;w_Ag+K?&W1c26aj{ZgZIaq zQKul&ytmb6R3}pT^9Z@>@BUWZi7e6z){t$P3Qo#sJI_Aad zYeqM&`+A8>Xd4+Kw#lWQyG{3wnQCyXQ8|bmh{vGn03f4o6)&5v!nikYaFY*c6Okbc)*f zje=G|ZMH#u;32Toki5XuarT^Uz8k~$BNXC?>p+-|G`g`ppc*wtEscG?&p~aQurgc! zPu~Ai7$YX_ZRWT|EkbXsttN2>UM7}~;U(;0TuV%|tlj+6D;3Hz65#3m49Ole<{7pk zd-%u+7JJ}IvpwIIz=i7o&lg+O-x2N7MHTC^d|L|dZWlc|P9(^Io0bT`o-)X}s*wmW z#uwxqPksLd^e|i4Ck_{8R)wi*ujVYw+=TedO>Kw;iyor1(=SO!WJ=ir3IX^f61F=2 zFMU0t+Ic{e@oTg{IzM;pGhLb+Imop!X>(LkdU{#j99!tt6JEBLgqDX>i-0xtc9mN@ zQ&>A^zCZt@>nlI(u=;}_46GG=GpqaoeR9L?rjXN|I+OAaD0y0t=DgFX&51fyp)M%^ z!&Jq!3|FPRUMhRyHMg0|k$>5)y_vy|lx{R{?f&97|CyBs{|JkZH@F(Z(a1p~o)O>1 z@z{cO7F=G!ln}f*<4glR@AGw!KV!&KZY=wv>A2}F=_wV@5gzG=MG?cqJ>a9uYeriL}&OV04Ju(&Wq7QWsW+|)LbYF*x!@6y?p3AB19fQ? zS7#6)sON)$Ytj~%aH1kZke5ffW|~zx(Qx)R-D0_qLZt#F4RiOf=Al7_?~(QO0buJ@ zlFRXFr{S8@1M}P5pO!;%>e+XJz0Ls4M%cVtKVZ71$?!KU^agU;c>H^3O49gA!zlZ! zHbFAg*V(bl<)Z0itFIh;a`DF~QMd)zgO>%NeuEWpaZl$%3~>1er%cJQ9{);86Za&~ zC)-wLHu~q({fOLL8$X{vy_QmWHac~a`mhE0w8BGaR<~ppZZ7&Q6reJjNL>Pj=y1-B zNnmFOsTShZsphwe3*S!T%`@Bkb^OIx-vmYQlgT&h6>6i!X1AIDBBb(X-i_{`I6upy zv)hKMW847WSjF<&E~RbSFipg$g@}GBd*X~ zf_N&Mdb&Wu z45q<-an19=t0cEV1@`(7t-=iKJV2wlLq9i@vXMK(tItWEXLVhnU7tPAUZDZOdrMBw zycnTA!IrPe%^^XdrbEAH9NW`CP4YCUjynm`IrrD$I+4Zi`Bq}?Y*L-|{dMsTjkE+i zT%*KHjSy+(d!`ebcDVnx!`r5AZ2jpI9sTZy9s6xtz%odU`lzD#9F z=ggI@ckx`W>bj~*85+r~$xW^d4>u5=QZtAP_C^$RR_ew17AN;C)@&A#+qYhFO`-%9@4xKvmeT}3S<$I@%#M1@<4beWmrz{eN^ zl;i`nJ-A>2ZmxYB_j@Zk1|RL`7t>j+pjmLCo4sqxE-Q&Y`H9iw@SJ()BEn&x8A@*v$&Uh)oG2UP~ zN&s}%sK}ap1yNt{9Fl#SQ*>klp_a%Om^ZP(l|YjRwb;bq_;U^FhyxoJxKzr%a|yY6VfDrSBL%Gl3*x`li{Z>tm zJ(qHo{@TLdc-03gKB&(?tKbW@95dkO83-1Iqf-7lQ)Pi*=q~xbWO)8uyoY>2BnAJ+ z^S9&RQvadjz3=u%QrTae$)sN!;AB8;obvd1! zk3S=x9haZRPw`g6!Qo7IqJqCV`==niH`^4y_U)#M5)Vb|BFF+lMtsJ{YU)9HiZ&)W}-J4~teyS&B(^+dT1JfZP#_|o$GU2&2=r&#)io$>5?S9X1|DV7+-o8em z%IbBjy81l-8roL%Kd*TfSgD^7<7e#r+HQ<5g`vh!kxyH(y(Cz54!=}!UA8o}jz`RX z9LSY8hDW>wFPbeV5X3C1{lVml{aXJ)EohSj7Y+oR$GCK>&W0B`GAf@ z$uLEW1~p_BdU}i(*%t>lH|AMu6hu5ZV!VPqD8DC>u8DNjT=9e^5h4Gw*D48I#qYvI zbL`>o2{?yM<~sCmHW4vQ)!)FRYPBg)+588&jItbWE5&9VH7*1)H$hmnYXXb5#mo3lCCnD=1w*1MNl%n*Vfq7q|9Mj~Ek zByrgt)>@%ez8A~LfRcrpzLYrIEq!6W3r!gj-&=-RoXuXW15B&IW=afarmBa-@3Yy# zYT$JDn5P`icI&T$*hJV>!Z%Zs8&)CH;Fr&BDkr>cmaeb8?Hp_Mb@mcU|Cm?9AvJ8B z`9W3)Z+WV}nZj~l98src-O14uS#q**qaWz9iQHyY z1t(J7stJ{aRxnYTOxz*ppeqg;egS|L0gsPU17IS*<{}Fl^?eoUUkpj^_45z*6Qea7 z24{&mn`9SBHOoGfgcImh6*^#`N0{`rxQ2SFoO4|ouN_br}N zC{JC3`Rw}b0P~Ek84%u7I<);N*D%~>ID}b(73l&Cq=rgWwD0An=prh6{094UeC-K| z2CY-H8k$-jQRUFO>4o}^!R$l^byU)3S~$Eom)h<%zY#)EI9_8P$Rnlr6TAWrOsI*J zVIDv9M~3`uLpXDQu#eF3(tHxBj|T|R6_NR{X4iqDvkR-U3ahgTtFsuZvklAFi9UN- z=}Jljuo(y-Jl$dl z!P(=+-1|y5lSAK*GZAwNSKBiU^nL;7*gb6y4m^DWjlKJUF$r|?ybH%D0hxp8qlsF)K8RauO|?^?XU@0mZW{eaE) z6aOKTFZg7GZy~{-RGo}3U~>OUW2xd2GlN_Q2R*P1( z&piur7Rt7z6}Wk018AelF7T?Ez1Lj^*HD0G0rUuiMnFcwQ9$Phn;(3Bi1{Jwhf0ZC z-;Rp|6|fe>q6#_ERzISpy}f0-joOUhlNM_6v(u!sLd)8Mb%|EtlZoZ4zq9@bg|q%& zKl1vA?H{K9&c1dh;lSEih;0Qr(+*DaU5Eu+zaU*&T>fzJ!}$+qKb#_EJDk8RygrU9 zI{OC{nX)At`ao__+3^jFp0pUP>ac2urhVmHFT6No`Y1b*1>ti z*-zxFUH4Rr*1M{&Ni$Vw{#`!G#yQ*!%h?d7Nn+RNgsYZRt|??nI?E9AY5UE(CRg(- zR4Sf%H?36$D?7S5j?2JShq=?;TW5}9+3_zW+wpUs-w+lSZZ}<2xna64xErLl)h$3y z6g$3I`q`Q;+S~wNF-759^7pR=_o{dG2JO1(Yd1h^4FoS=+$wQ9$CI9l0@ZkM zrmt9FW75vTTdVb&EL9rl00G@#e{<`&h0`SL$UpA5pk(h^5gb8j{{!h^n}M~{?Pt4K zieg&sj%gf~3cwNgsU{L>pi4Ve1Vp>47fk}k${5WB`NkXy7I@;k{JyhUYK|jc-J`40 zBUOP#^yQkMrpt9x9O^Y}zzNrZskNNPG~Z zMv4A%Z2Jqz=75cQ*zuw@l|5FYwA4jaRj!HO^Q(2I zL~;D-S|w#mPqo?N`(Vr%1rgLUuVYo(`CKCbR0Y!^POzLl0Ad>KHhVZ_#!+y=svW;LDxT_;$mAuF-z`Xzgjd}!t*;w%nX=& zWCFsz&c(shEX0)5dwd*ImHR$u!akVI%3kZNj>)p8rhmQYf=I17?nVh{n!@F`Y~hij z*y--SKJ!WJg#tKXza^ffKCbXP-1Ui$TRBx~4W^#?UBS<7zwn7GBkzRqYL-$h?$;`4 zL}R`K39P|XhLjo7a3T5yGy4$Cp%s)WVR!!&+&>0jD&Dk`!(f;#2?8$gK z8!W^NFCa$O)pDB$_5E-D-vaew)FV_#D^OHdv5A(wS4r4=)I1`Hz^>-t2=FBTMm)by z7*%It_DYg9R&=G2K%iIBt%Y$AJv(`5wl>Ll- zGl>y}GMp7BnCS zo$=j&hF#=_=!0_9VVc?HG7HSqB;UI=@VAFDSrc5Il59`gq9#-SmOU!PRWidr6L-%dl+koG7fh z>&iRw@aY$CUjrNfW1eeV2;LW`(k|0GM|#oPs^oR`9?$PatvLS=e?v|Xlsrt%CKHVU zU4V1%K`d=MTfEds9ep}00BJ(=Xs#+1Z>SQ0=7)|p7SJY2D|5HD?@`ccmLSn_+A7DX zA9a&D>OY zT@;{%;I>pelOlc&P5?n1aG*JF$WSc*2j{(Yq75$acP*g$O#NKte<#?iGy`4IU}i+1 zf>$JYM;zrvxO+OAk73vrbS-yzldPuY79aU*+K|CkFvIWEI=z^@s9^uLsws@CXdd7B z_6l~jJ6(KmNRm?B%7Fp0v-58QRs9NRpbe|L_j9M0R`llEJbCO~bLCI!)z^ZK!riBV z7}M2%uQ0Dc$mvM1+vDKc;bi{7X*(>MI!HE=qq9>A8yn!^bgt8;7dyFYr1b2?0K-+V zaS5iZ&qysL?;AkJz_#VJJ9}&O;zm7k1n(VN*iPInI2q>E#q75!1)+M`S{SjuXXv8T zTpf_!kgwJ*qXByQXK>(2(WB&+sEAX0tSK!@_OS2vYXN3DRknBPn*nHPTwVb&H&loO zu63p%*|ob@at^Dom@KEJckYZad~-i0edW{?4j(1g7qI~k4J;O$Ulp~X_t+@hH;`gl zQ2IIvkswWK-im@3Yk;5(T*!HUs@HkUm9oQ6&xgSwKeovILIh+GoP56+wB1q+F}G$8 z2JX&xQF{awd0Z>~uMF-jp|fl_5oo--nb~L$*Vx1<8;jkM5sF833FT;Q9t&HsTJqv!v-b_(B=&bsmmJI=yz!0ZW~CO9=}JADR2p;p1r+ z;KaUv^QYmr^1Xj!aq0D4?*@+#s5=mRSx>-l8NL1o}JJOW(d-)dQGH= z&i%mo_pC^NTl~>dAl>G4*1X}T~|k39(-Ts zJ~}g($ZOr4G6j;|Mvr07pK(=*Yy3ydn?-t`bzpaxsQ7Ub-9{@%T<^68c-NXc&(bai zzEu{pb`0%xkXd!Hz+TtHy{-;Pcg!_(wldR8H6|tClek$J6n4%I*VY+sxwi4;E>I5Z z4qf#XdrnF|n5qrf4HSE_n9YvQq-2Kdn9`_OGV|zHD0hx%ntjtOZ8);PTKtA+A1L^eg;6Oi@zPGFRd?zgH>eJI6j+Ove${&2*%9$Zm90e&SS+ z-&yz{8!8|FWC)( zq$ilzZMRBh{@CQT!Z$(cm)Or>uDc4q4J4sDRR7aNH?QCBmUazKyQ=Fm-Dvv(D(?8n@4veQI8jxW$2QS9Pv6(7 z{wBIc*xyYw0v_j9tdPQA*WyQ#fos+xQs~v^)zCU=$gh-Lqr@lE{c2~EmA3}4y|O>B zVP(h&FyB~DTe0-wCcL=6AF1L8cV4#ND0sbP2NjMZI?;_g6gw-1xlha})Z~!85(dKI z_H6Q)B?jb$d<#~j?ONDC*m;0^>>nP2+aZ*}tZS+tQxy`?Uy-~E*`==`8Ve-*91qH_ zO*S_-dH}k|D~iuCPwQ!y1gz4#3N74wa%9?1Ptb7K;OgUljB+<6zHNJIoUC<>{=Btj z`Mj2Z-AMOENn!#AJ$|6x@$kZo+b*kcEF6=2=B4QCKWeX&Mc@3DZ&0aNz&Oe@m}Ws! zGsmmr9oDo z{H)r`ReFW}@~Co%6TNoLo`>jrc8pz>XD7FF)v;z*RHKpk_+#DLiMdQSL_qxssu017 z{yabO;TWWs@)ydX*~(8EaV9fvlh&<2x{lYH7kf7mgO7vvY<%3rzmSgj&y=Y@CJpEq zJ>8rA>3RY>Jd^32y_68lS17A*_nGd{FT-@cYy3C%J?LqGd7q6{<{(-A$)uI*wJXd; zV!yb;;}q=CE?PB-<7AFqg$ermQU}7PynIAIhNbF8hwMDNfViVR&u&h(xCDV|k2{N# znY^d(8QJ%tw-&Q?%ITTvZ`Z4neUDVa=b3=9e+Jp#_2F+0lX?0Ll211mEEDmM0)@oy z!ygSM0qGxS$0JV@%R2^NsR-{+)6ssPk6HGg^{*Dw{%e3|_fOrUj&pzVAV26Ih?*9eI_!{02~G$b+H7CBuyqMKjUA zF3CkJG&zhYR6Wv@;uUl8h!<^?NrRc4`GamEG=$2)4$xxAw2|R!Tf^ib6LErCq1h zqVPzuM`@`Ptv!WM$+d?F3X-K&DvVc7yj7Bd4++xnNFqY(dFYB}iOYm0VFYPJK6Ll2_dLu^iG~lZAM|8U=6~MT9yg^7-H!dEnQL^0$m;a}n0BAg&x| z?O7}(0-Hx?Um<5-RYRWqW-)LZZ-SO>XS-pwV}#xrX0_qh1A>>$XS+eQJA~fZE%70) zbn@w#EIES0zolhYz4$CA;MO$+FW4;eK&xi*{V*EoL)_slw;-;pXRRUNZw}EX;MdcI zbc|-Z!Pccip2TLo;npz)c^2~h2pZKOuB2ykjb`n^uYQF*@yzO*&wepjYG5@s<@+t> zR{>v{K-fVwqQb2+2=dJ3f8sSJ!>!K<@~q{5qBWjiHRc6A>4rR+%;s9m`h%@gL0m=T z`>p18K{du>HM$7CdxW^NTjIj6Lkeyf&hkU8Q-nO(&x*sZe?!2VXt1*D;~|(L3&r_z zlZbJW6^YW8FJ$u~e+gfl0ld>ika~=woQa90dd(D)91Ep5;Th0tCwl*gDMO6Qqon;| zwr57Bl4E+|iI%I=HLEwA&{Bq=XHSmivm{y7tY|LJWcyA|A{NF&#Tx;u9Y-QwG}aZB zB<44)FG9)<)oEPrL92-_D_SRCT7}0tHJ&nlR$-Bq{yRQa5tu<%^x&C8AyVJEwFgTf z16pBFHs;ARA!qfcLT22UBO_I2OcHs$(RlA~$4TPQ*Uu>Yn(O8MTbB!$A7TJnTOmAP z#59=gxNnzkWYb(?^RaG_pXo=t(pZH&17h~L8sMOt&U3K4e0HWQH|6=%vzlaoaLCZYv`NIHW|s#U)03_7;)Nb9gOSuae%kH#w9+YMS1l_ zV!ecQqbxCwCZ1f?MM-GMv|zc-LQ2g&VJ8*|s(-lf!}&ML$}03=NB^>($Z7pE+<9Nbx|>nboaCV%E53ghh$#?FSc0mFVtzj zP(Z$~Tq+*``GZs(Jye>J-VpKVBB@FUy8={BA{>x^HtN{Y?c9Er!i@T-;{M9@!Ewd? zi>P`jTW|Ikv*7n=a!3CHG)w3td;m#khu%7Cw=MSli1EFIibuy}Ui+oYpR_BIh!D$< z)%5k|e=_xUTPGX!9AtFO0bWSoKAPYKD8EnkP8l_Ly(p^XH#IQU2kYuNbmXh_Bun?= zVG<#y22;~IG}^EBmKXKLbMKg%E`?QK%oMzU;K}={%5nFaGjziL6TageUBlbQv~|r^ zok>^GnX;4}jIL}wd1mel(Kfm!B74jOth7MKmTZSjaAL#+~DGZ>qp8pUu4=GLf%kRI6 zEq^}6>Yyy89gPXMmvkvJ{eRb11lk8dVt(ECj|1yo;B7gck2c>^V5&ZtK{qu!?G~DP ztBk(#!MPrB*oDmUniXjog3s&P?uRc{zxB5NcsKh8z{+3A^CeO6>wJ7sh?0|r8;cmL zsHAnG=X3wM7X6YTfoZzlt~co@Q5214iq?`L{iT$H97Q^Lp2|lzj_k=&q8}E^RDDU2 zXb&XpG7m2qMT5y)4CSOJBC5NPfV9FwHrNCQ?SwBPtUK@%W%!9Ek29FKDaMReUgQt9 z&x1TxtFdio7=?u#Y^%kGLnH`y%$)0t@lb&M5@wjk0S+V6YGejUdkp7mU%`Dk)puAe z^yxB+zy9w9$}6U|B{lp-ww%if4an4ze;bDYH#RrfE{Y4B2(ZNtl5ikH>9P@&(cU^y zwH)=qvH}=GcAhF{27(39RFAtaqpk|)vERc=5zGy^tX5|B{JB_dp1!`GBWZJ(DJ1*S41iwDPU1j{UWyLq{od7bBNO3ma+NWV(=)N+f`fNkXPXjszVnszn? zI4@x^EVOtRXn#mIQrCnpVbl!U-#OQqGiXieuXE@`sF``wNUGJXopVU1N)XgS&gP8JDJS&^}t{$_u0z;CF47EL5WN{D>_Zva{QyC38FCrB(fDvn6S%dUiGj z1`>itEY0rC zN#zk4puMM_WwEN#e|G13Jgj7t+tfPoBfv*RcPXP954t4+uwaC9D*f$m5D@6caH&ux zxXal?SMZk%u}`&Uj{UStS5F|^Xmx9Q;169UX|BB;1t@*yJ0xydH>(U0UAFlKnIm}E zZ*$Q2UEVZAc!m`=;SecUEZx0y#{odW3gpW}XaIrv6lGR7cL4ACRf?c;D;$ieFIA$#H)XBe*>ozWwCVqez{rGtpAgpgUbD>iHHIRe)X9^u*yGvP_?sL?k zEq>;yq{dL&$bZ5jfzdm)7K3_uq>p}+{!x*(&(P1IyE9%GCnkDATzs$SlG6kAtMu`% z6ybX%9qrm3wRVxK>1iiM1TyBh-w86LoX+WFTqzg@;W#Wqn&+vNuM{HjVkN5Xd)4Z_ zeIu&2_-{u{WHmZUS0|FU7_ykB zOYe>^U)Zb#hHwpa=r#EI9=2cL?OSDOkA-DxX@I3+t;~I>X#EbIT`zoj2XTn~C=!vx ztQce##4=o!KFi{w-i_8oT+3hn+7f{lg({~Qeb`99Us{l&1y1{yf8kEh%siEp!XL%3 z_2I%rewK+nEbNLr=BhA;!Y?_;e$MaH4u~yzYbk{%?n~SK(jFFPL=#}hMx>W;@N2`Z zheqmnw|6I@*c_7O4zqQEUAqpybWC$0MyfuW6eBLF)UF{PX1&@qHhfuU(rdJT*ci>6-3J_>!zk%uFrTn?-yopgWWm{@0^DBA|-N`FZic(b;T#q z;7<~jqx`kY0sLFxYw(Tzko#>3-+oljel%}0;T@=sXV|_4N!n5MG%B!Gh1UMhp|+s8 zr*mW--TX%(jd{WJl8tBR~qj8VL0yw zJl8Nh*D^fUG(6WfJXaq)*Bm_87(5p?k}oYy=){(=dMmLF@E$eKan|u=sg>T$?0AV= z(|JY7k|r9rnVhT4(50IW5g1`i{YFkCzWiWTpyO`@2PYV>O4K`c4<-i>k?pk^s_<}<|Oyy{&WCn*JSP$*_223MyWJGPw;lJ2@hDwd=(zfMjlRt zB2v`yAVg<3N(Txrx|(*3?v5!p2>}QpZ;@Ld6=6UiH0-EGkAIo&(5X#$G3o3e$Z;wU z@u8Qu5A>c4aWa{Y@%uE$D;n~+oFF44@b0c4gwH9$b06VxkPn{I*EZJ`3vkx_nmdSj z$sLM!9gepbj(7GS3nPfOX=u!V1ul&VEbzldCI?C~AgaA*Ek7VuSGzsZ-jus>z(4(~ zh0B(+H_O96PjXmC-4d2}7s)*c?<7$r--d*OCF`#g7VY$+O^glE;6YCBKyMp|ZySbx zjm8D2aU5!M9C8a@b{%-PB-FGKbF>m0c!=184OU-qYzo_hgr-Z);pCn{p1dEW4ZKs`P0Gl!lgaE@`2WXghm& zFHAN%YZoI>f)@={;&2R0Wi+^JII(XaeDdKew@Y z1|W!TpgX!Dh?W^sr`r5{$%h*C$l6S$`}{0?JvH9$3+tM!%B3R`ASW6l@N}1NN-yq_ zhmWAwcFq5=R8_HKUQR(qeK8PxApWRpM5rUM?_HatUWYwBRGr!2Xm8;wn(!(cL``IX zv~-;-2(oiLmv5AuCW=5?t@oK1;m3K9(NZx)GYYff*W6JgwTRF~TNMN$w9+LH! zc>p}0+q4S>X`ATaItaZBbfWgtw3eMU)F-Kp!UuKNabUdu+z?*LycJ|o|uIa4DR^bWkKCA@cW3RB0&Y zgF`0Xg8Vj-RpDlx;9X-YhK{#4q&nPyO_I(e81sN5Z!O;Sa`ojkzWw#3tr|A-a%eY_ zpa%I25JlmbD6NVqsj*-fVhA^{iB_5P`~JuEEPAxnSkJ?i`?>OjxTU&QZtU!N0|-?5 zmUp(YI`Cetbd8E+o{nS>F7s~qKX;L7XOZ*3R$2}y+3*por;+cJ4%&zwUJs#Vu8F>Y za30n^fmj}-mjLHR%mA4EEwf7FdGm_oypxwh@toQyfuhR+e$OIiN)v?CDuY%D*@0fhx#!6J$ z0)qZ^(Ar^8-@8RUp6Z~O-ITZ`=sq|xiT@YfF@mxR@n5z!6P*w{7m^omVE6YAW%tm? zmvXZzpv=zMs8%Q_c>-tjE>1LLfpZ%SXQ^L*rzRCgYM{gp88CY0qdZel@6K&Q6}45V z)6IXZbtL!G)aFFCn3A%7d(j|O3w_%WWVBeM7cITy2%6?7vzcwD(uuN+cesRFCOo$i zYj94ymg$UAx)5on3Z#P0HGb+Mh&GI;av{l$pKK*Cgd$BGe%b`CDqQbOQb|T4N zhjr(G&QU+`aU4bjI@)jR=;&O?Az=UE766ZS({`<(;A8UnPVFc&0Np<)>w#x#mI6!> zWatRH(Ox~gBN^+HSS@wKC;Tp1#MyhoBmR?l4K%2BCD1msc}09oV95Nil=8}gWNZK4 z9skZd?mer8u``jvrfPN0i$O`<(H{@lPi)$R>zsxQu;Msmu^&AFuG2V$wI?=k7O4ga z^^jP8bVaPfo7N-lTBke15!AhYMMs>};A32AUuv5cnSAVBjs0Mw+=rmtzYyf)y%Fa) z#I+yg*h8Lgn;z5%d zdW+%R^KOOx=3yVH7#2&r6!Y$c{gz=L+k8_Z<($m;rFmL%!3dpN$BIWIXea#hbADQk z?8u40UAf_I0$%hn3C9S?xBKUh9=eqDfSy;sX_TECQD1J+!0h5lf39pz6ZgIt!f3 z+K5wTh6B%yIL$bOPfA^ zT8=x88@kH5v+;EhJ?nvH+jSY*YHH%sYuYdxJEkr$d<^C1d4{51uy23i^6{pPI? zmK*d|+vz94zE182Ace&xjE`TL!M@hkx;mp&uZB{8Uny!?OA6B7+uG1uy8gou)0xQW zD(?_G@0F&dkN-Pd8*e=Sq2^H|{ZZKUroyOmuWWgTywVSd@pztAQo0~ zcgdE2cZGum5!Mi~lZ)jdCCPu6eA;@xzYJm(Y;yI3n`5KJ5TG*hb9L*<3y=1xsMlIO zpk)hS$o{=bUuRe7S-#n z=5JR}514b6;({_cBBMK!RQ86V%jb!ZrlGW=%PDe2Nl$J}l~?ADnvhzTp`^+gJR-v{ zPEL_eIw9L*mST0-62OEU*QS9jYpWoL;75_uL2v&3tN?r3T`*q|FWRvDxxj2zmHMCR zolZ&TiqwoIJii+QuW_mI-y~Trwgl)@mbJA6U&DSf*Nf_{{IbQq$-|iS6DbxU)T;0u z>;%!ZMS4=0xDsem_b(VJh<81Hjoc$S+T*te-r`XG(}C7vyTRFM=WFb_vHEm%kbE+y z*D6)&Mb!}xa?a#2ZJ)F5yuCOo+Bg%27-`g^L;&m2W4{gaLauYvj74yecfoK-xI((- zxGT=lEx5*!fqS6q>wbV>$ zZV&x;O(gh;CAvT;Yr{C>J%(V1YIV4uLfBO1mr%y>;XQwm(>Cgk&?IkMOw8@l{THm) zrbQei1K4Mvrx*_f?-xBIB`N&Z_`_%EK4)GX4}1J2X@m%a70(4nd&q6LEv!qX0pIy?@VR~ z=*ufX&Y&IA>TM=!@6IjF1mKVHD%U%IV=tslB-c}zml!^RfzlWvXo6i}XE}0;yUxMA zd_T!LQhLx^IZTf3;=A98maNObRie9b2cBRv!EkTBecWKA@+P0F%ht@CM+4=>nM0s| zzNwjdZHs9`#MVaj@5rK6Z#rN5TXLfVOJOOy})yu1dN{vD&7Z>UTpNDCbuN@Oex7M9P1BiqO- zget0pLY|Q?NCx5iF?$;#3eT??86_Z_c*svxvSm8u{T+vg*-y3 zSM72RYBkvqiXJ)}+h{wbKjO)4Wfz^m$~bq$t%`REqU^~rquwg6$`Z5f1X{^TM{E3{ zV|5#y#dUkTIgZ~x7ej=_5uKMa+mVaJ1c}6sO{16sd(|bV$U`EHszS@;c2jPD1={ek zo@;Z6qpj+%J!QUR)wbjZczb6#&eoB)KCC`%qxQS4?7X08xzM0eC+^7>J_8QoY1!Kn z`&H~!_+08{IRBR{iiHzLPtw#e_})ya3692Hu+3_7n%%CRz0}VaHXI0JCQhPTTO*3F z^7H;7IdAL8XKWLH41tI~!OrUnE2CSyY0y>TRX)$N8Dd5zDsT@r77duihBUg+XTlJA zC3Pt(<9`=i-RY5R{|rrl10IKc>=^5q7)R-m9R?}eMx4^=BIe>#``DTu+8g%nT=!EU5CoD+g(}16ovS`C;#xI>7>zna604KaLyrY09dGG>}Xg=e7{?2u5 zT{zv)jsMhEC>u$0@PVWX4@a{eR>pj-K-U2fxFbI;->lza%O(o&EJ5i~qyn^fKD@i^ zrfUrIC6fgq25Wh-p6e|3{O%`+eGH>%jdbE7cE}dU+|m5I0=(||4@p&sD)9V~q{l&& zy}sU%zex(<8jE_ZcP-?$RKiteW#3MwR4kpaS?x)OH8L=;?xm6U{w>8ejQ~-G3^n2v z!q#RK1Me19=kY?_b7EPViC{tRxdtJS<_5GJ;S0AsC1jBG39EybeNjHM4WL1$npMQz zGE(ux@T44pmYw?E8-Y!9IxQFu5Jee(Y<|h6_J9b(cnaTe%PqImo2x_IfXBa@@Dc~7TY~a^0 zwHYd-TvYaggn$~`V0G*N+?$G^n`C|bheYV8CI3szn1L@nq#!N^?-YAVz1R03`6{m_ z*ozl#QN`t-EfMq5IAgDPVv7ZzOY=U?Zjrs^?^R-ARdR=hE?lX&MB)v}I3zW}>0g;| zpUF9~ZZxEzA-mY4;lRzURWINVF_U4{WaG5adBI!wsge{k@jRqZc-**^N=b*Uoo4vM zqNbtrmI8Jzhy#3{0LcNibb&V@Glt-x@E9wout`E9SOI;{f57SDqJomrYic3pL1Goz z^pXPh!kbvrWYX0{+^*vG((-Ux$M&Os!AFA{TakKz$JR+Dh2P=65J>PB!5sj^6Fr(~Z} z8=3S|VFgUXQ9|xLMpU;GCaSQz{u0Dnu|im*yEc?0y>Bs2J-zWpG^`l0?ci3toq!}9 z3*KmEpr&2Vg>WiD3xp-Dq!LWkmAbz4&&rM{iWh9}eF_A(zvW(dY%3cSg!a;2i8wkq z<{AlL;<|pE`a`;3^HM0ItUD{{O*;Zi37xKGZvtK0F$&%B^&q!wV5nE;Pu^~I8AI&1 zo#`mP8IQIG>2u>@t|idS8+F9R##XN3;b{C(LDol3XFU4FbQ7aXBjXXaUQ_FZ3xx{T zzuayPLJ^5(4^W)E)aVY-HjyP@WLl%?F#Kd`mDkFrP97;6`sH<0QiU6%qa+#+>U zemc);vO<>fb82)82kqF$-1ZFDTZnqxD>?6dXIX^TZ`mlyA4duvU49U(BDmPq$3DiH zClY#Or`=^-db@hc-yfGNe{q7y^k${0)RN}pR!0A3Oh(ST&`@HuegEtp|Nj0T3J8t! literal 0 HcmV?d00001 diff --git a/CPLD/MAXII/db/RAM4GS.tis_db_list.ddb b/CPLD/MAX/MAXV/db/RAM2GS.pti_db_list.ddb old mode 100755 new mode 100644 similarity index 67% rename from CPLD/MAXII/db/RAM4GS.tis_db_list.ddb rename to CPLD/MAX/MAXV/db/RAM2GS.pti_db_list.ddb index 42a925deb75e79279ed0abcc68dbb04916b2713e..89aa9b430d177793e191e5a385085595d88cff2d GIT binary patch delta 62 zcmV-E0Kxyi0lxu|h&Pa6U|qo1R@YfyZEYf!LfaEPm4 UNW7R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRx&aLVfoTwm!5T_mg3=X?zyAOKU(cqI z&}_Kjg2GM(_ju*+2`MM}64}@U*no=u|F2+7N=ZnWaqz%_f`}bQWIYT|FmK%49bB_v mcXCH|&4ktK>u0Ryo>2Ckhp&*AtFOF}X=PFZQxeGh|NjB#q(3|W literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.routing.rdb b/CPLD/MAX/MAXV/db/RAM2GS.routing.rdb new file mode 100644 index 0000000000000000000000000000000000000000..98f9da288692a4a775a73a44b93925c23267f662 GIT binary patch literal 1410 zcmV-|1%3Jv000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G7&00000006-R00000 z000#M00000004po00000004La?3&MO6G0Tmn~UJVgQ6Y;G5QC{&X3(BH=(@2?VSvFLP9vGLo`QiM;dC~u8G>0f!vv&&oY2(r)CB4RUmh#N1qoN z!3`0tUGUxErwrh7Lja%DF6f6%{dhZD9G)J`XS0wFFFp10=`Ob`EedtTy#TEV-3;1Q zjcW^8Q!O3`GI-i5S~F(rVOBfZc8<=gz-s@lj`pYlEi`u7&02)`t%%j38Qg5u%Vo@n zRZCxD7M|)-SiCNZqWNn>x^fhgzVbraiIB-rTgu8H!51VZJLh7)ZenB7dm$b8j>>ql z(RYi9C`d7iar~|YBbCYnNldmPhJ{q##Ec*YWQG`atl&Uqpkc(mR#h-%wXqlu>VvPM zVO0#HDx{6#tYGCPqP|xb#VGvB8nHe`VFi#kBvQ;sLee^k1v?glI`ukRiF=!gOg3JC zh58`zE`X)UTuSEjQs4{HRN@<4O7(?Qm<$pcfiFlv$E6^Kq5*@F>|+!th*ML}mMrf&{>5$BkvVLg5C;%3l^t_{wfz3Pm7!5em?I$(4p+`a~N7Xg zGT%JL!1i*{v;*IeQJhc0+!*CSs2(Y&HR4iwM}Tu+kPLRcYr|-V82LUWDw;g2zuW)K z(ox#-eM;mx2s)A)W%)iOE+Xj!6-NI600960cmZN(U}O+rU|@(cv`hw44BS8*E|Br>f`F`>Iczs7^sMqK?10y=444ZkYW%5VvsEm zEl{6Wew++}Os(wr$(CZr<;^f82A=oL^V<^i=gs zpT?Q$859r@kV-hv@6_r05c-y?CXP-PcDBTf%=8TOjKo4N7S_hZOw3Hg3~av`nSL=b zFftP>nK-&y7?}_&7#LX*GZHIF5v!RP5{nvJIDcEVKmO+q1Vn)Se+0-F(*Mdm-v5>E zpy%FjnFONgSCaZFUKO{OmKLI?j;vKVd?Rj4(5*J{sQ-ZMKdmJ!BXxklN zH+!AN)nUQGaNOvh-Px+o{`o{aQ20nOGO;9b+;QZCndbMeG;#RBP^nK0z<)XTxSI_& zZ!deoXc?4Zp@VwdRKHP(273rVx4>8wp@`}csdyWm8Q>7Xq*iW>3qEENMJ#0@oVTe! z#h;5?3CB~+i*Oh&EpIXNl-+7EyvUl~hV^J)0^W-d3LB-kK-r zQOJY=r@%eZp6Lb?_rAfI_@bSWksWFI6&5ze)%kS~>Ozx)rgTTG(ZO|7CEN1a^+iN9 zJFT|iLM@pX-D@-4J^5$x{2OdPR-8|m)j$qxeq?-0&DneRA_hhJgO=eWcbsw_#SmO+ zZPg0HBcoTO8obz!QqL5+Bjzl&f={OGYL}G^pSa?Rj*EP@jgnZ8Q=jQeniyrg@g$p{X7q&7O>f#2(tqdH?`y$JbvUXp|JDc?`1gVZL;iq6 z$NWjFpq^XPt=%N>74QjJ7?|?|LwqrZkF9a1cjm^RmaQA|0#$AAYGA|40i7-FijN`H z)8Mbx|8M_)9UcZiR#E8$x`5ak_x`jePMa9FB99do+p`~-@Aqs+34>EwJ91AQ0 zBh(P5nnP19ZXhlJ+VMF9TBN%K0erTgPo`4dyoVP)_E?kY5l65*-383k5!80dwn9H;uRTpq;FmSh9=Pxc&InE8-TYKre9q(mWxA_j5 zQ8h6(cTpCNvJvuOhq+M9X-Dc9&UVVq*EQ!sj%O9wAX zUDiZAHD|fa+5)03Ounwh8ETK@9rv2XFa@J6=3Sfy^5rATSb_+9t$ zW{3#e{{GXvf74IoOUCJXctdEm6WxBvgfSI4nHDi=zbk4cN_Y+kT%hM3SoODK_KxZ} zKmlH*+eIC42`zHe?^CS+17sVl>Ua|AErA{cGEBkLf}Z zJ=f5um-6nCBXP%%d9SG@8?=|;E{cMq@`s~$P1rxNL)PNzB>cEEK}jNfTcT_uYPV@J z*YfN+M#UsXg9kKq>9qTyP%}Jz#Z%mG*V(eLupa}JdJq!(;u<8ZSGO%i74rt0C)LN3 z`xVF4di=I<)ej>#P9qVDCz%4JtR#GtuHJe48;_8y+IO@$L!@2fH%34TkZcY#C%&A? zEB5CKAD?-e{CVxErHeP6fllaMkGii~*shOdewVlB3!Fx~c%nytk*_26)FLAyO(561 zb@|I6(FSRf)VuAd*IW*p+7VGx$b=Y8@KpG^bw?W;N#@HPd$oOuAxXK-h z-aQ#-BjX&Od_P$&`OFheaD;IM`OGBgjkSXqpD(MBFzM2sxV;KttDISf9UaXP*1z0_ z?jt##0g{{{-!g3vddLc`_p&hjLKw5_XL<5aFB9&Z+1tEgl_84v!Rui9YfmIMv$~*) zz-&pe#wKgnmgVKZCg&oO5K%(iWud4DpvPX*g1$L8tO5y%bW+Z>{)po!yd9P zpiGI&?UuFujd2(BOMv@Lx!Pi~lkO{dM&MWZBb>AIwp6LcBp+H*a{Um5Hs_mkcXEC6 ze~Q$%Qv9DH`K_edH)-o(KDqnyX)b!HKIaa7S$e`9X{tIn9I&uKUn!d9pU*u^s<1W& zDw6)seefwgm1)cL{#kJHP-W|1$cl{I_E0f_En1e27c4g+7>)=SDzG%_`T^9U*mg8e zORB>?d0cRbc78i;6>If&(IMUFY^{p7)zdkezE~(_rQ@Gj(Ku1#^^Y!tlIJy8Jt{le zH_S;>?_|>xM-^STqy8CD=EkN_?jhV*re*08$|N2Z)j}fmN>weHPbMbio2L9b+oRW6 zcyHm;;E+Y>m_3GTa1PecI7%neS%gd4nxV07di$Y+WpR#lA1tmqjVtOEeJVEZ%bC{( zg<|wxDO*loFtdoAA#ML+r=A1iBr6$@OS~yIR*&m@$#!%$)GBpO({-p#6W^^4jG2^W zai;^CTO0mx{|dGbrm7W7r8V+*e^Ea$h-4UM%8q3joA%K&HSasTp?j{xp>EABK)?4sB))m#@Gk1u9$M_&0B}J%DB9Y3EX@(UmyX%(3atL@@ zbhj;nSG$NEN!ngUKW{l%Q1|s;2-(K)r_pZ3p+D18y}-j~NN3un&-ydC*<8`3s;8u} zs8g<7{-EjW+tJiZBF{FVjYDkOHrIxz+|qhe@i@UFT*R;3f@sYtMifIC0-8rFc8J znH@+Ka@&((B(TW9S422e{ULd_5$hSgw;$df394YEG#a}>(85s=_5HjxP^f!wndpP) zOUy$FwP<{QBY;TEE9@?ew5|~D;Qu3z>V_W{sX!k>9*)y|$ zEYEtN=2HDFA{iWwWIfCX;M+5QOd&L38MX1$Y3HA{f8RIocAD`k=IkKj=`LMpp;zG- zk^l}*dYo-H*I-9?^}`p$$IqL#zy$DG%DIG$%Ggrd_RUU|Pb@okVW{oi2IHcUrNzDW z&WX)feBJRmn-C)LC|1ZTI4`~$VulIANY+%v=lWoh&@Y}(@FBuMctAUWy`CGt@cYv< zKgMPX(&ite%><;)OeEb&XuV9>Vi#l2*|>H#{ba_mIO@z)7M3p3KiP|R-M9Yr%4GjW z%ByJ1no)e+Wp+8qkC|YL)y%i8;fnm~wJ0GoH*VKY^2%y?Vkbe`&fsg>CPB>3D%6m- z&$HveCT)Ar?Ol=NK%Jb4IWc*%3;j|LLESj~Prj^^&NuT;0W-EXKWA*Czp4CY;+uk*%QCX_$L3G@^9)xudUmPac6D%e-xU<$vElpLWKT%ZhUqdFoC($ZR%;IU*E`Dv$BZ0Y;A#XZffR{ zyKH!1dV+judiKr5H&@@>d~^5B19G;Fn~T?vokM@+CJPvLqylQ5u+Sfq5TG)z_0!y(~zr~5JzJ2GB%0U4At7sm%FfZ==k`Y?VewIyP3p}=la~u zJHF@rbwnxLi+!Kl{-Wb)tvky`$r2#_rg}$?ra8<#s^iG!P)7X{t3urcc zOuLsvq@En}&kgjY%Hixdy8j3^VlU%jfYZo+j`cUA=d^D2U@o$ZX$gjsBCQdS%Fe$o_>H1WPXZmGpk`hyf~bc>rB8MXXo@ukyw~( z9aJ)Aoeuin6BySX#Txh0A%aXJ>Txx9ZHPNnG&fs%1=UFxb7a{GEJ|$%Y5Qh~F`G-0 z{O|X2FgFmNZP(RcpV>ZCsqh>|k$nsYgV0_isZHN}{%gt?KL4B!qov6o{h7!n9_MOz z9Y{LSTxa~^!_{~`N&CE@x5CV`}C5wQVS2ec36Z^t@uhg(ayRZj~JhcJ@VYAE~lbd z>aoP`TJg(jT$l%#OXUA@)SmsXpz$OB0d=qHk8-C7={;%B7lxmoPoi#e@#d7eYcMSt4*N$IUabB~dnKZtL-Pgz0&2i=q#_FVzocFzzk$E;d>i*4RLBw969VYW`-RK3_)coe1 z*=p0ro74-~)(P+Tsrfer=NU|NuEqZhHi*v?^LW{>ZB&VG;C@R1>{Z2u^!I1! z?PFMy)5W)h67|J3m-$1vfJNCukO|^6jL`fAGzvKU%CJg?LqH04Ygb(O<5te(e)`zz zjxm{x-G7rQUp9-VVju{OK_=hwBbnzRUpl+^)=Me5$fs>dtEx9fRsNqob)Z{@4B&B6 zwU7#j{MXz56vDN!bjBE`dPP_}`=UB?`2*3sqp7NM{;aX@^-qw~mp(N4Ui?Q$C{*6> zHcx~dM9A`@N6tX+#v+q6xxR+rg4azG>WzFUVVYW;k9Pr75BV~=GxaR*^(Mh@zNsK! zN--H;{dgdw;93Ozr2y%raPZ>E3LPudySYF>}fThsD6;NR-J&w{o6L?om-Wvue>aH_qXr9%2gjMlXo@O?_dW>PIP3vt;-bS z{>?jOb(tCd(i-)#fx+kEaxg$m{Ii zA$4yb!0lNJy~ zKCEoE&uJ_s2gUmvSU;(p_ssl^uaknYO>Tux^F)i62Aq25JgAT8Tf@pJmsM(yN%}XD zZm!(1A4B8X;4N04@g$h}z=nb)h{Cb8G(-qbUVC(hOP5x{P%c^sp&6YA+4tOCy8FCl zIT0P7_eA`U&bPD^OcP03ibITGEIRNX-i5pEA;|b>>@A0?gj)6w{Dy}3oUO7GC!JL2 zE%pH^wd46((wqruhmx<!fn&t6uD>1d96C{l8izg6V)*Fv2Ffg4 ze{}cRQz)wWKXUi$NNs-gwTzlccVRuJT5{3$ab66?HxUde>T~quS1f7lJ9YkpOcMp& zRQ{a6=9`zfyigI+lRjB^S`^K}A2b zA~60!+Yzocm(gW$)VqdI>t^N9R7c4j;rqVlRsybi;mp zQk39T=hStcp}+FeIJXNn>p?YMI#mV`DV_5#In&%z{cm`Sg|?g1I(!KL5qoE%YZTKj z$c40N=Fpg6vFYqsn_w|1yWc@{)%890tq^zO+BFz~RB(BZ*H$#tF~D|028C)2_o!Ez zg7|y;ey|t0=-=)_Wv$03&dLE-iwc#^Ghl@46`#YAM>i^Kk5;S;h7zt}$div)SV{U>lnQvK! z+})e$0>X<~HHf(7#VhbP5j26Tt42Kc>tUf*5YPItzaYP!9$VJgB(H9;fHkLefmdt8 z+#rt?db?f}u{Es{5(w%}v^0R*`CXNtbEuOlblnfXx&keYi;Lf`kcm+sDqgOLvvPdm zSq9Ci1doP^xd?cYm7chhkHoxsx59A^?<>YWrog@Ire2`e zNiHQFdMd1of(=+renzl34|32OiQywv^4#TcbNUvUD zexRjd@exF0G4Q#5-q=^`7zUpd_>t2XVgO}#63!ND>GVamefi1djJ}Kyh9%}A8a4fp z_~$torW3B}uZ0c=hRy}We)`QmTFNlZ3_(jBhGdz>V z#7M719a$GM-4tCEBG#Y4cPxmR(E59Fy?kKN&8mGa15 z7xB-f95~dAJ&MGVg7FHU{#S9n`2FakE?$+UEqWzb@4fabiR-~TO1gKu`fEGs>v}c~ zZv!r%PevE{UnG-H^{9M1iG_h9Ppg1fSN-f9Uxxtp>>TU-llj8mZ_llh2s*fxeO^bA zPdVu}a9!RSLjP6iPH*zZt1s!OIaFQi&e%V!ktva@_K>3GbGbQ;g!dCJ0Nbj5P;`{# zKz7QlUz#pk8KRcyGGq0IxX%5%9eT`K>0g0Ur0a0*B7X{>UH;K9ZZ4m%NNlWF?vL#@ z9>|MRDslh0t#dAGP1%1 z2J5^#R9=VY@k4kEM#15K{K)y~WvKTS#Lq5e7b=+ub1zE>2l4@tMxf^|Rvv>;Fbd zn#QMYxtZl}mhUX8{5`&~y#;QiUZ%~wrZ3)KbY^Udoq8nec8T42wej#b zUi#?1di752txC^QzVqCW$oJ~Kx8J|MxN`ESnC7#+b@m*|GRb;|tIaAeOmy~1c8*}jK-pRoj z6URT=d{cZhmGk%&tNbSV+FZ0sIVxZM<$Phb*PKlBFy$*#sh9NiC*;+xKS#Sh?CB~) zaV++pzZ~!;_Fiw~oAG{fDE=tDw59Xei23F^72&<{kYW2V`(iffb8`FS@?m|jcB;2O z_9E%=*1C2CUiv|^+r`l6EBZ1=*Tv|Q@wI$wvy=nQyJgz-!Ry=l+0nH|Sw>_gOdk6c zusv`je)7S+Ye&1a$iHzQul6-j(S$XxQ?U2o>vsB#bCT+nE%NPR<&=NpU7~C7+-QzJ zA!qZmeu7`;h3zBKtA&q*56<|QNUiu0N$YL=>3!u9DZ|^e?fqnQYw2}uG{^SS`NC)~ z_8x6Z@9tU2-t+y+D3uKgi-vC;jX(*$hxm{ zkgI{oLzw}I)H!xW4hN|FXfyq_kciWJhlh1Vlj?V7NoI0H=SHjC5~y{GCSN-pVuAy# z6%{}G!2q&TB@B@xe`<;CMvUu08o+26SEGx>W4yzmz-IX9iId@S_mKFKQlXFPF&n_Z z?vi6B6IEh;Pf>Ca%3FqlnI$x+RfK}O3qTc30+0XqXO)g3QZ@+{6*aM34PrGo zEGTMx)TF+Bnp!#O)~ZnF^Q@NFv$HmL-$h?FO6FIb!Yh~guLbkw0M`()Hpqrli-rtA z&la&aTyrzah7192>HG~CT;0;BlRC792(dS6bKh=j-GvthFUkEU+zb0@pW>MqkPDya zN9*a!Idky<*J?3OMDr)}hJ1lGt$YH6RT9~wE5V~V)>St_t%{jWa7~STZ@dQ6=*OJg zM)QU*kV=(&Z@31w=ttSYhTzN#50!M7kWJdg{r=tt&hxkYn+$P4u7N3?0V1#^C=3sKw) z@!Sse{14=YRPzQRKi5BEZ+zxoc{948t3xcSz}$~=F>b5|1l)_h+{z_$B2b%rF;60M zQrrvQz>r!Re7s+ zO0FIFb`e4&Ntlhl^||N&rVCr6)|^FzenR<5*TSa!I-^}SL{Ih4nD}QHdkVvrQek6g zI(iRK=_&?W7CJ1n#UMg#TF?l_9B$=m*7_sZ;N~1^e%1kg& z!O~Ecff;7TP4791hUnL}^&PpSKq+@g#NSAzWK6UCP7NBcU8G5kizjZN9_ST)8YhkX zASz_%v0rxiQsBbkgX#k|WW#(#j6hh8Cw_9rwyj0|`$Eg$vlMIpU~gQ?K#}LX64zrT zt@?anx0NQTQf2AzK0vz48~l4(5)V>cR%uqy2G8OQ+z2x8M&%~enln^EWu`zveK zONhO1s(UQ+(=>IaBqy(vQzlJaI4#-mY9_-#^;J5r7R`zpyp{0L^e6J%r9l0z;+s+% z8UB)POaE-j#_&~o*W@p1==>n?wHg0lp@0p;7?{Q+{#_a)7R`2a41jL{Ym7uq3B(JY zBOvl4KKP@h?q7})hUe3sMOnEA*?BxR9a!*lpsRS_*_em4!&eBMA;&e%{)@n%edn=JChBR-c`bj9Pyz3#0C-J z@T<&nk9FL8C;&$sWnK-sMQ=-N9AQ#rX@fXh0Dn7WASGIq)3WeutG<;&$hp8=Jb>4n zi|M@bSC%bT;doHdtp_&&?|EYue^^#Ty(dcq%ryV~{^Yh}FTDId)R$0DhmIyjr!&so zkl~%Ul4sj^PV2e+AIuAqkU;zQ<($=~66toEi>0+Z_I^57eIT;0mFjnB9($^9#-Pgm zR%ShG`HD6v+{i+&DO0-*S+38QDTt5-%}w)B*P=O8N6C}Qb7*M44o>z&(lY|h{3nd7 z>;+8Qz&X?Tf9cXsPrDke@ylRWSv6`Kz#qZv&`#UtAuBQ@LwU&~9ET^lv6VB!<}@8K ze`LA1zQ9My$cLZ2xig#k%|pON#r;KgFua96KB*P8opXt5oQYF zq2|%>>s)9teVV$0Vk-Im>R@u)u(L|{iw?Z=KzxIsem03BZ4HMe9KI zu0@ox55~^`5%G!`S&#yygtSA5B62|sS%p>9q(=x7Nr}IU3lnS2R6l|XB=Y0XOVJZZ zvV;^1lqDh>en`e^0;sCgmMFPj}&n9D*xK)+i^uW`c=eSj`VqgovRA$fBC&QC>PL_Y!iZL~J$*jT?D_kirQaK*} zx>BzFa;Q5+EylETnk_#sP+K`J$2a3-;%u?3Pdw4eRG6?O$4yS{v#eJ#V&`sATai>< zk$kIjP){ym4=~0U6YGl4TgUG`)>ceHA!|Ifr>^NMMd8j|H!W4VbN=^t{q%UBjX}RoQqPHQzclwTYgR(= zq{P8FA-&&D>7TU7UZV0p&dyKHQq$^d;%K*w%041nl)wI|=a&CWIxN5usemG0mxHykVs5N+h#VENe zz^>*;%R2qOR5@~It4-<6p6l@6<3ESEL{K7{oPdHugD?*`q(aBq2VCP zBo+D7uYht0K5I=b{Qk{O5Bb8j()wyM7d1DNTpCXZATqv%4Lv#OXFb6!46!Nm7Y2;$ z?)V6t6I}#tjc^PC@rJimGH!pu6m&luM;8e8rthi2Ojvqc$A=I0dZ1QK3hs}V#TjAz>G7#1i2Rj>$v7+j!vP8|pqt!!= z#Q>^mNjbXi)^CvXu2<=~O5A`MEHu z6W+YjNA(21CXm}Hyy_4|XN=qfNPi!}6LG!c*Y?ut_;+eDST`AN1EFDn*#l(fLru+Y zsarwqNPNuRe}CYAQX^)>&3kf=_-*(a4W>U&%wR#&b<%8y)VMOPsY7(%)O7!y{{~AZKe*; zw#BOJMbrR?QCnf$nm6N@wQ7S>Wvi@IQDvO0#ET~Yl}W3b*B6L zwx_m##4ZQFV<6L$Z8ue_UQKl7_@Z-I>gcY|*DHRmE6EsT^FX0j@vbb*41sp%2p&0!!o4Zk(kvY(HG!ry-1!EErp%8t;U3{ns zg1TUTjOn&{CFl$%G6xTn&lQ6hWbhO1`T@(p4^I%&%sBKv7eVi2pvUX+8A8GKc7;PZ zcM;wC33kFf+3cU0fwS?$#cQUXsQfinD2_=4jtvBkQ3SWz0vyODn>xHmAgYvaQg2|y za-bwFJjy3FxaSvoI%nsan=0jO4{ntV@R_o%CVJS%2{x;V7eaE4!n($x?eq%dtI#lT z{k?c#A`L*=l;}iuO%%0NjF&nB$3TKx4DS7M*I_yCeKCRYjy;ODxat)E`x>Bm8PE)7 zxcGSH3TOuHT`RChkbeRP`n2gRHebZ$fb`l(DrJa;(64vu{#e?}0UL~Wb$@G`pjZ!l zM2#K9xR69vvDKY-&C`wH@ue3qRpLW}q263uYG^NSqriE1R`1SDw1DB8(Oi^t1yHU5 zenAD{B4swWmNR=wx&Kkg5(UlUbaGodQSCP*rggPw{sAo38Eyj`-aW@?K>~54J?}kw zbf28jsD7CDfTrFM)`$(`^$WZfY=a^i_4_$hRXyeBUYDkL0wpkx_>$}#q&R-!J}EPG zRhqfhK!F-PpFWcwdRBhmkLmg$;e{u1`w2S5mZ5epT^81HY)xa_2~zwWc`l~`r+Le` zcCLq=5^D2+^85p(s^)DOBz{Ws8z*s_P3@y}`0$YLWf`5u*3xAo|}9ByMvyT5G*kA+xu2_=>CF-*O<)YBQO(vCqNd@D_KaMRFPFL z86dUiLl_FstR%Ga9Z)}um$T?GK`{nTuozTscOwJe6;-4cNm#0yk#4fiUT0(JbCj{%r;eEFhi+xcAcp-xwSpA3fnVN_NzpiTJ#} zsF)(uI1;oNW@^~aU67CDGaKy}?60#89>y~5c0on4mOcMn69a7~%VYC+91_)_PJ96^@+mNi!jX1~qSTl$5b{oV%bO?@e`=e^`Zi%R z;v&ws#b(Qe!ju?=iaH*Gzw>60$ZTyc8if^Ly@tuQ<#B{3C{z%BS@^8h{EX5#C&eKyhSyGO8D-ZaiRZ*t=E&t*?_ zg7f~WOwA5)MhV)HE5Ye%_+4F_Q1}%Hz5{8@zu)5P zkli<~aMBSg$^WK*FJs&1p>5x|R2(EFE^+Czap_-wq_aRj$8cao&g3zs_K+kd@mNs%k$O|;AEqif zy|)BOQim0(XD8a;6X9;e)f?H+LQ8-GDy61@VpmVHnH{6>encR!4E5p0rN5c1o1nP& z1QPEmsgeY=YegRTc{PTdC$MQ3s%=AewC4)Q`5t&GpUzMsGmlO<$OF_%rUK|xODljJ zB;t^fw}@x7w3NZn_@N?PG@V;X zc-cI^f;&pS!1@;@eK7Q868E|B8Qh>wu~$#nK}EVm70bNYiK%2uS$cVKgwUBc;KC|b zyP6|k0t3^a{Um49ejmmP)U-^z@ew-yJo{~>@lLO_s!&*RN2Xme{(~P9CNgfpb(qt2 z*z7#c>OAfYgi-DI&K027AlwNLlM_>MQHEH7KdKGr*rflSLaZ-eFrdfP2pG68PS=l# z4=MD=#XkRFIMib}z#(H!wJjjNv=ZDRaPPBm@4IsEXZWRExT4ohgymO;8C8T)5!0r0 ztW)n#xB}Fc0avxce3qf_+{8F=+N1hUl9cnsnF=|sLf(V0F41VG^>QUsCi(5d=u4*g zNmgf6*C>%0+96)UOy|(62BPm-7!HugUc<|C!ObKuKQ0yY>>jhf+@Nmja@c*RHFrZR z!lod?&L3W^z(gJi(|>{a0|0MwH>TRy|C(mB`quIr&r@eU@i?5A-&Z4^qcI$?kf)a$ zICq*|hc^}-FKbCI8%h2f&CMh}-*eMu#B(6VjUUEMF2;>G&91y^e~y1m!=jgEF?SEEZb4zJG?012%)l4Y{ijw^@>edZq2bU6hpH ztn;H^5Thd+H}U&CA`si9h_x?Lrq9oQe+f?<69w!ZN)F_+!aI!)bhvWgq=e+j+_i!I zmyL!ZeKWm2;+SlFxL?ZWh!o8$ z3I3WpYin7_mJ`XMJQDaE)oo%Y?W45S_o2dca-wmsOCB_1t${b9<+BU)1wL$S zZwpMa(&_8r;r-+J`RM^8(lz>|hl^{-d=9cpn5b8l0&&XFVzJPs=;&C~(JWGJeN4f+ z5=KxXG^4eCvLO6+4Sk$sIt0-zh#5T~IzJW`R9wMq&e&oFa#0N+QG<*-raIw>JJyOFBB@qcThUX9*6Q^-_^ky}c`MH1QgNN9 z(ZJl&+RNP1d9A579PXHYy+BEK^pe!yay+YEi-KK`fs=>u(rE|IaM>nlpm(D>qY1?4 z1hbYdSL zkD)5L{6lebXZV2c~c ztlIX!lW<;ccfLgEGa4i+wd~>n)I`drk@y$3bZWUGlgt6Dv8mm$Jf-YEX54DE^d~3B zo^W0TW`gtCii_4m%SgDd)7Gi1OZ#@~jiLOyWT#)*!OV7{^rD}Qaa(Aad&LD=Ha<}9 z*LC%jMy1t+T{2YChX$&&qdjdmlLwNzOV9hc+A=bRCi>G@NA9WI1vv<`xhmE_= z;4~mU7S@i!-6tAw-7E&{7tbr`O@gbo{ilt-oG9*lArfE$8*M$r&`U*q7o0m*Ax=N_TA&71NrFYnhEB?#fNKl)x;P7 z_up(^U+$mjoT2=oXZ5h)_{!^gwNrggsM4REQba>ZPIMPdnB z*oYuvLm7zRTt-}(1{OiIfIKoO20?!b_~&QgJ|Z&9Ykwh`_dfJ+pRHzY&kdRea%(1L zcIK|Dj4NIz#EbzbhC#N^rUM#m{Xun#9#b+~&QAYW+=n0aF=j8YAB-$COcBt9;(J$*VDhdw>k?t- z#cKccXf=7PdLU!dGqB!(G{b`#5(`14pR1=z=BC=(rdSFJ^sFFi*r`AWIoq0e!6{jW zFn7l-?(W)lOQHn=tBg-a6{0+AA}dzbnHLv00Uu7C6ym8HS1+x?4;5EqOwzfFsP4Tl@@Hr8Zl zXKAgiY!dJrmW5>WxJgk^HSKk*+03DwW&MYvW~mWuE+0?Fg5N_s?#6ogJTo51$(Q3V zQe>AQaN+=$>XE5a$xv?D&WVS1JuKwY84Rs0(Fgz__)p{fK&Rya9gw{Z#PiI}_8C58 zI7i14f<94dpaR^dV}|cYjX|M6k{H}j9i?I9ob%Yui_@JQKAzlq?8aV>i8YQMZV~AV zOU)Rf5Td9hhuK9QM{I`SCZ}00kIIDQpDZ?qLAwzHjY&|wD*FNfWM&lDoMRN2KIa%n zx1=7o1IS?Q^t4w9Lqac=A0m0SZ!;Ewi0?z316hyIFcC}|nx~fjuy~|NSSwEAQQ%Q0?x4$d3Mz5XI;7zB7WJBB{oJxk{94euMzg>GRYFAZ2 zctYq&bOD?9lCn}6En%8HfLtG6%jukDRx;8)LjBtY2im2@9U7Ru(j(jc?!IlcB*vCkL7G6Yx{ns{7Kyq7?id znc1Eg)&r}R-l z!U?^?p<+kJl7nO|DX-~UMxX9Vn1)L>GtGx*+T$g-%m*nQ4?|YhBIAd5i>O9^5C}Rw z?hW140T@aJsYAiwc-rF~7g0?8!8B074VTntAzKFma!OG`z{NCWL$QQm{_%t1gVHM& z7C-_qNy=ax4%}6ai1h(;1BZyp^zs~15wiu2cUJS{)Wv`s>|5v17RZk{ej<7!dHJ&) za8QDo9P(VXn6GO__;~ysCo<~$l5UPi-1~*|;`E+n-5|}|QH!rYrdO@=Zi~lTs z+rn7?&HQN?|4X;9|F@n7kdXJM%HL*fb)on%VpoXQ1q=di1%ngeOOE#NP46&(Sl|lW zb-B;cx_E>^Qg=n+2{mXkJjO~Q-I*P6c>CrJk7)5;Xp-%6m=^fozbXW_mdd~F<5?5* zTvb%3hBSVxq9XN*JZ#X;FL{uKm6<0aFsqsOoGEvg$9U!uVu9OP_$0LD0ph>#YSY$# zw{+OBI!;Mkj)+}XR6Dw-Zk)ibq`j*XwvKEKys6jP>cNo~1yfD^oZpR^l2qWVNN*~} z78cjNg3|U<7KD)}F58fAPSOXSrjM$~)Ge)SJynZ%0KeAH;Ro{WZPvK0 zn1qZ()f#1D&rH+K{@FZTh6ZGuJ$}>_*!le1bD~Jtt@diY%bLr@Ssk`#-9j7Vpt&!JK#Re$Y?y^uJ5g{{ezb0Y(4- literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.rtlv_sg.cdb b/CPLD/MAX/MAXV/db/RAM2GS.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..3d5277523a3d9a25fcea3bdf89571b5f00d6202b GIT binary patch literal 18021 zcmXtf2UHW!7p{tcbiso3CZZy}g&H~t0tyJym0m=8heQM^(u;HmNRzH2y#%D!P?Qd# zhZaH$B&0ol|M%Y6bLQT?XJ^jrw{yR_Gjo^m+O=ynG}o_6pQ}stN}4!$`?z>~;1-h* z78MrbR`qjvZ_h0*A!ENN=?eAje!2R-_og23pw~-FFse>)IhP{jL zRZZW!H?Eqwc5Pnmf8yG&oBvljX#QUrc>N%Zs+~jQD{(9KOHZ@M>Wvh=yX?=eF}|u! zj&$Ju#=uC~mz8|;hM8#G`{B67@3c>=ZtZkE274}fnkq;4xwH2<{8hQzO&;LDPX3B< zg@mizGltY!pg*9ktXIBTpl0i;3?P?#c}C?KwY7Wlva+%*K_j0-7&Cj)%9$mHr| zdX5by8s`d#p#~rg3Kia`R%=%cB?B_BiNDdWhhB3q;^KWQB(H4-l9ZLb zZkM$r_IibPqcaB2B8@YO-Vk2$@Vb%3s|YrAbY8_}AFv1!TJJtjL|v$-SUjp*+b=p3zQe*)g>xN9}NgZosz+tFKE zyBGZR+b*sY8{2NQYxU*c=_}0)*)M&o&d0&Nf8CG3KZcA4o?n>wsqQ(~At;L#V!}^y z-YVM7$H4lXGAbs1!>EM(fahdmX{|D8&eaTFiaL`z4u>;vGbQ%e4^hw>>DD44VGC$P zr~*l3{8e_8}hp!QA0s^6y0HfeU9F0w%S zNxK0kF#@Mz8N7br47MXiuvRPsJ0|U394ZmokJXZP`bh6Dg$WD^Z{0u_hay8EqaC`4 z%%!%ou;(kK`?@E>iZ-bMyO>lSOpZ$18-8IWoBa=+5YM!aoAi~D(PdyVhI6X!R*i4^^a*JLSEE8%sfmMG7o zPj9ykbL$;EWvjfjU2I)nq}6ev9B=hv{WDv0*Ji_&P{&x2H-pVIrKyyrYI|xAz1-w; zm|%aikPQYi&#ue49#p>|e3+;pO}d!~$-3X?t+_wna4mk|`B1?1RPSHY=ifs(KL5>1 ztoRhgEk&so{4q!q{Pz5SVU&{)KHmWp^|>UIXakD2HFQsS_&OjW6Pv9LfJuFd`hkno zK<3&zA-d(g+Nl22;$F$mxk|ai3!5zdZf>ZjlR;8h#v3yUNfn#ELm}@YhTHS&;`*qB z?qsJX=;-)s`3s5H&A-t(dE``PXQIcj-9nSNrL7y^b76yd#vW%6N2Z_BhA0$On?-j*}PAW zhg_d>3ia1^ZAkqyKl(x!XL>k8UC(|-)83n(PWDRvr@PVngC+9%CjColPnmV)!h7n* zLiaaD3rryLq!_Qf-UDe@lAoLZCz2vPU`VCPv>F0+ME|1x{UycSTn{Mk5v-#Tq@xE5 z2v*E0=uyf6t-N=*G@;Qrj0;=+04VRhpX|v;9~nMU9}=tZxagB>x0fG-gIb*vcGTiA zn)gt#tkT$**HK9+;QW2O{)0Rl9z$+<>}&7s-p%StrU#i%$0Sg?41XpWBR;LVSMu@C z>y|b;W{1ozEMI3fe_<;jS`2;cDj*BLIZqYSa__;H3csE7oc87NGOL<|nY=mo?czO_M&<%W($gon$pZ_D{%d9PHZc;l?Sio20x# zrlniwi%VGQ#2vUEY@FtxjiM?xGeQqM9d@UdwSB_xvH*7_P*ctqMnbyf(w~Kxq?D>h z&Yd5XAhnDP!1ky9>Sz$1socb`1q1(Hze;u)DY_Bf2(DP5Uq~78tmieLoooEj*k^r^ zGnbRJ+{y<*k(%+7zT9|v28n5@FH1gqd*iN441F-ozLIc;+;4vEacW7Q7-v|2%y)*Y zbkl??Cb5}*5h@r75pQKu`pO>QW@PHU%-vEb{zNTeDLb8#qp~4|)QXCX>6tfH`k5eN z(SBzCsV`jUJI6+lT7c^-Djm0){&o;^!qc=x#D&*razOTq12{5W>bBbBl!7vj=&EOd z1uz@w54ZbPlT$nE3d}SORZ4Qp#*p>{5@jE_vP>u=;&7E58mK48mf64O_S0+TH`%g( zJ$a{8jfyiZXvS>%g><*EuzyO3+E2HCF_!bPiu1+Vm)|)C5`|P#Ih4fkZ!oC$f{xlNsO(`9`% zZ?j4k!0-H2gY6psTNq!Fkw~xWj2hQ4-iqr>z+bDgqCCCD$S~3Rvhys1#TuGjS&f1- zvY$$@d>!sxO1*2E8ki=NNWn?kIn!Xae6GzL^@D7;u)bMt1-->S`ck1=)4UZmVcKq{ z0N?BxVYeOe8mo~B2lKX%MI*oc|EXrWAPqkYPcNXU^rpO3KaTyi6gJTN{mKYSar!Pm zHUR&_&h(iLT*Gx+0UDS04NGA z9g(aXZRt8?wO1lql)=>Ve7WTxh-O!ym0PAr)}Mcozc#qJ!^HFh1{Ky9ijAv zs7K$XKe)|sbi7B~LYlL;edF%r)%krb@G;euuJ$o{n%-7%pgSwvw}-Ahar6t{vnnlJ zahrwQRu{Mxpe5mHIp}86_kr^ut2Vdd&3`0ikqm6Q^x_|0|GF>p%%;Iv>OSi;bH63? zHaY%pLVx7??s$UHA2X^IBE2iT^D8I8v;Y_L#V?ZY+jnGzg`V0nyI=vU=E=fL5_XFq z-~7gqBCEwohyKQ`9bTcDt%U?(ruyi!8VbWqf3c?SI;S_xYBn?BN?CgxL$fI1vga%B zw{Q!6l4I>Kt9Z$uwz$0Iq1l-}&*pDx!eZFGHCL+_X`5cm(+}M%UvFC~@}p50RY3y^l+bf~CI+6CMRc4_D83#BY0`sx$@-27b6z zcW4aw-O5+UQe#sRYV5ep+VLg8;$#R%$TzmSSBJvk1$HDHgv zh^K#dwr#2tfoN6-$T`G0>)M~+wNU9Qesm{L%G^Cw{4t#+%Wvn1=!Q~)(~(W)OqdvF zi1TtGhkxu5wt47=1xPG`ZffticE%13$XNaKTD5vbvTBHFYM(yd%08CtY*Ov;k4V=bHY;S^DUK!m77>X^IY70AD3=0 zFMs=4x*=sDsn3wt=sz#!>XAvd4HnlQFgdOq6J8jkn5Xg2i-|h)!e%>ruxPtaIi^9_ z>bGmmTi>GB`2~$e9Ob_9h<*Di@(^A1;0dSoK$1wvXRFzWs>ZH^vLqD`eR2Nb%}frr@U zPN(ZJoTy!J-P@@wMMk5IcbqZkU%1p#@ISq%yM@C)oIRKfF%uzC9RA}k<>VXIEY9`) zqVS<(AL(bnBHB&7Y+jT_H+zHUD@%=@c?hW-f17(dTh`8o#WTV?u7_KYZ6Fr?J-DM4zt=*v!|tFZkTaY_4!wYk1DAB+W`z&MEDDU2Onc zRAb)oM36=L3wB-TS>~(bKVMpnR!^>5N1JrLe%IJecyLmW;?ehS!niK|En3u4r+%+> z``rTl1Cye2*CRhU8 zRH$FTlr{J4x~N-hSS#ZHnpRTgrd$@HuZgdp_|D9_{v;EA^jcGTK?L{HV(Z6b%~*`j znjh5LR``8k3w=zp*N&+Y`>lGBUv&?VzJ@r|HMHInY9w`>Ta|a3DZ#L2I6*$BoKMC{ zyM33<*HHv5G)-)DaP_$$w(z(QW>B%{8H_~6mz6uHYDTzcr|Oe7GSq=B@Cm_gzFDG$MFH5&c6iL-x8h z?iRr~24(~U9u>+)$XE@!tTq|6EX znxa{G&slpT-*qLHi;NWzAA_g+XPYc2LCJ<;7uj?@MB4+ZJEsN-mY@IK;5G+s<06}h8S6)i{ zBd_`Mm%Z-#`l2?ND&JLy29^70JevfjNf_+NI+k0om}`9J?kxsVs>*`%c$a{$w6>Aq?fM;~kFHpbLY zHIKZU`gp1~_PX2e4JGo0H4Eq|tPXXazBm56vDHW^Qvb6isw}VtbtgavtY<^5Xklr5 zy(sN2cHMh-nWX4l(7^;oX~{TGL5-sc1K$ z;ky%g#4gG(EGP?|T^Ix}lUANWKM(90wJ9LB5z*KfQMA`(hmS_$R7|_!$1&)4^n>TSymh(zg09;DW zC4J@mI_&Q47W^7jqRb-0a+YmN3Z%#Qfh)euqik&llAfB6Q?3TsQCdC%` zb9H4X*8fSt%a~b_C#wNvR$sINpLh-9l;Cvv1+0wB|d~RL-X$ z-R|~1!=pXXm(wlB!u+!VizC>{)wf6`xl1!Z+0B-J1$OZ*>T}Y3A|qr@e7(th`NQ9i zg&o!ntBa4PZQE6-RJCIxF4&`JwX2^}uopFSMDy8;L>G#r3_7Y0P`U~9E?TY9#vl!hMJ@#m4H3Sr?A-WfPM7xyU0_`hDKtk6)K=J+nl% zQSpE4z1^*IkNxw_MY=+uB+?{Q_&ZRw)!p;3BQ%qja*&Hvk@U=d3ycu6JHW(W) zD;0P0sH5eC&3l<{af2Q3S@8F0Z+)@zi-4QL8OCcrJnyHXZu93K?y23|t2C+%s{Z)=7R+ve$!g&-xhfWw#b&3GJ86TK_x!j4-Hl)ERijR!rAARk4qJn z_Re%4%3I`z41Ep)fkvM{DZD3#`jX+@WaWS4WM4k&t$j(|VJ54bp)NdiHZkqe<14&% z-xWrFw-(npEsWf0ACYYJT@X@dORcYL_?w?5`$CX^DN(<+*_y`GMfm$}H|3M)5*uE| zeYG>EClwWbMZExCD^ci!N2P;2tGW+ueRegN8{c5~k8{$^ZH4^4x_KZiLt0dtL_>Hh zU$-28KwPSN^sa#z^0|SnZr0K)qsFTJ z1B*}P+GO0Z(PT-;zx?+%t3awb9TW_L>~UkH3_u#W#7l)0i#FoTSIiF=nJ7ySV51WM z)|_W2L`|b^Io8B#i9M~K`QTD-OZc?LctYjamzYGwxi>DE+u#_{YBn#r(EsX1`KbA{ zl(^eihqGRkTJjACG3;Z&zBNF2rQJb$Ah1xX<&GWt$nhxkPrMQ~b12r%Yg-DQa?DP7 zG_OoN<;Lj1xK4)}wt+PGK!U@j^GeUf7iyNmL+i5itdIom!`v(_n@*o>z$4>q#L)?s~)8NuV?iJ%Usm8$`9oi8o@BuT0l{*wo|`J>3VfDtP5 zW?S;$d<~FR^p~pyF0z#gs!4~axkniifl}2rlyVr`(7|_V6$&Vm69saD2ou|lK=yFH zp(vWWz;EF;hXYR63l;c?b8_9|jiI^+ry&j`t(ITn$nVH>L!9m~BlHb#7-xFeK_0`e zhf0Wi#;r*)Up|;A*PM7>_`~Mkc3zqmPr=g{q4JIc)H${5+o}qvjfG?itQ@(-cA%bs zCK%ky#q#A;=FQD^c$A+&mHhT_NTuz|v)c~8j+vE!`kG09I5>xtle*>3)MVyjm0`A7 zd)*ez#(5rJ$c$7p@uTsrc86VO0=0B5E!h#klSemvn(rC3uq{v9%=nP>gAV^ymah*; zu^SRd`NUL=o&Nn|fO0M6WFo#?nKNrLxksXLi|5)V@Ej!MlLGc09PeLggN#U6`XO$M^t-M5f`o-j@e80Z*^!+AeQy6nHE&N)e4xu;^ z{)k@;0>J>K1bC?S`#mrEp0T8o?l?b@{i+W#@JQpT z56jRbQmzfLRVy64f2My!%TOp8BJqwpXCYz7cGM>GMEB%>Fc&;IU%LcT44=Lm9p!Ni zM5^UNIZz(Sq~fe6?W3o49PzX1>8w>Njdz9i%p8$ zIhd$UA~RSehzZTS={tB-o~^=9%6%O+Dt^hf3Dr-C_r|xjk2`x9EWB@3ZD2t8)VDs0 z=9r9Ip#19CTNj@alX#FO`h}OSH0%&%K|2G_e+hj9L7Z2G7_p?fjE{G!!|YKb06E{Pbm{b9^UTM#0)RFBhE>?J2>%*oD!!4bWuowVk5*>_b1fQ{b_K8__z zT`2qsq1_y)u2)Idk{$#^<1vzsU9d+-GJ`haY2_m{tq&d|Qs^$R+BmRqctN)CTJ)0i zOCl3UUqUqfM7JgV#0{4@Sd29LQ-^G6?;`Sr=p!LEuQvW!iE8-8RY{yB*(dww5`QQr z<|=|yS=7gpni8YjO%gMG$4K@^;HK*&qb?GV4ij*l)WmiKq{EYzKazG=Nt%=(?8|G& zp|2vM*OT5-_WLD!^8gu^Hd5%sNc^Dohi8DbEP>l0V%ubJB-T!;WoD~EdyI?P3bQ$? zcocsJG7zoUxhWXxJ>zl1<{Cc9KN$kgy@yxpCW(zcr!v{YtM-vzNonja^2e1<$1XpX zw|{hn`-5YKaixVFS@87vEWQO(h_xxk>m?aHgy&fnGGlXffa|9H+UoKPlvVK-`_j+M zeAmA;jGQG!=fVqL4NL(RQ%b4z1^xmdc3PNJQJxfnQvN1DOKdJOaQD5B@!9QvvbNFS zTTyHmKZj*j<{79hIZSLg@wygdcI@lC)jLU;eM>UIf=eEoKb&=Ek+~_}*ElYHX*(Sivv)qTlVkMY>oLGaWlplSmK) z2WFkNe8cwVcJCt&de1&qM3am#GDV?WhsT7_im|qWKUS{_Y(BwZMNW`Rej)5Ga3%T| z-XfT4hygCD4O7DqtPCxk_ejos5sJrl!W56M0241!;3v)-7r>w51di&@qq{Ut9`{lF zA#rnz~GCbZvJro?S8*o#F73t-8Z#k-g!;W{VgohsY~Q0C%2Nm=X zu8aAf0eQN61LqbdGJp$+Z={R6?H65CLnIobMWU-NCb^rAnO0+!$S!+A**h6N6xE7p zpC9Tl4kop1Q6Y6|$DC{0u&^&3U=d#bq3aaBjwL`2@w?UHl@zX94rl9_(P|V5?(+&gbs250k?*JY5_=4?criSUphZ$d)`qI(JTaXB&FYVTEb^fsh7*a~kFvz3J1 zG(Tkmgs2Qy%)I zD8yUv+JBW75voTX(Q-o}s?18VG#pMo`FnMx9Cwst-9Urym9OakLW(HS&hHG1wpCht z>%kTD;R{`ZN<(x&YvW9^R=Jn1C$G>OvU!<%a2@) zM&SoXu4QRSJLAjF!`-5>X_geM;73OzwtNemZi{lm&@Mqu;_}2y!TR~b-)|S~CoWei z&*5ABi#enbBu;SgWCHK3_UOY263A>^`e_@^f`qMsLO(e{Hczka^u|mhI`~LQ@7NM! zpG2?ric@hooeDbXjzD_AHLy&)X#)y;w>dfC_8>!Uz%w`_=`XUUc9>Y+h%$9QjV%v} zm?FZvyKQJ~*DweZv4F>Q9+lXi0^d(gPGLst;1V9@M4 z(zIYO1^sz=(#66V;nyz``UeyeG13UB4^8~bEG;vUqBW!RrkLrV2TQr;lv8ozn5*K* zr~OAX-R8wFHUpi4=CZ`1bQ>sS9mnxd>IF(ISFwTgl9PCNJtzet*Fr-a;8bRNoWx1$ zdhb4G->x}dZx0HbIA0r9r5FZ>w1q9{q2Y@~b3|Lp^~{~cyU-`3*TY19)NH7{SvATx z!}NKWiz`Isl&kWVpd0#p&P6Y<8s;kHxO@wMkcy_<)G- zi5=}DREdS1H=o><)1I#mxO2`EaJbV)H;#2z7<4)}MO+w21`Uu<>m!#ec)H3aBaywH z6Kw0uf>*gb2IP;bF+t9I!#L+x2Rix)75yT|h%(F-NaFqX@7^y%dc~5q|}|Z z!~FO(2(0A+9+J7gXK27poZJi2nPKV2uhxg-7f4qj3WY&3G?MFpY%9|SP=x2|U$VA0 zV3f~mP>9C+d9Sm2fPbpJJ!2e$)~)~Nlf$1+p4}ulaM~O^3iZyo(Afk&z(Y>(S7bO6 z^5z774cysV2fi(92kPJ=sbd(gbL^ZI!S-Zm|7u3`+cr!;>%lAEtP z$%V0YqHL0$VWA{Fk51A*y4A~~4)qC}$#gLT} zYecZTjY&+8$9<0Q2v|KUA}ybx4Liw+i<%??S^kR$ z(R0ddp)VUu18&x@g^?YAX&1JWy6Mx>R(-p*Bb`Q{Xp1tfVb76dFB575d4pMjFs?&& zfUwQpXO9rQb_SRvs%{aM$c9D!1{+LZ_0cCc38jT2bxfCeLgORKV1rMjtwNH;r_P&a zad*y23!#GFDCzbYji9uq5?}OjMzh>j_kN=Eu9AYt?;VT2N)ba*zH8HCY-MMj=JGYw| z5rI1kdfIRi9+*@zrRvP4vH1S??fJ+v%b?fiHrBIR_6?^aB=WG&T@n-a1tV#Kc)>3D zDBQr}vFB%SWpdgWO8ND{L;z00Q34)t%1wz|t(92DLoG_lo*g;WznKGJyX3U1TI(R}7DU&*uwp zVx7zY?3%=1hc&;?z}mgZ@#|#^#lI;wS}hdhz0UiNDIn3D0mrjZ4E+kvI<1mU0tdXJq44be_Uz zfDm=SNO-;Us&6BVoYP4^(udX)kZI%!2?%8G6r2d=pvg0p?vjOX;MF4QZvX_Ox`NsU z=B56~(gVsRyViV!N8*r4GjXuKI@gaqSg!SJ#ZmQ4fbwvErGgFLwr<3_&()4yMB%=F z1ghSw5@DC<@@9dKz&`8&UJn0xu6tZyywC6F!L@@ZANyXm2Bea}%AP$;-#E|eY5pNx zA9U+XmVz=&|E$Yw;GV1=^P+KlZO7AriiMwWT)V+3Xj7~vFJ3}qc^rq5F>6eAdkC#% z7SCAAd8vq!ESpMS^=$8z&Si09R}`sdDfRo$AB14Sk25s}abaDpuf_(5411X+PrBEX zEQU`dEe!G%U8*#?vOkA7eS<^Q&>I$kVZhJm{+=C}En9&8qS^6-d$W^_%Vu^t$W{Cu zGqy(EyWwz$_4(+dQ5CNHyyFs?hZmTPVMxw}-2!O0P1sJRI{(9ar)41T&tthpUs5yQ zLN<6Ha1}l=E{VDzpBxMLNoQq0`_UN01bAO!gI->n-mdp#r^iA!FZ!|gYN#R&j9j+$ zpk@{i?7_Ti-BluC>}@|m3mAQorb1hp3w6RbInpfdgc|%^s`Dn^sb-olB7x8*@XlrS z29GmY9Weten@dv_2yzi7DicJ!5M)0=ZS5x3r9v*~Rp6b2<6^Ht#5P(bEPuUu%PmGH zE8!)X5ZQiKoC?%>c+2=}-SF^tz_+i~e!E@xnqp->1<*$KueH(~kWNp@gq;?M&nAAry*>p7cdBmed+}{` z2c+Up>o#~3w`YS1eVI6s?qV+tVN8n$Ubj{a51C>BhRarRnU8)n?lBEY*cpGoCr|sC zqY@Mj%U%3Ks^h}82)103Qh*Gffzhgh&+3LrYC89h30HYvXxsVk){jRbzf=7TwpR~0 z&sy{Uj)$B=EZO#E@)G)G{Q_!}1palfSa+&S;hOWlLMY?r2LFP_uR^fzcYM4GBIqunyr*_|1(r{sNXkm{a~8uOr0*{#LMm0cpBRZg8r5 zcb6%4{84Mh$kphuwU18W$RpSEe=x6NQ7A%8-nUWJeAN5Cw*m2<)WT4@AYr_wFmgee zx0o6PJOQ(X-GFg5614kZ=sRcyOOonJR-)UfAbTjZaF!?4bcSnO&GLkwl$eaDAM`0I zZ3`WO5fd?X8RJ=W+q(^Jp1jE+T{qWfeBWJ2w)@0 zr+?X85UaJQWnAuy;qrgmHvLx#H8giB2WN1#xC23{N{tPSNwE+K;&{l|5e#-@lfQ;Q zxs`3Ui1&csMnU!k?AZ54{Bp%OX1610p$8Z7Q(0Pnu>wI53?rn2-9&C>QPsD6w&MFN zJaJDNZHp5L`?#Yox5%RSh>3k=quZ?mW?tvBp~!Wk?sindIOvP`h-`+iQA3M<+;Bky@?a5v>b4kJVXTPRF6{(~7JZE?=EOgZ;DhHpGNGcM9W2>Q z@%Wgz?g{s$cG}OeR^jy#!f<_wz+7V2hgPA><&*v(OS^y=;zEKqaCLe-Nm@nEBDJ-y}Y`f`%zIiHBCi43#?0P7dv@^~vu|)qG+Jy}o z=Qzs!pzn)=pVOdj5TvLs`<1yGNW+ftIXmXUTE0%PJPm5EZAz5U*&8G=c2an-m-RB` z!P3;JGAXh&X)mskZTNbq!Wsv^#Lq{%+phcxlPX$V(-NX^KLqfF^sb+r<9F&7S-S_3LdQ=y1J!@asdo zzqnYVaqz16oZezZdhP5MlZEO8H3pw}n&f#@03z`dd;H>Fwa~m68^7#myqXQxjDyH; ztN&IY2G}Fr6YOU5ZwQ`4*vIb=C$dJPt{a^^y8(oD4_$!FIYA7%Cli2BhCr&sH=G%K z@QTxp@BLV1ifELK5kQMa5&roUC(DXZV`1;~&|5pQSPqTu;Q8aVKb!ApF49Tjr$_As zdvQ7&FrWfHsXO|Nz5{bl2ncZ>vW`<)@6MXGSssAZpbHci_Yz*|I{rhq{rC+&`S^p|&sG+P}zXr3S4~+gOzBxd%hr?5+?%RG!Ud2d3sDc{-h9<*M%(`nCJV0wWo;2sbdl* z-*6r>7h5kmm7yKDHRU$$hymc0!&*kdKHUZfh+kWU`rl+c6P zW<+R*IvIjzb8y6m3B;9U%?Xd5kaN=jblAxAaLKFLkx|k4_M}>+YU@a*zhhX|=LVsx z%}0jEg$AEMPYRgtYx!CT6r!wlm?eQ1TPKSvBYdFsJo3xSn*_f?NM0d?;&Pwq3|$Ce znfyvCSLs03VdGC@rjC)l{JW)#8$rqMgoP+e$gJNsUKT-Z?}ifT&7WA8O%m}uV3hRH z{`paHpytvoNXG+^^`}#X-(yYJBCz|xDmjA{`+f9XaB8Jr5}gwBc=_Z{Z^ACnndkDY zvLO_7FSN~)?oM$ijcj=j!aVFmY8Ph?ql0C9K|xX0FliEdn20C<;TIg)kZfM5EJE9oJ#HyB#7Z`Y@kVO#%dJ0u?YXWyAeMDLl;##t#tsr*-oUz4lW`G3_i z{|37JhSW0adJ@RkL+-ER1u@ODpKv8;{HWCt*CE=0^XXiW1&fa}mMiA6K~c28@sy7B z!PomIrsq&fO#8t3>k$%JNv0(K{#tec-V67G&(j{JOf`NHUQa&NyOD?bc&-Q0q8b-o zUH-RUh-N*N9A>R1%Q-0Jw#uyA=|dA)5x%QFoFe^emj+W0B;7|1R#i26>-P;;0*}*#&M@_7{a21}i?}OL}`$ z?d6SImz7SJ^e|>J@211cr=Nc#jOcB_hO+zTEeW8rj0aVQ$xf<`T?x$xaZtvK z1`_;p$R_w&e^aZq4PqBVm(4oPMF3<$H%h!PVZUX%0yg}&7w7>ZiJnBp*(C|C4a@24 zh~!&{x0a_()wX#CPM*X)^f!oWN6g6OgAGfRSF?w~Vs>MCq1QP%1>#Pi!6J)G!qL9} zwp}v8MiF`lu3*L{X~`u_*MY(>??6=cHE=#6`~&hotxE>ZhdQDO?eGuR8?Z4PJ?N%BkBZXk;K{x6^nTY{ma|ndIo2=BRtz;Hje$X-i9T)90B?37Gl1z#$a{lVvIE!{dwa) z$iimilzqbFktcvtZ|L-S(rLl1X!LsIO?^U};-@)rt_uLO2H*^m#sp~b>Z23~;6m89 zze8le^sU-OS0X<6l5OyWeRCNf()#Rn%ion0o+#%%%OgOO&_aprSxL3AB}x)H?mHd^=X zQs{X0_wxOh230TtWB0Ec2VlabVc9ZGK1~x%qe8sZ{h0FtYm$C2kiL0toSE-lzF$Eh2 z(+yloJkuYRC^{|6v} z3LJ60VZ+hm@8d)}1=i($*Ne%VmS~HNzk>}Z$|MO1IsWrc6;DHiprQ^)v>gTacl*!` zJ=K}jX`6C1$Y@J0@zLNR^T9VFwGbA^j^=)3rZX3jCPczFD1olUI&C7(UD?t)P{Mv> z-?+`@BfIniaASG)><7T^WBHLSW%iOCgu`Bl4@aqlhm4**Fj$FQlnc9l1A1jcJGckY3=+;B)%=mvW}mnqdCJr zi{R;{Sr>POL8jALtNkF_JbiK>9%U(VNNLc(wv-DPMaSW$je@%Ri8N&#~JlRe*T= z;q9B*T`%ARbg**?^988GEe5^bDYfTE(yk+sBTsl{;y{`mhy9)Rt)PH9ObXA;eu}lo z;p}9vm&dwO)EklC{~FstS8#gV26@Gx_s&-E*olw_i&zHxnb);i@+WuBhM*5Bi6aSI z0BCmyjz%m~lX_;PjjhlJJdZ1!{&8;Op%G;DvE${UIudVgX_rQv*h5S2pgUh$8(IpT zQtvc)?wom_Ofz8fn=%VIQ@#^jtpF252{=V~qH$t|7+F#_EJZZ;vtV}{-C`)+W0?1{w_G7BJF#Z1CkY=wfw>`6=e zx!{?BFk`GMQKX9^6w!MTo# zEkw1J1xQj8nQ*)LSCc8sUpN}cI6}7ktj|NZOMtT9>f5#9-BUi7Cg*kgX&Vfd<`lOD z!yp!!YD{w|b+3fM3!XHh{@GIk^`| z4OK5Y$Fbg<{S92K&KhsEo)pCHhspw}EUz{;>|NOx+&L5fUNp=_QDxMkaDuJ1D252d zA(HbFSH^KxEoz`R6mix~GK>0MH8&1no8?s&hnpT zHU0k*HgXAyodXka^42aK58{cg=NxP}fLw}xzhbWXW;8kmPTal_L8ET|1ZR3s?x1*p zG@(E>D0uTe8bo?H*wbR1nEhLUU9faBh<_*4NiD1)tTW1OA!**c$kfeLVQ1X2Sw zK^io>sg7!X;^)0AS&|Ah31K{mhiY9&PdvLr+=bgQvBDOV@cEgrcf@xeB!%UcHhzTu z4r?w$o0MeJ1t&8dZy2}LAbpyeu0yj+y*laH7a(xmNkE8$C-H_gNZ_e(U78Axj6Ts+ zYTUw0NX{(?lRtD<{}5-4I>lIAzlxwgxm~I~V}NG%1-u;}SNXwI3OrBI7s(VMvW?Xd5IRRwM1vbijj-#@`e6dd z{Kpp;pTI(glr^`VaNI%8?8B)~MLNsxfD(Kj9SuR558d)I$$+1W_mi#~4WxFOrKLyI z8=h33oeZ3`-^cfYMaNs)=ju!WD&Leh6s@d*PAExPc2e`dG?9?Gz4SCnk1;K$*bVTJ`-^1Z3*pH!6_X6MAFQt;Hi^1Hh$FT z4b{_4C1sWZbVCmQ5?E;B#y3*4zF5%?OyY+EIA7{q(C?irlulZ zuL{s33kgnbtolEac_25D(J~?N&4kHQdVVAW1YrbfHqzrv=^~g&puZ4~T2>7jfCmB( z{bChl95z+5pR%jG&$d!2SKPQ92T8xSHW*sX%Xtzo&QRi6kkc(I6w!mqF7xysS`B>| zQLjc3?SH%KCh9s;dyZ!-x_p&$>m4gHnw_h}v)LxqP5l1^v;|B0HsH|pOXkNeMLLZ` z|488ojc1L+v1G~*Iqs1(CH}+Tz)az|c?ySAg7dWuj-DUUcHSOx($2AcIG&+x;&xTf zr)V6$HzW@IOWTL-7}t)(ID6LYBbLH9`#sJJkVfOY2srV>#@P-y zTPJW>4^udlgL=_VH4eYuB4a5%o|nGMVFiiU2N`}7%F!q7iL^s-I9lz$VZU=e);^G` zpUVya#;Y(#@;yAO1a$CT1-&lE{y6e$Ib|;49Hh~Hegkk`1`P6RoQ1%7JoZ?Su5tM6 z`+8u+<@hz=yflHc060%f;Lv8oA-=ZTR}zsLIs)@~~zI_>sU;Bf7ZV+;Sy z|KOgC`Lx|W0XdI?oFByVoz^#`L`3V$@7(g;KksY13C@QLapXIY9A9W0>OgD;EzegV zC!Z7l9{068{H`gVNyx8p$j>>l7$^69#5RV!T)&`k=nsOg<;mf294YTp^z${bCFt=# zpMiwFbEUC48T2c#4uQWDq~#G_o;A*!l-)GWNx-Sv&qFYDBN#mE^5S?tC6DG0D8N2l;cIy~pOC^iTk&fgj)x@=jk7+3b72i9AQ{2nS?jet zgL6?s9PUG=UTlMI@#|`kyPfxty!AegbK##$F!%6!)PrgnPF07P2G6h(j6`_8eS7B* zh#K}Iq(yVVmPWb@X*XpOGF>-cV!M$gmk48899Bi1^gJ!w8q8?rWRw=Y9I z`VX5=rElP{k^bv-Nk*?nLNE3?eBN!YS5E$*jR`Ru>AzmT@qR*hU0#o3dBJaoRj*%X z^m_D=>-DRQUXK}ay?&X|>#<(1?S|-mu5J(IcOP8+s_O4~(CZ^;Hx9JzhOONEXF?2- z(sur8)KlW9v^oxQm9OH_(TG3Xs?oJxkS*NP{ny)}7oQDWyOG8z)r<4S#0e<%ILQ6i zi|;ceKdsmKL#~&$qt@#kL#~&euhx3;ecUknp*-8s7qwm&_E|6Sb$>SX>;Bg-{jN{z z^?vBZXYOJ8-HQJY00960cmZ@%y-yTT5T7R~pnM$PcR&I$h8QGj4=cyMhk6}L<<``1v>u#qQ7}~EVt+;^JZt} zH#2YM&GgezO4Sjq44H!P4TG)*1!a^RGcPmBaWit#%oxhgr30zOkpL7 zI4_KMG_WS>U$Fe(|NiVDXb9)I-77a)8UTgqJZZX)A9y7*P|^v!8HUgiO>vyfoAa+& z(+~Q$m*r$#MxachI;!B@xSyXw#nc4~o${9S(w>>$OTp-RT0l!?*VlePppF`}BLl9C z4LWj0)g2KqAYZudjWfY|HWDZ`^^BJYT7FqzmzBsp%0Iy9FcoyDa5BnBH zw^Ac6H2N_$4@SM9FiCmFx5_g)RdTM`qL<#lxVbv1z#>8a0!6dNbg#Ytz_;xLg~8k+ zdJ(vu=+q4}e*UWGKz)Zms_1$LU*-W2P5TwCLu#$@u}c+kjGjREsC;LFTisG)w_MRi zOHf^k?M^jEBkhvvwdlu%}44G_J9H$r>R9XJ=jwhw|nfWnX2eTwP=rpW5 z-*;BQBDFCpS{qMxgU)>F-qx8BNId;v)8J!>Mp}XL?)GQn@a=K+tn@@&_viW7{4$V{ z7`$zwoo>MJ^6{swLEJxv|1gP*;j!6|cpzdljlYM}7hi8QMUmD%vI!kZ=r+_po&C+e zNf5`(NkRc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Efw00000002S)00000 z003zL00000001Nd00000004La)Kj@m!$1^tIK%Y`bclwIk^-foqoks+Ozc1)KD2fU zDt?o{K;pia8L!u@EpG@Yk;0QEo1OP|-mz;brJQpdXA}6u|Lc}o7qHj1kAPeFK7b97 z2+0lG(lkd0^~^ob5vv7HZQ`*w%~&)34Lq?9o?1%>IjMiDPT|oVh)B5Ai43H2iV7`4)^nSab;v>$N(zXG5f*`4j>J3Ji<`_5Z54I ipa=s4ClIqRH~`6h2h06JKpIu0CtRfjP!0e90RR7ulwNcI literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.sgdiff.cdb b/CPLD/MAX/MAXV/db/RAM2GS.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..b5e30c4f5ec7bbc81f75a654fca27ca1799ec718 GIT binary patch literal 15067 zcmeHu_g7O*)UJXQK?FslHvti80wPjF5osbSiqe}1Nbe9xLhl`{(||-Dl=`rkuHP>C&Yt#>*GJ&xO;xkR}e^KF%KQ z0y1(^52R!SH2s`i-wVjf$qGDpBrPK=E&D)5PQci~+uzyVLEwe0y^Da1fU&;7TL(J< z?f1^U7b%yMsV=g)bSYiof5as_+W#x(mHw{`yu1UtS|lR>>2x<{`JNJasOF)$LU>*I z5bQdO)1#Lf>dp$_`0dfcu$~0;9del_|6?!2CBMW6W5sbLIrfG z^|jyxn6Rkd{U2@3d!S|yAIQz}?5SVb89vX`jeiI?16?m3&5G&IYinIvC!?1ZN8*@&O2(~dkMc&@P}`&j<|IqN5w z**EB`E&ht*PxnDl`V`%7rqH2c`{5ys2d~uDNqKWRa}a|x@1XCtIN{ld$V1+GfXz&@ zds0qFxz=>QN~>^Th_IxL^Brc4Do4kxqb}fz^AGdDt5&G`yk({SHjCc|>oZqRWF@_R z8++P`4rrEG^(aV*22S{yd1~5|EMg3{?In;QHQeqxvrl{ElH;l}UgDn}y1h{F|7Bd` zS35NlqGzB8f=*6|Zrde^Sa)B|_qR9O!Efh%rOlH*4&y~2ypYWuE=aj=o_9iT4> z=q+O1FTC=d9Di*9A@KD5Sag-LXVimN_g9DfXc+&(0-p`hL|D$=+8CR84Dokk(?_zA zEYt;L=#W^h+axGGvb|gtlf!s>O{S?|#VPrX?_15b>JeU^6*JsZ%OBOtdN-;Ac(*<^ z-tvtKpp^`lX=W)QebKUG|9lNfAyYYJ-r@KwH-orQ~r+r%Rp zT%6}=o-tZcR$g7?3m6)E;`JvBj#ofBM{BW}Wx` z-FF;4UZ=vvZ@*MaUAw>Pcze~vH*H+6Wejm9vcRRisQ1%+WpA^2Mnh-;B>i=p(=+ua$ismx9ASa0MxKr|tE(f!!QxQD_fmzzBu zQH4ZL-df3h_U3Lw(~Xy^-;qjuH`TUHMW?Oq#(Dj%?bu&oRb>FCcU4-Q#1b|?7TM{w zTVXCjN_^yOlZve;IQ_Jk(^xl6?f17!9o0p6JfwntR=%<9j_XppCqHn%LHyRo-%&Q2 z(FNDM81!og^)?%EstjsbgYPP)z6hF3!~v^>9=6g~tUi`T7ALZb(Aidq9x#-uUPn>- zymX%_;_t=`Jpc(*v)r{|Rb3ExiDrD43XK%(uxN9cRWSPTa<%2(MD%&$zS3r3Q-z`{ zb7~0~V?XZi;n0`e^ZMOaerm5*aV~^^{tN!|_i!U!cPoAz-rOZL$ua%KSWv&3-b#a6 z=6=xX*sTkV^p7Ik=bQ5oS?Kr)e5vdeRE;!I*IPSD^rvwXB-?77#<)LmP~`R%ys5Oc zslNh^(|;s2v@|#MxcDT8UcoBbe_-VR$cSlL{%ytR9rUA&iycYR98?D=@#3`o{JF~S zMw12|fz0yA2zq2-kc8sbmjpiqYrJrp{y4t8`c}EWEw5C$3eENj8mAUE_RjT3_W%vI zPQkn#9WFqoZDK!`_xJHjNKWA6%0GoCGya`Bn#c~VyQg#jS-x8S-AQrKJ6q45OftFo z-HdT?zD|=Q??n9OPbuq$P9&RzWo{{Fg3IK?@5-&veI-!$L(eB&{#WAv zq~+c!EYOupXZa_ssb1OKqno^#txgp2-=}T|j=HP+iJz`|f)PLZzx&s-ngnHTcH{4< z2%!FcKAxCs7+wGi&0s5%#t|_ZQGm}oMV;_}1hj&!U z6tFaL8y2#?{3R&sFhAY?VvU8Z6bz-~MPo92l1PUjnZBmCry6hq)fpI{y*#`;9?`mZCFLv< z<$6e~+I}$eRom4@<9REoDrqk1UyWFAIA)D`_ud7r{M51#(HO$bm6yop&r&QZH8d!Z zUkdn6Br3JqX~q7ul-YFGm4%PkWL^=9(1Jc%e23)w2DxSUWlkQSG?!elTK=qEBraa46_|pC?p;#M+d=kQ6+1ci&%?Q|xDroAmw0 zvO$@?M3u}8K=cj}So z^(oDqn~-!0)puY}arMT#nswvsZg+l~^sx$0FKKpgV)K}SF3xOlf`(sSf=8xF7wXp+ z5`W*kYH}=fZfN(ZEP+(~@LtKEe1FN;O2eL#1$1Wd-x2Cylz67So6C@p+n%yoPtdL}ClVb_%blN1YVvR%(9{)6#au09 zhlk(NTvP2y-_%)Y)J1S9`~A*%(a5yk!{2t?Tm6mvk6_Rsd2=x_@4=;^PkvnXLRIwILB~V<&Kx%(b2|%L8P#U&#!}edOp`b# zviK_f3&7bhC(Yy&yqnO-@yDDujUr!tUuz=i~{nVY!k~? zC#?hV$0c$i|_97uZC&cxtxy7eH;B$#l#rYwG~YpFg*X4kx&-NT87+k?_SNSwHEO(rdN6`l(Lhm`)UE_6KIG~97`z&g%b|I37^ z&=6M`f44f{B;Yi%yqJ{c=%C@Q@ zZ3y0nxEYqFd7GoY}A7CAeiH191M@7-|8Eav9>t`us<}+nW ztY^4K#&hfYb??~$M5Kums0k^$5cIBe%%{<+%xv2g^rK?DO+l;W`{_0QcXrY_05c6| z^k>tT^gcCqnrjA|Q!L-uM8l=wuj0?}RV?4|JXY*SrrRqh`&^;7fEV~+*Sw@-=mw|{hs zOIWJfpCeN)Ed_RjXQp#ejF%O*s35HSU;M-1H}GPX%O01&^-}vtYIqPk9utcC%1AQl zvWk#>XyaUEVfuRBCwN&J7nqno>{(M*2NGq)Y?zkZT>O~7KA(@zutdp3F8wV6sMFd7 zP16!I_y`c{Ly6;`7>{wH-aSZLI$Awbah9Uxu|gv$V5vF!CY^HX;gkyis?7NAAh1tz zc{@O>ab>TmVUJQN3W7v-ojFX!fzC;2p@-Tav&KI zs1GsFs7@CgzZeU-&YqlX4*ANTY(_(9c8*0JD^Y}YIoAA4^G5^Sk{*KUMdcgINXD{; z2W{|gjb*nIHMl z%Yg&26lhPti1jq@Ppi?gt`S$(PHf)!=xds^m_VyH-ZcMo--pbN&Z)%^xaYk6ED%J( zgFVi4#X?f=)jmKtf%(Azl_dbzuj{q)T*pz%r15Q!^_eb{)lBref3@6Y z%WgpHzZE)hO(KH{X%AEX{Kle#Rr))YwVq`5e(0|fOW)&;lx_%u&^1LO9sIbw4IZRB zu|t3EKwuxG5jwR#7Grd-MIiqGSxzIn%!X`tRDHh3Bt8<}p^yexa)_P22$Dj+;5{BL z!|!s)vZTP=QrATUR}c{szlF&LOnB&q;tj|%YI3tUxr7NnqCosb5xVqGH(?2FrK}^EyVydVpIt?g*sZ=*$B5$2_k7&!tZF?F4R}E)arlx~ zxQaCF)jm7WVpBiLk#`6!Qe6GHNILXs*PGhBED;Z$sRwUc)rJp0X>9g+RPBGVVUb&E z^2!e~OZj=aID5jYRfA=2f^PQA6>J9g9sXqMO&y|6kAR4 zbNLos+D7(-Zv({dhjb5=g$QGo)+xav5YA6aHy~mm=!a-lbHCHf4EjFok29Zq)r}eI z1GG3KNB_llmK32^-GFSLN#)hrCY#jW3j0kONX|raHPwD&7>WO55i|rF7U&A(y7li3 z_lKY4u`ALmBJhT7K`1EGtFNoD|$8`l#EzImuKDfgGB+4FTn3flCR%glad7D@>5zu#X zb#3Hr6PDq(Z?|svLB~gw`$_+q_1p8H4NW~`;TRp1=Lbf&5jC%Bh}zdG@%guZ`BNmXDqseLu*yz~0mF!7&@HfjV!d2hZ;Pr$uC)EW@pM6)j~KFvPfy^Cq{ z3d@bB2zJ@Q^APw4M#%?f=elUGf}?*iJND`sesh&^=o2omT5ph$Z+dN+SNfxzd5(qs zj|Ywk(%&gFFEJlJwAl!EbL`9HA@uMDl*@fANHNzdkNU>QGEEtw_Y)e|bfIO`s6bHsWa!v3Aqk-jW%B;>CHXqpsf=jj|cZxT0g?bJ5> zl{(yv$3U5?-aT?O384-lhCyJzKR%^-KwvZvuGeStwSF7~+yVTR4~KSm{xGx^UZ zi};D7-TE#_R<@|^&Q))Lf(_Y`-mYj9iO@0RhKX_pL|PFzabp5#s{$=|G*v zb;*AKePt!6=Nq;XaA)i#evYSi4YOsBd!Kst$ zM@X#1p@76+{Gfr5@)m_S+d4e*MV+|v@^-vD*krbo~q$_c#?@o=|25_h>XDdAIiv66ARb@=x z@&hU=hw)oE`t$2O1?ohK%bD7}=@>i2 zJqSMM3o$3vR0frbSWE{<+sIq7`RV;gohciq94PZAT!5{*nvsDD5#cy;R9l*}#NWX} z41lE!xb}92uw;@Ht*kul>!RA`Xjj>LNCZJgKfoii zu{8+X`DUX9Zuk>av+-{%4CjZDYXewZB?5Ls?j$@AKHDZocS;^LU<<+E*pDOK5Q~9f z<8dp8nl_E}LBX6T~l> z`B1wKat5>KIdi85$xxPanlXOoV*wwEOU2U9Cj(}^Hi_U(Vu>1t_^x=}Vm#pR@$urd zGpj{M5P#vw*>kQK7`GM*L#)JlpzB~GiCWgxZ%_+Su$Z6d;C%SmqQ&30VCi1;_)03s znF8xYi%|`2yl7UtT0e_63-ALL zq$`|?J~d4ybqlRP10Q_`s94{6a8Y6MY1P_hVIG_yw~=%Vvg!oXfhr2h>KR1P@i0em z5c^>JA+dNUX021;}Atldwx!D!6JzzfKW%;17bPg~Wx` zhvEHf`4{&8gYG)AwDpc~0g*ir&~Rwb>7{M`;><3Qd;N%EcgXjR0EL(dd&4oYUZ2+o zom00aaETt66)2H4Maf~bhatb&1(vW;Tr1;Jclo}5mE>M)|72Y#U6r8?%hn4D)IOZ4 zEgM<-HplM5D8nc5Q;Ui6@j-c?!Ynp5#r!5*{;ancd_KvTJ_MLW>XPC(QVMZ+C5@u$ z4Gq%OF6_I1*a|Uy%y}JFtYcp+Rf?w2o|<4yrQ#ka&n5l#(PrTp>67(HGs%=r(~e)! z=y_0z1G4{!#q~;Vl)#KuDH2kt(#Yd<-$jnW&rM+xI3aDNvms*zmq7GVq=9e z!-M907@6nk<)`}d1ZP}c9pQ5|qf^_G&*ZUEh_cdY&c%|867nfn?1L}h!1EvHP87#w zL(krxiKu}<%>&(({mav7;Ohl|Hz(d|Kep`9E*Hmc%o&ckSLFr0{_=?4JLw!xL8Y|e zEEt9I**%1_8Ws#xpj+)gMX^DN@!JiJ#mF}~?QV>n3jpn>PsU9;x)%&Cz<@k^mUYdQ?H?)h-x%X#$XRFnHXlU0-$ZQx~EV`om*ze`*N z3XMNi0!dQTn(3ub(iOM-#_fd}h$GLu$sM)>S=fD3gnFZ*zMSZFZ;cwd+oV zFar<%-G?+G9diuBP(?jzj$&$aY6(E14YP~Wzg{4@{>#M3d}vzh%shI@8#a3dr^4Ll z^8!ddvW%L`EoVoK&zf8_gU*g! z6+RkDJ?*7&IR;(^cJczf76aeS87y$;abB##`qe1+(AH7Gy|@G~2&kxD-mTgaxzUCN5k5trCbWU)G7QX&fh z(4U)`Wku|VJB&&OMyE29^rx=d9-kzH>qZ>}A%gbznZ4%RQ!3Dn;Jv&nOVW3+=dmX$ zsbCxDsUzWI-U34U%ok-Rh+uL&|*T7EWr9jEY82UN(O>ey%3{&~RTN zbX3tW(~)=>v3%t5Q8g*#-iSz(p}xrPtZzz;$`(noJnYJ$2<|EJW8?vBb%WVytRRxq z6Qr#dTD<{hkG3mP!S*y}5@|mPwZZ>A9Fq@oUd2U8yz7cRO0@FG_U^+nkt!KT2p2p* z6-n5lr+4rvR6+k@KZ*TawJD?F{=E3-Q|1l7#TUaWH0@p<*bkZ9DUf$Pn0Sv;r`%`d zzwQdOUd%;aF2t%mvj2U%gqKWDaPZKBDob7sazChxGz)WtEUO7|Iw=L2e!l^_atse# z9H`$D?%+&`+^Ej#<~(~zo}X&Aa|NtS-Ra{AH-K3SAVA|d+D#QEhXxp#NS3TtcJAz> zlb`~B9@Q6iY6BqMiKKnmJRHbU!clVDSIbOOdraT^(~tSo%jN__r=frF!6@Rmfg5+l+a(`!52x! z&;gHHCnXR%Sl!+l>AT&|QO&fhHCTa_9&?N+xEvMoQA>h67*yeiH1pEZg^c0(U|#;Tc7LWP1Y`6vT4Uel&Qys3bBTD(AP9;8%47(=Nk{=rc*sK;OC{p?2Jf3uYm3nt(TXcka zH3r7sU#2NNCmeJgJj0yF#`I(3_;x%j0J*WPOWJ?cCKBDx-|LNKHDV{CK<}bA_cVW=S%1CF1J{vM<<|>k&oZQ_9g|tN}#&? z3D=NoTC@|&$Ylf$&vb_r6NV0YeK>~gAB=&SECJ4`d^d0<(we!p&H;;s0P7NV$|6m5 zu@B$H2l^H02x(zO(uc4iPPfQiDll#kqwgXtLql}5D3K4z*iD;I;?@e2mGB<}u9)Y3 z5Z!#p3Uun+H}rAIu5yKc`}c^0e}1!30qQ?d%S3PoN*$l^kW&6KV!2A>QWb(@k0;Jg zZwx(`G>URh1l9P6e^2_>OC|MAY1d2ccsk@XhS%ge2;OCcBUv8QcA*`Xkv%PsUrZao zgj!l|-&NLY+U*nvgfx6R?RR*g^SRkC$GE>|{w^8QvW)-a)tBP1qF%_J8 zUj@z6rON`jT3vR3pZ_v!pGh4^!i7OpmKlj5w*m_y8r3N=BzO!aER?zRgU)i0)Wa94 z0tG&i%J=t;FX)&GfxEuP)^|F4HL&2aFNu*5MSx^e;woEbb`iEY5b^U@i@K&^qvUH9+68Vix|F`GNfE zQSRLWwB%@i&iB!*o@=GKgMBDlI#vELBK@3uo{^8yfmtT^?RMwRHqnFAn|}CIO#eC1nR?Nx@Bmm98Tjt3c(Ypu$5Bm?;e$qzG<94H zYt66ZTN2YpL9(Rap%UiVj;|2+$PV+aHE#py{mKaRYY0o=tl3T=<-sN(8P5V|+(gk> zrm(98xayI{PbtDR30=5lR3@iBz%#xs!OC%oAGjFqKg-g@m*w}tnZIde4c56-GRH*d zMz9Lu-M1tXJT?;f5+9#6m$||hnT~x@r4eF>NTSOtX#&r+1-{s*fA+m6>y6`&&Ui!) zEPR01eMgPna8|G%1vRN79NoJ+mwn-j?7QtMXOeJhRTXe=lFN3GLbclnBIKv@t|zsx zw-WVMxMdlLeV;i><%=yk?aSMJQ-%&OSB-Y3{nDOC#CfEmom_YVu1;t4VR+E3z+s}h z@@Sq)N8$Q`oCN=1A>PT#B{y}{-;uX&>G!q671(?2+@ErHCF~H0eH8t<(zfM(nkFCl z)b6E6jA?&pvrEi;*Y%JXo&oUTW*rVNnhnnw<(Q5k~nf67hiu_9qNXs!(D8}1u zDV*ndy2!k;%bY|#XP`wA#&*VZayB|R6wI)Cup<|LDY4r)T(#Zn>UPKVL*%YpQ&xcI zcDtmMSf!noVi3izZ?3Qga)kP=LhHM0bT>@xvL9b!hwY9iG!T@}q?y1;GM6<$R=E<{ z!8UO>mp=7a3;9omIH6Ht%(&OzE5J`wkT>y_{R$oi|$#T+QHS^(bMnsG5ZNeDpu)ujhZ3go8mHTVgQEh^JSbq7wM7vCNa|x(>!Aa$) zg|43M?8!59NGPcPZ}KxcMdsY_#}mD$6$W7_!PR=6ns}&TIs)2-PO9N1mJBO+^q#+Q zLUAsO_zQufWS__90KyS-<;G;Dr-inBbRexf?vSOuFOTmNBoZ)7b&0gt^qBoWg(qmVnkG~dHh5?OY+~?Ij z`bT({Hv|oFT2bA^4}u8mZhQMoTVmqi^^gEt-iVqAaEcld8GQ42>?j7XM%Hb>I;H|7 zj_9h8wpP+}uQ4aun|&t@G)1VI&2Q(VuN!3j6Apc_5z+Ci7xKhGcMU7ClWUOZvSOq* z!CYpK$VudkrQF@O4*N6O_l=MukdmW1;um?&p5*{zX4>k1ypd~q7M?=`ZNp5H_nWOp?(4krytj{|&0b<`?*>4dJbvjabEt&B##R($z2<xQ>0e6>|}!Y^30XxIhr+foK+#@iknV8g4Ymh#)h&w6XeFb*?l4HtfADFr^lmpeKE z{`UOn4idJ7M}#Eb9@dn8@+R!V`OT4AnW=S0o@a@uuh-om!&H~1wLzh+wExz zO9zKVXx37__YylL50spblk~GU&w_AFQ$g>etm-uYw~!(&0~K%kn>+OnohX&>|Nf%q zue-nHYJOTM#DeE zuQMeSW;@Hze{=vq8I9g$I}u@~HX{g3INz%qh2#k_0CLhl4B>-ODr&L8sPekiD?HGA z;<|+nD};GA7tko zKx5Q&*){M^{GGGqfpQ&$)+UAUyyNolW)N1|mcIbA>~VEK4v=}0rBP`e$2)Tuxw2ZH zi^&+i-DDXkeI)Tc4u0cIwiB!!d^Nh3IgELP;l_bVu`%sg?yhGQWBy6Vmmqjw{}H1d zf7+4oSeZF+tZNt!*t7^pA?(n!X(j7jPLOsD&15`Xpx#oL=`5r|ppmzZwdln6`wqR2 zsSqdV9~+N^`T4^>jp_lJ%=qg3X#3PjLhDu758Iq~&e zhM@OGf$qnJZKrKl4oNW0f_y=Q=24d#DoRCVo)3p!6l8YZ@rh_a1f|BHxZ)s2oeJxU zi^TL+$rLNce=rrdF0Al_Q^B1t`=Gb6v6A_)!>1N)T9Gs=dvjSYj2k2C9u}^as!p|4a_(HvA48j3xIPPsJ#%1wLXSGod)RbUL}>B%T!d%UXk1jh@g!;>HK(oo zeYLe9wU3nVgN$gvv8al2PaEig5ZTIA(09l+$A7K7h8a1i2XT1_SGs=8?PcjU5@&{& z3PrObDgsWA^>T=?l^fo^=XvmXwp`7suDz>md0#BYy9vnsp!>}@DYX7FlyGI=eh5>AJv?U6P&vvY>8~t?hDtg)rbaRILPRAy8 zj$fC32P>4NV-A{``!ib@@~EdVBoJ`(ae-pdh|i1iK5Wz(nVt^_P9W_sK7z4-BrSCT zEZxOFe385Ld<}Q00F3uPW-Dezw0R|qDh3RmZg?oKdg;LVlN9Io)+tY-R8X{5(~cRH z8Hluu?n1|hZ@_p}a_bPQ(!nuvWj3ZnQ->#{^s8x*KV5S0(C6o**_Jz>`wLeI&+FFc z<=bqKk$4O9wuLNz3s&#%RrbGo&HqKD65s7F7o_su7%C>AE<<39mhLB#`xZW{fz~D~ zFZ(Q#6M{eK3O!aKgfQUWdR1fdQXMbfZEJqAy4F<3S(H+Kc-BcYo#wmnuU!1#wywf* z5V+JHM1J4)(|rA)Hs$|q9Hd&!RL!=2evyz%uL{2mYJDX7dX7~gTJ5cq+P}Q_negDG zEfsWE0E+*P5kUHTC?XCFyVXFmtK1S91GcJhFUDYB?~|53Y+{!R*Jg29k@j zmp3FqbYqZJ%&CebNPNuWN0A5pnI+rtv<9Rl97eSXDKC4Q%50(g!x6%hO8VFUJFGdyQt2u6iTSZv@@vY#|OCV_MsNz3DQ9HKi_Tj~0Vs6!r zBuNbM<;8Oxnm24s@yb6M%%yr^Cr81%mN+yth+GQTM%PXyz@Z^sYbPp{pnb&~$8S8D zMpO#hWL`iWJ=w?$;|EAE9OpC>zV@!X?+B-J0n|Y<2b4a!losrr6d7vg5H)YBTCur_ zn~VI)9>H>fgV=~Zidkcrw7m&c3U8)FX$Ixma=^febLksK^Pn8aox_GagRoQOvC*bL zZ?6z36I}8~${Yj`U^ztAp8|M5yAycdnGs{oJ9gNM^G=y%AxiX0%q5V}&mZoDpd-D% zM4^c|TV*%8teUxzjp{)Mcqs=m}j$Xp9g-Mw(c?&X0n{8!hb?pYOL%g)+ipuwQ|srC=UI_MDW z4l%wvC1EFl*5hagQwJS^N%02EvVVK@>p#toI4wY`PMWLZPyK%yVw>-RgLUSd@PC>* zC*<^LA=zb5_PPV@g^ zSoEBuC|8dK zVJUyyrJY6jG>0lrY%e{UV0>6z-rMUvRrGy>9sQV*UvbAjS(HVr;KOP-=&Og>;9G?k z_juNe=@YzWeziI8;_BDeK%>FbM#yFBonq69NTzkOwXL}YIIBDo^=9C{mnr@=>T+D-%8w66x4b`wJ_vu-$SI#D9@<}e z`?c2D($S?@3G97$jHy9Ao*-|ckW#q z_WStbcf%Ey>{qK1)e`$1gdVH0#&xIR$TI> zJLm?wmcyJU~;Pad)8>DT#KMlGKyiT_suWP23msx%4HZlf%Z`PN;*18=kZ_%zAA2hvtQ_HNbK}Y?= zZM-&3Un^fvoy0ENAL;_dfYVo%($sR+V%|2TX_mO}Pere#D8PsB^vwVytX zZQ485O;Qw18xfYX$fwSij~>?bO$te+;*K(o@N<_U%Xc=9Y`RKcMbJ}T7#Km6FMXC3 kP=3Mm^Iu4<20i?$1VtZ1qKC~^Eyd};0?8K_P$`uE10%kYs{jB1 literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.sgdiff.hdb b/CPLD/MAX/MAXV/db/RAM2GS.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ea82e765b90478f84c39854e9d18952e35309f98 GIT binary patch literal 16458 zcmZv^bCBmu`-eNm?%13w#EAMG1UWBYk{fLo>&pD>uPFeq?}vN;v*!fX3nf&r0z9pLGSk z@Pc;55ly;kO3;G_M(RVu=Qo5$7*@Bc3+zrd)c70WK(Y=7D$txMG>~kyN(wEB-Ny*s zPk(U@%yjR?WOLMYZLsp3MNI4$=!K>0O8_H@)C`7%RKMc>tt08;Vr1@7N+faE~_^B{?SmK>FL zLcy*6kQ)T`P)CLIv$Mss{jfD`M<4El4MPYRg8C2KI)*KoP!@L6A3 zcq*eYSl4E)l843{>g@`*LHsk?JDeY~99@nx8m=rrSw*UkLA zS3k-)8+yN*#D>8fHbt~C`JQcJ1SL-9(~P3%7E0a9i>gV7trS7 za-+XeHf=jHocq%+`SUMs@SUR%WHVR)LWb8DhbJu3y)vmHlO^2<7+JLOq;YBbxy>`r zP4$ndYCbDtDnnlDDP(&90%!muBg7msy1=8mLxJM?kOdt)^l}=4OBZ_jhx6XjWo5EQ z1^}%lW;;dA7}*z3^^=FI$MsiQ7q-(t5ajaBw%^nGS;Bch+a2tuwAx$gJvUv#$njfZ zTtVGrbBAO|_?30e7+aDJ+%m?@zO+*%x13w<9p9nf7-$kCCA<Hk;tzXbekP`s+H-{(LZJ0Yn4Ww%xuXlm~yZOAu!m-FbD?|3ZG7Esk*v7~|P z`|)0h?S*b`8|uT3RLPL!8ONGa?C^M`TC~##U$RlX+V@=pi11rt^LBrB6QmlFs>g>8W=$X8a! z-g3(VhqoBcA_JE#no>Z>Ny|uu9_2zf{6#bUUDFj}gFTVgb6fxmn?^|0GvH}>Ju#7@ zx$MQEk-G27=x8@Q-gkQ}P}ghe;(RLn0ZesVvDe=zrk_pGu*xXX=RM)?)G3yE;DG|C z3Y9i-i%f=S!rs9hBRhmq$2gU$D1N`&sJZ&(V4iXfhF(0)q!Cn$BT>jM^dWOLnJGN% z>v-9;kkTeJ!{>emeD07_6kV#`S21j2wxR8$h+cw&~F!D>kJOhaFP&{sg zrq#YW50jOe{S$UGEerkg@_abzwuzU^n^r@)BC8@%oi6&gxLP){1PdUYqY+2qMtFCQ z%I0LaIE1u+Dd^nN>^<*=-0>#Fr99YWhSpd7 zzDg_bXS~t5N~EYJcztA{YAEGRBsg3S@gLVRQbj)z52NkQuP@E*ChX2L)4m_1zhnRQPn0Bk< zSBkGGsr0cPms*#8H=pkJh(O5`#ePgZWy6&`p>o+&t}s z`TjwnV0tNLw_vhIyvN2f6lk0+J_Sax*Fr11rQ1HZ%{U<)R2gGT3N|N#I@;k`I;nN0Td(aLmKt5 zOS$sA$*gAbeUqq)l>=o?JK88&#l3kc=0%mLE_cT0y z18@Vs?l~8r8}wW_i`;>$3y@Fs?L>HQHA#4m!s^AeBavvGtFwo0AY`TtgCfi$h}pzd zyf{>?r>e)dJ21Z8Hgv zq`Y1ZQ0&Lk^HxDBM=Gi&Z9H)`JRB@>ChMG7hnE(y*BJpBuZgk18y#NLSDC&$8Z}zI zy6&j-qPmKX@ zylwv-nlQe6dEBwy8thQ0-qGGOiCu=FXdFI)d<1R1Ae}7y(G{S{@iX??qe%Uy+$jEq zL_-{J1RwA3dTX)3)|}k=VA1UR!uDC>2(vR*S813d@04`G5ME*EBd=Ch}E)NfV$ldIF!e~-(F&~x9;$;k3 zB=Wyvkj(!}SCHz<=sJe0whp(*MM3Y4(oPVTu1hKK)pqcbODqgkn;)JFtfwhx1^Gi- zQf=Jsbv^X|7x-G+$W)!amStzZg6;%0+vA8UEs|H{mX^=X z2P#JuSDL$4wy&lV5l?9*85+@=*O8~iDZnL=QZmY>+L(8`+qQB{qdr9yM1@)UV_+(x zlVQb8$N!P)qIPuM2a0-(gz5q!Mr8_-7Y{oicr`vzi=Y?Jn-FI8L$yoa8qif}4w>FZ z7)U{%sn;ndVtd$2LNG{B>~OwM`W@yZv`BaxMHdA?bla=?)?saSdvC^h(J@v;s9({3 z9j9Is&nqh_B^Hj)R&u6>S|6fI%f#OQmRN6edOwPw50m(`kD^BGNo|ZL!}qy8VE$@I zALM3b;PE4gdoa?f71_hmQ6EZ(n@*`So354=B<3Ea(0i|*BmgFG6abpIo9oMR& z+p$|8huYPDE)wA=x6#COzY?Mv`M|QYtP1n6=;49oMMM424SpdF*g6lx~?b+{feYe8b+I&HA@9@_^75`q-uWs`NOHyTc%}_^J-iTKn z)8G9=8TweA6tA1_hF))mLXM)N-}bUVAnM9?RSD$scNvo|96!g3+mjW3%9Zv;P4L{M@*(D)P!T_ z(CFVLzwQtKYj9ITiierA5|)Lt67dJrA25Hw`GJ6CZ8QFZt-XDC1aaUJ(A+tsz&K3G zwq}i$-`^$e*xC{X_slxGyG6>mu`blnHQ9o03V)~nFHEvG)~cO8IK+)RIHc`|*B>}| ze&Rp0@dS5#W}lDLB2pz^;Wo6oXthwjgJ(yhFjE5A(d}_-<l|HorA5tbVZg!R$Bok%>s|inbiL?9b!AYii98EkE@9F#N;x56cFv z>(|C3LpOVV%=^!KehYyWRuRJe`Vd#v%8_%oG&G{}ZE5sEts)&p8Q!xewZ1>N{$Trq z=?}WIrY@C+Lw)%b`KNpgoqEd>yVCyFuHbME%^)GK>VEy2RsZy|Rd(n_scP4!IjVo3 z$4S(802J!8#cR6`Rr2$34GK1`$aOdgHR9NCUAyZ?Nz%w^(h8;)?s~hGy#xtt%Qssb zre9Q!55`brgwMLL{t(1-H1&;Xe=i(dX>()z(hE!K6~t{=EERBmj2U}q45mPqwEi83 zU9c`V1Cx;5%U2_JD$>O=!bi}`%ZO75zAWIO0@fsDsVy4nmOn{G+P80@Pq@LaE1EF5avj9>eRUyIU7AZEczKtp}nRgF-zfAd!* zFc^f;t`l?H{r07(wF}+iU50Vf8_`Xq^wPEL|GO**u@I&-1+R+%1V8Mjk~9+9BSBm00igK%sgkk$$azZ zW=D%uAO?T3yA4IMP+9IRkso9f)K89!?8Lc?5>j@73C+^Fv`8B;3uCp&FQ2 zauqGJOuni+Z=%f@+v@;S|6>mWf*!|?F5TANQC%@qaX}O~J2@fZJ|i2dz;i!9@)jEN z2I-AGk-o+Zd5OEdEjRHRSX4N4r|rgAJ89`W6T7gXb&sw)H#s}9*O=2zb1vM31s@Od zRhdK=gZ=KoYlonIe0{(>iqUg&63)uEYh7xe$jXidx`w#ar-Z%k8PWtn(iybLjuenb zVu_$uOxn4lTu8pIzaV)3Snc{BhFa|&c%T&4XDJSAUN3kvNkhzp;`!d6hHYkvEf~=wy4%h6Ia_HgO+^# zQ261MOuY=!n8|un?MFv14$q(zz~t~Muqx_UDyi{)JlO8wjS#RNV>gNcbCiyv&FF*IW^O(E@$(S+V_ z5=%V@@t&|YfmZSV&d0`&r%=Z1IEh9vNi`cbUiIIj3!xj~8zdv`G@2-2)5|{k%@oab zsvyN*Y}A?=Jd2Tqp}GOO__%k2Q0JQYnCfDeZlZ?#NRDg5bN4ATNBAUG*H5#N$sEyu zHveaEPOUGMSu#z1j5(@YxGu4*NUFb7-SblaD=~JK0$p?55l;ohA;<|ABE1)B!HF8T zWS!k^Ui>w;%M*$m&4ecN{CUEsRl2v!h8b~ifell(n+xS4NTHWSVek>_%}?JAlFr* z!~_pIT+qf7pkxm$K4Q?=g%<1X1W_TIPJCAIUbatSV!y?-os%+cbY;Xx5PeG8XWnPL zKTmVnr_(6l_~Z{R8%0P@QFSgW?eJzA*ZK$ zo1=^9u&uP(8zE!p;tS7W#0cPh9EZ2r*W79RwPS#_V#;C!yDPOJfA?T8$gEptTzTjk z(_P9@tc6YV&efH-w9^uN0@bujru)GCUJ;dPC$Dw`x zH5v#j4o7w}80f?pJ=jFY_vkUWyV;T`8Tqh6WC>Gz@Mr&RRu&JRJ{QfRN0|vm!8kOb zZpcd*MwoDHQOtZ_^f@bm?A+<5&&v^N%`BO1Bf<2`JnntKvr+}f;rCy9lGdhZn^C82 z^s(u>)jK?X@s^1?Q;Nn;Q=DD_oK}8k(y=hf74%fG$d@9;LMCojr#m|mRw`ec5P~F% zzNd9|VLP}qa@2yM${7XGb%Cu=9Zq4+euDucl&pN0v_I5KhS&vElL?6@N|2rZP;PJ& zj$w_VpZo?X-u%^&65_%X$d0;HAG{01Ha1W08+oQ#giF9WRhV`#s@P-|hA`QFH<3H( zOC275CM6?2rYGs=I3Ous4?zDiQpzvrSdM<^O<(P-4fE+|RmrV#$N>{Fmg`LiFx z3SKCoFgGzwe+mpxwr`@S8jg*1RvxqIL|vq4$F)Mr;a*4i$Az6W_s6sMK+Wo|u$uAP zx=#U);jg)TIoJ{LnN*%TY*Zs9H(F+9F>>{!=0zv`cE!GZp*foEd>hdo!<@di8_+wtf2oZmcvbh*&UaOl z;T#r+i^&wb$^6XuT}d|}I(~4w&2QpfE)2kIWQZ`nWBAtAW%rNYP9l|`sveRff`^p4 zD%Wk<;W*`HGfEJ_)puJkxkX8OdIq)gIdr0y$Fs^b&x-0(3KrIG;&?)^;jQhq*0wBKX zlz%{v=v3St<9{0^eY4(C-RQlWdAM~#HPpwvSQFFcvqMMG}9S9}Y>+F`r-0$K1qn3Bg;bj9J zAH?Bhe=i%mXRM-AO#hA|H^3u>n{H9$EvdV1G^n`koewTU)*Aa_*8A5-*9$YWs_y~1m(pNghyAahj zae7;ZjN6RROZ1-Sr~&4je)VfcuaPz5OtVi%Q(#c}-_qsI%stStCQEdl7-7wjgq>tN zs?Zj_J~?XGv%b{8c36lxKs4L)r4Y&JuA3oCj0GLFzUb)8xOt&-crrQt-K?Rgkr06S zbPV)&tfSL#^W>J@VwIu$HJ=8VW`BNuKP9`)$=+O2QbW{|-mO)g77b#sJ}07tX%Lh( zE!=fYLy@~~_?O$70(U1CYFTIrkwr{S370bbHDf1tmKFu>)*~6WD1JnXp9IAV@653~ zGERXgZNBcJ{xw}*LAJO(>OqekZ%WRJrePyQBlb8eyO8lCX`&nKaXI47Q;#)pQ*2fo ztxs-bq{LsvZAs2;sxmX~{35U{8&c*|+u)Ut5SL%+hA=oF*X#x zDFBZpQ#%ybuh!v#0-@2>*u39(H#yF$F!ew^tKr2_?y80T~#klW@+tbuvrc9&Nkm(Ofb)7usn%NEeIy`izYj!xkw|B5gQ(K&0Y%SDzzRpP_<76RgZE9s% zRUv0+bWB4E5w919Nt-V^jUGv-P`4Sbt0>tmbiV`(H5}rUVWMkCDr@W_v4%|JB)U{s zi)OPUy~7_;v|y4mK)!?_+;W&B9j4$I={&=BbB*lna5ekJEVjKIHDB}udWGtncs*Y` zq)b-P&MhAr*-L9xR~vbeUha=sH%l$K*jsanb?2bpWF_tvAXCg64O@<%Vy0Z=*RL*N z^-UiWeH=7_zOIT?JO7H)IanrKS38=c+AV-+3e}+u)=5o$&#!F<n9;HNeny{%{`m ztLORVdg^BWkE%|pbeiS;vdKf<1YWq^gK}HDST~#T%L!!i=v@R;=joj#*5pvK@h6cJ z53{4$QgF~z$`!z29j)F=O^{|UMM7RIOSn#6iF94CvP!sJ0K1B_fphkbu+9ELLm&>Z zM+wQG%0jbn;-^XmqhG=V3sHM#F`gsVZlUUhJ`}`nP_@vF(q2-0Mo{n z@WZ-QUW>w^G2xV@Y#%D#1Q+q(oPA;)3O<}oo29fP#>W%y!|psM&(v;dEOpQa)#TOW zJJ4@gG)RwgUb|^2Et+`~;OCXTLfz?xF!#NWn}u7ygl0nNGV8_;IzMz%Mp?&}izvsR zBd`I3F}93W7F!joRvzR@qbprm@QF;UBlVu^@Fk26Q~%@--%k!c7R-m2PS{-BoG*zd zec%mIZX>!B!SAl`ZUC9(MK7!A^_N@2U8d2Dt4F?PhLJ|fY0kf*lXQdtC+jxP0mM@S z*=?rPo+^#aj<#dnLi3DI;#w+(Z)BhLwht56Eg|u=M=U`y%Hj`oggg;1M+KI=jui1~ zNl7uAucpthu@vjcJ?s@JC(0P!+@@ z351O%&lCn!mA3PgR1Et)6LBP(0T_;HF|6tEX~NyKXY;~F_j$(`hT&D4ak#Fwl~;%Z zJOZzEn$7)}EVj6FUx{{~rgg#kEgtd{lJC~pitph|4;fJw4qao-HLHxLDaa01DMqjN z&}u_XDV9Qk^699~g<=9JH#&4ZQE#{1fP-)O!g=uO9P<7;X~PuwS?LA(kp3 z(<-O~kILYlKljHxBuIgD-G+UcZf-ee;xXbNgL-E?#?9xwin5fhqa})l$mnjEy4rc# z24E)m^hb1(LUF8%(ST@tl*mLDbL1aE$K^o?EZ7QPBZ#gl4&36HNhUw z$NRON5xUCRy$-{3w!s+zteE7{^_0FCQ)CoP2lw z%;q=KG2dQyCK}lE>m*dAdT;GS|fR(4$v*%Tvr1MvC@Au&M zP8Z=H{qF|XyE{;rMV9!QT@%l-G>tDFJesbKS;o)bQIU-0pj zb;G85b)K_(XHxO)oQ^}WF3fB7y>34|E!1;yPki^jH*NB5Igb39ejg+IE#&R}KF#)R zdHDWNGxqov>PjQW|Lv+{{e9CkEkKuZ{c`ha(tVy&^$}3jQ}eZ&JiP?q{SpG)el>-D zV_1rGo2YfVXlRzwKZHk91nbJZIMT)9t4RM=8*r}-8$lRIDncMw@{kr1na?6O3Rgas zd`i_92tI^Hip6JaenB0_&#nm!FOTw6h*&aUg}TMEgbIz?r|M4A_jj(x4<~#?B{~v- zxB9X)=a`+LWxNZc(KjxXxI!eTXr!^Dv1rsQmBBc! zOy={`4W>xWQv;JnDXR$x98o$an+Q7lQs02W8D$W#ybV%e;OAPEUNQYyExA*}q^1@z zG{0?_pd(}gJ5P}`W{!e>i^^f>y%vZ{{jDLP#D!i}qf94%nK!ie^af2WV2|Yz3`Puf z+bM*+D386t$f#=g)dF3dD*PKyJM2GpZX7y(dad z%CCV%lue2Olgwl#P`pM8wT5Tcqz*w9p%k?z2NKto{>D>WF}Z+*%$QJtPI;*>BoaK& z&Ne>ZDIiRv!?1Q}@;o^~J&eU}JkvWt|lrKgoV&0BSl2FQji%^F4S=R|%M%-S>cnp&>cc0Lbs zBi`Re6W^yCw9C`n&zbxz=(QN?HO$=4mHaHYH6OLcdA|2BVJ_6h?^@GyzIR_?EZ|GH z2xl16>G}^B(2r-!2xlJC={nP8zbA3Yt$TuNMYJlsMp=!<#ayqU{3?*k1~e-Y)0_rV zuUxOK{9T-xS#)Z3cvG$9DK`G&pBfOEN!Dnr@pmpExsHo{Hi>v5pSfx=^#NVeLc27b zp?4lM*e5Z^kAT)cU_!*~vkOORFr;i;`^PRo+LhH? zC|iFNYSW@2_o{K`usIUTN=Sqp!bi3VGkJQIdPP4m*)Loq@KrHkYU02Uv?8Ar zGNZeu-UtHqm+ed9nB6b zN5@)RC<8lf{li_Y&41%^E@!0TC*+JLv< ze1^*R&ax69T9G+j43)q6a*y;=58@jUJ0Mm+ht@`+l%l|#e0N}_#9=pPV(wTIiie&t zJ!;;bAW2OyHO1VfsbjeFAw|l-WJyWHiU&?g5%;KRLQ;$;)iWJhv&Sy2h^8lDyW2KE z2LrNXU^#d{DMa6Y+rSU1AsMhsW8kRXj)t7~&0_K=PLBcl&1Yng`b!k;>v(L>drIlT z>iM|L`Yi5N)VOg1Km9NEWaYVWpm8-{n#a6U;UGsAr&*F6BC@*qr5 zGs1Z{FJ=buU`gq{KWYcCHBT{zi7WXcINYvlp%8q<*ASOSWXj6;QB@OQ&Dt~(RQ%@7 zg~ju+M2kJJC{iox7%nygh(5q|;?UzKmRMAcylIgP=}n~X58DgR zQH(%OaYa-!!es9B{@>^K{i1Zp;gOLPOgng~@mH%`j|sX0flz#MM1FYE7}!5NxvN_{ z&%JLk7AQ_AO0Et)C-4FLpf@QQ_P?+D_hbs7mXMbPw!a-NzSJ2C44+AJ6$}vjy zbHn}qJ0K4S=IJFhW_``)G*&EjDv$m@4;6YI+v~j^4Nb0ii!9`fDco(R-i7@1HtM`( z*6KCUVm6AYYu(%mUNt=OXC=sf(2Ce&ePp)6C+1)_OU*a^>|(JhItbxdjL@zGMWEG0 z>SCGzvuQa_#>6R@A}T>OG{sV<>nENL*dTp{e0eDerEu{u83qb@N>UQ_!9t;`)Wju= z+H89idH=*E$=Eq}Xa#jTeu;AuF|#f(imDX+!;>cNLjk|DXmM=4rRpo2dB1-l3{jk( zk#ismp3)9_s&yylJ^jZpz(Qw|3g9xgjo;h*k2GyPCR|ERFHt$=fS%1W42@V zPV`!29Ip$D<|jew-JrarBzpUaEL{4L`HVHUlYU7f{-9ZPD+-O=}faQV{McOR;n-a>CKWXR0YeYOa&k zXtC)oO|r=%n7^{(da%K=MJpNK*Q|QdKEQ;tcn=xk+?P(O`~~2RzD89jm6?=DOp{Uy z@!pS=n+r0(o+A;iUkfm|)IlSz;DRdhQBW!M6151ej4mQ5eR@JrGKr1K;@7@}ieHQi zhpr-uF}7#{f34sVQxT0N!i>)-`uW$En)InPaY4`W+p&qFi_g61v z602Fl?^6G5khD)NTAV9q_1oUd1EHLza!BCtv33n@Va1AnckvH#1&i4GQlFl3M>j&D zv?Wa>77Zme{;vSZ2ud)ss`dcN3pn$EtK3>O+y(AUy;6FtfWBg`Qq+zg!(>W)`YV1> zenp_YF2KJ+j*6zNw#?0=ueaE#tfA`Fn_y~DWLm2Y4Kl8pg<(txt)?A`F<7;_G2($^>q=$+sppC0(xl1;^Dlstl60$mgOnhI>t}?Y~ z!UqQGOGx(jIVLvlZ0hl8Bqy5QrP@aCzpnxF7(yJG46=1=nmj?w9G)NNzLv=ZI9E0$ zO4QeuNUPsC?`n(V!6A(v7jb$}S+PWd{54y^P>`u_?X!9`L%dfH5pFp6FBK&1D+1cr z==MmCkEI^LF9Jc#C1f883rsXZt8K;5ji@8OC z=CkzP{sJ5{`J!NbBK9D3vN9~w1ygR1)R0NwZ%>F4`>wTghF$~pW+O~ffU{*jDLN&+ z&;kwnu`_RARKhntz`$2u;+4icX%LAKzYHwn?2K4A6p&mw@*xp4c>qy3-|P70o=uJZ zmb^z{FjqSW$;`zE?Z<){QhE~N^9;CG^xORmc`yjyWH-A#4``|U)v(`I+DlvSI$1Vt z*@?OW**mVs^EFIm>ZEc78Smnsx%3!T#9f!m0+sL-uWkr0gW~ z?X$F#%vea|LHa(yPz3nid{y|A`Z!klm^!)^4u=LRIgij;t-zbT5D=!{qc3}afdQ$Tk!rZg+*c^*Y{%%zxQXn})kaQ1o5@rxs;>~0i* zR%NOrGZWHPffP2NYQP9}^UY75DV42yt)43+e|JsLIo9CW2jSg`@C{@Nc(m}++h*%& zQAT7b?C!7uM*^M)-p~@=-xjUBN3K6&0WSnDzzWzHOx^^EI}9g?zO{}7~rahd)F9gGH1jDZcvJin;t+T3lCwLSm1G2Z{oW1ZIv+!=^cyoIp+>`Je zqwpN7@En8i9EGiv91qLj`x>u>}wRC3NO=yk&puE+@4v%8bp1m8Qtor5})8lSN(uLRc*f?uof zujqh-?>B;Pcm&!An5W058YUltEc3IT7w}D3sIOlFySP2EJs^ESU>nVkEWsPk_s<}r zRT?SHFx5-nR}@7IvR9zLmT{k*9=w5WRCDh^$)5tZcxz%m`EKT#kf1|0m_cD^$bu=* zg$Ns=due_R&_KW`FDG+Ya1+YS#r@l*YnYNPQhviyPHS>5i?H(y)fo}Y5_pI|?f1Qz z%)!I;<)8#|a0EJv15OnM*Tu#0 z`s3XR0}dp;M;5(DSbR~Qqb)uKx-UXBk3%%CLpFfK>Y8^IHxH@B>+-H2$ zcOQm)Kp|L5t~EL7U-a>0G}^wu82n?Oi@sj%>Vk3!=^5I$6ZU`-YHFrAiKM z>Z|gCLs9ZFZ`9ZOZ;tWkb{q&XqRJNMJQnJ;auK3Co`0gjo4Q5XKVeO*1b9opRf%IK zM~*n=L${zIi6sg(L#b=DG-y}ryxgBxz|N)P2`rEnI?s@7t$w+UwcFlfcn>uiz_SS- z7H8$U8+IWf@Z#@Z`6+|;Q`LSN7TP$XOcQ6(dLZvW^{<7$iQ&PuoqFZshD?ij$z4cb zXtMblP2Rh2s1|$!ah4FkoL)Z@^6m(G&P2Q*AmHX`UH;c3KA>Xt1AH#f?Hlm!egh6z z`AWk(oFY_F~wM1-wIwm3SQj{J^?FScxj7quiZhKzY07s4ie&% z7wQ7u^!e!Rjcl-+B8fbGiIhn=rdJvxLqPg?Gu+IP{7UEgar7g5PvhXk3XODqK=C_? zxlK&p7tr?iVB-l+40B!8G-GIZK>I6(5LixWj1hk+bE5u*b2(RihKp=+c4;{Wv11P8 zMgONs1RHz|oG@=F4-$5V2%S5G7vN545TsD#P}afqK+a%wrLnDDL+kYl)lWrrj~L8T z;d}F11<(oKZDHX%a2u2}`bT9rLohJoJOa#chUv@)Lt*h5>+l&1fzLY~1(bkn7ff65 z6~6RNfYtff`7eTfI>I$iCobw2W9<~jycZ(=#n+0*N=G$hhyB~1fM7udwQhKSJ7xSU z67P{zygLPeAvVI+xk0)T-kIGs!5T{FI(BFV)PNQAfEEz8O-pUz3F}@TpI*YhFd5(B z<+5ZZ8ErJ*HgceV=jj8dV)8O`QQkfb9A`?QyZ4qrjiX}Je4Z|Yb>GW7!WVa7XAc(| z4-~@J#d>+ak?xI%RtzW^+{|$8d?8}MV-r=IQrJoD?}t~aM5t%|TdJ;5RX4<%DN!Ny z2=&W)Pa%-fuF;4W54ycc?EwJLF4n{LULS_t%hE?WOvE-^;BovLTurPCXCQ{RM+&Gu z`8%%-&-X6+9RcJ3{cAs5Xx`;84uasiEIWR1qU;+%jzX;e=z9t@Uz&CZ>Z*+E9 z?nsuewk_h>YvZtdeCBxTqa9y^tUG0tNr?vxy&OBJ2@D$#LMR&nw0;SmV;TN66z@*{ zr?Vx#6613~VA9-Bgv1JoTrzo&90Tpn$H9Jf6;upoQWfSrOa6>5-8UclKu40msTH4R zn#lM^>bmxsmaaGB+5=A71CM;Jgx3&&11j$k)z4V0&)AHQ*qWaX(L$EriecA@eoIWJ zkB)#lt-XtSr`{7w^)s2h6MUbAcmg2|gQOrP;=u@bkwZQ1;xCB$%i+Nacu_+=+Obhe zXx>7zjbTts^F_M$9WW*es`Ps2c4-eBY7Zpw-4N{S0=!X2b9SO(;oF`+dW1(E05;w+ zEkn=lhJ>K99fJrXyOnBV7MQtUv}1n;h#(*R)zx^QY*O*;wh+HyRmQy`_zR z85)498oWv_HZPepIrCI>6%-lOZk?!Y);qp3X{;ra(s_UqCqdXACEDOW<4=R@zJ9o0 ze2i9UIAabrsV8QBLa0S+o`%)fUABS;F?+N*%um0za@kd{i|8t!o=10JXhrYef5v|L z9yTTB-Ia7TG&sn~+G*T{n2PQz;$djD*xOo~x|YJ5h=-fAe7?l2A0zVSuOjT|`djLbJw&;A>t(1M?2&Jl6n zf#GEuv~7|eiMPf&@LT7N+`TYqR`=s4kCy?}dB7*=aaK_I{WBlPsC+tBdGK=d6Z}&T0(XBD!|hA7<%8tWo+uhC zuQ%@&yG^BuQfhaYZ{fh9xPO^~*{~mBAE6pzz=pKb!NsYVc^MeGjFzkGBFM;`bxJQUll|p!7}=;w zctKui=Jz|ABQLWznuFx7uy;Wk#D1J?k?1*C+BBpDMtUWaH<;bgs_`V7rL%4NoU((h z*Xyg2up@SSw1l0wxp{K;`?%{(=tYvx@Xe~`^e%y!*d4VY#ku3LmvGA?V@f1B`CJ$H z=TxGIl>=u$jcrdl;o_et#mez-!No;UiIrnt{0kd?#Z!uT^3N%Qi=z@(C`-}Ybo?uHpM8z)2PF4f zE(f5aLuUw`(`3|ahujGNboW4aC|*Mdv!^)+$3Y~GR>W&n-AAWJaYT~2{yAS^=4^GD zEFT?Pc%SCR-df z@8;!r`!=TKNy~YdX4LI~j1`{~)xAt40)b9)S!Oi(jQGyKkCA@e4#)C}ewncgphJ4< z@w(l3&)bguh91FFI(|`|>*e)fb-gh-7Q<`me!Arl(Q1cj(3gkz#=_IgIfCWMu09(a zAXbKi@Un~EwjX{t293zshXTytIpb8wf2qx|k*B%lNDMG^2G?N@hZ;2UZiR41lrul$ z@^vim!hdJO<}iJ0B^@8!(;nDuMs!CLjkD9z_6}3Ola94cV*@%vF(3yl*2K>~H2!6V zalcqx3ulW;*=BI=RrLu(|0!r=;&rM%%@ef@Mt2X~^J>+ZuIn78d|x@1bD31_srj%L z1iVB8oFbC<4VrOIU4Wh)XBd_dt;SmMKiS~kY;Yd9xeZRK{sEBdF(q4wre8R;vUC(} zWwv7SI>G-AZPLZY#F}g{Oo{bRqbW2R@EhQau{{`k$bUsmPcm{%-=3n}oY{%vaq!w{ zhl^XsVry8>zRHZyP}YncZ5`k5Dh@?UR^w`@O`(FJPZd^pWESs7u^AS3=69Ci4MEdw zhy4QWKH>AJ7TAPrpDOPL-JWWAeEo(50{Z@T1uj(eutX8Y$N?{>0he$Coco2NgmVi? z;GrBn9X&N~@UCovN<>yD=z``$EghBax)$@)1LLe&p@K zBeA^jk&u4rg$wmuuV-y;p{^mZrln=1Z9h*wXLE#1>Vsk!VEJs`k-*R!P$x*drPF)q z9l-#y4%NHNSGv#b=#J;~7mt>tEtVUr&1EXByz+rZPcpKG&2TH7jsvME>XcN4t!V16 z!nQNHy`9(&kJULuQN7itpZrci-K5YThm@LN))|9NA8D^PxTl)f4kxr!m!%41x$!3U z)w~3=HKpG-X6;92pVKX86QqwH{RrMhP|Hg+jIY&Y_3u%Kmb7e}{cJ4_$#)rfG8Ebp z?qIF$rP*7D-}ten)^uB+E;Dm{!=-(LOYAr&T6lEW2&SZNimg}FR$#l$o3XuLGDv3~ zF&@N>>_Kgf*Y03x*nwM)8$@%rmtXF9Go$>2|H8mQaciVf=#{tI+9h=V+4EZa8{2;> zXBxV!#XIc-0tBY^jzztMItn@Xq5A!s^C~UnF^m{dR0*J;w1+#03*xAm5V#T!I$XOi;AZ@f@};oMiJre*pS90tVA75gdG#S_z(m9pM5g0Z$h{G9#Et~UfwH=9&wN&5RL@*w>2GS=+_y+ zzL~0>N^joNy5Cet4h}g~JXx_v)3iz2&r0KM*u9irJKj={flO-Q+KRTTh3mIp{fZB z(&+s@6+9SRme()M+<5F1)|&p*&`3GoCnnZs`i+2^P-abs)Bb1qCq`4#*3@9Rkq_MZ zn}VWimc6+fC@67?n(-a9e`ict+CR(X)tDjIm=tU%=rZ6er4_ZviNg{CbV}LjQH&#z z&yKAzAcc%X0=ialAM_g(-2w|jRmc{PW!vzPiIK{)dPl6*DT-(N%+gdBaxVoE3Ses&kiCl#wP zO!8=nVU)r*w(?*}M~Ylul>V?P**)hXk+u%pT|nXRJRYbf^_;_qhaL!Yef`qyNOJ>k zE7quV=_6Zy`2;GnV%tY|!)pjcpR&(ARILTZAA^Ln+C>BMI%;mXJmkuGEt-D?;>Piv zQQj6&ak%94P6e;nJHxiTLJ33yRvm6CypK0R!u1mWRTiC60w=+st|ri&*ARtuZrySV zm+XfmTCap^fac{X<5-(3$=k-U#OpXKt4t58{aQnU?-P35q+VEdCk`z)O@d`oHSIlD z`d1O{k&lZGYGdXd-;qy%_#O3E>Z){OtNBlx3GuTbk?WEwN9VZpbD(AR$6ws`(e1(a zzjfAHzlmgjD`v}=4qD|TiU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/CPLD/AGM-src/db/RAM4GS.sld_design_entry.sci b/CPLD/MAX/MAXV/db/RAM2GS.sld_design_entry_dsc.sci old mode 100755 new mode 100644 similarity index 59% rename from CPLD/AGM-src/db/RAM4GS.sld_design_entry.sci rename to CPLD/MAX/MAXV/db/RAM2GS.sld_design_entry_dsc.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/CPLD/MAXII/db/RAM4GS.smart_action.txt b/CPLD/MAX/MAXV/db/RAM2GS.smart_action.txt old mode 100755 new mode 100644 similarity index 100% rename from CPLD/MAXII/db/RAM4GS.smart_action.txt rename to CPLD/MAX/MAXV/db/RAM2GS.smart_action.txt diff --git a/CPLD/MAX/MAXV/db/RAM2GS.sta.qmsg b/CPLD/MAX/MAXV/db/RAM2GS.sta.qmsg new file mode 100644 index 0000000..734cddd --- /dev/null +++ b/CPLD/MAX/MAXV/db/RAM2GS.sta.qmsg @@ -0,0 +1,23 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1629153623584 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1629153623584 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Aug 16 18:40:23 2021 " "Processing started: Mon Aug 16 18:40:23 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1629153623584 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1629153623584 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXV -c RAM2GS " "Command: quartus_sta RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1629153623584 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1629153623662 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1629153623787 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1629153623834 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1629153623834 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1629153623896 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1629153624208 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1629153624255 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624255 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1629153624255 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1629153624270 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.638 -216.621 PHI2 " " -23.638 -216.621 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -19.942 -610.547 RCLK " " -19.942 -610.547 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.072 -6.479 nCRAS " " -3.072 -6.479 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.153 " "Worst-case hold slack is -16.153" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.153 -16.153 ARCLK " " -16.153 -16.153 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -14.623 -14.623 DRCLK " " -14.623 -14.623 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.569 -3.433 PHI2 " " -2.569 -3.433 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.713 -2.822 nCRAS " " -0.713 -2.822 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 2.127 0.000 RCLK " " 2.127 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1629153624270 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1629153624270 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153624270 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1629153624348 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1629153624348 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1629153624348 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1629153624395 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Aug 16 18:40:24 2021 " "Processing ended: Mon Aug 16 18:40:24 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1629153624395 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1629153624395 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1629153624395 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1629153624395 ""} diff --git a/CPLD/MAX/MAXV/db/RAM2GS.sta.rdb b/CPLD/MAX/MAXV/db/RAM2GS.sta.rdb new file mode 100644 index 0000000000000000000000000000000000000000..e9c2f8b2e71d80571657495ed6de634edc794336 GIT binary patch literal 18274 zcmX_n1yCJL(=P5B+#wL$-6aHvg9UeYIJmpJy99T4JGi?BcPDUg36{(I{Z+TNYG-h#zC%X=HzB&Vn(iHWMV_kMy@JLu3=_OE@5ir@+sN84fUx80^&vDe+7i{|B(>J zQvXMLKwkKICKQjW8N^euqNMZM!aP4W|0ZPGuB~}ZK-6jy;<&K3aFg09+QKo;tT_AV zENfm?1G!FJSQ6ADl8xcl^HMo`#zH!;u7)VAh6E#o|Es6$!$j)~jE!e~B#Wz82F7=gIG{e$UhG(d0pw`_(o`B*>qLi0CTNpU7gy z)`#G&V_iUgheu&F8K&m}4t~5ttj+&q@C@N<+;7H*LiaBx#$W8WSMld3_)Py^bhEec z70j5Ajun6O+J7S;?;RMNXI*l4I-%3YWQ*|RZTqa@!P`v5ZYvWL8xI$YC4qK6neC1T zO_ehj`s`(=lC+#ZiVMm-YlLxeVMX9#9^#z$J|Mqr=>aDJ_~h*^^}v*cpW z?}z$=M!e*i$7XMGOc{@G3K)>olw44Wif{|8rtc9TzIbTnlvjw&s5|BVmYyF3op8OD zdlq{GE_V;%p*ca5w2In|Pu^q^U;MsUJT5`0@3+uFU|n&vCB%RJe zyyjC#j#!Z3GTBrXzAh#%bbwqIE+0lqnkKNfp}B9}p4Baj$7k-Z>jgTCz}){ps2|`s zmAP;mELO0_Tzg&Y-yRXT-d~W}>(6?uKi=?KA%@f>>cIw3JGCxSTYe&!!HJ}#56x%? zsQ%OMcIp0d_U`?X-X=@Ipi!&@npSJ4CT|9XJ~(O+rR~f-5N`>eD%Zs!u zIez?D**)R04z)AfYT&8rQFK)HvSGsDs6c~4bXM~FInry@{4IYV z;xe-Lcy#!dM$?ENX3X=s!nKQRjVMTYWn_GK@DW6QKN9oBoeh>t| zHBagyYjPfwKT2#D7r(Hz?T4O|>32>`@(|{II*qLyK!}$AM-(~B*gcr}v0R{o4`44I zo=NB~KoXc*FbmTx@wD?Z2|H{`>1lB9h;M1Ndn(1AG9s} ztaPe*uQ+G5(L>HBT>!1F_sa{#PrP!jSG=t${GpYrI}u=C2C>Z5t*p3B6p%487bs+( z+58qrbAHz2wM1lc5`%sj?A(8KwhifWj=-~h8B{0R`A(rb(j%knMclc%mEDJTFE_Ti z?E+QU)r1U2?tK06)YhlZ1IZ@t&T~du9RH2{V9*{N2?WV*=#{OEQH@FAa(KR z;C>aOR$ioo{9<<+cx|*5pG{l3v*o)+58sL(CXzkqD>|a&ut}7Do^MoJ)!B-EF6kQv z)T!%;^1vhAct&}4hil=nq4?8o`GPA7J;;0KdF@VN`;I`B{JZMF5d_ z+nWK{fQnSRsfL!0hvyvmSU`%GV;)IwKkLJm;O%DlmWG5pN0Rz;DG2Hxlv?iK9ut1r z;nH!pqTT&s<^)2LzAN7y4uo3=Pr&X!)(-Ydalyae9njz803c?j+LgU|y9y*{>8h8C zxx=2*j~FpXp4G3GDA({CM{;{t$QU;Y+-6r|-}kuF!HEgj*1% zMS6={l;tg?lQM`Wb4m|>lnVGv8oqr#S3*!bQKJp%6xgB9Qm2icngWN;=~V_zs)UN2 zB^qd>@c1sG;?P?hzt9cT>fUP)8D{Mq^t_H4&iLM5m7gt4;e(A(HriLNVnm;wWz~TZFToPmcCNnAh@$ckw-z? zb}oT_bg7b@$mUvLn=h4Fs$lp@ibs5n(8fT8q3MHRw4%X`!VWV~3 ztngk4XTD$y>D{|1bC{%)6_J8WSxeK5<1xHLRw;)_DIO6Tq*(u)nv|XLT)3s_GUOz4MU(O~k z7LQxH+O6pEvJ9W7p6j01fpQn+v%-bpm=kEB#z+7of5YieAyzctV#vG-G_q;&LA9CY zY^w{tEpZ6-o`%BU^*UR}BsFxuY~}Bpxf(0+6s?bt$*Y8+Vcr@!*!v>rNOvVoT|OPg zkB0oO$i-@VhvUw^kIT1e>v~)Qdtehn{+O6XYtyfZq4f8XpW9Z7!)paRxCeU!J??~_ z7nA*Jvphba@3)~}Gty++!@ZTts)xqjj_#r|XwWOx!SyZ{``*PQMLZ>V!&}nVBjBDw zK7wr}QwpI5T^UUot@c;U3vm4&a$r3tQQfgvm_rLxDxF(e?Mvy}ZGhgiv{riub%S3a zBAV+PGi7YjA*8evUXHFz){ORp?+0Hy|E^!WAN$LAIXZfa{}|aij$$EdYb*O`X0_E9 z1!xkJFF%FyrQEL~?pUpHg*;OQ)?6Xf3ueGcQ# zTJmOgogUO=*~1ozl7$%xR0(CZ=`lb_mmjzo$oDS#1vi;ZadbqY;B!lH-XNwr1@DdR zUgi69`V;^i!ZhiPr6Nyq;e&`BE1P!}ThtZvXDw}|`sh~*|Czl(bf>2ZW!;g^YrogR zX{o++*A1)^C$g2)R=vq1o!!XU7LDC1>+=n&cn7>B`RZGmX=dD843- z1|FNPUsiczN%-avyIoQ7EEJ1$=f)+CPlF-sg$d)R&-5$M9ypQxaKJ5yj!6+F4i7^^ zYA-j;Nc(qtzx?msaZhVs6$Q^e0(K7K84!&Q^7sYu@9-u5lXxsM3Pkc!$TwOLVpOK_hoT8L2E))$z_kPEvqWS6V94l7yP1K@WHL zO@WI$J9SEF#hHuK$vQY!Z!C@0FFR^sUg6Z^J~XbAb#sTT3@cdO?|uuv!Y+0JOr_vb z*1mW(kW^9@5WCl3?){AL)>Gxz%RORHyH#xPW$Q#wyn>~0;i1r&H>fnYHHTEcYn~`3 zSzGJTD)Z&r73cK(>Q)=nSnK&$HiIQ1;sDuqt9Em*iO5bkdN$54J1}DM@j&|Hjv|+Y z2&v>dkiEZ0f*_yIgJ(M=S4~7r5ipV(v1Jr!gmN-uaUK_NwJ-qB%=TpsfF9naVuz|^ z;Ip?Wt+$XmBw>1-MuH&aR`9g)R7ldn)9KY)&Na|{24P*V$$_--6H!D;aQuew`7VW+ zmASK&h}YJeA64t8k-eh)7Xlp>kaHN8NCOM`f`P(0k7EHS0`tzgv#&gk#aS?YYa@&} z^F$;4TU@N&#qXJ?T>CXJ6k1l-wEM7Fb&))x zN@aInUYPylLNHv>h?|1+a*d8)ea455alOC{yHZj9Vr`wvmrq6>3DgTBnf6~+@+z4T zuauV8Hzhhxe;K;4b>s$}4DO(Lwe4|mhBDbzQnY(qzig(6B;3tK!%UJw&e9kb+1MdgcA?1Ivki@kM!`ZtcVxxmstHkj~q25RLj0`Wc2#57m z2{|>OKc;e!syyu9GDewB@P2BYk^gSn$whN>ILG9x1odzi$l*m>i`b0hm$6i|X1ya4 z?w7P>6Y7nyDoN>PhCz%TxGt_hmC#6GRKz5oC04R>$BD04p2zN0#Z$^{frKpiar~?| zJ1$+(%8T5Lvu`*`_jbRECL!Yep>@;Fl+`j9%hG;@^G`%kdf1zKObG=kdqq0F z+*J>;$yq}G(bOu9*V$=_XS*pi_LP!Xs_{thu&Q8IicCSqO@bCNM_wF3d@z-{pgEQx z+W}-pUPk((^xA0meRn2ed~wq#(|_G4ocZ&hl7X797yO~a%$&@fR29w_rUnOzWOq0@ zaPEq+D9>`nXL#M8@#Q;e+`-pEl6=l5XrYjJRpmRy`8#?m1;YL2vdFrevdzGrpVvN> zP7Cwmcr`weq+T;Gr12PQ2Wj{cEJPB$qZ>LB=&{HHWV>2}rv*jfaeuCsq;JHu{qcl2 zYvMVP1&mSNubC3#*j!=?92K+lIIGhuf5qT@YLh}2az_r~;E|xUiUx z=I`uqKkQpc^Z4ARHf9T(!lzgRci(`HMv&Wb5kLDeoV3d}0R!^pIil&t>kCWMYQP*~Ra0{V4yJ1Ba z!h^P4o`ZWTQvy0DO(_;nSmSSaSU^a1R@xGsKoD) z<{5s}T^oAC`e1bsi0yvBGG<*9&xVY>ox@yl&`6dGakR(AV=>Igkk|3yAB$$47kMBB zb-Xro5tQ@Petpiu5Tpu>KMuhC_}VT#8TmXGOq|1%P8;E+Ms*Qo0c=BuJjVD_m9W~b*8Je;g*Kw)1FF$%cL5~r)7DrUCABm zxu)o=pbKD#|DyN+@w z@|TGUvHY1-+!)*j)&*AQ8srwONGr@pUj3$Zv!1s(^mqxMu<2IRrtm~AKG=vGoG0=$9e1}*9e z6Vo*G%QTfovP^3-Qf*$qu~QsDa8uGnH;*R;C~`6oZ{wCi}zTSau>61 z7Q7kN&cDf^>AVtDbQA@S4*Y~Ks*7x38NHUlY}wrox<+Pn|$5l;zT zI)CwCCl0;BZ|Nj_A9VtbK**BFCc^AAD|6Nme%lHph{y_*hx+4Hy(i0n1UG-_}D#Ndy z-)cQOJEruoE5LV2o<2j|Ryy>3VXu)x0FnYC6YfnG^98g#pQ<`v?l(^_Ao2j-J{h8(`snVt zKz(-+pm+IbHb_KcnXwQ5sG}hehp!FfdX}kJ_0{RQxCZw_lP-1A>|p~=9dfBsm!2m5 z*Gqj*SX&=#rvx6+(XEbB^s@KRy|2anuaY|7b1Z4{c%+H*mLE3>n`Wgmzo1I7(V10) zYigVY&^_enNU2me$^z(i12eu;cHS;6F2lDL%++REw7+I_BpCS~KV>2(X+1c%s9XPDC&Ucx(yHN>Yv5hGYP&$(>Diw3(%0>2cs$2?` zy9sa>n~+iK)VAgn$m%hw)aQslxryvbx9 zR`BkA*ODJ{hczn$p3Sz3AlRk%AdUxdG`_N_d-~2H@YPTd?%$vkHqexUF5}6Gar}{Yndul+2po!L65}|3HUy*Zn!)L7k>R2)T&W)eIW-?>XxpGH+1VQs~lw!WQ8R zH?g>`O4%)cX;7QJxC$P8#x+06V&|s8Wp~c@@A!k3yDb?F^xw@4>dZqAAWGl5XEFk% z#Fm~cRhKaM=PHxc@)5hTYKW?3m2Bd(S)0OZe`I~rLga;n;{!SYy7YCssmnq5tJ*aF zX+i=0GAaJGfq&u*ki$_g=zkqM-*fgILk(D#vnAX7$M*$|3M@i@1-f6yTnms$J&X33 zMRhj(DIv@pX3_3;67AN06|=JCLHHYxIvo8-G(ie&?<py_>2CG2wkq*)lBcQJJL0Gr^_sPNv;nRj^xGVy@GtCYzgO^hCpy zBNJ0ILjuE)T3Xw6T&q%<9&7xsJ@i05Wk2~Fx{fI)-=YyEqdx1I7rv8 zxL}n6NlVK_y!A_!UGMGUXe_Z%Z zo44J1@=_miXW%{I2>j;?esiY@Sa5-sL3a#xMRxbi-JE}p7RX133$ZcZh}-pBa>AR# zsQglkIv>)2;x=DkjAPk_gc|=dUdbw*kO_LULAIQhu)K{Bj1Re2$*~E$C?CLp*Iy&X zank>;S4Cgo1%qu);ckmi;B_b1juhLH*@Y**rbiUyX5?OK5YUC9i+nM&`_Fg7 ztsuxPph~G#e}kltYf3v(gK1N00I^AhqmiBi2d~=OPCVxXEsdcjDfHE7Qjhf) zA9`GHxFP7TU_00SF&|KYkQ2BYE+GFPHKN~mh#A>$tE=-or9M8;Kmqed-+A{O`?pge zrKaUl&<`m|4kqGo$$k_gQevV3SL;r-!F5mIMPV?(0E%wYQbDVUQP@OeAQs(ZtMe`| zES@b)3iOI~_E~v@tMLv_-p zhIl0MYKF|cblphuJy^u>m;K+12-+npwsPjDdIX!rjgx4te}us+8Ll5sPF-g(CL}@MN+lnQCUctppYZ2gbE|~hS`nV6*k%fCf0mNLuhHE)halG z=LURZ=F}Bb)Z~t`8mz4ake?`!pXRv+mKmtkjp~Br5+0tVRS#xC$ikTR^Om9}R!+lL&7E7j(NYwCMO80? zwTf!uu2$i~qTgu@wv856ZD+2j3c9;h8x^}@3=)90%enW zj*YIxcoI#;A}4H!gA|U9Pi?7C9bSA-gKd%F%k4K5ux1%5bi?8u-QeNMaJvPw>>xxQ z-D#w<Qu=a3C~~=)#o<-CX{63EW&Z`-!lryl_-{1b75hInp$d&)LjfM{seZQ0%IZ@DT=c z!QgN_o2nuHb|dcs$hF1T3uIK(5N`bq!8z8E*cjh}X4Jl?kMrjO6AD)P5l-UZ*Kjp? zH1A?K%>DY+HYUBe2F>?JqcI>OiDRN1!iHRt%?cW8tNHlDn`vqk!Be6QkwLhU#K@~g zC6@h$7~juGW+hA???DHEVXfQ7E+_R2uJc=7^yeF;&3>SSo2P;_CZYK5kFgpc zkV$(^+D@Z1U|&QFQ%MWcQe;z_cU|80tknVyG2TVD%~{{|TLMuY z2GsczNOCRsQHB6_#U^|hrI;)t)?1D|@09^vbecH=SF5=Y*4bb^R78vKu2k zhq;g0oc3C`><{!M5RAk%1TSg~Qx1(gQ~`{C`y*qQ@^iT@p3<2VcZktus4pYByoRT4 zZ{Fsra5Zl!<@7gGtwfOf*D?$L8F{`$E3?u zepG60HP4%`42)}CrJ{i7)5!+-bqO_ZyPuFLIyg))8RVT7{`EOMH3R^)X!Ob!Wk0$? zy{U+GC34iEY|5F49jFnwa^VZ^7>P5X%3LJ(+8HJ_QR08Gr#*e`Y803-lHRu2(}600zJVDE*h*?3Vn?4xSVRX*ii$vU@(U=@ zdFG>nyn>3DjzbL_T&L6i6dr;V$O)9P@xlj>LJf?=2D)b0b9l{0e>wcViNi0LLudD= zGr2N_j%Z9dxC0uT+(|2^pS4zL{^`+S5YP=pNC6oNgdvt-Yr%kzbage}JBq)F-&nv| z&D2qQF%;K*Gwf}htl7=o+XM3+?`2Hx8jN{|QfY7PMa^FaGsW<)QayyJa+Gi^1+tcp zL4iB?7=RgTXyROhTQ-KNc({-v(OIPApwv+&Vlt$_%LC&0vw4rlYWWr@s1XmR8v1%E zD%tA`u2foy|FOYEE8WW5ds$^?_VS z0BNpE(|v(zgnu@?U~5i)R_G@d#<88oz9cfO+XH*JI42n&-~ca`?%%^Y7)ki69xTJf z+kYIbh)V6}YP2srdd=b8Ci7b2;S!q2a+KK1fqlv=oNT4nyU%|7%cn`Di2umoS(?mj zFiG?JZ3JJ^wFHGV%+ynf(K80~n!aQBhjQ&Smq|OqBcqJL-T<@#fZ7;!8y>B?-Ew(t z?jij+@f@6fa(DTvm=@xR=H5v6d8b-BhzvwT#3>Ia()jlTwFwAA>hDyn2b!L83|C}` z5a#N1_^h{0-N<2Oy&CXEhyf_8u2ruhgi0~Y_&NFVIXC9&an|aG)o}KMoL`*63`@u6 z2^<_yA~f(U%~ZaCs~p#u1nkx75p@xBJwHLZxZ5JV&{EYOZ%z3)RlDX{O@N;t-( zv+_E)D$R5}e?xh8UhLQ^1zx*nA)YM z7dVoPt3XMH80GITlxZwTtBqXH)#@8i{+`Ke1et9_h#eBHR61_l;iwsyyyVF z*3{1@Skpt3NT?&P&D9|*NIrrgY52GMtgyV`Qu(PHIQwL$?1T>1=yiMw6l3}BA+-rd&0k&o~p23P! zQHGJqZuitk+Fb6Y&&lM|00NP)T~WJNl$qr;QD;bh{s6iN6Lev3!+iTM_Hz#NEzn1g z>}_eW6zQPGqAUFEehnBgLFKbCSOUu3s29k<0o1~NVtKnoTJa)3b++)$D9Q{ceDm>Z zh_u+d=%jz7W$|jsA=BO18b_z8Tf-Z<#=OcoN_!hiqRA0Zf@y~vKC#_j33faop0HS- z8E^!rH_h_W+|J;;XRuRu87ahc#lsd;?3rRVgg106w7UZqGTzfvk zE-i=Iu6FZ}fWbA+{L0luIvnC^i{isON5z++6THk0=SOqgI7<0E+{DlDDmO51OEEhR zOJGK4pX02G>dv+BGftKF?Axbf76Gp}@1*Zqg5Yh;r8%zV{B)2lZXpE6u}n3~#s(+I z_>BhoS{Tf0(7H~6Y^BZtK-@TsRF5RJ&%^oNT{Maj?uh;Ndu7x~w@NDEQms{a5|pQ||!-%`{d-?esOcW;AX>RKzty z;{%Qkq%#%+Nx@%v<2c0E^(crp^xEs)(z*zb$a&S*5LewEB`!)Y&zs{iQ1{5mb9_kx z0&V8475tLL2~p6F$t|bdaX-(xe{4c*uml}){-y;0xw1%DH(RV4P~GeHBt==rzrSaP zatJ4ThX6r*109j_tLE}yeZV~*>b`lG)V-O32JL1vtJ%&5#iPQ?Je zP9beVikTMFy@q)8v4U?8FnBwv{emhJ9#OKO{pnv0I>b<)?c6*_70Ii^Xs`(1# z91X-3&_KsAXSD5lt;AmiaKOMCiLC}DS!SqC_||mb!3T2=#Z;h9IECr%H7W$BT?5=S z%q@X2C4&yhzri1Y{ zF;QT1SxRd9=qltc?WoC~d|C*l0XS8>j}L@{lsuA0Y)~H-8c=of#aPo-9Ff^=e>1*1 z3QnMNY|m(r-*Tm(TqGwCJZ`nu%t2EE42}z?qE1X_-QI2#zds z{GOi~f>4n80LpRMt2o85G+&CetY3=86}kEOHQr4_B6zUh$|_ybW^NvvILIlcmp^N( zHqw%{MX^fMqw2=-vCte>YIZHUa_^%2>{-X)PWKL~J9$OHip*rGa(!Xg;-2i8jQz)x z3nY;?^K_E0y5zM7V{%bb0&juavL+Y*!Hoj$pC?A#?R{IvyyXT7LoRkd)ZbJi_2c)W z@eSS7`a@dYRx{mmFg7hJvE1mlFS^ET4n=dD+>_ER>XnPNu-KG_i6Z}cTT7sfmA~tl zw9pyv*C6lJue(r}_J4ga3~5`dQ~7I(NH&YWq#AO>?6MFy(inMX(8ex^g)-SQKWRAc zjrkEN@eCau)yC_1{C$Mo8odi3O_cD3F)v^EOcggM`@i_+BS_gIj~THzmC|20&9%aq zcEoDozUi1k`deo1qN)EY#Yc1KHFjY*->h_lSa_FRU0@S6Q`mA2*J(b<>m2aQP^An(fGbeza-a+Z=*I zw`N>#`I*dV!5cImj2q62H3`1dqtu@I-u(1h({~|0?7|=LOIAt#R&70^Y#J3H;ebEq zvuxSlx5YJ9FF9(DnTFWH#6k3LvQQRaIv&kJSWm#HeL8B?M-yzcd^SfNYZmPr-ADW% zGrW$Ugwm$|beW&nB4mgijWxIhZO3LuL`IW3y$|Fl+EX0gh|Kh1NphJw%#N6K)pqd~ zC6T)RnLBn;ycY=3e)MX>Aa^##S6b9ta`xL8K^f1NiWL!sz}mvb^PFojF6!INqpp>$ z^32qVpAebRXuwZy(-yrBwq|CCS9mra7KNi~C)90JiFH^5oUfmyKNpIH5y>0{H#Yz8 zwcq6K1piJx-V#=t%wLWH*H<;CuX6f6%7Ebd7*eP0_d56?_85#bYQf!2ZesYV#3B;z7ueAcTts;QKj}uosJ$q2MwVJV$a} zo0S!DsizvZ*0uS7)(T~r{zFW1zyx-7_o_hfa8YuL(XyqM!E+92HBCO;g3mIo@L>ye zPDT3XHY6kX9f=vMMk8eUYK;E%(Or9pm@*b#&`h5s#RRyCUsCaz*u9pj>FV`9T(%+O6+1Dhds3Z7YkkRhjABA_2-Cd8{aDT&PVr+Oi53t?#7 z64=h)WZOu`=tTGG+%qUgN-JdKJK9(A%7Tj!1%3*SNLqq(H6>3#xf!f4ZJq( zl8hX`54Q2S_I%@GIl*LExiW$nZx3CH?f&^H; zh@NkN8Ukz9EDzcP9ANp=!`k8_;nD3PP!U{C8`PmE^O)1lR=#z3k5KLTLoIs| zEE&$ObrHtcZ1tjCZEv567H zk5P>&s~WG{Uu z=xew-M0!PGIXygR4yT_YjgM(B>QouBjKT0IKt@qYm} zTi*gbHj@_`1b1z+*t?`Ivr63qaA6G=f5JTW_OnBx3-7TvN@xlwL^d z!Y|d4)rwp@zLuEx0V@)*>_OiCslwQTI=;W3My#*)^3`yUuq;G#KN_yC^5m(j`4CMQ z_yRKN^VVB_?nt4!t@EF%{sHg!YU^BlHuuK>e?aQ5M*)26TU_m>s`7K>d?A_P^ft1B zN4joz7)(1~5=ou=tA|NuWpK+rjthM|LI*bhI5q`kXvY)tQ8zu!+NRSHo;Oxj4a;$6 zt8$%*GWm~6&>5fZ)QUpKA0ogR^>FoU5*E_P17CwG=+E_p`ciGjfJhxS6ik=w<#lk+m?q%8)b^3@8<~C^*DBy*c;l^P04g#G?Jll zz@%G?-{fO?jI~KnmshH=a(7`F~ z5aQi|3lUhZEVMz`D8$>drr6W2P<3wJq4UD5#raa3qD>NBuX_Jgmxb!0S-q4AgusJ@ zs3Ch9m(alEg=vrbg*pA)PKqJtQ&gSV=z-m(x^e9;gK3shXy^O&ifq@C{m$`GPfNh8P8&~0zGT>5MvU)BqL}3u!^O{p zpDk*YZaL;IlXueo+=AJ(>wn*)a9T}HCj=GN;vb2N0=+bB;$Ha7MAnDZRcq2FP)C1n zHIiK#H&t-UE&4nX+pTd%I8iHAgGJ zAL`g-mdh+}(9IUb_M$TP0L5(Z)7ra~I#EA*Ro(Ar=~xOUQ?3ERlnBtl^!J^T59|`I zbwX7BkK-44l-^lIrHi&iHIvwQ$*GY9Vy*g>i3Q|ZWxVl>6SJtUH_By?nvCh%W;B(K zQPLT&H&aC%B%a6aKej{m?3Ww9d|H{)twQP;VMkcHk2FR1ib>O!qS$@*(yb7&RPo7t zQ-O3`K}h6HeUC^snV``xdE_*1FcaB7%)E_k%La@hbG7|PWw8%6oLcIao=Rm2s)jXV zeowBZ(b1Rov3c#lyUS#1q=orA;S&8Bj7Z}o>p!MbK zZ+aE&MN8B4uB$Ch;v*_MGM}wU(}cUVELmsLBKf;U7c*@|=Ieca z@d7{_rSn=y`}i>jM?@2<59r-6AImG+A>R#)bi39lJJq@Zuw7bL{N_yTZY9ylk<(dO z05{FlxD2ZG5uSF=k9LIINTIzuovO>(A(6X;+Bq_BC8sM_r&XdFy|+fhFlH(je}MKz zG;u`P%~%!=(QeGq(oxSORV6iBFC?KXDJ}*WQHjUy+GQpls5FZTA|M z-U~C&Ln*kV%Ob*2sTi0XWoQ}@pK)7ZP3ABpy9 z6ACoLEoUisiMWp3+kyxAe-8hQFDrYJo~`i;gmZhDuB`lrioeH6H5RQGQUW{1bFjAR zebW3RJJY(?MR^X~S(?ya(#$P6ocrUwdX82rTNSQ({#XC2q*jwO`@cqFKbHYpA-o)T zZ{;UMiPUg>Nokg9Cj)}#&qU!FniH!S=5(k=Cbg~I5zMLs0(@QNr@H}zT;65XV14Rd zON5n5$(glV;{iAPWL1+Xh;}Zwi8}<7Z<}Z&uL1ILm|sIHIcA(c0pWc=RkV6*{9Wiy z0C(?F(FweS`!1cFK0$%HT%92d`MK--ipOP+62cG)E{9A3^YNUXm&c38A7T#1+OU;dg#KNi@rQpsoqm6#LS(i$%n=>M52t7kahf7jA-C9Y&9F?Op#MWi`#6dB zcybHQbt1Zo*<9tWe6U+-IJ0!iWg>6s1QCuidm%tp39y#j>B6AGE;^li8`8PaNaK2@ zMdd0rQwjbbhdId$m5Q>9Oy{wf`CTr}E4!1tD8KbWMz0fJhD4o(Ys+wr&RZp2SW*Rk zu{hnkyi>qT@f`NABxPi!0H_o3QuIHPKuUr{(0C2CF6UPFoUiBiTAdUQo;?IT;Habc z6Ag&Sx}u8@vAa;^g6X&KGXln2ZL@3Rbk#(o#k58AF(zATGWG;ldD$K?6F5$0d(sDC zIdXV2ga59TUF+{QH4kKqewGD%)5)u08{On9+$Bq;HPjLpT5-Mg*CknOEt; zPNW!7PW*fg`^HE22G2DO`!O)Lpbslb)kwEM>E{3kldXtorq)*ROvN<49-5}qU^`zNPS zF}Ff4qXcEsUAM-0QaGhT;FAEC9mMHv-3m@vlShEdR}mOoH9No|cJ`j6R(j(W%mJkX zQt78h)v~@~)hSccX(#h)AE$4x%pz*i_{H)~lTr1mj}$&uXnX1#sXvNLC!-P2TQ3=Z zVZ$~;hg~vfI7Qu!?K_CNmDP7LAb8ZZvcTTnxbpF)U)pO^{av8_TNV?!{2-L}w z()bg6S=n$(C6fZ#R&e6Hm8~i{5o-0thj&fb!Mj{=J;8+_(9n9Okg^f-(gU++IsN~>%RuJSw&{@QLUfDVv5z9tL?iF z>6%z(@}5r{VYbxBjhJ8BkWA5UE@?nsHw?~gY?jga9RHqGoZld6Y!m`8=d(W~C1=ZE z`7E_I4(ADED+iHrlN;i73@IJ~K-w77Y)Q?a0XbmrN;_h<;RQ(()TQ0WG+W~-9}&~3MZxHpCwc$pMWr)>#w5^;g>KR)At|?Sfp0SuGG^;E zNiCYv{r~zn*Kj8EIF4^?Rug6;lS_@lu_DXT#a0nHD!I+2n6{ZHQDa@C#Dq-O(UMCh ztWBEe6n4af(?msCn$WBn7KL&-QI4E?p7Y{7z4^ZQKcD~i^Zb6V|IeR1;toZuiD|~L zGAhymn24o1rm($^4aTo2D};SGHF$yBdZVXH4XdEqMJS9(Ib6q-L%qI44P(Mul|F9f z#CBVStAxUFJk?-b8(nU6XuRskaMhqw&?(!%uAbysSwYP{#YbfBd*sujx=k%F>_VlC zF}~GnK?Ez{1ULKJNW~DI%{3g7VN0asuo?Qp0|@D^)Y_1bW!P>fo7O_pZuhjI>xeVW zJ;4WrP?E*+Lmxi2yE(EfN9QkQPpM@Q2$L10?esF-@b{(`fEyZ}b)DLpteG3s4{bIA9F=b zTC9}eU7A1+ST3bS_bvq-!< zgr;gU1a=Uz)Ta@(jy^t82@V#iJ>Y`2c}0W_V`QK*9u%56!2}}3jIRb~yf1En1oF@- zf8wph_i|U7UB6mF5fxDz4I18NoT7;OREY6#-)jSmD_1qS{>&MxSog@!z36^g?6W`y zMz$9phVKS;7?vygXS$LkeO}qS%}LV^<4UuQ`nn<95L;Z_ z;V3cr>Jr|IDYH^R^Uin(hRkP?`#gz30&GrGfv_4ZmZan}38)SE>kSleD_zkeex%B2 zjHjngGLaOW|8;OK9~_F&n+V;xwA-=x7eO?Uy#BPcBQ0O3_np z_y!)<_jGSbn(e|7c_AfKC5hELt^c#UgUNe z-41BSBSQs;_T^N$1UjVKKb~gUI_IRvH21Ig8aq-~D}8=zbAn$x?s7Nn;Y#?aq3RN* zcVG=#>&klIS!MqvobEOE_p+d5$>y#g(@# zjXhbl!gV#xxqPHFU?w5N)AwxHfFaz~d)pP8b%LTA_5ov81hV7(!p#v55%_kbxm@gn zxME5@Wv)P!#a?5ctCqYPTs^3t+|EyqQRC=snR}I{xPU z@KkF1s=fBiqzu9;L{Qs~dN$&YaS^sur7ss7tnbasl$U7>aWaaGPa#QZJcQ8*(DMKebLm) zzcdO7;No^kN0X$nQKF{u+P(~;$!TeHmoH9_|3jCsq`|h%2F zA@wk-OvNvs8w)aEhsaNxSW%n<=TK@Xo7?lxmuNt?Zb>QI^95uHanKDn!8C|lP{53L z(e#}2B@K@y-E9l?utOv>ms)%@_zS{lqf8uqVNn8~L}>-6>?UYw5PrOKX?E?Uv~cZR cnp+ku^mtmB?Gh8eL)NB#RBi6Ro7vfa0Jlh=SpWb4 literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.sta_cmp.5_slow.tdb b/CPLD/MAX/MAXV/db/RAM2GS.sta_cmp.5_slow.tdb new file mode 100644 index 0000000000000000000000000000000000000000..c40c26a083cdc0d919eb6b5fc2e8b8e7422ef0e6 GIT binary patch literal 36400 zcmd42i$Bx<`#=7QBqX6Z78V%4s_#F3O_xueTX3%Q#z3c4mOg&IBNx5+(Hj%*rYEmiepzFm zUi^=+2BBAo(rnXZJ&{ZQ$Vsrz^4ml9Q;WIfD9R;CYV5k%Xa@gH!Sbcz2FF>n|EbeT#fF9qG_qWJzGG zKFVdxKdze4_*>e34iau3j19K>Ei8}3ycIqL92hRqt_en%FJigBrE5hLU(78{jh?)w z(sTN&l=J?Z{*6oIb_KVe3QP*Jcf{UEq5p=$9HsPVK zCz;_my4mG!m*_L@&Wsn{M{@&+SpurC>bFNu9+$NGEUuES>4kDd2e&+=Joa#}*;9Mw zjwlQpC;DvZ(TE7m_V~@DEaBW>i{>N9P`? zC4L(Xr-4=;RXmpU#AS!y>3B@gjoEaKnNeo)yBJUCv8liIbFr5>>FT1h3+ac7ZZ)=~ z43odq#;hw4C|WA%^fU#uxd8vl>LQ8WvBJ4x~EE-?!aO%qcS*hZ55XnO7Att zA{W3-B+QYeD^Qw7uKDM%cmQ5bA9&mnr9KWa0X+r;rJ!1Uut(i4H@3k)9W<_;J{Ao|iH1_~!yLvo zx*wdc-(?xD%lGUmSdQG?l=`G!o?_Jy>q_%bNBQ1l%@J!&ZCAbgXaxr>7pISZXdcC= z&dfOGkR!>HtvP_IzV-ePRukRtI$x{z{o4M}1Y=b-RFYoW0!xQ))U}bex~hz-p?RdO zE*bGdy8;qoL8u$|hG!B}6B33wcGEy4lDAtlaw_Qh;Tt60z?Vz#Q$F3%U9=Lf*p+ zkJ-)&1a`b=SCH`=WY-dbox5iy#fxd5$~zx1vGFzn4!6cTYQSTHv?}Oa1oGfG{r^K2g9}UegQ+cNR=AjwY)Y#2=9H7a)j&rSEEsIz*savSy`1skl zt^aqNAp)(C-2X%W^1dq8+lDx7@*xd$#*bVD#hG!oPKlmR>l-Rc|8KkrfiSNb;mZ)c z+$lmXZe;hf^5ENg))>smQ3Gk*y({NNL|unhBb4&kWa zVGirUTH!R%`Z4#NLSusk-w0mt&foLKQEZbU`O^yQNqaHJB}$;zD7H9#ra^q`GIy~s z8y3&D8MSPju&La8Ybh`L8`JZKDN4mL?Z++GOi`GW$Z@>9iVAt#2N|=y`ePkjQQLSv zfN$R>N=>W^H0Wvv-*Vc6pv~0O-d~+td^QD`$F+9jPNK%a?;+!6Y(M2=6&%QR{wlo{ zrT_iK0QN$Jy!Cqy<*PNRF{}cNCAbWsJ;Mrzfby+^9tp7gDEb7SljBGKXz}vif`Z&S zCCet4WAg#OqSkRNjf6_@lp3X-d9>kkE`TT<F{syrMvjQ4hc(p?YpA&F3trp?2(5-s3YFXDwx#)VmrlGULY#_xM;(G>|RIPt_gDg~`)(?D@HG_VJ? zUWPd%{roLM;M0Li7SPG7X%^pa)fzGupN%rB zR}(hg`0)0KjB(9$*lqwJ1syGm)ezY+`&qx~l;Ak5M~Ez8fNkj;B1d?AkGzGD)3^{G zxdL%qFhJySJVqxtfAd>joCpe_P1TnzAA;ug!8aW$PoD81lU-#PbxakNO6%cxSQp6< zzOe<#gDONw?a=ro;OuPSGF1CF z0(6=X1`$g2LY5}`XIy}mG*YCMCvZH?Pb(@$1=U0=3f}qV>1(gYS0~xWm2M+F0tb&E z{d@9hP9M`>WjDv3dg?J{DE76CwD`typnQ+-7sFphRfrR#@m~eEps}iPb)19`ey2ay zMme94nin~_jYgN4X{{65Kae!gna>e-qjIZ<#?9t4E@d;K=-OdOQecf%B~dZ0>eg4d z6R}81;OSZ&Q!kFd9sR`vH%+w2 zwLj{tj8CT#Qr8e~EbYgHDO~$etCNM(*`mDPHZ!^?S1FN^VvHHon~AGB59?xBmOzlw zT~?$RVQOD!5MZ>gBr6pj5NA8Ma~J+KO?g{!_34kNHnff(^{D}g6BNF2Hn_I786?tS zgt)V7ccaZ2hF;zA#j+ZEgw7o8hx!dMwyaW z9yd;ljn0EZeoKWN{I(3@ClM1gd>fh_m}|tAZPb$P6H6YG5OTzwJt7bb&DrR$g|nvQ zbo82HmpDdY{ODrHaGs7)uqE&LVf+sl!}<@jqOHPuqUuq;h1e#Y?v%ZXe?50YaP{s^slp4idXIUQ@j#wCIPs#aFx?;`)RE&)45}UyodS$NKshm} zvctnQBKB%)(+(6&6}^qYMLP5tC06Vpot1s><0pqJlYlwC@;Q$S$XP&EeR1n$#?1n} zz6g{2-=c%aI$5Mk4y)oA{^o~4a$f}Uv_4&PL$7jZ%?H>D0&ql9Rfqj{ct{*pKWxOe zMxUb*Sz{+UX1h8WXTZOj~Q?b$}y8t0xmYGDkFUsVgFU_p=XOP#9kbeGZyxS$ZFlNdiF zk+`MH9$ec3dud?OI`U+B0j6Ntv1*CYi#E#kfY7F3so;(DglYwinovdNvOxr42dcZJ zIR-6-uVW{;wmFb3zAMa_T>C^H`3 zqp8E<5^X{jxrt!4Ng)WDhc0r?1xA}8>yIP<6Y^^oWAAc!3S63fq@L^57bD>peK3fS zS%{hNqo)ltb7G^uvAO-1YpfRsa#;B8IiZ~`x5MMuu>K&+ZIpr4>HciSvt@|XqGCK| zhvjiUq8chWxkD9cBxXp09BiCTM_%G@B2ddj`r?EKkeTMPdj+F}ijYF1X(Wx@v<1zB ze6=pCGE3CEWqb9S&?ImvF)58Cn298AXlU2=JMY5#r4CjQc}KikQ%;DYXssS>F#-*d zLN&3Am9dzm{2g)JBWwvd|AM#}!MP~=3xuMC*in2GkKY0c60gOSIM2xUE6DMj7> z8;|>+9UIVbZklb}+yc0(|9~uiCoR!{-I_8CnYS-aaJ%wag5Uz20|Ao6Oq=iVS1d`e zh@*kyw`V$@kX zgm$pxDrp7EX*Cr~8L~%H$ndyqW;BiK&Zg{!x=0C|A_f&M5wMO?Z#yWePWUL<8aZUJPJBr?_^Cy!q+|fS)X`MyI4U)x|Xlt&cp{ z6@WGGV+GB{szf5E&=*P;(Cc~JMAE2==*fLcv=aIfBcXL-6t-C zqiRG|?93ft7&b^~f|Tg;k)9>EQBf9aV>ODz$;)i>OHwnJ^X+e@r#g>-JuF!k<(8}y zqKio`2l>si$ZIt5!+Elz3JIJypa23M6A4uv|8qUiYIdyw`&TOZViNfvzg`xbkcd;O z35EJPeI!+^?X_%mUWsI`FR1@DW1d{`N;0Gz&xf6jP9g8(Cw2vhVs{I}rJ&91W!l^R@@X?SQ}mG&fGx@-CsYOVxmhAlDfAXv?PXxmfIlnSR>VFIirY+jYeL`q z7*!JML42`qaqsjy2PlL1fhh=|Q?1uvJSE{-Df}b$#txiLcl}#-x;#@o9Zu+%L%%x_ zbehKVpc=|C6NitKxTjKll4?!(gR)3@TC)c=M265P;b(8j`dfw?RYnC!;a{*9c6cEP``c}n36*sccb#1x!c5=)<-BHKn_GYr_`WYjeYY{Y-F8~)7>PP4GAW=S+(5N@f-L=F~WocaD7pYTl8x6le_dI??XNU}bh2BDzSgixT`;h@% z7R!J;&xBT`4lV8*B=`c`(h>-?zh`I*>Px6jxC-7`JVJ`m)TFyDyfLOa5V>elA3s*6 zdM@yG;zV>&R2)9{Z{3hdNe|Bq%lxP<5)eI(U}Hg=1be_BjjNr);K}%Xj^Jh0N~hFb zNP_7h)w?`Y$%9aH{C)y%~o<1peW6JX2((fXweYv^KJS_v0A=ij~lXS#Gqry zf7NOgQkyN1qo%%HDdbxpKE8O0!)?dCmJqoC=Rv?IvD|D5vyuI4hXJxi{*f&!E)Iq? z6pE3FogxXn3)({KyMbJ?AJEZ4`$`t1Zv4kOIOmYV`f$Dx<{od`#rPo7L0;Qz^pGdI z7%`l5Hph@nNWpj|VM;AUO{=|;;C(1B1}TypuI)bGkUzM6IFplY$gh<}B57zhp!fm+ zPa|=PuUN7chCLLS(?Xm9yCM}G@S(29(z%N0kw&t#`Q7%Avab|Yu`TWWJ|~D!wO4c? zdn20&s~Rf23b3~ZL8Erng!ktrw-!RVNk_f_LDGU%I{NASE;5!#G3U4KVrmj87D(pv z;fp|P=1$HR!zl*M$7W)I(-wxD8I{x@5aSS#wcOU?2A`AJ;K}c&`JEu^>EuCe2U~~+ zj<;c35>8jB9J*>W|0jRm7LJ_RKopPrU6nM1A*9lh$v_mWrjrY>wJj7L<$3zjf3hz5!bZ# z@;``J5Wb~&yW8}Ts4fP#PV7=9GY3j5gq(uMG?A4udfr1~eLPBUzd=)58*nDKC z8IgelXrl%BT$U^yR<$PM)W<|4R!6w=tu0t9T56OyBW?*l?2QQ&`t|+??&XZ zi+AvNcDk+!E&x_sLa=cGayKgpet;&3FP8!r2TSfX+N7GVx5a1HYhv@^wx=FWcXl3_ z+K6}NEDOmvIo?s>yP+DxZZR0Jbg2*<^4#fyr z>{jl;n@LIFbF2&SKK%ga4mXA~YHHhyE@yw27e8W*{611kCwKsTX(Rxwif^FxByQv2 zl<+Au>+c40G;^YA)ezbl&;bE*MAe!OX&KgyxNK1%%|{*+i8nwd$rf9rkVE2ZVMxcg za@pe86tepZt~jQrEx1+PWNpTED%z;cM+)i_8oXv$Cai+P5YYTm zT)ba!i`X)TY*-4$FG+L&IVFosVK;#+2}!fPTO(CAq?d{ISNMwJ7*1d~t?}o07+K^A z8o$PJ*2RclAd9?2gSk`l8skR{r6Hm-|-x_ygAaigZoz}h5mmn{jB`ocHn z;tXO3Mqr-Dc9F+SZWs|^6;!eTmY|Tos(^O<-6e~Rp`A30K-G(UrJx1uSOc~*ky6>g z1PxMD9T|mwmT1;LwoM_Mft%ebi*Awda|7zr$U4T9rH?Y;>v2ez1aT$XZQe+S-y(}N zqmkXHj&kTJcEvr)W~va^hHHDw);EZ{Ba0qkk14dLD!ipaAXtLrqt(g#L{=@e)`&kN zi*%>OdQhw62=x+vCxJx};7MGwG3r)kE?N`eH68yKz{N1!ke!q27ZCRc=alN_-bwKW zKp>!r2svz+^}SNNF0=gDObDc_ne1vj=NBHY>$a z_*V9?A=@q$=b6OX&)4k=Krd~^WRw_7yHllQVd9+d3UCnwydYxUbQGmn9Eh9rorsl% zeTi5t4ISMd^`G4d;6<*X0yGyO9Yp{4lG_Z}%fh-Nw4P)!mcJ4e&H;}qwG8=px55nt zj1-=QCQO^mA&T2v5~6N7LEpL?agQw!>p4~ziA!;@E#FQt?961k(GvFLf-%e^v;?)C zB!-panvaqe-O2}8N+ZP$iqSezzob5ug{A(VHIgtSL=792*2RefChtrh5KAqI5aPA* z*KAd{Q=qi2ma{;U%uG{3(?zjeZVFbS@r#fDCUW9TJWyGu(&J1(_S;K$i|$WTTiEVaqeeeg}k{herGX4{LXX6GnDIo=Rt@>cy4jg(rr zCCau$BegcjQWL|xJUe;r%dCef_Rb*s0Zo zRmg|KH+dhj$2Up;KJu+i@;y5yt!s;Z;!D0!nk$*J2|P4&88`!2o_Gp;bDH^{og@x9 zAJ-`sB}`@il21Q5uFMNL`-gYd*S?uo&+rL1PCR8>(&~t(meQ<0{)8-ZO5#R3)47>R zhl7#Ex#f7B+<{@V9B)If0rL~pLOG}L0iwq8_vcx**Nk%U8N8csSQDH*$uGLjOvgFh z!z+X!RU~S>3{T_kV7rMn&=O76qY2?p>9z`U#Ra=@7w?kyh1M{57V)C{KP`FgoX!Lz zb17_G8=Br|;Y~VyD2g6^wwuGiU(z&wM^}Hee6#fQ`q`LTx#m8|6?gP)a=}_31+UjK zs81X}2K}ML$u)5M3-kWnl>YXob;Ns)#+QtM+HYI*^*(TKMvBh?8TvgzYE&qmdkDNX z<*3KuS?Ua`C6jA=BIk~A@p(^1C2)%plU|oeYkqICb2gZ-GPunn@9o>NWT9l{PGoNn zlQvn&u|~tfvpls(t(hnJC7L`6$>ioXQhcqW(m?IatKRXX zObT;yxXxhu) z&F6m}l2PECStUG%aZ-lTTnmyANk%?pI^K-m%MS7=j2Avu3CyyjTqum40ydO%G3jgF ztxq5akQ4OD(?3Z}3&kLcVdfX+@4i;(WC~`qxX)$~Mdooswn7nD6a)U0q7At2Ne|lE zr?MUjyIHg2&Cm5v#^FG;D53bSaux|u{~h!=Qmg!r^?&Z%-|pW7+wPpWd>c=$vJb>q zlAWsN^5Lo>;wxGdlkmJjy)8j+Z3nCJW1#`Ff|jdP^VoUv-$rkB;EUGpnZ4($h!E~S zNS}WXj0UwnsaVr9_S%NMVq3E1vd54bk7-`%hz>s$c!0Mch_C*mSZ?Po_&mk?_>eqR zaAb!cCO#K&xW#r(C}w&#q*dw#Onrt%bp-Zv2=e_{|K_; z$+U#_26x9ewl>sk>7q31J0E!kxsFKqbgqUWh)?>X$f`Qwj$Sv53=&^4uU+nrYI-7& z&}Ihl7Xz_4fuvZri$BSs|8G0Y1*GRn8|6i}N*Y+9PtTqXgZlJ$ZovrRABPU6XAR^r za@O+_RRt{=_XzP&9O?pYx8auE1y*NmEjLbHzKx2V4ZH>Q?Z3Fm^6vX<-#_F43Caf7 z)L(j=_<0)f4GT3+cmUga;WMZ4aY02Pfq^>FA&yKz(G}9M=hwM+IKRmI-@N^aYKJN0 z+tCxME_}ynD!fTJa{a(4tw`nYQShtT?7Jl4)WGjckX5EQ5f{YQz4HxRWJuwzT zn=RJV_b`k1A4igH^aP*r#loNPuX$6oymC?E9TEmqUI~LYs?~gQJ6GK3u2(kDIHkG5 z;CqvPUphKS_L8J9nbE`_vPc|GL$(x8iZ6?-+2VE^8}Q)#fJR7*xmdJE^ZzGW_)ysP zuNj&LfDCrEn&AxxRuhs9GKdR>CEUkm7nYk99^(0UdEys9k ze12R9wK{rpMoO&jnvCnWe4Y9O#Jkgy{r$D}l$m(7yUXQyu2(+`?x1T9CUlRNRIPJ$ zIBzx%idTHxIlk~&!mW4{Y9z`;r-;koBlocrQP4x7v<}`{%PZ4DS;BnFjr&>8BK>w^ zPo|jpVnTjZwvEoJ_2ENF&l2INbr=J znESl;)($hkeS6?xa9cjLA#228^;5YIm31=`+tP*HI{*Uuj$u7Q9odv&x^;pq!#n=n z6RZ4KEJHSc((~Ig*ROXbkMYudJ#d4wp*s>OTb0Fgi^_-C`yJ+pxvy(l*+Z+B4#PB5#g1-#w?T zAn35_bo1016kfabkK-WP-gdcG7nm{#@G||HB(|Z2cc{q^`yXQf+cV)I_=DHb!@zDG zG?Zb!OA$Q{geeR8z2Fy;7k<_!`mZ}WcDI9jomS9hLLd_lw@2%)!_?8l4(3y63qP@X zwz-2Dx0)O}d_@ZrWR+k3U{S$Xm!wW&HD=@3=^5sMyTnaT;Q^N78y}jd#}9PtUEOj0025YYyPkCb*{5~A=u5_6kT-N_xpe*Cxf4Ne zmhT-lqG!pLf^Sp}#k(|Nl(YsLpDLK^@pFkE=BPH?%sLJj^HjF+jhTS9XYQ{BD1 zUDn%#H;C`5-^@;T*h@|dJRcjcjF-J12qxB09MslX4gn?42@g$Im`bZRh6v7MdUfsl zL-8GyD`Q9NqLo(fQ2h*dOnMO?8`H0zRXc`n`M1tJC$f__lmheCJZ{{ZiYU^!?j_ES z6UTiWqM)FHjlHjaxse3nd&52aeYPSitaD2RjiJe@PRc;m_M*#84k?LR09kJ1ToX>2 zfCZn|*Pw*&ZGkS%UcHAVcSbh3pnoW?jTPt7AAMfe7gm4frRMCXTUM@I&&X@Z&S7Cq z^}XvcrlG}Bruv%9iS&5rsVn3TKW{a}$NDGslDI|X$z8_ch%~>}R7A%wny9YOVA2JqRYpTM8}2ft3P5DKR^OTarea2X)tY+bBe0Rzow+<) znw79<+2fyse^urIS^9EzW%}KuPRNFQE`%)SWzDW22~$?$sL2TA7C%F_m3{HKv6sYf zc|I>S(QvY!_~vBdw=cx9AI}JcbGNZEiKRV+5Sk@(+wk=-d>Ba`u^cKbRxO0sA>16l z@SMS{`qFYZ@s3YMJ?{H!mwaO&+bY`P@Rrd|-1!0I=rz}*T-^R0iTJQc_aVyFv6Q+s zo(Yz`9&22p15E;`iBi_kymzovPFOBr5f4*7RuXFQIf$=;A7R+0?M8DI2IJ4)7(&;O zIgjwZlz&L-s)JqO51`SSxU=dL3u605+q{YHCYcdzWV1g=BYfvA{)A-{T#4|2e6j;D zpu#Xo5)~a8)8`mt>LqXp|4`MdT6tgS#JNLstbXzaJnA!I#x(PXS5}Id3O4qTkM=JG40Lz-?eRd`&a z{<_!7l(058c|g24Jlyrxj^CEhyu#6LNMjs#`!lD|&N z&X84&S6#0`k*gZ&C2XtkM>`z>O^Z&twpt4l-dloCDYC`aG8Tu8xy)dBO2^c+f} ztNonO*PyZ7!yS=>58rEH*`nQPIq>)gSQvhJY*krwDk-7q)N(x}VdsQ7O>_+@)|u`Wc`kpiq$YVTAF%J=N#?BO)A-VV;%2p&YTu4u$-65^ z_M4MvDm#_la(B^NIYKLU-`IL4SQPV5SWnFT44Z9<6c`YD(L3l3Fc#yq5~P|IcD&kQ zkarY4YA76lu&oZ4t!i~bfQ@gRQ5CYjxT8$it7;`-YcFXIS))96xe#9QK(kzAWsjkF zbk4Y^s5ka9PkUln8*x9f2@LGQd-Q@K%zM;&@Xih6SBrU;=~>dK>a!0iJ1-m(F7EpS z)&LiAtvs+!f%w-a#CjU3)m->T(eK1o7~IM}o{2VClF-rK|6lno{r3S3xRHJFMykKp z_*U(o-Kr$4ynC+d0KYW{?Lzdk zD<8e=0L1PAn+wl+r@wvi*J+!jGmKIb=rc~U!nYmfN*XDpn;!7`e#}jK@*5qdxKcPdwxrVJ zHpDD83KdRkjQZycxOSs=gZb)7iahRO&pl`iu9>$mDhuxTTU8~Ebg4mHLo@KR@e4Nk;zZ69=5t1 z&#a%bPKo-LUa+u>Z6P6&@n3k;MtBl0i3`CMxkG1uzj=C~=9lHD_O-i=?$0eT%oIZ5 z_Irm3+bwZ_xA~rBFE}w0$BS>59=XYV;W_tUn)BDaAS8Ex19IN+uf9;N45Tw{Qj>qFqSBK8~Q?WX@f~K_^>mvOIV0#Ej($vka>52yoe>Q zX6C>-55!J#?aVj;C98_@`$X-D^8pONE zCCg~4dD<9%>SB-4d+qOlaMud?;WkFU9p!T}l=(0lGWruHC0rz`Q6P=y_W&W4$eh06 z;I#wnmcgHU9>Y23H39FcYegsIlB%8_Kwe`M+3S)Dnck$B^FV9X(11gdixIbiACx+{ z@NEy|D{W67nGCx;a{#lufcsNls=-x@qySoN33Yr~nx{y@DD}qo7ypw)-T+~fx$SkB z>j>zET8#qa$6+rjTpv1`j#LewdQN_dsNq#TAw-pWRT-Jh1@#?!sPYd9;!(KJtSDA7 z^lib9V>FhWN0WEs$cvd0U#odZPICd(MT!2iU7|)2HnNP!Kv-~`RS4}*K1<*d#x^o+ z&i7-ID98DE-No+xyOSWEt3LMCwhUl{ma^a5f_#8 zPH1pm7y3DJebI6pm4Bvt9Hvcu)gdh#@lZO?t;{SSLm7*>`YmXma|Fm-_$7%o8puwU z#`_f{HOnER_#gi;I;4X{JJ3xXFu$`FCveJQD`fgZJ6e&PNr!*gv)9V*WAc2k~S)W)IkE0=FVKHwmS zyc$Aw21nqJ?6@GK9c)a)LI!R@HJN*U)FQIr3-`$=6)YjZ4>@@zu;G2;rLVp)7vuX~tmT4T*Id5Ey%Ic+?wcy%xT~tN-Ch`*ccv7>rCPom@f4zsKc^e*LKg7WKj-8iiJ)8U!xsF>X>vZ6&-luZWozw!x8`bX5G^?LME7{gW76;-5BprKvb@rZ6nOGMB8wbmZ5uD`YdAgxjgQ{I`=nGE9m$4xQGJWBTb#FJU4#%?i~ zR$S@yFc&_P5tU?DFSMqxc;L(1`OU981?hpQcDsP$6#s-PV;_?Ur4z}Eu~HGPR9x+{ z7v1GSLqW)Dd^b}gOqZ_ro|532g>D{*tO-bjQEY%&UzNx~lhFUz3D1CTD>t=|HH^q` zH(G&ZtrO5(sS|nUw$}fl=trNCtMZZe68HtFmYwcj052(&t+US_AT(>Np)yPvmu*1uR6Dw!kD%;hITF8Emc=FEm`lK`8 zP69mM-uS>-4$02zk){}mjpR+#IU?*UFlIFMr({fMz%@31#Q4HxGy=Qq zcyarJDXY0E#oxw_Uz$AFM0~e+=+E=#i1+WD0&U!%)}0n12!C$nuj%di{8ydi)X>d( zVo`c%U8r3Ukt+!iLM;0Z>VkbH`5wL4l^9rpo#))qNz{5!!` zSZskoL?p+3kY-`ubfGSly#<>TC@>=iZ<>U7r3jhWuT~eO-zqMxKO|&N^mzZ&Kq>~6 zn41WFprb#9&oHC;`<&O)S~7cY>>CVAmA|01gnrP|De0Hl&%J<8RgErFKIVN4kMTfOxulR&2Xr(jpS(=E ztxDgyTxYb#O9r}%1@QbQ+g+}(I+!y@Czs9|wMD8wLnBp}#B@(<>4}x2$gbN4T@UBv5S@<`N=-|$*we&V39z6%FyZ2ucM=B5 zi)fWg*w1FF_CmAaM+Y^4KMLdNYdj6{3x`W8E@KytQ)K z$a@1H(-{~! zhLsd$+w4|yWNvBlbw?f7Yzew&%hGHuV9L_;DFm4cHYL=Y|zAj_hhk5S2&s?|2WL22j-L! z1oiLlizx#9qy-i!c1DxW=q{=N<|Y&(&Ub$b4zsO-&}WfLN6EVYM;Y7&e$H!dJXNkw z_(SS_-od;p2nxjTN+D7s7*hIo)S-1uWLp-v=U0%e(3Otow^rsAoJ*W}F?Pne=4{lD z-4DY85WgA}II+8Xo6OqZDV*f9WLnQ2!;`HNsEF)o=O&qk9rDQB_o{upf+8zPk#kRd zd#J~u5}pJBL-&VkkE@~37mXf@O4nvJo-4)@ggzHA<)zrm zcIB4#6ASmdErLo12Z@$9*jf`kfe5XVd<61m(L0xP;hMJ^$sVuVdY#JEypuRSw`=t} z6@mI>KEg{i)&gvcX5%JKjxmzR^_K;P_>glYN2-CJYnKmw=G5kY-E{=EFK6aBEa8WN zFT`ImS-3=ZtXxy{@0Swx5Fac(7ir7#!%~B{KZEURIzdH1QbI;rHZg&hYyf(mQuNnS zU$s{lp^iOhB`iJsM**DIYjDv|ZQ~?9IKgE^eCq*gszwFy9<7JzPJ@7{0u3FMIPpGH z(XJ!p8?cRUJYx9dqn(g=a@&m|%6Yzt&fi_4rsrh4cb#+?1T|viHYPzAmCPfwmn%b+ zb@@y5qj$g`+ND+LTj}fDak}N2y4ed__<=o<{GDRIF#4N+dbVIeOY8?1<3me=j4M=7 z@iTY(Pb(8b%MTxgRY9|?ZR-GKVBt|_t`X3^d9(ZFP%kV0o^0?k>X1|X~(X2Wh#3D$=u??otz z&axMMEBgnzdyrTkMSaenw;~-vZOVsL_D{2whdbAJ15}{6 zZOutP`mI&g4jXjH+RjF;9LasB&>t5j~&00E_jEca>E~vzRqQes)gderZAsv z6Vyk3gV{1v9`~SKGjNMvDU(+%x(O8@x{)SZ4CDD8h7eZ-%xR`Ic_-N^$J6WReAF(= z#^Ou|(|Y_wZM7!QhWm|wY`mN;2UK7FY?YlQu6s4Fbv_q0n9{{ov3wjY^Z;WX(O*0?B&dPm`oaxMS<9_ul z*}hK5aN#p=T{W2JE*;?ThL_!_=tKDXjf_SkMPN#LuTaovs|?i2=?Z-sjZ^?`mP=^c zXob5X6SytA#9EKzPce5lwqTy9-h(pDy3vJCQj?*qz)Q`wfG21@_PzrC*z-+&^1E0B z|GIp8#6vyt(&%$A22#5XHRY@-_Mjf$VDoJ%fXfxp3J8j(LIOAltutB}Zgl=<97O&R zqd33d(8kP0(Q&kre>eKoyZC!Th&azanbNKW@Y6uQ7%P;iB-jZx4o`J8oQj~7aN6Cj zkUGGX9T@`zNmk^tNf9&9gwFK&r?9RGwQGfesyjJ^+4zi+z{MJfF-54j?zSWlMN;cw z`%sr!JK(j;wsjo&kVhn=gIN#p8%Fb|G{ZqK`qJ>^Pvj3c?LzR!T?%pf+?;{6MbIZ3 zaV`+|h=GNFdEG-$U|F&ZA_gDVJ3?0#w02li7js03HzCa6u58%pjJ)_IylH~)QZzIk zAMJb_%V>S|Y)!aEK8~Y&GlQ>fTh~2~R`Fl4qns8UWy5x*t?Uw5T%?jsvLjhWe|Q~) z(|ZHhY42ntaNJARiaefPK8?kkljIv?561J`#iv{?c>r2Ev1H#a808XJf1bM|31BLn z>8R-v+?q59{j_YDnfPbbtbyD3!0P~^3_J?lc=z~@RsSuML0$j==FR=rGFt;N6 zOMY_Rfq_d|Xvz#{VYVR7)@pFW`6t*ZDvsh%Hdk^#&w4Nj++|C6DtfHRs*GEyjn`teY zY-r6EiTHNs<+wH5N?t`FMk!6??>E z^AV7AwN48MU`FJjkBq+9Tb{cy+ivDwTit~|mpcpx@K^UJf?xgHoF8y4`@?9dmRD+= z?!z7zg3lg$lD*PMn-f)e&k)U80vxiv)pR~W>o{TB zBs5)o*s&MS8$vUsh32=<5sJ$O=T!lI^-fSo$S(py61~Tt@65gI-=`vId1+drwc6bI z!uXZ+V=LDt#w$X|P2>0F``S4v!}KX_kKD z$lzjaZaa?S+Pprs9!-3GysmP*MUA!1M=vLXJEOqccGI;xQCkAMhyL)C862~nbLDU7 z%rPl(O-+`5C9+7u?{E*^@Nbsr zp@-1Yv+w#(ydUz*ZZjP zXmXnLr-pk$@kizQAUknN1-Ix-xyyHut~0KfRJ)vWY;~RsriMOu2&PHYRQxp5e-h5W6E$IGJ?J) z-982d5(lNpzUVy#m8wI!?$;9e8uBB(&pfX%yOs5xljmj7d|BpZ^x)+A?O1*LcdPKM zZOCrBokT5UWW}kuXPoHnXZw=UjcNHwI-nvoAjNQ@?J;kU@6^r1ZMvZPy$`>JG3(KR zUX7?t)u+(Y`{C%=uj|L#Ty9Td8jpV5|AMOIYIr%&WPt0aYpR+)4DZZCjh~`VU;-|L z^3{$=|FzoRy*q{(x0WP`6Y%VX;h769(LZWcr%n?mFr?@}4_*~&P$!qk2A<^zU~D;` z`J3j`%hI-9i%{E^xR)U2E|HCpdXb89&_xAA`DAR)71-}V>t`ym_l_h^X=VIoLR1}# z4rkSu(%9_8&HmOa*ma2kUO2YcQof{1>S+a(^wF~7p9E=aqtkQDMB#YYoMU$bs%lFY zwTqN>(L6VZ|L8u~9b!i>Hi7Nx%nh9cM2t`OyKkSNES?-B<>$G?26bvS?@DV3oLp$_ zwoHBLEq!WwHg0`?pdt;LXRx0L&9x`7L6?+y7(JFKk{H|=S6~l4H-G)7I6T~MrbF6b z^1SjB0d!X8wZW$A6IWy=jxT9w!9H55yzJ7`YyMW&7eeWcgN1kqjI*_5fK@Mf#E&Da z97Sra_|ReV=<{CulUw`%6a#|=B7q>HJ3GBTk|;L#8aI3&nTqw<6&w6&K<|atMBD^o z!N&tAGx|N8!dd2BU66%17^*yowPSG3=?PufDUhxIH9~JuYCA=38J91a%Aopu`-C-d zjU3kcxB+KjHXc41_P}xYs189deoE`^L}NG-;Hy=#oPRC5_r<4;lYa1XV%+DVwE0n{ z{?97=j5^Yl&ew^g!8>zv67PN-e2Iu7Ps%v2Kh{-8VQ=nk&F1e=JY(EnP_)bnmLiQ$ zov?uQ{PsH zQ!N_A-x%|dvyV7^u!ACcu2z)ksvMP>#DvFsXz9 zyDmN;`#aoJ5T6RffC2Ncvk4*37UD6-O4hek1>4?v9~Ep%lP21wG#AtrNc*H^>PV<-1L)>YJet&oTJ}EkzKI~ScS!a~|Pv_*M zqT|pX$h@A-PX)*<7ZDW!pVOG#;Xt-i_krb*Ji;e-^VZ9IhNbT%bM*HF(9L_AN5i&y zi4{MLZ~)gHMO2C)bol~PBpe-A#oGbu(Md-@2S?3IOkyWWZaX9nsEEikB?70($XJ*~ z115#cX|Cn}OQlumju>o#+?K9T!0kKdDK3vbqrQ#h4R~BBvYqtXKj%NRf6T5?=O3St zw)@$7H?rvtI7MQSRkr8;p_&?M7~Ikg(P1G&{DO9tISMr1{@WBzXYx((UV*q zCxeY(Yge{r;G&r;yS69MjXac)GA!A?eY=BjX-yR|ex;WF^z_t=f-6Y^vPOidfeO7y z_Vi7M&U%A+|B4QR(1X+9+IRenKKO(bFTOyz?YfOj4J2=Vct19CkLbm{hvtLlj_L4# zJD*_nWWQOh4`kcpOy9$UdRP z;TIwFYtpnKJ;p>Dn8|3ffY#(PpZfjwIS~Y`K{2Hz15}b# z?vVT1ksWBAzy1hJ&`0TUf~-8@i5KRPj5?y}YRmMGee7JWJzeUNBN34@*Yodi{@A3H zr5)`!Q)-BCPME;*QII^I$&?(*Xxg4O58ZP&oZ8PMuK+TMMeRJ$_yTfbPU@ zO;~zF3FqQzv}oMR7A%J1C0}ll&3!yEPa3&+)s% zJN=Af{A0QBUFZ7UyZo~0VMnREQy1>doh*c@nq5|nRuf?Nt>DFlBA^T@!oG7U9hBV8 zrUs`b*^Z`XG5+;j_)o`ucj(PeXd3s0o%s+>N0>}@vKG2>XtL}O7%*M4Og9+SJJ0@@3bZXOBHx`9jY}%>8kd)}F;>>p@CK6;5%Zu_QuAFj3J^kyt zEv$9TV?`h4QVq1nPZxe|acS(jVRNrnuT;5Biik^p>v<0U=rPWaEneQ+74ud>>2sRXcZyNpB5NoU|I~Q{kIxr&ld6?TA{r>eNhCn zi{BT|a4;#Kbenu&HSCwMW8>PWdgjPZuSd|`ptW}AQ>y}9@qrVlD^YtGjLr5T{j&GS z);Q}hxH+~P6~-598$8ptt4vdv+Fa;<-=qgmfi?vNJy|xpJ18D# zX@7gFm#SW>O;DeU2I%6zI!w%Qj!@ zh0~6T@zZ(ExXRKB+TTpBP19by#JFR{`pZg!>zJhmcYIK#0IjoHModLaVf*4C&HV}K z&%P(cTt%Ki&-$xl7g~@VI-1m^DQ@v;iq?BNxYA2BbsX#$yozW`QUQ()zp$=_Epop+ zFib1|VNm@vX#~Xn;>ekDnrsvwamuOL4P{?`Z0Oo%-eUe(lvF)2m|QYJ2o9TCvTNXO zKwhe2&V7BX{o{quNPwKBEKY)OX-e4fQg>rfOn0b+Xyv#^g_)0#XSxk{I>0}Ed&818J zcCL)%Dh``n{5$pNtY6Q+SBcAnjb)3IhHBoWSJ;Rt&w5+9OUh+fXL$;d?b@rB`Cq1v zwYp&M3j$9#TVAKv*NJ#hqh+y{YyeJX;W0ZW@I_q!X1tCWmEUNQIy|S#wr*db$K;ax z!pVmhlIyk!Q9J(1KVGH5U(9iy9%M-MT^(SWSRx*>QSIxi5OCLLJC{Z4vOh09jQ9MZ zqTc_4^zp0aHtX$mzeYm8@{hGR{j?da zRj$mtU!k6}opiN%ms4?0txNOgSj4qfm8Xqnk46t$h!!=|HX}YsveN9FwM})+t{_(-NXd z&Eva>h0GgZaERJ`Co|{br*+#Vwu)8Y;{Ek zM#)eZ#(K$U+Evo#dXz+PkXJt@l6d|7Wg0ABHUmX#l7m6B?mPuKS)NX^+JUWh#|Q;> z$838pbR$%)h{2Z0O>*OVYW~KCGs%7H^?UlW)CQ!+Rg#ssQ5d>UX0`^lK5d0HYKqgh zZ)k9J32IIE{2^oG zb|PY}6))4q81=EV+|Ra#$yiRi?K{Yjwq%0PfhBFX^2LqP;yfRe3`oxqDttm(4a2fs zO`hGe@+qq%q3XkbeU-SM#7!FTCrqfx^naGba{9rwNlA(C8q;}5Q@uO^4-JhoU9~3) zS-cW6Ck%}Zj&suA)LHzM@5($l>f2XR*yeDih+|@mSEs>QgCp?M(=+&xArS^q);amk zMCm|zO?a(E=gE6G9sQu=rU&u|TK9asEwi8YS{AnxTc0>Iqs>yC14F8NouBB`+SSUW zCkJf@@0XX8tPXg_9bIFK&br2C`YDfZu()I*&RbZ?EZE%-R1XMxVg}3bQ=a;s`Yw2S zC1_qIXfM+)WXZc5&N#T=?;@TC4B4!WU}8&ix{u)7EnDNgP2~1>UH6>SU(|&}GzaaA zt-0H)BRb6c1^9k?n8{36-L;R!8zin7kK8D9VNT`2f2*4&na+tPI-jel5i6{@H6mxE z)hvUT?(>V%da#!QW$i4}Fi4Qp8X<+INhzuf?KNa*gCkdpGl&E|~K`cuPREQh$|NwA|WfSYvq; zEs8aRtG%S$S`Ay#W=n>D7K7c+yjZQ&d7_Z`c*H>g*=e+Yt-$oM9Ns9OzdpN1!daz; z+dk~_emSFP|94Kt>!{imSK-?j&3!-4{nqtDD*vcBt=IF+d0BVe5`!d*DW-vfDIWG1 zyF$9j5KO}_?R%e1FI^D#t!aGxTq;S9;E8Qk-ud3Q7j%b(ynEqu$~-si4_XUUDD`*Nj1d=KT2Q~6c| zRFc&Gn)bUehKaIm&b5&ckC6iahtw!2-Q*ND+Ct7rrfnWMGdaPW_GRKX^};m`gGT1^ zsB?1e>fm>WGB}*m}=uLF-qQUu-$emBdG@arsqR7f%~{Hp>x6ys(NzBqk9Xr zbLl9(kjs>hrxZr@AHXZ;;9(~3eDjp3eZicRneB(S!zwt@g=n+Lv5{`GDVLhElO(HA z-ifavSJoc3RD#!limPjgGnOM0yMq_H%_^h{@!aE_GIjSq1={dvgjb{F4s>n9~1NGDLQKoJ8~5px^4!ZC9dd}JVX!a;?pvPCI3d**`f|x?R9sG6a!sp$a`d* zW89m`tE^9RQ+XG7_1*L%dGmKkT)U=<HOshrQ>42nfG8Qqz*d2W=J*7+sw7$0QHw(2t^sn;r_qY;sb5Bud>#O0^&y=X{u z>9w4?gEO7{{cujft9amGrfdGs{dZN>MZYaC+B>8#`s`{*1)%)-`UNojwWalS;iM*i z`cShRX3|ZEx^(52Ib@}d`;$rO6io8#?jq}3$JSJay9e&Rh^5r#M zGHw_t4epC5bd{xTkbjCN=Q=UYFZ(81bj-l}WT2_BnwcXEv)fSt2v}CgCpdX2mBO2f zjaw>AuKyT(xrwDK9m~C3{mQ?iD&<@2N5dwm1yXfpkT&yZ=jCI(w)c}0Nl`|e?QEQT zL#>gCLk*E`tt?aD$4tA-k_1gNR9+aR`vKl^Yo&9AVhjkDU6XfGeT$L7EG3JK2r0}j zKVzG{&v`w{C5^_8`C)}DxWy)${^zz1IJuWw5Al-A9k0Hbb>HCSEQDps@~db)zu)Rd z*Lf>9excvlrEE=738P>U^TkX2{RYgRZ;EO9<2lfj7+eVBPHiAvKCkEMkvrt4q#0w) zC%rGGu=ZE<&(*6r-qUy3^v4am`e>0ln(&4iB_C6y@1qdRdSa<`;AflQizHq-_g}(8 zb-r&&l(n@qed)Pboyw%i!lWi=$96q+r<;l8BBWqpw70zX(!urCk855c;10jzbZ!;* z8N7Co@QQA~+gesotWNW%D#hE=0{b!4pFg9bynft|DlhjDo{yPV;~@eIk)b-R&vy&$H6NuT{hu@x|D8Z0esu&Zz2 zB5qqEVpD_z+|MCVB*F&Wyf3k{FTV?`T(g8n)%gtHi1g)}_ZCQG#3fm|EBA>ZNNb>fx=W87mohHmT(B zcedS5>}yS=8hCN)xZcv9#vgAsVQt-yr4I)RHk%S(rj`cd1nXna^`>2u_I;+~`O@UX zM-IqzKmK=(hX}3pi;}o`;|_S;6;-mbT1bz0U5rK6*BX}+r3bI-24GvUS)1CzPfsnp zen`c7eX`XatYmq8q@sI_zLVkk!`YB$t(T-gFNkI z5v3q+(&AAgGMv>{yX1F4#N9(T5Jk#@#XWzN6E5$(+boWIe~P8zY)bUaEDL>{%UjXn z)ScA!>v_lPDf=f&DpZQ-f~_y()wX*Ey4!LO8^vD=XRTHXf6?a8`83k?Xi`nVx4?9P z>*Z0zM=`@SS2=uznjf|&I1hH-h8E|IK_7W%>@nc)lgpd|!xI6%KcUYU8W&-%%dg`0 zZFc-ofs?sQnY%vDw$(@0;z{-E@V*>OPX%jJrx^Fu<~Mf^Smj>wy;VPyz<1xZ$K+IM zX3@JJX-)VAmuF`7N1D#DPdW!&RVz8Ghoj{2?zktOJol12$SimMb7SQ@dy6xTZ-_gdkBmE6 z5+lxeN;=W~N|lML=T4fQHu6;Qx0=cyP$sSZD50gJr^6;6{AfJuFClot>xcw3JYC0z z>-=cYycqZK+wXtZMeb|Z+h^yH(02!(e(#63`JhlE?d$3IzxR_31KaMfxta}nBnWus^02usCt_sz zjIPRXWpAuoKDxwL`lcq$MeFIar)&Ng55>N>`08@{tY-K=FRN}@u$hSb9g(g(kvu>2oY-@> z?y?>swn#sgettS$i>+~mykJ*wBl)XZo@S5i`P(uv|J}XI|FZgouGDC<+uLJTkEZi$ zKCxqZNpQ{jiFgh=z59Ckb795T_d5kY<;s_HFCB%s`ue#$7DOcAmTa=Wzt_yN8BKMP zI7|GmE$OD78PCn6`~r=P-ZB*z!Xs)jGyNnL(NyqrCj4)T^3XGO_m;QN)ToZG7~+NvDI*EU?hfBjLmcVTV_mnM{x7=zPEGs zqb*0Q;U$q49eRh_&ph^4_0qdtaw}0)c=QoRYMjuUmH?g;I=0!{*Au)+zkb|_%nP`! zci3>Q^PbaeUUPEi04@_3bxc^fwsT@(cO}k^8S~DJTYIzOiB!3h^&NTm@Oul3pRbI@ zj@kvN9qZ6G@{*?@>)*0U-m%=W-K!B#HoFxQm~G&T_@rENzxz94K$a0hWldCHQTA#j+kfxk9G)s^ z%NxZG7M7th#MZN96(879uY?hS2~FNW;WZLc9Cj}9O~J+SwzA@wFNHU)Z7;_1q`fN? zH_OetSx{ic$TxfUwm{o(^7ng5m-3qp{4zK2Afhj!Kbtla#L>NK$LMcyAR*k^)_21i z2)m5_>@!N&`va)E!aMO;X zRpyL{&W_F3EV0MDmoDIU42z%lUUe*KSy!rIQ781FM51ky+)C_*2Y(RC4A=e0tY|^q zsJe-ZQ^jx6UZhnNDhDl|n^g&aZk-K7K4@x7fl=xz4SyD2mdPT(GayKkU#rl&>GBl|Jujd0T9? ztniT-r5oDWWQw!=yq5e%5`XS<$?$S_6ID?!={(6x_us>iV#?iXedK8`NlCTP$j>SB_2(}Ni~EVlTrf8BOpaW%vq@jL zuWR6iK|T8RphZZ`tP4IYF*3e!$51im6f$cuK-x+s4QFD5+$Ws=eZKBByq7zKJ68Gm zdVI|5sh}vj>AThh7%`&rE5DFSqEvjr*gP-Ya>=fuk#PjSV^P21W`7f2_C4j(K*#D2 zh1y?%QU3DI>He6&&a~gCku+a6xX><%179O^`KBW=#7uvUAHVqI+2Qe>j@c{B3j`_V zXMg$VZT-HlSI*R{30QicG*qXQ{XnZLsbuYr8)V>}lNaA?x(<8pr`fc!QmY>7>mZFFK-R2H? z!3y^G=RD{ltBtQrzHYw2+jU7$ZV-G&xCJhZcdF0+XULTQ9j^+qp2k0nX(=x-Qs1mR zOPQYimV&;NXD5VzJ;5J*`)GS|W5Vlr7R@<@@>qY-thUtKHwA7M$r!#C;Z+w1yVU*S zIrYckLtstJYrpA}^$Vd&iTERhKa`jk;7krto&@i|K1YC@1M%N|O42V zz-5KODhVB`73Wj*NDBJr_}|wKjt%1jYk{JN5WdSr2?M17$G(6mOrmZLX2@tRMseHh zH=6-!``2!iwWXoW>AK&E2qDgmioc4pJQ`wLfZ#Kw?v1(g2c9=JiX24=_nsX0o?(YJ z@gwe@Yfw_&@N+uOH@i6dkmN!2F#&~+k4ruJEoSE=zvq1&HauX$ZY4~&zL{;6(&Ws( z>7l0ml{RpSywl(C?5pV^QS3>T%KN=*Zbl0qtB_G0hMQlVuSLOkACrZGb9+Wp14dhk#7adfEP7(~ za09kS<_wpal-eUWd9(6SCR#`MwpAv^#Vo*IV|X zU;41(;KAcRmAz+~x9HvM33}~KU38{eZ>}#r=gW&@qUDqz9cH}(75p!B=t@juiaP~V z+Ss+)xW;)n9e5A>R#+X@ z(T)muH=;PXQ@=q9+#8~UZv=o(Pz#{5?;vBl}bOydYZ zs7n^x+9zIA-8R`ry$zL3uPG=ld=w`4b=7dmfwi%L@8a zQ9AD6Kf3LIpi+WW+fr<00~eg{eB02#dq6f{Ty-}o6W>4ZulUQ@$Y1r>_K*tq z^ualCD0zbm++^WHHzsf?M17awwTu<&Lqj03jj+9MKfXPP7;@F7Yox zTXL72(=w(x6Q*3Z*o?%-)PJ3h?}l1So!*Wlze77M~4%FfU zce!W_VO0|B<@DU;n;buKeVDj`mvaEqGzE61pj^3d!0h-kSwes#~euQs|Ne14)&D zo5LTpgveaD*kcb0He&)MPt{XvNe;T9XT;nm0)JxBQoO)pkDl&tpy#Z3Tm~p?jYa8& zww}<2#*wNdrUuP70hiqHxdg#tv`rHIWQHc#M;}b3=>02En*sr^{J0L4aiCl`h1u8v z&oC5{7+=~EO4;pSUnd1^AYVqp+AkG3-nZ|m#l23NAQ|*=qOZU_JnDTqGp?ddmZFEP zs*s;@`G6UtQ1n}>WpQQhEtD&aFNrPk!B1?>wv}G5j#$y$o$x|7RHFP&D+eR+7-L|d1}QUuK1#(zKp3Vj z4KCDv0#5FE27X!ZMr!Sf794he2HsEoAZ^kM>t%LbD+@|!ewP%x3~wR2w-5o7F?&60 z?B1iW{9Hctsh=?D*|PU}fRGK*z=4kcGXf-0Aq+NVQd)IL8AbX3tRYEy0n)p*9>L{@LwNg^J|3YVu$izxoBU?kp|D{0)I1qOUS!TcJC@ zTS&?Vh&w|Z?CfA?o5Ys>WTr#+KA1->6KP+c%yf?p)Ksb#qt7sNjswc4aOI2EbYKsr zCD7IUkydkWWZ*r@@JGhsmGPH*Mtbo&y@)wy`(4EabPUncW70&G+!%*P_kJo8vf1Nv z594}1)A4OzY*CX7GIQnd2WCF>+go5xiSvDIN`@EB<5k$@?>ePBQ18#XRh&OE4u|0hNk_&tA)5H^k>?#3ICPYt&uO2}u)zL8ydw@LE zT2y;@00(!#z5ZYgXtRhb*HINB03eb=&{#gi9zRcdokYkIj@a?{2d=G5)T@4FDojQ^ zsV#EcAXbF@{0z2g~pnVhwS#-G60F!^w`~>A6XJ`m9cX<)8n)ghjVRRHf!}%0N zWPJzd6GVl+KX>Of%!$GOTx6YIJ*MBiAYS*PtTCs4xoBwP1Ku5Y!QvYeHAZa`XSyDx z&K_foLF7tpq`^GH8buk1H{^T)Vsw=5H-(@vi1~K6)Rxg>eGt+%ZFfTs;c|8-(c}mQ z8+$pW{_^hn#l13KYN2Bb)s+Ih^owA>hx))&t|Je>+4mhdSDA9+w~zCPxaWw^(-D-{ z2-rA{6?A4kj0Up1n5NDLNTq}T#=8~rZyT_?8Jy;7CUb!!|A7U$u2@bU>}VIPuLCj$ zorE!FhBA=m$f!=--@Wt&rCfJ_dY=dLSrC_x<`lAFl6c25TAKjv6Hr_4RMyA9{A%%mCV3;@)K(cKNVYSMb8^VFK?Mh|OPrhGLAAIR_oe6A3SLH_869)VGX=Y)d-OA9pFo(NW-h|7i*C4ct5~F zFCN@s&*R?1MnaWGpj&vGD}OS7+&JJ=x?4x**+hBPq7~V|2dTbKJSMyMM+o2PBrQLP zJTdCzMqz6-`a9KL&q&Ar6Ex*>COQ;K6pCv_YsBuGvMfjAv&5B7#W5~mc7RC_P+anACaLW?Ov# zZ2CQH_ub+jj~UoBhH;I(93Rg%2n?9Uk>~Z7@#a}jyOKS%K`(8%XDe_k2dndn=?*Rb zsM{z~A#J*scfisI6$k0Hxas%gS)ujzzmtzOY=}!9Ye*-=MhCsv@$H5rCJx3@(}s!! zDq?}LD}&TQZO`o!6}JJ+1wbDMwJ+UDl5V9>AFm}VK&BxfAWS)iLK0ghg!jFM23swP zOno}$&RMH7d?hpFfN3gBCkP!N4Qqe`h+PWdY?I*VT-idaPqz^8xNG(_(>NT0<(~Dy z3zh{if{4P9A)aaMQb5f->7zas+O3KjqkC_ntf}bu{Xc>mMWP4!5VD9m*en!{q}yGs z19q>AQ>y^t3E~R{oF}^+8+Y5!P8#GC!iZg*AK4E- zRop^xC~u+XTt?uYGvXqNVVhB4(>ywgxa9**lYHQcbUoKV;ex}pe?taLA-BKW6gJ2} zs_tEzq<&nX5z@h!0A}@Ge2Myf^pr5gU9l2%J#R_F`H4QizU8vT?z@q!9!6pI=z1%7KL*X?5tLHlAOH|I_d-H)#Uv zB%{_uMwaJ8AT*wl)1wA;RrEf+VF{+Q$S5QYg0J@=4FRslB43^b>A&E5o?-S#s?k^A zB|ixR89O7kF)Q<8?7M#)6mpD#y+vnSBsNCHj)|``b;DL{`^f!np+Zt0U}Mz0D;W4* z7sOy_k4!J7Llm=54=Bq;3)#?HIcbO(Mz2m?er_R9xd1b91=jQril8C-frZKO22J`7 zXHWfu<=!q5-<#zOgHoj78;kP6{XvLlm<|`|=h~X0$+z{^Dc{sPfZ1F?aL?0&GJY-r zI8Pl+@%4a?*@#9#14#HF=fA#Aq7-WE;_a4A*i*~JiCd`bpUjnei>aIx52*8B&!8(> z6Yy3tD;~u0VDzmT3hfjP6Beigp4+<)vE4x}40VJy8PD+WAU48Cd~3dd=W$Y_QXOuA zdr$azOAoU*;1_rbl3tyV&?>?uR?30rNCMIGFlIbBFt8)eW{sU?1CD=(^d+du4ywMQ zlFZbhVlR9%d!^+Mwo_TfThIQC?1+pnM08+x8}QagHf zTS0(6Jdg({Q-}E&XOfs66C+>2Kn~ivF0)8^9lV<0!?!SerMP0znt5rCp|H+)9a+`u z)FH6`w>Bz0lxj{;UGzV{GdM7W5gx?wku!G40+3D~lR)&xhS9^rAT0|kC%3=H%Aai$ zd4t%$jfNVAg(xdGMnq`fxFFM$`XMg>Nu;$sEqq>gU?r0+%4#2(Y@(*$eRI92o@p zWYFQlplKrBWLY;lYK%Oth=v=55@kY127~~4y1qBP`z}2iB2Ug6 zVCA*~=f5UFOE3wtdqr2N`MI|M<%8%Qe_m&ng@J)Mf_OL~Y^+x2&hQ{L3{itpkaeAb zZQNl7l80HD#U3+2d_dSEZQuz7&zRW%4~GfKl2*F=GSqPsKz6~74}SfR;rX2ghg~ks zuh;3@z`&q~gC&)t~9Cq*F}*h^p$x(4YwsLFIuZlt$03QKr~GKYHmbu3Ma z8(n`E0SOpDY-9NborEX*u)p>)*|`k*>lfa?h)sDG=3K5ib1--67`E%Q1IZ?ZmK0jM z>vM=Faf#sZoHMMO?PzzSVaVsJrnj?iRF=DiNn(7VfgH_#vGKqzj?@yjB#w9#HWJQ6 z6#-B^^mi`Ptl_DIl>S9pLH%>c_qq)Fo+DZfn5N=;pKzftQvFcx`8*kBqR6BTasY(W z&StO$^|h3rxp|HLAu63&_*bzJKr1O}wWD2ZTVUWt7ey$OU;|osGir zwcUM;KSaLgkV=T4z06-lYyWf20QU8Gl`ouKH97;3LfmKEi)V&?@uYI0U~fc}>Zlmw z(8}_%mi_cj@PQV*o*T4RGS-i0@{r5)d-y0mjpN-100iKHr524_!!V@SJ$6?eP%6MPVA)}nyP|b;ny4*h(q7|&7;)J zWiCwfNlT<2X##mh^fb4y#yIBPc#$uae0QJI-CF@iR*bt=0%9f+;UTD`(o?%AK%h?w zg7v&KOvdN@LiDX|&GIu?wXUMvLiCPU>i9N%d>{!LZ@Y#whZ(iGaJ^mxn=|N+L$`H8 z-ee;1A7Vj(K5hVsfO2Bv51{-9kk;|<0(f!--~>mxG$8v0=LL5KXr7=WU<}nvA`mvv z2<;hsmkudcGM*Qh>u1iS_O(NG!`?=#&Z8Zm3lw@*5{;jVsQeuy>*4SGIbpKCabYAj5-ZVvV6k9ZA$ zeN7I&2wC?Y_sa_*X+&tNdZl;jQY%$s-Fg#fGCLUJ^d54~P?A6|vy-sr%AozX8xxiF zk*PR<6{SY&qN92~W52=d1*wgnV37mj?5W1+LXa~LWcdV>-Gc%XR5>Q+51;)m805=A zOh}c3;v>uiU2r-V6mok>MgOIwKj}d4#z3lR1xcdl_5wDT?onsPD2jn*b`XW2Gtp4y zhkc)zeZ4zBZX&T%#x*#I0$tQ+>& zV-R4&C@)DNW^d6;A#TEa@e$gg*Mvsjg3Qv(0W=?#?FKq3JuLA@TOG+Tgz({BEBM++ z3AZpd&jJ}G&pa%8kdMYzW8#UBZKoexKA7gFjo#l1IVS37a`_?{w0CWVEHuYam?*Xf zSzgd)Pqml<7O6vcI6{G^8%HjLY!*cXVwR9 z(y(HH>r0C5F3p_WeS|#zg>1!czB7)0;nQYvK!4hb_I{@bEPRypcyPoIe}f;)8zmto;0ki1@{-mbZd9+`a&Mi>d~|wC&pS!hyd2p!Njm{>BhDM@*V}=k`JLn+; z7IY~18ZX1~+?U)@^nPf{DBw(A;GjDr==l)0WxiqrI3dFZY9!@v;2I&f4f=iM zH`CaE&E)gHz1)lb2x@!hf*qC#nIKUP(>y~g5C_li$fRIutPW0 z&4EMWqmopOL3joM*1n>0R2Es)Ed$#OA&ZnB#C(1lAI%*)S>>DUO@1 z(A!I>xG*$kbigu);(Ba19-X`2<I|5Zer)dP~rvG}%`S zDBh;vz%Xc6$hl3g%OV><+j)Hz0S8IRp_@c?U{378cI~Jn{Gg zmRY0QFf(6!ePP-QWW^w~4u zm99#Nmc08guZf)6#DBOTB+v!Uf~Ehw1A023xZsbYEl-TQEEYTFZT3!KTUn@|Yhjis z#wAFtt8@W`>pGNVWE62{e5lg01GX6hba8=@^tMvpTld3FH(Ikmi~yUXtZU-dKkY9V zuCQwCd>SvR)gd3!937xCp1mAn(e1wW>m}F0@CSqvT?EbZTq|}HiR~eE>+(UO2{yv-5%6$s~ms;uW-J{KnuRa3(v-LQfrih-!s43q)AaA*a zq7>U~5=`_wLs9t|qM1OBz6lhl2qmuJ8@;yS&9Nl(zuAU`RKn04hD=q|VNca=JDxz` z?8-{t2$`D@!0#Ou#w!cDCZ2jt37 zXXN=y#RX`G@0Mq!ozmm3<~?$2nl0rTefS?yS90_-@}ATEMy6Ih3`qW7cIwtC^EV1W z86qmr&}!&!k&(3pfyty>-O~o0Zu)duT`i5yPYJMS{?n4!+5c@Z>0AxzQ7tm;X1Ae% z&qhPJ%V+K&3zmn@r*2&xj^P;MdA(C8iwnqZ5VUN&DfM~%hohfM@~ONO;1%l7!fr6> z+Rf3of^i)>D}s0YgxOY9;+hXhIt#j4IP1G!)b%b668m_MP$C;*rI8VO^Xj;LxaJso?eNq#;s`*BBu8n4Yuwqq!^|Er=D^H zPUkC}K1mi&tCg`Ri`GXkUKwinE38$2{2*ZC;O*1nJCA2!N-KADZyxu*cWU{xUNR7q z9NqQyq(R)rV+QA$Rn5khF|MtC6LxX-re>1YxUO62RK8O1;Nm}_9N;8@SL_*3()nl% z&DP`-NaH`sr0yj*e$-M;f3p0@z35lrJ?Aa@m0!9#dS|;^?~##C2=7qfbc^g# zE6-lFK#yEf$M%E_uM$j)svYj-guJLQcT6^~X-o~MAD-~;AH7(VWAsV0?c2Rp&+L>& zO-a;C!wUaLk$Ur|idOL-J1jZ29gnN?tKX{dx3OMW{J5$#+nGx}k1s78CP)>{oz;2r zGwTB>HuWN0c=6dTRm1AY$CM1U@~`4YTQNP&U8{dZq%q~s3Ke|g^oE?oT`M)cb(SwY z@GCWWQSnl(&dc|^s3A+ypWf`0j~@p$l-?kG4Zp#0{(mKlqB-uJY5a5Oo)ddfn()V# zr}u{2v&%q@Tk_B1w7I|`x)XWLNvpt6N|WB!&1*v1S)^S;m$fK!I@dptx1KtqNq+$PW^rDV zJRnqrwC^c3yt zI&ORG+;Y7r_Xg#zj3}3%>m6LL0L#1S|3~?os4K$A0#qVzDS5wheFE2;!IzOoPuk-& zbUFETxPBMCm`*wIF2zCd7A3)Nz*3-;EzJ2Q&MyVu2OEO#fw{ol;3eP(U>)#NQ0hF# z-_7*z1p?`7-ysJm)LibD`yB{;sFaOJG}YEZ7G8m~t0Ilp{H`owNd^ z%>e6xx8la0cI7Vlkw;d>e}M7dOqu80GM`be7WF!jHcF|NmpVUF=RL|bq?}9lN@iFtV=+TwFz3LF#X%eje~w@W0d_LO;iIy@%_&xqjH~cL#msrw^~4pSV6b z;#$&Aquyrfd1XGQ%!LtUvXQ?pBHt^Q5&lg5yb<+xa-Gk;uEzO1&dY&cgJr>$ZeAMa z8{G4%oG)x^th zLhDCd%QQa1rQ0hPi5HRoF?E_sUFhgeS=q@5lXeAIpR{hIeZl$pUVog2p!;I*BQGto z(HPH5(Dqto+*b9{)LTuxa^Tld^(&FKlr)*2mxCK=Y%=Fv19)Kd7n$FHF@KoBn9re~ zMf53gz>+AsBHM^O|DN`WWYAt@Iq&>D+WwNZ8&U5a>NN(Zx%I!Lkc{=t!yM~u>bbF1 zXfEf~T|J${xf@W0exaX}BItaIyxZJzUvPdt=RbgN(ElCuFTweFZoUNL5^YOpDDg%Y z@_(hhJQ3~9Bd;1|B+QjD+)kNYl*t`YW;fTlBCaVK`i-;`qtbpS?F2XN56*K&B`C9&GW)=6QDyg&c3f1N)Yp!Rw7D!oKc1%0tuC)*$(hA% zXA0>}-SoFOZ{nU$<@^fw{B6!Jch9GB-q<~Vhx116`MaFE5qRhY&Tn+nM|0lZJ?Au( z1-fpe|60)2E+Sp>jw5e>1kI9`(UG6BJ1E-=KlBK498bYkQSC+7EkvCy)VY&7k5lIa zt~)_Xb<(a;>gICutC4@Tk{{jvkzALd|5Z^kJ(qsx)8~=2Cv`5M{v7Jp0B0XzJ7uW5 zDynW-(pE;L9SMzR)6U|f(9TFY?~Gg)fb!ST<>Ql_-%k2MH~nTeeFWECNSEcfl<(lC zKf(DOq%U^UZ*tQg=lV|4m$>OSy6MBY?n?SsZhCt+{V}e)k-pSTZ|9~DtzbcL8+Z!19V`Iu0P}-8!F=FP;K|_6U|vuby(fXc zf_cDQU~X_Xm}Df&lLl@8i-GIG z{}%uN0RR7Z0gRMQXcIvc$6wN>U#7L+R-2kyQPh+6Vl747?oN|6o1M6sw8qPbUIcGK zQShK3f<>Vh&qWXqA_$d&2cdWo(NYjWM5v%b#e)|wo&^3`yJw>l`p^U9O(5-+rm1+bMr_+f_fg^N^bF_Bh z-Z9vgGPwoZYYtjl&c6fP&w_)hU?dS+W<*UW<2Qa@#b>DvxY=g8>$GPnJ1Mf-lLeJ05P_?tbzi<))-)8h1WNhOb8m5dOe}#RXTj?6li?6@Fg{p@IUVNcE&WE;z z=Rr>sT+wdhE!J{t{0D1#S%9J~iJre- zSOwp~QZ618bdK}Ao4Ak3xjViAO{x1#fhQ-+cqK0d3O372>osxCSia0DQ#+jqWDZZT zFow+;C4PXFaLhbEDiduj9-|~9XZA0&!hEEN+sT}t;*87+TghMw9$qo1R@YfyZEYf!LfaEPm4 UNW7c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JTO000000021x00000 z001li00000003tK00000004LayjDF+13?hosE7d-|AFcK0YbpaNGoN@(A(@ejQ*9V3K#B|LrT8^(Vl`-Z}O!{#gGB`bVwG-v;9K%R2j2;Im;B ze_hDK+IX!0j(A_nzXkiSr2HNsPt@NQ^kaP_UJhq}Ea&VQzefB8jX!{F_5ZE@GyJtf z*3z#R$fedN7mw@HG~b-QolmKMAN;)X4!D69N({1*|=`uhp{V3q2__G{3OyHbBXA32=k@jSjF{|gmMK0MEy z&obpZq-2?9l>J3<5yx=<6Hr7~=06@9Xap@8Sd!W?%wh00000|NmU~ BB>4aU literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/logic_util_heursitic.dat b/CPLD/MAX/MAXV/db/logic_util_heursitic.dat new file mode 100644 index 0000000000000000000000000000000000000000..ff5fe7f07c6c4ae58758247adb1a137bd6e666b9 GIT binary patch literal 10208 zcmb8lb#o5@0D$2iCZ=P$b7s1`yE}&IK6$!19d~--jyX1Fa@gta?(S|r0=~hX_wN1g z2?PRxWdBY||6NjTa^VAFsN2AdXaIK)J zAz-tE%>gzi*j!+9gUtgrFW7uw^Mfq_wjkI-U<-pS0=6jFVqlAdEde$ZY)PRl!yRTODi-ur~OFnz>Wkv3hZdGW5A9D zI}Yr4uoJ*e1Um`rWUy1fP6ay+>~yd*z|I6a3+!yLbHL68I}hx9unWL01iJ|AVz5iV zE(N;`>~gRxz^(+l3hZjIYrw7ryAEs=*!5sHfZYgo6WGmQw}6cXyA|v>jXt!R`aQAM63J31AO`Jp}eJ*hH{Lz#avA4D4~RC%~QrdkXAn zuxG%Y1$z$cd9WA2UIcpy>}9Z5z+MG=4eWKWH^ANmdkgGsuy?@T1$z(deXtL}J_P#+ z>|?M`z&-{04D55TFTlP8`wHx9uy4S=1^W){d$1qCegyjo>}RlFzuG0001Zob6p*Z`(!?eDALy^xlX|O8fwv0J7z@Zkslh(56687_!Br zf-TCW=rjR}{`QiTD=D^m!#T0!9niN%vX;lWo4viAA$xLjmtW4WoOHHKp7$oBSKe3C zXUo~$<<(WsDZl%WWb4H$ecqF2(sO>lpJn;|diUv%n-83e3nv(SdAi7*pEqAQ;y-hr ziF10txSczm?>TPJ7q9QR;ycqM`>?o9oY%AK8%Lb!H_n^n$~m7e^5U1h7hgEe$xZU< z@@}5~lVmpk#02$0(8=nL(c9oxCgcEw{N;y9 z^y>U8CgiZrD1B;_0SNhW`gTYK3~@l=fQ&%I(^-1+R;Z9M0&+CXd?ui{H6nUcM8uow zwz;_x#GT1VTq+>q&6~-Xq>Uinlncn?LL%N=Oy9a(L`0jPUcP#rt=?y|B^4HtrE?7MHNh>$p^BQgbfh&m&BkTsY?0*Q$8 z-TCuYYRe8i%v+6cLUA|4gVBclQ$7Nx}~&sMk7xxAHtfB?YD=BN3XxW@Tko_tk+q1oxP`8av|bTxjZ>0g|)UKZsQ1R^b~|E z7$VrxE{7R&F%gf7VRBrL5R0nqjRP}61iD~L*{Duan~PYdn?Mc{F{px))NT-c#(ZBc zf_*twYl#qhbXt-z;?Ef?GR5#fboMRP&5sdzsw_-F8P`#SxSA_QAkSv$dZYXAY5ITmG@`s)Wy@s#G|bc6Lrm7v z@?)s(@?yTYh|L;uzPPno>|7QXx5nX&l#SgQ6(uR^i;GyTA?u3^n;g8b4_Qqs`);ic zAv;H~s${i&x6a9ooOS4v&`|fGQ-YWGp;1Cp--mt)THuFv30^)I=$D|kMuCP2TJ08S zmZ0Tsfp!U6?-pp7&=kA{`X)3KZ-LGUP03rJfr8e&1sW)HL~ns63Juj;ppSx;y#@Lx z^mK25J_-%tTcDAGSH1fi4PK{ubz<(9pjHS}1q{ zT%d=dw8;Hcd`B81apQy3l?8e!G?aCLZVF9lU7)2xM_ZSAwhD3y)bdr3r!Z)&Amw#| zz6x4j7ig(>*aey@%6<*cd}yqorF((i3JvXEpuIv*ycg)Q&`|FM z`Ybf$dx0hkTE7?Qvfu@Lfi4RT1z(`Yf|u|GdMs!SU!cW8N5mIsv(QrU1zIiWDhe7c zG(~rTb_-s07ihT9$tKWnQ9eG#Cu!)o&=ubW8ZT(|U7+=XZjlRgUC{cwK-Yzy058yc z!7K0ry%!o1ywveskQ%&T=LIjq3p8KQD!f4VMP<3+c?#_p8uGqC0|u?{OD+Ee7ZUm} zc!gh}|3X9J7ihuI(D(&$0t{y~4i)=DrdO$i&5!2w6X z=YO`n_|4tH*A@Kp{lj16vpjJY={))PyyxzHS$(~+r*0?sE?a&4)O%5k^=>ap|DQ11 zEB7~-K0K0re*`_2y_2BUU{3mn!1}Kdj7>st*YmLm!Ck#(0D`-dgGC4i$4z^~2znMG z=$XV~IgSwBAQ+jn26yGPMF{RB5CahOZQMzYOg#-(GoghD4v!;F!_|zH2p(6&HoOLp z+txbTi;pY8nnlpFv6>l}RL%IuZEM{iC?@SBu8n(fY|>70WO3S29yABQ*hc)0JOnhm z0z(@SJn|6G@IGQ=Efbkk%S1Nr#GyfHaL-p^6M`nO_{iz(sGc^dL+#txp*}KsH;bTW zV;@0*Por{l5${khICaJ}qjXQ~NQfI-lu^tReT7yFy&&82RYcRBt#bQ!-%RjOntiHR< z%SZDb;(Ss9qzxi%=@h87O*}H#L z%r6x|pM*H+@7-7V(V>-caGju4qMVm)_fEyJ$5ZlXLg3n{HG;i2JCH+Y7b&IjupOk7 zEe3OtQf++g0OhK>S>^zxtZQ0YQmP%o9Hf*T!FG^}>{wG__v}+T?#)3;*P1kiFu?|$zaTGDgrKBFrib}$F2LH9@v z>^LAR4Q?&1DLamR!|wBx^08lYkm`Kvi|wd8l_FY8r#?ICPNn^)d+T+Wt3LLmQH<^^zphE`?OPK%je_H zfeN(AN7;FvntA@!%JaGB=828xkcsEtEj*8dJ^Jw@^G?gfbmx=r+L2GR*Jy(@v7?l0_?lZdb*=ci-io0J^%J(*zgH+UgMpwSQ-5jL4 zKOehxB=oTRaw@bVp=%qAnnOz4zR>cT>VE6fUe^CNagX+Gb>B$Regw2-q`KGn2X>T* z+9&P?OGG>Nb8X9Fb4Y31rdvj;`{tx?hifwKzTvHWy|_6@DPN4!(xKmu8nOGW&$Z(u zt9*-dOU1Mu-I2Ka1Df)kqvjx`{X}hpNNFnr{~yqfZDe%6Ne%5dplJ`YIi$3of@)dq f=zdLU3ocKQdi=@5|HilcQGPkUdhx~IKaIlBbfH1- literal 0 HcmV?d00001 diff --git a/CPLD/MAXII/output_files/RAM4GS.asm.rpt b/CPLD/MAX/MAXV/output_files/RAM2GS.asm.rpt old mode 100755 new mode 100644 similarity index 70% rename from CPLD/MAXII/output_files/RAM4GS.asm.rpt rename to CPLD/MAX/MAXV/output_files/RAM2GS.asm.rpt index 1915f58..f918f0d --- a/CPLD/MAXII/output_files/RAM4GS.asm.rpt +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.asm.rpt @@ -1,6 +1,6 @@ -Assembler report for RAM4GS -Thu Jul 23 02:20:55 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Assembler report for RAM2GS +Mon Aug 16 18:40:22 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -10,7 +10,7 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: /Repos/RAM4GS/cpld/output_files/RAM4GS.pof + 5. Assembler Device Options: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.pof 6. Assembler Messages @@ -37,11 +37,11 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Jul 23 02:20:55 2020 ; -; Revision Name ; RAM4GS ; -; Top-level Entity Name ; RAM4GS ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; +; Assembler Status ; Successful - Mon Aug 16 18:40:22 2021 ; +; Revision Name ; RAM2GS ; +; Top-level Entity Name ; RAM2GS ; +; Family ; MAX V ; +; Device ; 5M240ZT100C5 ; +-----------------------+---------------------------------------+ @@ -75,40 +75,40 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+-----------+---------------+ -+--------------------------------------------+ -; Assembler Generated Files ; -+--------------------------------------------+ -; File Name ; -+--------------------------------------------+ -; /Repos/RAM4GS/cpld/output_files/RAM4GS.pof ; -+--------------------------------------------+ ++----------------------------------------------------------------------------+ +; Assembler Generated Files ; ++----------------------------------------------------------------------------+ +; File Name ; ++----------------------------------------------------------------------------+ +; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.pof ; ++----------------------------------------------------------------------------+ -+----------------------------------------------------------------------+ -; Assembler Device Options: /Repos/RAM4GS/cpld/output_files/RAM4GS.pof ; -+----------------+-----------------------------------------------------+ -; Option ; Setting ; -+----------------+-----------------------------------------------------+ -; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x00173F26 ; -; Checksum ; 0x0017428E ; -+----------------+-----------------------------------------------------+ ++------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.pof ; ++----------------+-------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+-------------------------------------------------------------------------------------+ +; Device ; 5M240ZT100C5 ; +; JTAG usercode ; 0x00172F05 ; +; Checksum ; 0x001732F5 ; ++----------------+-------------------------------------------------------------------------------------+ +--------------------+ ; Assembler Messages ; +--------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit Assembler +Info: Running Quartus II 64-Bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Jul 23 02:20:53 2020 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS + Info: Processing started: Mon Aug 16 18:40:21 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files -Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 296 megabytes - Info: Processing ended: Thu Jul 23 02:20:55 2020 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 +Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 381 megabytes + Info: Processing ended: Mon Aug 16 18:40:22 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAX/MAXV/output_files/RAM2GS.done b/CPLD/MAX/MAXV/output_files/RAM2GS.done new file mode 100644 index 0000000..576e1e8 --- /dev/null +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.done @@ -0,0 +1 @@ +Mon Aug 16 18:40:25 2021 diff --git a/CPLD/AGM-src/output_files/RAM4GS.fit.rpt b/CPLD/MAX/MAXV/output_files/RAM2GS.fit.rpt old mode 100755 new mode 100644 similarity index 77% rename from CPLD/AGM-src/output_files/RAM4GS.fit.rpt rename to CPLD/MAX/MAXV/output_files/RAM2GS.fit.rpt index 15a6629..1cd6280 --- a/CPLD/AGM-src/output_files/RAM4GS.fit.rpt +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.fit.rpt @@ -1,6 +1,6 @@ -Fitter report for RAM4GS -Thu Jul 23 02:20:50 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Fitter report for RAM2GS +Mon Aug 16 18:40:21 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -59,15 +59,15 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Thu Jul 23 02:20:50 2020 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM4GS ; -; Top-level Entity Name ; RAM4GS ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; +; Fitter Status ; Successful - Mon Aug 16 18:40:21 2021 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; RAM2GS ; +; Top-level Entity Name ; RAM2GS ; +; Family ; MAX V ; +; Device ; 5M240ZT100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 170 / 240 ( 71 % ) ; -; Total pins ; 62 / 80 ( 78 % ) ; +; Total logic elements ; 168 / 240 ( 70 % ) ; +; Total pins ; 63 / 79 ( 80 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +---------------------------+-------------------------------------------------+ @@ -78,7 +78,7 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ ; Option ; Setting ; Default Value ; +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Device ; EPM240T100C5 ; ; +; Device ; 5M240ZT100C5 ; ; ; Minimum Core Junction Temperature ; 0 ; ; ; Maximum Core Junction Temperature ; 85 ; ; ; Placement Effort Multiplier ; 10 ; 1.0 ; @@ -122,27 +122,21 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 2 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.33 ; -; Maximum used ; 2 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; 33.3% ; -+----------------------------+-------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 12 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. +The pin-out file can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.pin. +------------------------------------------------------------------+ @@ -150,43 +144,43 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 170 / 240 ( 71 % ) ; -; -- Combinational with no register ; 74 ; -; -- Register only ; 21 ; -; -- Combinational with a register ; 75 ; +; Total logic elements ; 168 / 240 ( 70 % ) ; +; -- Combinational with no register ; 71 ; +; -- Register only ; 20 ; +; -- Combinational with a register ; 77 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 57 ; -; -- 3 input functions ; 41 ; -; -- 2 input functions ; 42 ; +; -- 4 input functions ; 58 ; +; -- 3 input functions ; 40 ; +; -- 2 input functions ; 41 ; ; -- 1 input functions ; 8 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 154 ; +; -- normal mode ; 152 ; ; -- arithmetic mode ; 16 ; -; -- qfbk mode ; 6 ; +; -- qfbk mode ; 7 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 25 ; +; -- synchronous clear/load mode ; 24 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 96 / 240 ( 40 % ) ; -; Total LABs ; 22 / 24 ( 92 % ) ; +; Total registers ; 97 / 240 ( 40 % ) ; +; Total LABs ; 21 / 24 ( 88 % ) ; ; Logic elements in carry chains ; 17 ; ; Virtual pins ; 0 ; -; I/O pins ; 62 / 80 ( 78 % ) ; -; -- Clock pins ; 2 / 4 ( 50 % ) ; +; I/O pins ; 63 / 79 ( 80 % ) ; +; -- Clock pins ; 3 / 4 ( 75 % ) ; ; ; ; ; Global signals ; 4 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; ; Global clocks ; 4 / 4 ( 100 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 25% / 27% / 23% ; -; Peak interconnect usage (total/H/V) ; 25% / 27% / 23% ; -; Maximum fan-out ; 54 ; -; Highest non-global fan-out ; 38 ; -; Total fan-out ; 644 ; -; Average fan-out ; 2.76 ; +; Average interconnect usage (total/H/V) ; 27% / 29% / 25% ; +; Peak interconnect usage (total/H/V) ; 27% / 29% / 25% ; +; Maximum fan-out ; 55 ; +; Highest non-global fan-out ; 39 ; +; Total fan-out ; 643 ; +; Average fan-out ; 2.77 ; +---------------------------------------------+--------------------+ @@ -216,9 +210,9 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. ; MAin[8] ; 73 ; 2 ; 8 ; 4 ; 1 ; 2 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; ; MAin[9] ; 74 ; 2 ; 8 ; 4 ; 0 ; 4 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; ; PHI2 ; 52 ; 2 ; 8 ; 1 ; 4 ; 21 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; RCLK ; 12 ; 1 ; 1 ; 3 ; 3 ; 54 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; +; RCLK ; 12 ; 1 ; 1 ; 3 ; 3 ; 55 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; ; nCCAS ; 53 ; 2 ; 8 ; 1 ; 3 ; 11 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; nCRAS ; 67 ; 2 ; 8 ; 3 ; 2 ; 15 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; +; nCRAS ; 67 ; 2 ; 8 ; 3 ; 2 ; 16 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; ; nFWE ; 48 ; 1 ; 6 ; 0 ; 0 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ @@ -236,6 +230,7 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. ; Dout[5] ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; Dout[6] ; 34 ; 1 ; 3 ; 0 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; Dout[7] ; 43 ; 1 ; 6 ; 0 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; +; LED ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; ; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; @@ -243,17 +238,17 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. ; RA[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; +; RA[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; RA[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; +; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RBA[0] ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RBA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RBA[0] ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RBA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RCKE ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RDQMH ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RDQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; nRCAS ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nRCAS ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nRCS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nRRAS ; 6 ; 1 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nRWE ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -265,14 +260,14 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; RD[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[2] ; 89 ; 2 ; 4 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~2 ; - ; -; RD[3] ; 99 ; 2 ; 2 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[4] ; 92 ; 2 ; 3 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[6] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[7] ; 97 ; 2 ; 3 ; 5 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~3 ; - ; +; RD[2] ; 89 ; 2 ; 4 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~3 ; - ; +; RD[3] ; 99 ; 2 ; 2 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[4] ; 92 ; 2 ; 3 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[6] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~3 ; - ; +; RD[7] ; 97 ; 2 ; 3 ; 5 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -282,116 +277,116 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+-------------------+---------------+--------------+ ; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ; -; 2 ; 24 / 42 ( 57 % ) ; 3.3V ; -- ; +; 2 ; 25 / 41 ( 61 % ) ; 3.3V ; -- ; +----------+-------------------+---------------+--------------+ -+------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; 1 ; 83 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 2 ; 0 ; 1 ; RDQMH ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 3 ; 1 ; 1 ; nRCS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 4 ; 2 ; 1 ; nRCAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 5 ; 3 ; 1 ; RBA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 6 ; 4 ; 1 ; nRRAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 7 ; 5 ; 1 ; RA[11] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 8 ; 6 ; 1 ; RCKE ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 12 ; 7 ; 1 ; RCLK ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 14 ; 8 ; 1 ; RBA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 15 ; 9 ; 1 ; RA[9] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 16 ; 10 ; 1 ; RA[10] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 17 ; 11 ; 1 ; RA[8] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 18 ; 12 ; 1 ; RA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 19 ; 13 ; 1 ; RA[7] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 20 ; 14 ; 1 ; RA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 21 ; 15 ; 1 ; RA[6] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 26 ; 20 ; 1 ; RA[4] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 27 ; 21 ; 1 ; RA[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 28 ; 22 ; 1 ; Dout[5] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 29 ; 23 ; 1 ; RA[5] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 30 ; 24 ; 1 ; RA[2] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 33 ; 25 ; 1 ; Dout[0] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 34 ; 26 ; 1 ; Dout[6] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 35 ; 27 ; 1 ; Din[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 36 ; 28 ; 1 ; Din[1] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 37 ; 29 ; 1 ; Din[3] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 38 ; 30 ; 1 ; Din[5] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 39 ; 31 ; 1 ; Din[4] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 40 ; 32 ; 1 ; Din[7] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 41 ; 33 ; 1 ; Din[6] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 42 ; 34 ; 1 ; Din[0] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 43 ; 35 ; 1 ; Dout[7] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 44 ; 36 ; 1 ; Dout[4] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 47 ; 37 ; 1 ; Dout[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 48 ; 38 ; 1 ; nFWE ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 49 ; 39 ; 1 ; MAin[0] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 50 ; 40 ; 1 ; MAin[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 51 ; 41 ; 1 ; MAin[1] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 52 ; 42 ; 2 ; PHI2 ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 53 ; 43 ; 2 ; nCCAS ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 54 ; 44 ; 2 ; CROW[0] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 55 ; 45 ; 2 ; CROW[1] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 56 ; 46 ; 2 ; Dout[2] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 57 ; 47 ; 2 ; Dout[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 58 ; 48 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 60 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 61 ; 49 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 62 ; 50 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 64 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 66 ; 52 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 67 ; 53 ; 2 ; nCRAS ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 68 ; 54 ; 2 ; MAin[7] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 69 ; 55 ; 2 ; MAin[5] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 70 ; 56 ; 2 ; MAin[4] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 71 ; 57 ; 2 ; MAin[3] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 72 ; 58 ; 2 ; MAin[6] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 73 ; 59 ; 2 ; MAin[8] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 74 ; 60 ; 2 ; MAin[9] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; -; 75 ; 61 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 76 ; 62 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 77 ; 63 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 78 ; 64 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 81 ; 65 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 82 ; 66 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 83 ; 67 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 84 ; 68 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 85 ; 69 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 86 ; 70 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 87 ; 71 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 88 ; 72 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 89 ; 73 ; 2 ; RD[2] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 90 ; 74 ; 2 ; RD[1] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 92 ; 76 ; 2 ; RD[4] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 93 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 95 ; 77 ; 2 ; RD[6] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 96 ; 78 ; 2 ; RD[0] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 97 ; 79 ; 2 ; RD[7] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 98 ; 80 ; 2 ; RDQML ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 99 ; 81 ; 2 ; RD[3] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 100 ; 82 ; 2 ; nRWE ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+----------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+----------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; 1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 2 ; 0 ; 1 ; RDQMH ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 3 ; 1 ; 1 ; nRCS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 4 ; 2 ; 1 ; nRCAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 5 ; 3 ; 1 ; RBA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 6 ; 4 ; 1 ; nRRAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 7 ; 5 ; 1 ; RA[11] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 8 ; 6 ; 1 ; RCKE ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 12 ; 7 ; 1 ; RCLK ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 13 ; ; ; VCCINT ; power ; ; 1.8V ; -- ; ; -- ; -- ; +; 14 ; 8 ; 1 ; RBA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 15 ; 9 ; 1 ; RA[9] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 16 ; 10 ; 1 ; RA[10] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 17 ; 11 ; 1 ; RA[8] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 18 ; 12 ; 1 ; RA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 19 ; 13 ; 1 ; RA[7] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 20 ; 14 ; 1 ; RA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 21 ; 15 ; 1 ; RA[6] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; 26 ; 20 ; 1 ; RA[4] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 27 ; 21 ; 1 ; RA[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 28 ; 22 ; 1 ; Dout[5] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 29 ; 23 ; 1 ; RA[5] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 30 ; 24 ; 1 ; RA[2] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 32 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 33 ; 25 ; 1 ; Dout[0] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 34 ; 26 ; 1 ; Dout[6] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 35 ; 27 ; 1 ; Din[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 36 ; 28 ; 1 ; Din[1] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 37 ; 29 ; 1 ; Din[3] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 38 ; 30 ; 1 ; Din[5] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 39 ; 31 ; 1 ; Din[4] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 40 ; 32 ; 1 ; Din[7] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 41 ; 33 ; 1 ; Din[6] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 42 ; 34 ; 1 ; Din[0] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 43 ; 35 ; 1 ; Dout[7] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 44 ; 36 ; 1 ; Dout[4] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 46 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 47 ; 37 ; 1 ; Dout[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 48 ; 38 ; 1 ; nFWE ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 49 ; 39 ; 1 ; MAin[0] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 50 ; 40 ; 1 ; MAin[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 51 ; 41 ; 1 ; MAin[1] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 52 ; 42 ; 2 ; PHI2 ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 53 ; 43 ; 2 ; nCCAS ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 54 ; 44 ; 2 ; CROW[0] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 55 ; 45 ; 2 ; CROW[1] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 56 ; 46 ; 2 ; Dout[2] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 57 ; 47 ; 2 ; Dout[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 58 ; 48 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 60 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 61 ; 49 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 62 ; 50 ; 2 ; LED ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; yes ; Off ; +; 63 ; ; ; VCCINT ; power ; ; 1.8V ; -- ; ; -- ; -- ; +; 64 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 66 ; 52 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 67 ; 53 ; 2 ; nCRAS ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 68 ; 54 ; 2 ; MAin[7] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 69 ; 55 ; 2 ; MAin[5] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 70 ; 56 ; 2 ; MAin[4] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 71 ; 57 ; 2 ; MAin[3] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 72 ; 58 ; 2 ; MAin[6] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 73 ; 59 ; 2 ; MAin[8] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 74 ; 60 ; 2 ; MAin[9] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; +; 75 ; 61 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 76 ; 62 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 77 ; 63 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 78 ; 64 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 79 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 81 ; 65 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 82 ; 66 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 83 ; 67 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 84 ; 68 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 85 ; 69 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 86 ; 70 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 87 ; 71 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 88 ; 72 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 89 ; 73 ; 2 ; RD[2] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 90 ; 74 ; 2 ; RD[1] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 92 ; 76 ; 2 ; RD[4] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 95 ; 77 ; 2 ; RD[6] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 96 ; 78 ; 2 ; RD[0] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 97 ; 79 ; 2 ; RD[7] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 98 ; 80 ; 2 ; RDQML ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 99 ; 81 ; 2 ; RD[3] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 100 ; 82 ; 2 ; nRWE ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; ++----------+------------+----------+----------------+--------+--------------+---------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. @@ -407,6 +402,9 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; 1.5 V ; 10 pF ; Not Available ; ; 3.3V Schmitt Trigger Input ; 10 pF ; Not Available ; ; 2.5V Schmitt Trigger Input ; 10 pF ; Not Available ; +; 1.2 V ; 10 pF ; Not Available ; +; LVDS_E_3R ; 10 pF ; Not Available ; +; RSDS_E_3R ; 10 pF ; Not Available ; +----------------------------+-------+------------------------+ Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. @@ -416,9 +414,9 @@ Note: User assignments will override these defaults. The user specified values a +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |RAM4GS ; 170 (170) ; 96 ; 1 ; 62 ; 0 ; 74 (74) ; 21 (21) ; 75 (75) ; 17 (17) ; 6 (6) ; |RAM4GS ; work ; -; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM4GS|UFM:UFM_inst ; work ; -; |UFM_altufm_none_1br:UFM_altufm_none_1br_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM4GS|UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component ; work ; +; |RAM2GS ; 168 (168) ; 97 ; 1 ; 63 ; 0 ; 71 (71) ; 20 (20) ; 77 (77) ; 17 (17) ; 7 (7) ; |RAM2GS ; work ; +; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst ; work ; +; |UFM_altufm_none_mjr:UFM_altufm_none_mjr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -428,6 +426,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+ ; Name ; Pin Type ; Pad to Core 0 ; +---------+----------+---------------+ +; nCRAS ; Input ; (0) ; ; MAin[0] ; Input ; (0) ; ; MAin[1] ; Input ; (0) ; ; MAin[2] ; Input ; (0) ; @@ -438,10 +437,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; MAin[7] ; Input ; (0) ; ; MAin[8] ; Input ; (0) ; ; MAin[9] ; Input ; (0) ; -; CROW[0] ; Input ; (1) ; -; nCRAS ; Input ; (0) ; -; CROW[1] ; Input ; (1) ; ; RCLK ; Input ; (0) ; +; CROW[0] ; Input ; (1) ; +; CROW[1] ; Input ; (1) ; ; PHI2 ; Input ; (0) ; ; Din[6] ; Input ; (1) ; ; nFWE ; Input ; (1) ; @@ -461,6 +459,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Dout[5] ; Output ; -- ; ; Dout[6] ; Output ; -- ; ; Dout[7] ; Output ; -- ; +; LED ; Output ; -- ; ; RBA[0] ; Output ; -- ; ; RBA[1] ; Output ; -- ; ; RA[0] ; Output ; -- ; @@ -498,16 +497,16 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; CmdDRDIn~1 ; LC_X6_Y3_N3 ; 4 ; Clock enable ; no ; -- ; -- ; -; CmdSubmitted~0 ; LC_X6_Y3_N9 ; 2 ; Clock enable ; no ; -- ; -- ; -; DRDIn~1 ; LC_X2_Y1_N3 ; 2 ; Clock enable ; no ; -- ; -- ; -; PHI2 ; PIN_52 ; 21 ; Clock ; yes ; Global Clock ; GCLK3 ; -; RCLK ; PIN_12 ; 54 ; Clock ; yes ; Global Clock ; GCLK0 ; -; Ready ; LC_X3_Y2_N1 ; 38 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; always8~5 ; LC_X5_Y3_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -; comb~2 ; LC_X4_Y4_N6 ; 8 ; Output enable ; no ; -- ; -- ; +; CmdDRDIn~1 ; LC_X5_Y4_N5 ; 4 ; Clock enable ; no ; -- ; -- ; +; CmdSubmitted~0 ; LC_X6_Y2_N4 ; 2 ; Clock enable ; no ; -- ; -- ; +; DRDIn~1 ; LC_X4_Y1_N2 ; 2 ; Clock enable ; no ; -- ; -- ; +; PHI2 ; PIN_52 ; 21 ; Clock ; yes ; Global Clock ; GCLK1 ; +; RCLK ; PIN_12 ; 55 ; Clock ; yes ; Global Clock ; GCLK0 ; +; Ready ; LC_X3_Y2_N8 ; 39 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; always8~5 ; LC_X7_Y3_N1 ; 3 ; Clock enable ; no ; -- ; -- ; +; comb~3 ; LC_X4_Y4_N6 ; 8 ; Output enable ; no ; -- ; -- ; ; nCCAS ; PIN_53 ; 11 ; Clock ; yes ; Global Clock ; GCLK2 ; -; nCRAS ; PIN_67 ; 15 ; Clock ; yes ; Global Clock ; GCLK1 ; +; nCRAS ; PIN_67 ; 16 ; Clock ; yes ; Global Clock ; GCLK3 ; +----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ @@ -516,10 +515,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+----------+---------+----------------------+------------------+ -; PHI2 ; PIN_52 ; 21 ; Global Clock ; GCLK3 ; -; RCLK ; PIN_12 ; 54 ; Global Clock ; GCLK0 ; +; PHI2 ; PIN_52 ; 21 ; Global Clock ; GCLK1 ; +; RCLK ; PIN_12 ; 55 ; Global Clock ; GCLK0 ; ; nCCAS ; PIN_53 ; 11 ; Global Clock ; GCLK2 ; -; nCRAS ; PIN_67 ; 15 ; Global Clock ; GCLK1 ; +; nCRAS ; PIN_67 ; 16 ; Global Clock ; GCLK3 ; +-------+----------+---------+----------------------+------------------+ @@ -528,99 +527,99 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------------------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +---------------------------------------------------------------------------------------------+---------+ -; Ready ; 38 ; +; Ready ; 39 ; ; nRowColSel ; 13 ; ; S[1] ; 12 ; ; S[0] ; 12 ; ; RASr2 ; 9 ; ; Din[6] ; 8 ; -; comb~2 ; 8 ; -; FS[4] ; 8 ; +; comb~3 ; 8 ; ; Din[5] ; 7 ; ; Din[4] ; 7 ; -; FS[5] ; 7 ; ; IS[0]~0 ; 7 ; ; Din[7] ; 6 ; ; Din[0] ; 6 ; ; MAin[1] ; 6 ; -; FS[6] ; 6 ; -; always9~1 ; 6 ; ; IS[0] ; 6 ; +; FS[4] ; 6 ; ; Din[3] ; 5 ; ; Din[2] ; 5 ; ; MAin[0] ; 5 ; -; FS[8]~27 ; 5 ; +; FS[8]~31 ; 5 ; ; FS[3]~13 ; 5 ; ; FS[3] ; 5 ; -; always9~2 ; 5 ; -; FS[17] ; 5 ; -; FS[16] ; 5 ; ; IS[1] ; 5 ; ; CBR ; 5 ; ; FWEr ; 5 ; +; FS[6] ; 5 ; +; FS[5] ; 5 ; +; FS[17] ; 5 ; +; FS[16] ; 5 ; +; UFMD[15] ; 5 ; ; Din[1] ; 4 ; ; MAin[9] ; 4 ; ; MAin[7] ; 4 ; ; MAin[6] ; 4 ; ; CmdDRDIn~1 ; 4 ; -; UFMD ; 4 ; -; FS[13]~21 ; 4 ; +; FS[13]~27 ; 4 ; ; CMDWR~2 ; 4 ; ; UFMReqErase ; 4 ; +; always9~3 ; 4 ; +; DRCLK~0 ; 4 ; +; always9~2 ; 4 ; ; Equal9~0 ; 4 ; -; n8MEGEN ; 4 ; ; IS[3] ; 4 ; ; IS[2] ; 4 ; ; InitReady ; 4 ; +; always9~0 ; 4 ; ; nFWE ; 3 ; ; MAin[5] ; 3 ; ; MAin[4] ; 3 ; ; MAin[3] ; 3 ; ; MAin[2] ; 3 ; -; FS[0] ; 3 ; ; always8~5 ; 3 ; ; CMDWR ; 3 ; ; CmdEnable ; 3 ; +; FS[0] ; 3 ; ; always8~4 ; 3 ; ; always8~2 ; 3 ; ; Equal0~0 ; 3 ; -; always9~3 ; 3 ; -; UFMInitDone ; 3 ; ; nRCS~3 ; 3 ; +; n8MEGEN ; 3 ; +; UFMInitDone~0 ; 3 ; +; UFMInitDone ; 3 ; ; RCKE~reg0 ; 3 ; +; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; 3 ; ; MAin[8] ; 2 ; -; FS[1] ; 2 ; -; FS[2] ; 2 ; -; Equal25~0 ; 2 ; -; FS[9] ; 2 ; -; FS[8] ; 2 ; ; CmdSubmitted~0 ; 2 ; ; Equal17~0 ; 2 ; ; CmdDRDIn~0 ; 2 ; ; XOR8MEG~0 ; 2 ; ; Equal0~3 ; 2 ; +; Ready~0 ; 2 ; +; Equal26~0 ; 2 ; +; FS[9] ; 2 ; +; FS[8] ; 2 ; ; Equal5~1 ; 2 ; -; FS[15] ; 2 ; ; FS[14] ; 2 ; ; FS[13] ; 2 ; ; FS[12] ; 2 ; ; FS[11] ; 2 ; ; FS[10] ; 2 ; -; Ready~0 ; 2 ; +; FS[15] ; 2 ; +; Equal24~0 ; 2 ; +; FS[2] ; 2 ; +; FS[1] ; 2 ; ; UFMOscEN~0 ; 2 ; ; C1Submitted ; 2 ; ; Equal0~1 ; 2 ; ; always8~0 ; 2 ; ; CmdUFMErase ; 2 ; ; CmdUFMPrgm ; 2 ; -; always9~6 ; 2 ; -; always9~5 ; 2 ; -; ARCLK~1 ; 2 ; ; always9~4 ; 2 ; -; DRDIn~1 ; 2 ; -; FS[7] ; 2 ; -; always9~0 ; 2 ; ; PHI2r2 ; 2 ; +; DRDIn~1 ; 2 ; +; CmdSubmitted ; 2 ; ; RASr ; 2 ; ; RCKEEN ; 2 ; ; CASr2 ; 2 ; @@ -630,13 +629,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; XOR8MEG ; 2 ; ; RA10~0 ; 2 ; ; nRowColSel~0 ; 2 ; +; always9~1 ; 2 ; +; FS[7] ; 2 ; ; UFMOscEN ; 2 ; ; UFMErase ; 2 ; ; UFMProgram ; 2 ; -; ARShift ; 2 ; -; ARCLK ; 2 ; -; DRShift ; 2 ; -; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; 2 ; +; LEDEN ; 2 ; ; UFMProgram~_wirecell ; 1 ; ; UFMOscEN~_wirecell ; 1 ; ; UFMErase~_wirecell ; 1 ; @@ -652,37 +650,38 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; CROW[0] ; 1 ; ; CmdEnable~1 ; 1 ; ; CmdEnable~0 ; 1 ; -; UFMD~1 ; 1 ; -; FS[1]~33COUT1_50 ; 1 ; -; FS[1]~33 ; 1 ; -; UFMD~0 ; 1 ; -; UFMReqErase~0 ; 1 ; -; FS[2]~31COUT1_52 ; 1 ; -; FS[2]~31 ; 1 ; -; FS[9]~29COUT1_62 ; 1 ; -; FS[9]~29 ; 1 ; -; UFMInitDone~0 ; 1 ; ; PHI2r ; 1 ; ; RCKEEN~2 ; 1 ; ; RCKEEN~1 ; 1 ; ; RCKEEN~0 ; 1 ; ; CASr ; 1 ; ; Equal16~0 ; 1 ; +; n8MEGEN~3 ; 1 ; +; PHI2r3 ; 1 ; +; n8MEGEN~2 ; 1 ; +; n8MEGEN~1 ; 1 ; ; n8MEGEN~0 ; 1 ; ; Cmdn8MEGEN ; 1 ; ; IS[0]~3 ; 1 ; -; FS[15]~25COUT1_72 ; 1 ; -; FS[15]~25 ; 1 ; -; FS[14]~23COUT1_70 ; 1 ; -; FS[14]~23 ; 1 ; -; Equal5~0 ; 1 ; -; FS[12]~19COUT1_68 ; 1 ; -; FS[12]~19 ; 1 ; -; FS[11]~17COUT1_66 ; 1 ; -; FS[11]~17 ; 1 ; -; FS[10]~15COUT1_64 ; 1 ; -; FS[10]~15 ; 1 ; ; Ready~1 ; 1 ; +; FS[9]~33COUT1_62 ; 1 ; +; FS[9]~33 ; 1 ; +; FS[14]~29COUT1_70 ; 1 ; +; FS[14]~29 ; 1 ; +; Equal5~0 ; 1 ; +; FS[12]~25COUT1_68 ; 1 ; +; FS[12]~25 ; 1 ; +; FS[11]~23COUT1_66 ; 1 ; +; FS[11]~23 ; 1 ; +; FS[10]~21COUT1_64 ; 1 ; +; FS[10]~21 ; 1 ; +; FS[15]~19COUT1_72 ; 1 ; +; FS[15]~19 ; 1 ; +; UFMD[15]~0 ; 1 ; +; FS[2]~17COUT1_52 ; 1 ; +; FS[2]~17 ; 1 ; +; FS[1]~15COUT1_50 ; 1 ; +; FS[1]~15 ; 1 ; ; WRD[7] ; 1 ; ; WRD[6] ; 1 ; ; WRD[5] ; 1 ; @@ -704,23 +703,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Bank[2] ; 1 ; ; Bank[3] ; 1 ; ; Bank[1] ; 1 ; -; ARShift~0 ; 1 ; -; ARCLK~3 ; 1 ; -; ARCLK~2 ; 1 ; +; always9~5 ; 1 ; ; ARCLK~0 ; 1 ; ; CmdDRCLK ; 1 ; -; DRCLK~0 ; 1 ; -; FS[6]~11COUT1_58 ; 1 ; -; FS[6]~11 ; 1 ; -; FS[7]~9COUT1_60 ; 1 ; -; FS[7]~9 ; 1 ; -; FS[16]~5COUT1_74 ; 1 ; -; FS[16]~5 ; 1 ; -; FS[4]~3COUT1_54 ; 1 ; -; FS[4]~3 ; 1 ; -; FS[5]~1COUT1_56 ; 1 ; -; FS[5]~1 ; 1 ; -; CmdSubmitted ; 1 ; ; CmdDRDIn ; 1 ; ; nRCAS~1 ; 1 ; ; nRCAS~0 ; 1 ; @@ -729,10 +714,23 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nRCS~4 ; 1 ; ; nRCS~2 ; 1 ; ; nRowColSel~1 ; 1 ; +; FS[4]~11COUT1_54 ; 1 ; +; FS[4]~11 ; 1 ; +; FS[6]~9COUT1_58 ; 1 ; +; FS[6]~9 ; 1 ; +; FS[5]~7COUT1_56 ; 1 ; +; FS[5]~7 ; 1 ; +; FS[7]~5COUT1_60 ; 1 ; +; FS[7]~5 ; 1 ; +; FS[16]~1COUT1_74 ; 1 ; +; FS[16]~1 ; 1 ; +; ARShift ; 1 ; +; ARCLK ; 1 ; +; DRShift ; 1 ; ; DRCLK ; 1 ; ; DRDIn ; 1 ; +; comb~2 ; 1 ; ; comb~1 ; 1 ; -; comb~0 ; 1 ; ; nRCAS~reg0 ; 1 ; ; nRRAS~reg0 ; 1 ; ; nRWE~reg0 ; 1 ; @@ -761,6 +759,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RowA[0] ; 1 ; ; RBA[1]~reg0 ; 1 ; ; RBA[0]~reg0 ; 1 ; +; comb~0 ; 1 ; +---------------------------------------------------------------------------------------------+---------+ @@ -769,112 +768,112 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 152 / 784 ( 19 % ) ; -; Direct links ; 45 / 888 ( 5 % ) ; +; C4s ; 162 / 784 ( 21 % ) ; +; Direct links ; 39 / 888 ( 4 % ) ; ; Global clocks ; 4 / 4 ( 100 % ) ; ; LAB clocks ; 15 / 32 ( 47 % ) ; -; LUT chains ; 22 / 216 ( 10 % ) ; -; Local interconnects ; 270 / 888 ( 30 % ) ; -; R4s ; 155 / 704 ( 22 % ) ; +; LUT chains ; 20 / 216 ( 9 % ) ; +; Local interconnects ; 275 / 888 ( 31 % ) ; +; R4s ; 173 / 704 ( 25 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 7.73) ; Number of LABs (Total = 22) ; +; Number of Logic Elements (Average = 8.00) ; Number of LABs (Total = 21) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; -; 2 ; 2 ; +; 2 ; 1 ; ; 3 ; 2 ; -; 4 ; 2 ; -; 5 ; 0 ; -; 6 ; 1 ; -; 7 ; 0 ; -; 8 ; 2 ; -; 9 ; 0 ; -; 10 ; 13 ; +; 4 ; 0 ; +; 5 ; 3 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 12 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.18) ; Number of LABs (Total = 22) ; +; LAB-wide Signals (Average = 1.33) ; Number of LABs (Total = 21) ; +------------------------------------+------------------------------+ -; 1 Clock ; 14 ; +; 1 Clock ; 12 ; ; 1 Clock enable ; 2 ; -; 1 Sync. clear ; 3 ; +; 1 Sync. clear ; 4 ; ; 1 Sync. load ; 1 ; -; 2 Clocks ; 6 ; +; 2 Clocks ; 9 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 7.91) ; Number of LABs (Total = 22) ; +; Number of Signals Sourced (Average = 8.29) ; Number of LABs (Total = 21) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 2 ; -; 3 ; 2 ; -; 4 ; 2 ; -; 5 ; 0 ; -; 6 ; 1 ; -; 7 ; 0 ; -; 8 ; 1 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 1 ; +; 5 ; 3 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 0 ; ; 9 ; 1 ; -; 10 ; 11 ; -; 11 ; 1 ; -; 12 ; 1 ; +; 10 ; 9 ; +; 11 ; 4 ; +---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 5.73) ; Number of LABs (Total = 22) ; +; Number of Signals Sourced Out (Average = 5.76) ; Number of LABs (Total = 21) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 2 ; +; 1 ; 0 ; ; 2 ; 2 ; -; 3 ; 3 ; -; 4 ; 2 ; -; 5 ; 0 ; -; 6 ; 4 ; +; 3 ; 2 ; +; 4 ; 4 ; +; 5 ; 2 ; +; 6 ; 3 ; ; 7 ; 2 ; -; 8 ; 1 ; -; 9 ; 3 ; -; 10 ; 3 ; +; 8 ; 3 ; +; 9 ; 1 ; +; 10 ; 2 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 10.18) ; Number of LABs (Total = 22) ; +; Number of Distinct Inputs (Average = 11.29) ; Number of LABs (Total = 21) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 2 ; -; 4 ; 1 ; -; 5 ; 1 ; -; 6 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 2 ; ; 7 ; 1 ; -; 8 ; 2 ; -; 9 ; 4 ; -; 10 ; 1 ; -; 11 ; 1 ; -; 12 ; 2 ; -; 13 ; 3 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 2 ; +; 11 ; 2 ; +; 12 ; 4 ; +; 13 ; 1 ; ; 14 ; 1 ; -; 15 ; 0 ; -; 16 ; 1 ; -; 17 ; 1 ; +; 15 ; 1 ; +; 16 ; 2 ; +; 17 ; 0 ; ; 18 ; 0 ; ; 19 ; 1 ; +; 20 ; 1 ; +----------------------------------------------+------------------------------+ @@ -898,8 +897,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------+----------------------+-------------------+ ; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; +-----------------+----------------------+-------------------+ -; I/O ; nCRAS ; 1.3 ; -; I/O ; RCLK ; 1.2 ; +; I/O ; nCRAS ; 1.4 ; +-----------------+----------------------+-------------------+ Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer. @@ -910,29 +908,32 @@ This will disable optimization of problematic paths and expose them for further +-----------------+----------------------+-------------------+ ; Source Register ; Destination Register ; Delay Added in ns ; +-----------------+----------------------+-------------------+ -; nCCAS ; CBR ; 1.303 ; -; PHI2 ; PHI2r ; 0.610 ; -; nCRAS ; RASr ; 0.301 ; +; nCCAS ; CBR ; 1.374 ; +; PHI2 ; PHI2r ; 0.176 ; +-----------------+----------------------+-------------------+ -Note: This table only shows the top 3 path(s) that have the largest delay added for hold. +Note: This table only shows the top 2 path(s) that have the largest delay added for hold. +-----------------+ ; Fitter Messages ; +-----------------+ -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected -Info (119006): Selected device EPM240T100C5 for design "RAM4GS" +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (119006): Selected device 5M240ZT100C5 for design "RAM2GS" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EPM240T100I5 is compatible - Info (176445): Device EPM240T100A5 is compatible - Info (176445): Device EPM570T100C5 is compatible - Info (176445): Device EPM570T100I5 is compatible - Info (176445): Device EPM570T100A5 is compatible -Info (332104): Reading SDC File: 'constraints.sdc' + Info (176445): Device 5M80ZT100C5 is compatible + Info (176445): Device 5M80ZT100I5 is compatible + Info (176445): Device 5M160ZT100C5 is compatible + Info (176445): Device 5M160ZT100I5 is compatible + Info (176445): Device 5M240ZT100I5 is compatible + Info (176445): Device 5M570ZT100C5 is compatible + Info (176445): Device 5M570ZT100I5 is compatible +Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 63 total pins + Info (169086): Pin LED not assigned to an exact location on the device +Critical Warning (332012): Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (332144): No user constrained base clocks found in the design Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements Info (332127): Assuming a default timing requirement @@ -951,11 +952,12 @@ Info (186216): Automatically promoted some destinations of signal "PHI2" to use Info (186217): Destination "PHI2r" may be non-global or may not use global clock Info (186228): Pin "PHI2" drives global clock, but is not placed in a dedicated clock pin position Info (186216): Automatically promoted some destinations of signal "nCRAS" to use Global clock + Info (186217): Destination "comb~0" may be non-global or may not use global clock Info (186217): Destination "RASr" may be non-global or may not use global clock Info (186228): Pin "nCRAS" drives global clock, but is not placed in a dedicated clock pin position Info (186216): Automatically promoted some destinations of signal "nCCAS" to use Global clock Info (186217): Destination "CBR" may be non-global or may not use global clock - Info (186217): Destination "comb~2" may be non-global or may not use global clock + Info (186217): Destination "comb~3" may be non-global or may not use global clock Info (186217): Destination "CASr" may be non-global or may not use global clock Info (186228): Pin "nCCAS" drives global clock, but is not placed in a dedicated clock pin position Info (186079): Completed Auto Global Promotion Operation @@ -964,6 +966,13 @@ Info (186391): Fitter is using Normal packing mode for logic elements with Auto Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional) + Info (176212): I/O standards used: 3.3-V LVTTL. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 24 total pin(s) used -- 17 pins available Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 @@ -974,20 +983,20 @@ Info (170193): Fitter routing operations beginning Info (170195): Router estimated average interconnect usage is 20% of the available device resources Info (170196): Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.53 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.27 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file /Repos/RAM4GS/cpld/output_files/RAM4GS.fit.smsg -Info: Quartus II 32-bit Fitter was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 376 megabytes - Info: Processing ended: Thu Jul 23 02:20:50 2020 - Info: Elapsed time: 00:00:08 - Info: Total CPU time (on all processors): 00:00:08 +Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.fit.smsg +Info: Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings + Info: Peak virtual memory: 548 megabytes + Info: Processing ended: Mon Aug 16 18:40:21 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.fit.smsg. +The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.fit.smsg. diff --git a/CPLD/MAXII/output_files/RAM4GS.fit.smsg b/CPLD/MAX/MAXV/output_files/RAM2GS.fit.smsg old mode 100755 new mode 100644 similarity index 100% rename from CPLD/MAXII/output_files/RAM4GS.fit.smsg rename to CPLD/MAX/MAXV/output_files/RAM2GS.fit.smsg diff --git a/CPLD/MAX/MAXV/output_files/RAM2GS.fit.summary b/CPLD/MAX/MAXV/output_files/RAM2GS.fit.summary new file mode 100644 index 0000000..9f20503 --- /dev/null +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.fit.summary @@ -0,0 +1,11 @@ +Fitter Status : Successful - Mon Aug 16 18:40:21 2021 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : RAM2GS +Top-level Entity Name : RAM2GS +Family : MAX V +Device : 5M240ZT100C5 +Timing Models : Final +Total logic elements : 168 / 240 ( 70 % ) +Total pins : 63 / 79 ( 80 % ) +Total virtual pins : 0 +UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/AGM-src/output_files/RAM4GS.flow.rpt b/CPLD/MAX/MAXV/output_files/RAM2GS.flow.rpt old mode 100755 new mode 100644 similarity index 55% rename from CPLD/AGM-src/output_files/RAM4GS.flow.rpt rename to CPLD/MAX/MAXV/output_files/RAM2GS.flow.rpt index cab50ca..3bd2467 --- a/CPLD/AGM-src/output_files/RAM4GS.flow.rpt +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.flow.rpt @@ -1,6 +1,6 @@ -Flow report for RAM4GS -Thu Jul 23 02:21:02 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Flow report for RAM2GS +Mon Aug 16 18:40:24 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -40,15 +40,15 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Thu Jul 23 02:20:55 2020 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM4GS ; -; Top-level Entity Name ; RAM4GS ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; +; Flow Status ; Successful - Mon Aug 16 18:40:22 2021 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; RAM2GS ; +; Top-level Entity Name ; RAM2GS ; +; Family ; MAX V ; +; Device ; 5M240ZT100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 170 / 240 ( 71 % ) ; -; Total pins ; 62 / 80 ( 78 % ) ; +; Total logic elements ; 168 / 240 ( 70 % ) ; +; Total pins ; 63 / 79 ( 80 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +---------------------------+-------------------------------------------------+ @@ -59,34 +59,34 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 07/23/2020 02:20:37 ; +; Start date & time ; 08/16/2021 18:40:16 ; ; Main task ; Compilation ; -; Revision Name ; RAM4GS ; +; Revision Name ; RAM2GS ; +-------------------+---------------------+ -+------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+--------------------------------------------+--------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+--------------------------------------------+--------------------------------+---------------+-------------+------------+ -; ALLOW_POWER_UP_DONT_CARE ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 44085571633675.159548523602288 ; -- ; -- ; -- ; -; ENABLE_BUS_HOLD_CIRCUITRY ; On ; Off ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ; Pack All IO Registers ; Normal ; -- ; -- ; -; OPTIMIZE_MULTI_CORNER_TIMING ; On ; Off ; -- ; -- ; -; PLACEMENT_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; -; POWER_DEFAULT_INPUT_IO_TOGGLE_RATE ; 12.5 % ; 12.5% ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; ROUTER_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; -; SAFE_STATE_MACHINE ; On ; Off ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+--------------------------------------------+--------------------------------+---------------+-------------+------------+ ++----------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++--------------------------------------------+------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++--------------------------------------------+------------------------------+---------------+-------------+------------+ +; ALLOW_POWER_UP_DONT_CARE ; Off ; On ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 962837114763.162915361605944 ; -- ; -- ; -- ; +; ENABLE_BUS_HOLD_CIRCUITRY ; On ; Off ; -- ; -- ; +; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; +; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ; Pack All IO Registers ; Normal ; -- ; -- ; +; OPTIMIZE_MULTI_CORNER_TIMING ; On ; Off ; -- ; -- ; +; PLACEMENT_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; +; POWER_DEFAULT_INPUT_IO_TOGGLE_RATE ; 12.5 % ; 12.5% ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; ROUTER_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; +; SAFE_STATE_MACHINE ; On ; Off ; -- ; -- ; +; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; ++--------------------------------------------+------------------------------+---------------+-------------+------------+ +-------------------------------------------------------------------------------------------------------------------------------+ @@ -94,33 +94,33 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 303 MB ; 00:00:05 ; -; Fitter ; 00:00:08 ; 1.3 ; 376 MB ; 00:00:07 ; -; Assembler ; 00:00:02 ; 1.0 ; 295 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:05 ; 1.0 ; 288 MB ; 00:00:04 ; -; Total ; 00:00:20 ; -- ; -- ; 00:00:18 ; +; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 421 MB ; 00:00:01 ; +; Fitter ; 00:00:03 ; 1.0 ; 548 MB ; 00:00:03 ; +; Assembler ; 00:00:01 ; 1.0 ; 381 MB ; 00:00:01 ; +; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 368 MB ; 00:00:01 ; +; Total ; 00:00:06 ; -- ; -- ; 00:00:06 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -+-----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+------------+------------+----------------+ -; Analysis & Synthesis ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -; Fitter ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -; Assembler ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -; TimeQuest Timing Analyzer ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -+---------------------------+------------------+------------+------------+----------------+ ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+-----------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+-----------+------------+----------------+ +; Analysis & Synthesis ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; +; Fitter ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; +; Assembler ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; +; TimeQuest Timing Analyzer ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; ++---------------------------+------------------+-----------+------------+----------------+ ------------ ; Flow Log ; ------------ -quartus_map --read_settings_files=on --write_settings_files=off RAM4GS -c RAM4GS -quartus_fit --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS -quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS -quartus_sta RAM4GS -c RAM4GS +quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS +quartus_fit --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS +quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS +quartus_sta RAM2GS-MAXV -c RAM2GS diff --git a/CPLD/MAX/MAXV/output_files/RAM2GS.jdi b/CPLD/MAX/MAXV/output_files/RAM2GS.jdi new file mode 100644 index 0000000..448e697 --- /dev/null +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/CPLD/AGM-src/output_files/RAM4GS.map.rpt b/CPLD/MAX/MAXV/output_files/RAM2GS.map.rpt old mode 100755 new mode 100644 similarity index 80% rename from CPLD/AGM-src/output_files/RAM4GS.map.rpt rename to CPLD/MAX/MAXV/output_files/RAM2GS.map.rpt index 9d88205..ac1eb15 --- a/CPLD/AGM-src/output_files/RAM4GS.map.rpt +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.map.rpt @@ -1,6 +1,6 @@ -Analysis & Synthesis report for RAM4GS -Thu Jul 23 02:20:40 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Analysis & Synthesis report for RAM2GS +Mon Aug 16 18:40:17 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -45,13 +45,13 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Jul 23 02:20:40 2020 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM4GS ; -; Top-level Entity Name ; RAM4GS ; -; Family ; MAX II ; -; Total logic elements ; 178 ; -; Total pins ; 62 ; +; Analysis & Synthesis Status ; Successful - Mon Aug 16 18:40:17 2021 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; RAM2GS ; +; Top-level Entity Name ; RAM2GS ; +; Family ; MAX V ; +; Total logic elements ; 177 ; +; Total pins ; 63 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +-----------------------------+-------------------------------------------------+ @@ -62,9 +62,9 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------+--------------------+ ; Option ; Setting ; Default Value ; +----------------------------------------------------------------------------+--------------------+--------------------+ -; Device ; EPM240T100C5 ; ; -; Top-level entity name ; RAM4GS ; RAM4GS ; -; Family name ; MAX II ; Cyclone IV GX ; +; Device ; 5M240ZT100C5 ; ; +; Top-level entity name ; RAM2GS ; RAM2GS ; +; Family name ; MAX V ; Cyclone IV GX ; ; Safe State Machine ; On ; Off ; ; Power-Up Don't Care ; Off ; On ; ; Use smart compilation ; Off ; Off ; @@ -130,32 +130,25 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------+--------------------+ -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 2 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 1 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; 0.0% ; -+----------------------------+-------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 12 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------+---------+ -; RAM4GS.v ; yes ; User Verilog HDL File ; //vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v ; ; -; RAM4GS.mif ; yes ; User Memory Initialization File ; //vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.mif ; ; -; UFM.v ; yes ; User Wizard-Generated File ; //vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v ; ; -+----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------+---------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ +; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v ; ; +; UFM.v ; yes ; User Wizard-Generated File ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v ; ; ++----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ +-----------------------------------------------------+ @@ -163,32 +156,32 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 178 ; -; -- Combinational with no register ; 82 ; +; Total logic elements ; 177 ; +; -- Combinational with no register ; 80 ; ; -- Register only ; 29 ; -; -- Combinational with a register ; 67 ; +; -- Combinational with a register ; 68 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 57 ; -; -- 3 input functions ; 41 ; -; -- 2 input functions ; 42 ; +; -- 4 input functions ; 58 ; +; -- 3 input functions ; 40 ; +; -- 2 input functions ; 41 ; ; -- 1 input functions ; 8 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 162 ; +; -- normal mode ; 161 ; ; -- arithmetic mode ; 16 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 9 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 96 ; +; Total registers ; 97 ; ; Total logic cells in carry chains ; 17 ; -; I/O pins ; 62 ; +; I/O pins ; 63 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; RCLK ; -; Maximum fan-out ; 54 ; +; Maximum fan-out ; 55 ; ; Total fan-out ; 643 ; ; Average fan-out ; 2.67 ; +---------------------------------------------+-------+ @@ -199,20 +192,20 @@ applicable agreement for further details. +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |RAM4GS ; 178 (178) ; 96 ; 1 ; 62 ; 0 ; 82 (82) ; 29 (29) ; 67 (67) ; 17 (17) ; 0 (0) ; |RAM4GS ; work ; -; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM4GS|UFM:UFM_inst ; work ; -; |UFM_altufm_none_1br:UFM_altufm_none_1br_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM4GS|UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component ; work ; +; |RAM2GS ; 177 (177) ; 97 ; 1 ; 63 ; 0 ; 80 (80) ; 29 (29) ; 68 (68) ; 17 (17) ; 0 (0) ; |RAM2GS ; work ; +; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst ; work ; +; |UFM_altufm_none_mjr:UFM_altufm_none_mjr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+---------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis IP Cores Summary ; -+--------+--------------+---------+--------------+--------------+----------------------+------------------------------------------------------+ -; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; -+--------+--------------+---------+--------------+--------------+----------------------+------------------------------------------------------+ -; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM4GS|UFM:UFM_inst ; //vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v ; -+--------+--------------+---------+--------------+--------------+----------------------+------------------------------------------------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis IP Cores Summary ; ++--------+--------------+---------+--------------+--------------+----------------------+----------------------------------------------------------+ +; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; ++--------+--------------+---------+--------------+--------------+----------------------+----------------------------------------------------------+ +; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM2GS|UFM:UFM_inst ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/UFM.v ; ++--------+--------------+---------+--------------+--------------+----------------------+----------------------------------------------------------+ +------------------------------------------------------+ @@ -220,7 +213,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 96 ; +; Total registers ; 97 ; ; Number of registers using Synchronous Clear ; 6 ; ; Number of registers using Synchronous Load ; 3 ; ; Number of registers using Asynchronous Clear ; 0 ; @@ -248,8 +241,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM4GS|S[0] ; -; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM4GS|C1Submitted ; +; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|S[0] ; +; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|ADSubmitted ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -269,22 +262,23 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis +Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Jul 23 02:20:35 2020 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM4GS -c RAM4GS -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected -Info (12021): Found 1 design units, including 1 entities, in source file ram4gs.v - Info (12023): Found entity 1: RAM4GS + Info: Processing started: Mon Aug 16 18:40:16 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (12021): Found 1 design units, including 1 entities, in source file /users/dog/documents/github/ram2gs/cpld/max/ram2gs-max.v + Info (12023): Found entity 1: RAM2GS Info (12021): Found 2 design units, including 2 entities, in source file ufm.v - Info (12023): Found entity 1: UFM_altufm_none_1br + Info (12023): Found entity 1: UFM_altufm_none_mjr Info (12023): Found entity 2: UFM -Info (12127): Elaborating entity "RAM4GS" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at RAM4GS.v(154): truncated value with size 32 to match size of target (2) -Warning (10230): Verilog HDL assignment warning at RAM4GS.v(159): truncated value with size 32 to match size of target (18) -Warning (10230): Verilog HDL assignment warning at RAM4GS.v(286): truncated value with size 32 to match size of target (4) +Info (12127): Elaborating entity "RAM2GS" for the top level hierarchy +Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(158): truncated value with size 32 to match size of target (2) +Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(163): truncated value with size 32 to match size of target (18) +Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(290): truncated value with size 32 to match size of target (4) Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" -Info (12128): Elaborating entity "UFM_altufm_none_1br" for hierarchy "UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component" +Info (12128): Elaborating entity "UFM_altufm_none_mjr" for hierarchy "UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component" +Critical Warning (127003): Can't find Memory Initialization File or Hexadecimal (Intel-Format) File C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/RAM2GS-MAX.mif -- setting all initial values to 0 Warning (18029): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated Warning (18029): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated Warning (18029): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated @@ -295,21 +289,21 @@ Warning (18029): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot Warning (18029): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated Info (21057): Implemented 241 device resources after synthesis - the final resource count might be different Info (21058): Implemented 25 input pins - Info (21059): Implemented 29 output pins + Info (21059): Implemented 30 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 178 logic cells + Info (21061): Implemented 177 logic cells Info (21070): Implemented 1 User Flash Memory blocks -Info (144001): Generated suppressed messages file /Repos/RAM4GS/cpld/output_files/RAM4GS.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 11 warnings - Info: Peak virtual memory: 303 megabytes - Info: Processing ended: Thu Jul 23 02:20:41 2020 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:05 +Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 13 warnings + Info: Peak virtual memory: 421 megabytes + Info: Processing ended: Mon Aug 16 18:40:17 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.map.smsg. +The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg. diff --git a/CPLD/MAXII/output_files/RAM4GS.map.smsg b/CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg old mode 100755 new mode 100644 similarity index 71% rename from CPLD/MAXII/output_files/RAM4GS.map.smsg rename to CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg index 4c14264..a8e8eb9 --- a/CPLD/MAXII/output_files/RAM4GS.map.smsg +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at RAM4GS.v(52): extended using "x" or "z" +Warning (10273): Verilog HDL warning at RAM2GS-MAX.v(56): extended using "x" or "z" Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword diff --git a/CPLD/MAX/MAXV/output_files/RAM2GS.map.summary b/CPLD/MAX/MAXV/output_files/RAM2GS.map.summary new file mode 100644 index 0000000..68f556c --- /dev/null +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.map.summary @@ -0,0 +1,9 @@ +Analysis & Synthesis Status : Successful - Mon Aug 16 18:40:17 2021 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : RAM2GS +Top-level Entity Name : RAM2GS +Family : MAX V +Total logic elements : 177 +Total pins : 63 +Total virtual pins : 0 +UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM4GS.pin b/CPLD/MAX/MAXV/output_files/RAM2GS.pin old mode 100755 new mode 100644 similarity index 93% rename from CPLD/MAXII/output_files/RAM4GS.pin rename to CPLD/MAX/MAXV/output_files/RAM2GS.pin index 86ba0f4..299181b --- a/CPLD/MAXII/output_files/RAM4GS.pin +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.pin @@ -23,7 +23,7 @@ --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (2.5V/3.3V). + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.8V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1: 3.3V @@ -57,12 +57,12 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -CHIP "RAM4GS" ASSIGNED TO AN: EPM240T100C5 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +CHIP "RAM2GS" ASSIGNED TO AN: 5M240ZT100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- -GND* : 1 : : : : 2 : +GND : 1 : gnd : : : : RDQMH : 2 : output : 3.3-V LVCMOS : : 1 : Y nRCS : 3 : output : 3.3-V LVCMOS : : 1 : Y nRCAS : 4 : output : 3.3-V LVCMOS : : 1 : Y @@ -71,10 +71,10 @@ nRRAS : 6 : output : 3.3-V LVCMOS : RA[11] : 7 : output : 3.3-V LVCMOS : : 1 : Y RCKE : 8 : output : 3.3-V LVCMOS : : 1 : Y VCCIO1 : 9 : power : : 3.3V : 1 : -GNDIO : 10 : gnd : : : : -GNDINT : 11 : gnd : : : : +GND : 10 : gnd : : : : +GND : 11 : gnd : : : : RCLK : 12 : input : 3.3-V LVCMOS : : 1 : Y -VCCINT : 13 : power : : 2.5V/3.3V : : +VCCINT : 13 : power : : 1.8V : : RBA[1] : 14 : output : 3.3-V LVCMOS : : 1 : Y RA[9] : 15 : output : 3.3-V LVCMOS : : 1 : Y RA[10] : 16 : output : 3.3-V LVCMOS : : 1 : Y @@ -93,7 +93,7 @@ Dout[5] : 28 : output : 3.3-V LVCMOS : RA[5] : 29 : output : 3.3-V LVCMOS : : 1 : Y RA[2] : 30 : output : 3.3-V LVCMOS : : 1 : Y VCCIO1 : 31 : power : : 3.3V : 1 : -GNDIO : 32 : gnd : : : : +GND : 32 : gnd : : : : Dout[0] : 33 : output : 3.3-V LVCMOS : : 1 : Y Dout[6] : 34 : output : 3.3-V LVCMOS : : 1 : Y Din[2] : 35 : input : 3.3-V LVCMOS : : 1 : Y @@ -107,7 +107,7 @@ Din[0] : 42 : input : 3.3-V LVCMOS : Dout[7] : 43 : output : 3.3-V LVCMOS : : 1 : Y Dout[4] : 44 : output : 3.3-V LVCMOS : : 1 : Y VCCIO1 : 45 : power : : 3.3V : 1 : -GNDIO : 46 : gnd : : : : +GND : 46 : gnd : : : : Dout[3] : 47 : output : 3.3-V LVCMOS : : 1 : Y nFWE : 48 : input : 3.3-V LVCMOS : : 1 : Y MAin[0] : 49 : input : 3.3-V LVCMOS : : 1 : Y @@ -121,12 +121,12 @@ Dout[2] : 56 : output : 3.3-V LVCMOS : Dout[1] : 57 : output : 3.3-V LVCMOS : : 2 : Y GND* : 58 : : : : 2 : VCCIO2 : 59 : power : : 3.3V : 2 : -GNDIO : 60 : gnd : : : : +GND : 60 : gnd : : : : GND* : 61 : : : : 2 : -GND* : 62 : : : : 2 : -VCCINT : 63 : power : : 2.5V/3.3V : : +LED : 62 : output : 3.3-V LVTTL : : 2 : N +VCCINT : 63 : power : : 1.8V : : GND* : 64 : : : : 2 : -GNDINT : 65 : gnd : : : : +GND : 65 : gnd : : : : GND* : 66 : : : : 2 : nCRAS : 67 : input : 3.3-V LVCMOS : : 2 : Y MAin[7] : 68 : input : 3.3-V LVCMOS : : 2 : Y @@ -140,7 +140,7 @@ GND* : 75 : : : GND* : 76 : : : : 2 : GND* : 77 : : : : 2 : GND* : 78 : : : : 2 : -GNDIO : 79 : gnd : : : : +GND : 79 : gnd : : : : VCCIO2 : 80 : power : : 3.3V : 2 : GND* : 81 : : : : 2 : GND* : 82 : : : : 2 : @@ -154,7 +154,7 @@ RD[2] : 89 : bidir : 3.3-V LVCMOS : RD[1] : 90 : bidir : 3.3-V LVCMOS : : 2 : Y RD[5] : 91 : bidir : 3.3-V LVCMOS : : 2 : Y RD[4] : 92 : bidir : 3.3-V LVCMOS : : 2 : Y -GNDIO : 93 : gnd : : : : +GND : 93 : gnd : : : : VCCIO2 : 94 : power : : 3.3V : 2 : RD[6] : 95 : bidir : 3.3-V LVCMOS : : 2 : Y RD[0] : 96 : bidir : 3.3-V LVCMOS : : 2 : Y diff --git a/CPLD/MAX/MAXV/output_files/RAM2GS.pof b/CPLD/MAX/MAXV/output_files/RAM2GS.pof new file mode 100644 index 0000000000000000000000000000000000000000..b9b6f58e6321f92091cd87c8192cea8f22ce3197 GIT binary patch literal 7879 zcmeHMdvILUd0!c1n-<%pc|f3+RA%z%42d6t5FWOeP?OS(sXGMRhLHrulE)J)b&Qd0 z=dP!oY`Xvc zrqAE{*)MM2)wF46_qLsn?by+=v+3cEolkD>?rK`o{JGViThsK#r?x+_wP|hh+NRZ? zU$tiKs3GS{OhL89b1|Q4b#ecU|Jz8_ffMz0O8zB2q1blLJI%WFusl((7Nk`~gQqJzZ+Tl&p48(rR5WxqGV*Rj z$vC2%mRbYC=_^=V)*}p##nsY|F4g2~`ltx`0`o_bR1PDap+C0xF)^?rH-snJp{W|3 z$3T&mMVcX{m|qq=Usv(jABF!h1#|-V!pcQqmCkxx$$lGol1x|1iCpVn%?>TWM+HD> z3S(bX|lJK`S5|=Jcf>$7YS~=m`4W3(BV%S ze!E23O33b!mZbe!eBvU@%N_VW7e}#AF66;4%7_!=3(~z_S;ZkGg$6z}3Q4}rH*kN# zaVQVPz{khv6>+T6Rr|1}>4M}476Tm(VOk`KS;gQVDih@+-R=9a)S=3HY#*?Zzg1c2 zM?MENHD^?uM%B0$-`Ew4rQt1-t zl%$uKk6h$CbGsKqPQ8oyOu7f_1xmwirpIijc94_6<2mU8UKg;_(Ay&&whgLKjs^D* z_Ik1J9D~t0;lN&u6z4R3ck5F!rej)ZpZzm&I%nlwYA8}EJ}^BbisEUZbo5e^aqks| z?j2wL^Oxgee>!||x;N-=xN6@!&s`T>Ci%OYKE8_WXw58II-x%O@bFBf@4nVQOYGZS z|0f48!~Q@1xSM61>@q#EWcOcv^3;EH2X%eTsoBH7%yWE~ol6IxJ3pz*=9lb#pnkg2 zedaIsu1?L~^!h=jA2?_Gl2(qKi#lH(zOZtv*uB2jn|UL3a82{uslzJ~H}KKOrI)vK zP3$;x?Jqm?1I?-Lym&{D$$WX}$hgV!dzJGOCniJYuXXVGKm=b zFkQQ--^`4S4{9FyyMX#Z{t!<+hRl@|n#j<(--|LysR;SQ8uY|T#8?TUENEv*qpm`% zqmSX2Pf=v>D>*}lKHzY5VsuQsNlnr;7~puF{YYaBH5yaV`B>`{?RTl-&`+>BwRzE_Zhu}3Hr-JeWU-B z0A0~Ju6M-B@*@=88B_oH0P;|T!OS5_4gfJqW2cdqj@f^wbY$ewf6SM|cOEvIeuq|w zrH^BM2k(>VGQDyr4BG(iP|SIsf*R9*et|U0+x^MJSHSZu=%)WXjyv^)&Ui>|M3Va~ ziyQ#I+JDfme9tEmdFUxw{8-c`}{-syGY(>E=Cbm{B+p8nVk`)PVw zQ6ptRPjP%3*MDi>#ZxO5pIi6qeWg<4L7GMU^gBpW8Vx8tfbE>~VWjm;0~FH#fhU>hBM2940Qk^wyb?jx)C$I_v7ET5SK-{*K*zcp*0X88&&P$KqhpClA@x;P+5V zJaT@Hw-b%RVw|H&y-&pUBTtd78_W?^5B-@peNweQid^ikN(rt5)FI=IGhg1|E63w8 z4SNXTr?WBo45G@d%5(nR5Xgi&WPMM9D~>&$EWv2;A+dR29Au@F71Kg?P>(rffUw;uCoh};=7r#?%EVc3tf@du7s`?(JZ-f&+sKGE->q!_ig zt0bLhE=m_44!1jW^wloxSnBPnd>L^;>OTuZG_{TA zDpU?Ac46P3%=C4;pFITn57fn~d;#=ryLS&Sd2cLvs{cJjFMQ-QMI}|0 z_gEhE4OcV$wI4a9XRlvOMW$E%AMsE3ZrkdQ4&*y~l20C(=^s4Uymm76LVvrpKRg7TcAMDsCHgJKxi(n|_-0wltD@eETC9tQ zxV~CN#;3xR?ju@Pi$5oi{ZwW2yOK&v>~B8KhmZo}e>|=w=Y{WaF79v8gR@D2#&W1< zMBY(DB&q0W7xx>*`)lhI>cPmH`cOxRM7A%(ayrZEh?3Sn0c4V% zaADxO^<%+)LH~JM_pkx@(1&vvb?Yl(_A4(IReB-EeS!NV(>d-B7<`Gw#QX^F&xo1r zr*;XuMG?KP+{n~dffxw&4c%I*qL0l4^-D@vydz~P@^}|OT-XSqVx)*zPsPYTglFVa zq?pdh}nF!o_&Kf+gB;(SLwuj78PfKGaff}slR zRPG~=7Fo8hK$nbsD@msdaVeZ&yzjxp_P&=PtSGMP|E(yx;bdz}guEO%;NhM&^d*ig5BdNur;wee{gujH5PUs8YT$l;{(g{GDN*?2Y6 z3+-Jx%{%fk#Z|!%_T-v{7BHwXv>4s0;eCNOTN57C6$TWX> z=&8DvAuBU82@)^|lSYAS2%3j!^iZ15(uzd?~ zFptWZXR^Py&U_)^-UeI|)o>TsZ*U;TEfBZ;L3yK(^DwTfbjGRn-<%yDeBpKQnfoW= zSGAM-&pV-%aBK3%7RVbu6czF)tMoL}ADWYA99IIjibr%D&pgK#Kzp!1v7BS-VSc@Y zHG}8!J`+mNCG*d%*XXFT_f&g&4^d;yJ|0`~VgAde|1wflV!pq#UilWr8V+!g|FDGp zI$nrx;uDkWlzz7P##CdXu9A3WF?eyjrN51l{YKKvj)bFlKFrC+bgwIic zu^lgbw9>t0%hIbmbX{T*=Fn^2|6qrqANtLy?kzVif9|o0m1n!&#RvU2^3!dd6L0w3 zf8G7B6@34#X1xFP_s2{xQ9l3DyZNqv`p2ciH{X2cVgLKz>i-4a|BhxdCXb9$MlC{5 zR7MSf|4a|o=FdM@X!MnbHPrv$D22G+qYLt(iCZl`C1G$-Khop)08g-A@YB^2=am3G zL*g=pEk@q<8|bdVG5CnNkG<672gO^(G5#xaAI0Vk8$Ym)dNllu4^_}>_XiSI4s^p0 zdQy_>q51gw3%>Mw;5Ga_V&lg-oa4F0pOd#ZLSfvNVS2Do4$nqENz%y(Z-QnX&*9F| zfp5;A8vhlei@9!#Jn%2XpXeZubNzSbR_hhkj0!z%U@xwRu{0-*aN!; z-`y6{(F)#ouvS}d#WTly&-vKZ7pScekKz7g{M&5n*UGC;UQ)?SZv*(>>>d;@)Is8Dxea!LUP4kXhPGuLrdCfibyPyB_`*@w}9>4F|^A9|%XWiYWM8oV~JhyDt&=>svXZ!E9k)fb#+uhfBmtW{V z*xWpsO7&OsVEQkg>$+vQYhvJJ>igfu{WEj#(8)}d4t&&%^8IKh^px-x!usa>&)WG0 z){;}n9DZl(3GnRTHxstML{YDnkPq{Nfna}QD$CXa;&1w*Rv$P|W!a^Jjqz}#VevtGlu@wfcZ@72{j4)axipXK}F9L~Ia&2Qkx zSJr2hAAbEo{{Kbq75>7K{}~7SPs^439EXK^IhwUNxShqZ3aM=q0(qA?Y+hv=EZs5p z`}4dU{E{EUv3hJgTL$O;K7bya$Dfy95T7gT`JlZuz7NO$+qm42xc2I~zX&z)UxZLJ XAO8C=0v|@;|7ir4n!gqQ{iXi~?LSve literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/output_files/RAM2GS.sta.rpt b/CPLD/MAX/MAXV/output_files/RAM2GS.sta.rpt new file mode 100644 index 0000000..34ffbe8 --- /dev/null +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.sta.rpt @@ -0,0 +1,1576 @@ +TimeQuest Timing Analyzer report for RAM2GS +Mon Aug 16 18:40:24 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Fmax Summary + 6. Setup Summary + 7. Hold Summary + 8. Recovery Summary + 9. Removal Summary + 10. Minimum Pulse Width Summary + 11. Setup: 'ARCLK' + 12. Setup: 'DRCLK' + 13. Setup: 'PHI2' + 14. Setup: 'RCLK' + 15. Setup: 'nCRAS' + 16. Hold: 'ARCLK' + 17. Hold: 'DRCLK' + 18. Hold: 'PHI2' + 19. Hold: 'nCRAS' + 20. Hold: 'RCLK' + 21. Minimum Pulse Width: 'ARCLK' + 22. Minimum Pulse Width: 'DRCLK' + 23. Minimum Pulse Width: 'PHI2' + 24. Minimum Pulse Width: 'RCLK' + 25. Minimum Pulse Width: 'nCCAS' + 26. Minimum Pulse Width: 'nCRAS' + 27. Setup Times + 28. Hold Times + 29. Clock to Output Times + 30. Minimum Clock to Output Times + 31. Propagation Delay + 32. Minimum Propagation Delay + 33. Output Enable Times + 34. Minimum Output Enable Times + 35. Output Disable Times + 36. Minimum Output Disable Times + 37. Setup Transfers + 38. Hold Transfers + 39. Report TCCS + 40. Report RSKM + 41. Unconstrained Paths + 42. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++----------------------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++--------------------+-------------------------------------------------------------------+ +; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; +; Revision Name ; RAM2GS ; +; Device Family ; MAX V ; +; Device Name ; 5M240ZT100C5 ; +; Timing Models ; Final ; +; Delay Model ; Slow Model ; +; Rise/Fall Delays ; Unavailable ; ++--------------------+-------------------------------------------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 12 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clocks ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ +; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ +; ARCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { ARCLK } ; +; DRCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { DRCLK } ; +; nCCAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCCAS } ; +; nCRAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCRAS } ; +; PHI2 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { PHI2 } ; +; RCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { RCLK } ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ + + ++-------------------------------------------------+ +; Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; +; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; +; 20.71 MHz ; 20.71 MHz ; PHI2 ; ; +; 47.75 MHz ; 47.75 MHz ; RCLK ; ; ++-----------+-----------------+------------+------+ +This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. + + ++---------------------------------+ +; Setup Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; ARCLK ; -99.000 ; -99.000 ; +; DRCLK ; -99.000 ; -99.000 ; +; PHI2 ; -23.638 ; -216.621 ; +; RCLK ; -19.942 ; -610.547 ; +; nCRAS ; -3.072 ; -6.479 ; ++-------+---------+---------------+ + + ++---------------------------------+ +; Hold Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; ARCLK ; -16.153 ; -16.153 ; +; DRCLK ; -14.623 ; -14.623 ; +; PHI2 ; -2.569 ; -3.433 ; +; nCRAS ; -0.713 ; -2.822 ; +; RCLK ; 2.127 ; 0.000 ; ++-------+---------+---------------+ + + +-------------------- +; Recovery Summary ; +-------------------- +No paths to report. + + +------------------- +; Removal Summary ; +------------------- +No paths to report. + + ++---------------------------------+ +; Minimum Pulse Width Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; ARCLK ; -29.500 ; -59.000 ; +; DRCLK ; -29.500 ; -59.000 ; +; PHI2 ; -2.289 ; -2.289 ; +; RCLK ; -2.289 ; -2.289 ; +; nCCAS ; -2.289 ; -2.289 ; +; nCRAS ; -2.289 ; -2.289 ; ++-------+---------+---------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'ARCLK' ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -99.000 ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ; +; -22.847 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 1.000 ; -0.884 ; 2.963 ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'DRCLK' ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -99.000 ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ; +; -24.468 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -0.994 ; 4.474 ; +; -24.377 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -0.994 ; 4.383 ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Setup: 'PHI2' ; ++---------+-------------+--------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-------------+--------------+--------------+-------------+--------------+------------+------------+ +; -23.638 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 23.817 ; +; -23.413 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 23.592 ; +; -22.503 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 22.682 ; +; -21.937 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 22.116 ; +; -21.404 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 21.583 ; +; -21.232 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 21.411 ; +; -21.179 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 21.358 ; +; -20.812 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.991 ; +; -20.269 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.448 ; +; -20.229 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.408 ; +; -20.229 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.408 ; +; -20.229 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.408 ; +; -20.229 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.408 ; +; -20.189 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.368 ; +; -20.004 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.183 ; +; -20.004 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.183 ; +; -20.004 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.183 ; +; -20.004 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 20.183 ; +; -19.703 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.882 ; +; -19.309 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.488 ; +; -19.309 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.488 ; +; -19.094 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.273 ; +; -19.094 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.273 ; +; -19.094 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.273 ; +; -19.094 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.273 ; +; -19.084 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.263 ; +; -19.084 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.263 ; +; -18.998 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 19.177 ; +; -18.578 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.757 ; +; -18.528 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.707 ; +; -18.528 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.707 ; +; -18.528 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.707 ; +; -18.528 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.707 ; +; -18.174 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.353 ; +; -18.174 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.353 ; +; -17.993 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.172 ; +; -17.955 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.134 ; +; -17.823 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.002 ; +; -17.823 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.002 ; +; -17.823 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.002 ; +; -17.823 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 18.002 ; +; -17.608 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.787 ; +; -17.608 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.787 ; +; -17.403 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.582 ; +; -17.403 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.582 ; +; -17.403 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.582 ; +; -17.403 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.582 ; +; -16.903 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.082 ; +; -16.903 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 17.082 ; +; -16.780 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.959 ; +; -16.780 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.959 ; +; -16.780 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.959 ; +; -16.780 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.959 ; +; -16.483 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.662 ; +; -16.483 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.662 ; +; -15.860 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.039 ; +; -15.860 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 16.039 ; +; -15.759 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 15.938 ; +; -15.305 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 15.484 ; +; -15.305 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 15.484 ; +; -15.080 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 15.259 ; +; -15.080 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 15.259 ; +; -14.777 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.956 ; +; -14.584 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.763 ; +; -14.584 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.763 ; +; -14.584 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.763 ; +; -14.584 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.763 ; +; -14.552 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.731 ; +; -14.170 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.349 ; +; -14.170 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 14.349 ; +; -13.664 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.843 ; +; -13.664 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.843 ; +; -13.642 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.821 ; +; -13.604 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.783 ; +; -13.604 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.783 ; +; -13.076 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.255 ; +; -12.899 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.078 ; +; -12.899 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 13.078 ; +; -12.479 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.658 ; +; -12.479 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.658 ; +; -12.415 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 13.094 ; +; -12.415 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 13.094 ; +; -12.415 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 13.094 ; +; -12.415 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 13.094 ; +; -12.371 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.550 ; +; -11.951 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.130 ; +; -11.942 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 12.621 ; +; -11.942 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 12.621 ; +; -11.856 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.035 ; +; -11.856 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 12.035 ; +; -11.414 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 12.093 ; +; -11.328 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 11.507 ; +; -9.660 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.839 ; +; -9.660 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.839 ; +; -9.132 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.311 ; +; -8.463 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 9.142 ; +; -8.261 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 8.940 ; +; -7.499 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 8.178 ; +; -6.906 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 7.585 ; +; -6.658 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.837 ; ++---------+-------------+--------------+--------------+-------------+--------------+------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'RCLK' ; ++---------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ +; -19.942 ; FS[17] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 20.621 ; +; -19.941 ; FS[17] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 20.620 ; +; -19.634 ; FS[16] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 20.313 ; +; -19.633 ; FS[16] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 20.312 ; +; -18.886 ; FS[17] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 19.565 ; +; -18.787 ; FS[17] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 19.466 ; +; -18.771 ; FS[7] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 19.450 ; +; -18.770 ; FS[7] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 19.449 ; +; -18.479 ; FS[16] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 19.158 ; +; -18.118 ; FS[16] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 18.797 ; +; -17.725 ; CmdSubmitted ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -6.837 ; 11.067 ; +; -17.709 ; FWEr ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 13.152 ; +; -17.616 ; FS[7] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 18.295 ; +; -17.380 ; FS[6] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 18.059 ; +; -17.379 ; FS[6] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 18.058 ; +; -17.204 ; FS[17] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.883 ; +; -17.204 ; UFMInitDone ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.883 ; +; -17.203 ; UFMInitDone ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.882 ; +; -16.737 ; FS[17] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.416 ; +; -16.735 ; FS[17] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.414 ; +; -16.583 ; CmdSubmitted ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -6.837 ; 9.925 ; +; -16.436 ; FS[16] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.115 ; +; -16.429 ; FS[16] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.108 ; +; -16.427 ; FS[16] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.106 ; +; -16.336 ; FS[17] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 17.015 ; +; -16.318 ; FS[6] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.997 ; +; -16.095 ; FS[12] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.774 ; +; -16.049 ; UFMInitDone ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.728 ; +; -16.028 ; FS[16] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.707 ; +; -15.980 ; CBR ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 11.423 ; +; -15.962 ; FS[5] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.641 ; +; -15.961 ; FS[5] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.640 ; +; -15.903 ; CmdSubmitted ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -6.837 ; 9.245 ; +; -15.834 ; FS[7] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.513 ; +; -15.712 ; FS[12] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.391 ; +; -15.711 ; FWEr ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 11.154 ; +; -15.707 ; FWEr ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 11.150 ; +; -15.566 ; FS[7] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.245 ; +; -15.564 ; FS[7] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.243 ; +; -15.554 ; Ready ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.233 ; +; -15.538 ; Ready ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.217 ; +; -15.499 ; Ready ; IS[2] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.178 ; +; -15.419 ; FS[10] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 16.098 ; +; -15.371 ; CBR ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 10.814 ; +; -15.367 ; CBR ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 10.810 ; +; -15.320 ; RASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.999 ; +; -15.308 ; FS[4] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.987 ; +; -15.304 ; CmdDRCLK ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -6.837 ; 8.646 ; +; -15.236 ; S[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.915 ; +; -15.235 ; FS[13] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.914 ; +; -15.165 ; FS[7] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.844 ; +; -15.036 ; FS[10] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.715 ; +; -14.996 ; InitReady ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.675 ; +; -14.925 ; RASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.604 ; +; -14.894 ; UFMReqErase ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.573 ; +; -14.868 ; FS[5] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.547 ; +; -14.852 ; FS[13] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.531 ; +; -14.765 ; FS[4] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.444 ; +; -14.728 ; FS[17] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.407 ; +; -14.670 ; S[0] ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.349 ; +; -14.620 ; FWEr ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 10.063 ; +; -14.568 ; S[0] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.247 ; +; -14.564 ; FS[4] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.243 ; +; -14.562 ; FS[4] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.241 ; +; -14.536 ; FS[6] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.215 ; +; -14.469 ; Ready ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.148 ; +; -14.469 ; S[1] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.148 ; +; -14.420 ; FS[16] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.099 ; +; -14.370 ; FS[11] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 15.049 ; +; -14.285 ; S[0] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.964 ; +; -14.280 ; CBR ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 9.723 ; +; -14.270 ; InitReady ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.949 ; +; -14.267 ; UFMInitDone ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.946 ; +; -14.182 ; Ready ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.861 ; +; -14.180 ; Ready ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.859 ; +; -14.177 ; FS[6] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.856 ; +; -14.175 ; FS[6] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.854 ; +; -14.142 ; S[0] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.821 ; +; -14.059 ; S[1] ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.738 ; +; -14.045 ; InitReady ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.724 ; +; -14.017 ; FS[5] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.696 ; +; -13.999 ; UFMInitDone ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.678 ; +; -13.997 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.676 ; +; -13.987 ; FS[11] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.666 ; +; -13.985 ; FS[2] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.664 ; +; -13.979 ; IS[2] ; Ready ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.658 ; +; -13.957 ; S[1] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.636 ; +; -13.920 ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; n8MEGEN ; DRCLK ; RCLK ; 1.000 ; 0.994 ; 15.593 ; +; -13.911 ; RASr2 ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.590 ; +; -13.902 ; InitReady ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.581 ; +; -13.816 ; FS[5] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.495 ; +; -13.814 ; FS[5] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.493 ; +; -13.804 ; FWEr ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -4.736 ; 9.247 ; +; -13.774 ; FS[6] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.453 ; +; -13.771 ; FS[1] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.450 ; +; -13.744 ; RASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.423 ; +; -13.663 ; FS[7] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.342 ; +; -13.640 ; Ready ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.319 ; +; -13.640 ; S[1] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.319 ; +; -13.631 ; FS[15] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 14.310 ; ++---------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Setup: 'nCRAS' ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; -3.072 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.500 ; 9.682 ; 12.933 ; +; -2.572 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 1.000 ; 9.682 ; 12.933 ; +; -1.936 ; Ready ; RowA[5] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 6.851 ; +; -1.471 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 6.386 ; +; 0.029 ; Ready ; RowA[8] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.886 ; +; 0.030 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.885 ; +; 0.031 ; Ready ; RowA[3] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.884 ; +; 0.033 ; Ready ; RowA[4] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.882 ; +; 0.042 ; Ready ; RowA[2] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.873 ; +; 0.042 ; Ready ; RowA[7] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 4.873 ; +; 1.342 ; Ready ; RowA[0] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 3.573 ; +; 1.343 ; Ready ; RowA[6] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 3.572 ; +; 1.344 ; Ready ; RowA[9] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 3.571 ; +; 1.353 ; Ready ; RowA[1] ; RCLK ; nCRAS ; 0.500 ; 4.736 ; 3.562 ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'ARCLK' ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -16.153 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 0.000 ; -0.884 ; 2.963 ; +; 60.000 ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'DRCLK' ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -14.623 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -0.994 ; 4.383 ; +; -14.532 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -0.994 ; 4.474 ; +; 60.000 ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++-----------------------------------------------------------------------------------------------------------+ +; Hold: 'PHI2' ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ +; -2.569 ; n8MEGEN ; Cmdn8MEGEN ; RCLK ; PHI2 ; -0.500 ; 6.837 ; 3.807 ; +; -0.864 ; Ready ; RA11 ; RCLK ; PHI2 ; 0.000 ; 6.837 ; 6.012 ; +; -0.191 ; n8MEGEN ; RA11 ; RCLK ; PHI2 ; 0.000 ; 6.837 ; 6.685 ; +; 5.284 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.323 ; +; 5.457 ; XOR8MEG ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.496 ; +; 6.515 ; C1Submitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 6.554 ; +; 7.298 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.837 ; +; 7.546 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 7.585 ; +; 8.139 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 8.178 ; +; 8.901 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 8.940 ; +; 9.103 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 9.142 ; +; 9.772 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.311 ; +; 10.300 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.839 ; +; 10.300 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.839 ; +; 10.451 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.990 ; +; 10.454 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.993 ; +; 11.968 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 11.507 ; +; 12.054 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 12.093 ; +; 12.106 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 11.645 ; +; 12.496 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.035 ; +; 12.496 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.035 ; +; 12.582 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 12.621 ; +; 12.582 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 12.621 ; +; 12.591 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.130 ; +; 12.647 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.186 ; +; 12.650 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.189 ; +; 13.011 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.550 ; +; 13.055 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 13.094 ; +; 13.055 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 13.094 ; +; 13.055 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 13.094 ; +; 13.055 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 13.094 ; +; 13.119 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.658 ; +; 13.119 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.658 ; +; 13.270 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.809 ; +; 13.273 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 12.812 ; +; 13.539 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.078 ; +; 13.539 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.078 ; +; 13.663 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.202 ; +; 13.690 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.229 ; +; 13.693 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.232 ; +; 13.716 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.255 ; +; 14.244 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.783 ; +; 14.244 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.783 ; +; 14.282 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.821 ; +; 14.302 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.841 ; +; 14.395 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.934 ; +; 14.398 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 13.937 ; +; 14.810 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.349 ; +; 14.810 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.349 ; +; 14.925 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.464 ; +; 14.961 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.500 ; +; 14.964 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.503 ; +; 15.192 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.731 ; +; 15.224 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.763 ; +; 15.224 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.763 ; +; 15.224 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.763 ; +; 15.224 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.763 ; +; 15.345 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.884 ; +; 15.417 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 14.956 ; +; 15.720 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.259 ; +; 15.720 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.259 ; +; 15.859 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.398 ; +; 15.871 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.410 ; +; 15.874 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.413 ; +; 15.945 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.484 ; +; 15.945 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.484 ; +; 16.050 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.589 ; +; 16.096 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.635 ; +; 16.099 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 15.638 ; +; 16.482 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.021 ; +; 16.616 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.155 ; +; 16.902 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.441 ; +; 17.420 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.959 ; +; 17.420 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.959 ; +; 17.420 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.959 ; +; 17.420 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 16.959 ; +; 17.526 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.065 ; +; 17.607 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.146 ; +; 17.751 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.290 ; +; 18.043 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.582 ; +; 18.043 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.582 ; +; 18.043 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.582 ; +; 18.043 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.582 ; +; 18.173 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 17.712 ; +; 18.463 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.002 ; +; 18.463 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.002 ; +; 18.463 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.002 ; +; 18.463 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.002 ; +; 19.083 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.622 ; +; 19.168 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.707 ; +; 19.168 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.707 ; +; 19.168 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.707 ; +; 19.168 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.707 ; +; 19.308 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 18.847 ; +; 19.734 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 19.273 ; +; 19.734 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 19.273 ; +; 19.734 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 19.273 ; +; 19.734 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 19.273 ; +; 20.644 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 20.183 ; +; 20.644 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 20.183 ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Hold: 'nCRAS' ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; -0.713 ; Ready ; RowA[1] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 3.562 ; +; -0.704 ; Ready ; RowA[9] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 3.571 ; +; -0.703 ; Ready ; RowA[6] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 3.572 ; +; -0.702 ; Ready ; RowA[0] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 3.573 ; +; 0.598 ; Ready ; RowA[2] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.873 ; +; 0.598 ; Ready ; RowA[7] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.873 ; +; 0.607 ; Ready ; RowA[4] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.882 ; +; 0.609 ; Ready ; RowA[3] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.884 ; +; 0.610 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.885 ; +; 0.611 ; Ready ; RowA[8] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 4.886 ; +; 2.111 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 6.386 ; +; 2.576 ; Ready ; RowA[5] ; RCLK ; nCRAS ; -0.500 ; 4.736 ; 6.851 ; +; 3.212 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.000 ; 9.682 ; 12.933 ; +; 3.712 ; nCCAS ; CBR ; nCCAS ; nCRAS ; -0.500 ; 9.682 ; 12.933 ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------+ +; Hold: 'RCLK' ; ++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ +; 2.127 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; 0.000 ; 4.946 ; 7.112 ; +; 2.325 ; nCCAS ; CASr ; nCCAS ; RCLK ; 0.000 ; 4.946 ; 7.310 ; +; 2.627 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; -0.500 ; 4.946 ; 7.112 ; +; 2.825 ; nCCAS ; CASr ; nCCAS ; RCLK ; -0.500 ; 4.946 ; 7.310 ; +; 3.362 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.401 ; +; 3.382 ; IS[3] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.421 ; +; 3.813 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.852 ; +; 3.827 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.866 ; +; 3.902 ; IS[1] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.941 ; +; 3.997 ; nCRAS ; RASr ; nCRAS ; RCLK ; 0.000 ; 4.946 ; 8.982 ; +; 4.411 ; CASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.450 ; +; 4.478 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.517 ; +; 4.497 ; nCRAS ; RASr ; nCRAS ; RCLK ; -0.500 ; 4.946 ; 8.982 ; +; 4.580 ; IS[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.619 ; +; 4.581 ; IS[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 4.620 ; +; 5.217 ; FS[6] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.256 ; +; 5.228 ; FS[10] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.267 ; +; 5.228 ; FS[9] ; FS[9] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.267 ; +; 5.229 ; FS[16] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.268 ; +; 5.229 ; UFMReqErase ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.268 ; +; 5.229 ; FS[11] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.268 ; +; 5.241 ; FS[8] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.280 ; +; 5.244 ; RCKEEN ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.283 ; +; 5.254 ; FS[1] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.293 ; +; 5.269 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.308 ; +; 5.275 ; UFMErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.314 ; +; 5.335 ; S[1] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.374 ; +; 5.337 ; S[1] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.376 ; +; 5.392 ; PHI2r2 ; DRDIn ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.431 ; +; 5.431 ; FS[12] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.470 ; +; 5.440 ; FS[7] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.479 ; +; 5.440 ; FS[14] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.479 ; +; 5.441 ; FS[15] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.480 ; +; 5.441 ; FS[13] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.480 ; +; 5.444 ; RASr3 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.483 ; +; 5.444 ; n8MEGEN ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.483 ; +; 5.452 ; FS[5] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.491 ; +; 5.452 ; FS[4] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.491 ; +; 5.454 ; FS[2] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.493 ; +; 5.466 ; FS[3] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.505 ; +; 5.498 ; CASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.537 ; +; 5.502 ; CASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.541 ; +; 5.521 ; UFMD[15] ; UFMD[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.560 ; +; 5.523 ; UFMD[15] ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.562 ; +; 5.524 ; UFMD[15] ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.563 ; +; 5.525 ; UFMD[15] ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.564 ; +; 5.551 ; IS[0] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.590 ; +; 5.564 ; IS[1] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.603 ; +; 5.595 ; Ready ; Ready ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.634 ; +; 5.690 ; RCKEEN ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.729 ; +; 5.715 ; nRowColSel ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.754 ; +; 5.717 ; RASr2 ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.756 ; +; 5.730 ; RASr2 ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.769 ; +; 5.730 ; RASr2 ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.769 ; +; 5.952 ; FS[6] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 5.991 ; +; 5.963 ; FS[9] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.002 ; +; 5.963 ; FS[10] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.002 ; +; 5.964 ; FS[16] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.003 ; +; 5.964 ; FS[11] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.003 ; +; 5.969 ; CASr3 ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.008 ; +; 5.989 ; FS[1] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.028 ; +; 6.025 ; Ready ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.064 ; +; 6.096 ; FS[6] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.135 ; +; 6.107 ; FS[9] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.146 ; +; 6.107 ; FS[10] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.146 ; +; 6.108 ; FS[11] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.147 ; +; 6.113 ; RASr ; RASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.152 ; +; 6.133 ; FS[1] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.172 ; +; 6.173 ; PHI2r2 ; PHI2r3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.212 ; +; 6.251 ; FS[9] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.290 ; +; 6.251 ; FS[10] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.290 ; +; 6.334 ; LEDEN ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.373 ; +; 6.395 ; FS[9] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.434 ; +; 6.433 ; FS[12] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.472 ; +; 6.442 ; FS[14] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.481 ; +; 6.442 ; FS[7] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.481 ; +; 6.443 ; FS[15] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.482 ; +; 6.454 ; FS[5] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.493 ; +; 6.454 ; FS[4] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.493 ; +; 6.456 ; FS[2] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.495 ; +; 6.534 ; PHI2r2 ; DRCLK ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.573 ; +; 6.560 ; IS[3] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.599 ; +; 6.586 ; FS[14] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.625 ; +; 6.587 ; FS[15] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.626 ; +; 6.595 ; PHI2r ; PHI2r2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.634 ; +; 6.598 ; FS[5] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.637 ; +; 6.598 ; FS[4] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.637 ; +; 6.730 ; FS[14] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.769 ; +; 6.742 ; FS[5] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.781 ; +; 6.742 ; FS[4] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.781 ; +; 6.744 ; FS[11] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.783 ; +; 6.744 ; FS[11] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.783 ; +; 6.744 ; FS[11] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.783 ; +; 6.744 ; FS[11] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.783 ; +; 6.769 ; FS[1] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.808 ; +; 6.769 ; FS[1] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.808 ; +; 6.769 ; FS[1] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.808 ; +; 6.769 ; FS[1] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.808 ; +; 6.769 ; FS[1] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.808 ; +; 6.785 ; CASr3 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 6.824 ; ++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'ARCLK' ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ +; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; +; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_mjr_component|maxii_ufm_block1|arclk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_mjr_component|maxii_ufm_block1|arclk ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'DRCLK' ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ +; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; +; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_mjr:UFM_altufm_none_mjr_component|wire_maxii_ufm_block1_drdout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_mjr_component|maxii_ufm_block1|drclk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_mjr_component|maxii_ufm_block1|drclk ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'PHI2' ; ++--------+--------------+----------------+------------------+-------+------------+------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+------------------+ +; -2.289 ; 1.000 ; 3.289 ; Port Rate ; PHI2 ; Rise ; PHI2 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; ADSubmitted ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; ADSubmitted ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[0] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[1] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[2] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[3] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[4] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[5] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[6] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; Bank[7] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; C1Submitted ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; C1Submitted ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdEnable ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdEnable ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Rise ; RA11 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Rise ; RA11 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; UFMOscEN ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; UFMOscEN ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; PHI2 ; Fall ; XOR8MEG ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; PHI2 ; Fall ; XOR8MEG ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; PHI2|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; PHI2|combout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; RA11|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; RA11|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; ++--------+--------------+----------------+------------------+-------+------------+------------------+ + + ++----------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'RCLK' ; ++--------+--------------+----------------+------------------+-------+------------+-------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+-------------+ +; -2.289 ; 1.000 ; 3.289 ; Port Rate ; RCLK ; Rise ; RCLK ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; ARCLK ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; ARCLK ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; ARShift ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; ARShift ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; CASr ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; CASr ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; CASr2 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; CASr2 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; CASr3 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; CASr3 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; DRCLK ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; DRCLK ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; DRDIn ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; DRDIn ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; DRShift ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; DRShift ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[0] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[0] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[10] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[10] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[11] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[11] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[12] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[12] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[13] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[13] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[14] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[14] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[15] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[15] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[16] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[16] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[17] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[17] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[1] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[1] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[2] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[2] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[3] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[3] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[4] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[4] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[5] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[5] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[6] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[6] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[7] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[7] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[8] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[8] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; FS[9] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; FS[9] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; IS[0] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; IS[0] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; IS[1] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; IS[1] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; IS[2] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; IS[2] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; IS[3] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; IS[3] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; InitReady ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; InitReady ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; LEDEN ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; LEDEN ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; PHI2r ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; PHI2r ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; PHI2r2 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; PHI2r2 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; PHI2r3 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; PHI2r3 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RA10 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RA10 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RASr ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RASr ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RASr2 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RASr2 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RASr3 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RASr3 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RCKEEN ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RCKEEN ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; Ready ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; Ready ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; S[0] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; S[0] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; S[1] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; S[1] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; UFMD[15] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; UFMD[15] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; UFMErase ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; UFMErase ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; UFMInitDone ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; UFMInitDone ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; UFMProgram ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; UFMProgram ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; UFMReqErase ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; RCLK ; Rise ; UFMReqErase ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; RCLK ; Rise ; n8MEGEN ; ++--------+--------------+----------------+------------------+-------+------------+-------------+ + + ++------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'nCCAS' ; ++--------+--------------+----------------+------------------+-------+------------+---------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+---------------+ +; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCCAS ; Rise ; nCCAS ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[0] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[0] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[1] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[1] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[2] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[2] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[3] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[3] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[4] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[4] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[5] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[5] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[6] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[6] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCCAS ; Fall ; WRD[7] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCCAS ; Fall ; WRD[7] ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; ++--------+--------------+----------------+------------------+-------+------------+---------------+ + + ++--------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'nCRAS' ; ++--------+--------------+----------------+------------------+-------+------------+-----------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+-----------------+ +; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCRAS ; Rise ; nCRAS ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; CBR ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; CBR ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; FWEr ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; FWEr ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[0] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[0] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[1] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[1] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[2] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[2] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[3] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[3] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[4] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[4] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[5] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[5] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[6] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[6] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[7] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[7] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[8] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[8] ; +; 0.161 ; 0.500 ; 0.339 ; High Pulse Width ; nCRAS ; Fall ; RowA[9] ; +; 0.161 ; 0.500 ; 0.339 ; Low Pulse Width ; nCRAS ; Fall ; RowA[9] ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; CBR|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; CBR|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; FWEr|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; FWEr|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; ++--------+--------------+----------------+------------------+-------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; Din[*] ; PHI2 ; 5.619 ; 5.619 ; Rise ; PHI2 ; +; Din[0] ; PHI2 ; 1.618 ; 1.618 ; Rise ; PHI2 ; +; Din[1] ; PHI2 ; 1.922 ; 1.922 ; Rise ; PHI2 ; +; Din[2] ; PHI2 ; 3.930 ; 3.930 ; Rise ; PHI2 ; +; Din[3] ; PHI2 ; 1.790 ; 1.790 ; Rise ; PHI2 ; +; Din[4] ; PHI2 ; 5.619 ; 5.619 ; Rise ; PHI2 ; +; Din[5] ; PHI2 ; 1.892 ; 1.892 ; Rise ; PHI2 ; +; Din[6] ; PHI2 ; 0.488 ; 0.488 ; Rise ; PHI2 ; +; Din[7] ; PHI2 ; -1.895 ; -1.895 ; Rise ; PHI2 ; +; Din[*] ; PHI2 ; 18.851 ; 18.851 ; Fall ; PHI2 ; +; Din[0] ; PHI2 ; 15.527 ; 15.527 ; Fall ; PHI2 ; +; Din[1] ; PHI2 ; 18.391 ; 18.391 ; Fall ; PHI2 ; +; Din[2] ; PHI2 ; 18.150 ; 18.150 ; Fall ; PHI2 ; +; Din[3] ; PHI2 ; 18.836 ; 18.836 ; Fall ; PHI2 ; +; Din[4] ; PHI2 ; 18.731 ; 18.731 ; Fall ; PHI2 ; +; Din[5] ; PHI2 ; 18.851 ; 18.851 ; Fall ; PHI2 ; +; Din[6] ; PHI2 ; 16.140 ; 16.140 ; Fall ; PHI2 ; +; Din[7] ; PHI2 ; 17.790 ; 17.790 ; Fall ; PHI2 ; +; MAin[*] ; PHI2 ; 27.296 ; 27.296 ; Fall ; PHI2 ; +; MAin[0] ; PHI2 ; 18.855 ; 18.855 ; Fall ; PHI2 ; +; MAin[1] ; PHI2 ; 17.223 ; 17.223 ; Fall ; PHI2 ; +; MAin[2] ; PHI2 ; 22.057 ; 22.057 ; Fall ; PHI2 ; +; MAin[3] ; PHI2 ; 26.915 ; 26.915 ; Fall ; PHI2 ; +; MAin[4] ; PHI2 ; 22.048 ; 22.048 ; Fall ; PHI2 ; +; MAin[5] ; PHI2 ; 27.296 ; 27.296 ; Fall ; PHI2 ; +; MAin[6] ; PHI2 ; 23.411 ; 23.411 ; Fall ; PHI2 ; +; MAin[7] ; PHI2 ; 26.379 ; 26.379 ; Fall ; PHI2 ; +; nFWE ; PHI2 ; 15.594 ; 15.594 ; Fall ; PHI2 ; +; PHI2 ; RCLK ; 2.487 ; 2.487 ; Rise ; RCLK ; +; nCCAS ; RCLK ; 2.685 ; 2.685 ; Rise ; RCLK ; +; nCRAS ; RCLK ; 4.357 ; 4.357 ; Rise ; RCLK ; +; Din[*] ; nCCAS ; 0.702 ; 0.702 ; Fall ; nCCAS ; +; Din[0] ; nCCAS ; -0.028 ; -0.028 ; Fall ; nCCAS ; +; Din[1] ; nCCAS ; -1.852 ; -1.852 ; Fall ; nCCAS ; +; Din[2] ; nCCAS ; -1.623 ; -1.623 ; Fall ; nCCAS ; +; Din[3] ; nCCAS ; -1.611 ; -1.611 ; Fall ; nCCAS ; +; Din[4] ; nCCAS ; -1.202 ; -1.202 ; Fall ; nCCAS ; +; Din[5] ; nCCAS ; -1.860 ; -1.860 ; Fall ; nCCAS ; +; Din[6] ; nCCAS ; 0.702 ; 0.702 ; Fall ; nCCAS ; +; Din[7] ; nCCAS ; -1.657 ; -1.657 ; Fall ; nCCAS ; +; CROW[*] ; nCRAS ; 5.538 ; 5.538 ; Fall ; nCRAS ; +; CROW[0] ; nCRAS ; 5.538 ; 5.538 ; Fall ; nCRAS ; +; CROW[1] ; nCRAS ; 3.990 ; 3.990 ; Fall ; nCRAS ; +; MAin[*] ; nCRAS ; 5.521 ; 5.521 ; Fall ; nCRAS ; +; MAin[0] ; nCRAS ; 2.776 ; 2.776 ; Fall ; nCRAS ; +; MAin[1] ; nCRAS ; 2.635 ; 2.635 ; Fall ; nCRAS ; +; MAin[2] ; nCRAS ; 2.575 ; 2.575 ; Fall ; nCRAS ; +; MAin[3] ; nCRAS ; 1.972 ; 1.972 ; Fall ; nCRAS ; +; MAin[4] ; nCRAS ; 3.637 ; 3.637 ; Fall ; nCRAS ; +; MAin[5] ; nCRAS ; 4.180 ; 4.180 ; Fall ; nCRAS ; +; MAin[6] ; nCRAS ; 2.129 ; 2.129 ; Fall ; nCRAS ; +; MAin[7] ; nCRAS ; 5.521 ; 5.521 ; Fall ; nCRAS ; +; MAin[8] ; nCRAS ; 3.654 ; 3.654 ; Fall ; nCRAS ; +; MAin[9] ; nCRAS ; 2.363 ; 2.363 ; Fall ; nCRAS ; +; nCCAS ; nCRAS ; 3.572 ; 3.572 ; Fall ; nCRAS ; +; nFWE ; nCRAS ; 2.828 ; 2.828 ; Fall ; nCRAS ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++---------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+---------+---------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+---------+---------+------------+-----------------+ +; Din[*] ; PHI2 ; 2.255 ; 2.255 ; Rise ; PHI2 ; +; Din[0] ; PHI2 ; -1.258 ; -1.258 ; Rise ; PHI2 ; +; Din[1] ; PHI2 ; -1.562 ; -1.562 ; Rise ; PHI2 ; +; Din[2] ; PHI2 ; -3.570 ; -3.570 ; Rise ; PHI2 ; +; Din[3] ; PHI2 ; -1.430 ; -1.430 ; Rise ; PHI2 ; +; Din[4] ; PHI2 ; -5.259 ; -5.259 ; Rise ; PHI2 ; +; Din[5] ; PHI2 ; -1.532 ; -1.532 ; Rise ; PHI2 ; +; Din[6] ; PHI2 ; 0.023 ; 0.023 ; Rise ; PHI2 ; +; Din[7] ; PHI2 ; 2.255 ; 2.255 ; Rise ; PHI2 ; +; Din[*] ; PHI2 ; 1.977 ; 1.977 ; Fall ; PHI2 ; +; Din[0] ; PHI2 ; 1.489 ; 1.489 ; Fall ; PHI2 ; +; Din[1] ; PHI2 ; 1.963 ; 1.963 ; Fall ; PHI2 ; +; Din[2] ; PHI2 ; 0.106 ; 0.106 ; Fall ; PHI2 ; +; Din[3] ; PHI2 ; -0.228 ; -0.228 ; Fall ; PHI2 ; +; Din[4] ; PHI2 ; 1.977 ; 1.977 ; Fall ; PHI2 ; +; Din[5] ; PHI2 ; -5.179 ; -5.179 ; Fall ; PHI2 ; +; Din[6] ; PHI2 ; -4.432 ; -4.432 ; Fall ; PHI2 ; +; Din[7] ; PHI2 ; -6.067 ; -6.067 ; Fall ; PHI2 ; +; MAin[*] ; PHI2 ; -1.458 ; -1.458 ; Fall ; PHI2 ; +; MAin[0] ; PHI2 ; -3.579 ; -3.579 ; Fall ; PHI2 ; +; MAin[1] ; PHI2 ; -1.458 ; -1.458 ; Fall ; PHI2 ; +; MAin[2] ; PHI2 ; -10.193 ; -10.193 ; Fall ; PHI2 ; +; MAin[3] ; PHI2 ; -15.051 ; -15.051 ; Fall ; PHI2 ; +; MAin[4] ; PHI2 ; -10.184 ; -10.184 ; Fall ; PHI2 ; +; MAin[5] ; PHI2 ; -15.432 ; -15.432 ; Fall ; PHI2 ; +; MAin[6] ; PHI2 ; -10.945 ; -10.945 ; Fall ; PHI2 ; +; MAin[7] ; PHI2 ; -11.673 ; -11.673 ; Fall ; PHI2 ; +; nFWE ; PHI2 ; -6.373 ; -6.373 ; Fall ; PHI2 ; +; PHI2 ; RCLK ; -2.127 ; -2.127 ; Rise ; RCLK ; +; nCCAS ; RCLK ; -2.325 ; -2.325 ; Rise ; RCLK ; +; nCRAS ; RCLK ; -3.997 ; -3.997 ; Rise ; RCLK ; +; Din[*] ; nCCAS ; 2.220 ; 2.220 ; Fall ; nCCAS ; +; Din[0] ; nCCAS ; 0.388 ; 0.388 ; Fall ; nCCAS ; +; Din[1] ; nCCAS ; 2.212 ; 2.212 ; Fall ; nCCAS ; +; Din[2] ; nCCAS ; 1.983 ; 1.983 ; Fall ; nCCAS ; +; Din[3] ; nCCAS ; 1.971 ; 1.971 ; Fall ; nCCAS ; +; Din[4] ; nCCAS ; 1.562 ; 1.562 ; Fall ; nCCAS ; +; Din[5] ; nCCAS ; 2.220 ; 2.220 ; Fall ; nCCAS ; +; Din[6] ; nCCAS ; -0.342 ; -0.342 ; Fall ; nCCAS ; +; Din[7] ; nCCAS ; 2.017 ; 2.017 ; Fall ; nCCAS ; +; CROW[*] ; nCRAS ; -3.630 ; -3.630 ; Fall ; nCRAS ; +; CROW[0] ; nCRAS ; -5.178 ; -5.178 ; Fall ; nCRAS ; +; CROW[1] ; nCRAS ; -3.630 ; -3.630 ; Fall ; nCRAS ; +; MAin[*] ; nCRAS ; -1.612 ; -1.612 ; Fall ; nCRAS ; +; MAin[0] ; nCRAS ; -2.416 ; -2.416 ; Fall ; nCRAS ; +; MAin[1] ; nCRAS ; -2.275 ; -2.275 ; Fall ; nCRAS ; +; MAin[2] ; nCRAS ; -2.215 ; -2.215 ; Fall ; nCRAS ; +; MAin[3] ; nCRAS ; -1.612 ; -1.612 ; Fall ; nCRAS ; +; MAin[4] ; nCRAS ; -3.277 ; -3.277 ; Fall ; nCRAS ; +; MAin[5] ; nCRAS ; -3.820 ; -3.820 ; Fall ; nCRAS ; +; MAin[6] ; nCRAS ; -1.769 ; -1.769 ; Fall ; nCRAS ; +; MAin[7] ; nCRAS ; -5.161 ; -5.161 ; Fall ; nCRAS ; +; MAin[8] ; nCRAS ; -3.294 ; -3.294 ; Fall ; nCRAS ; +; MAin[9] ; nCRAS ; -2.003 ; -2.003 ; Fall ; nCRAS ; +; nCCAS ; nCRAS ; -3.212 ; -3.212 ; Fall ; nCRAS ; +; nFWE ; nCRAS ; -2.468 ; -2.468 ; Fall ; nCRAS ; ++-----------+------------+---------+---------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; RA[*] ; PHI2 ; 24.450 ; 24.450 ; Rise ; PHI2 ; +; RA[11] ; PHI2 ; 24.450 ; 24.450 ; Rise ; PHI2 ; +; LED ; RCLK ; 15.471 ; 15.471 ; Rise ; RCLK ; +; RA[*] ; RCLK ; 25.685 ; 25.685 ; Rise ; RCLK ; +; RA[0] ; RCLK ; 25.623 ; 25.623 ; Rise ; RCLK ; +; RA[1] ; RCLK ; 21.305 ; 21.305 ; Rise ; RCLK ; +; RA[2] ; RCLK ; 21.360 ; 21.360 ; Rise ; RCLK ; +; RA[3] ; RCLK ; 18.901 ; 18.901 ; Rise ; RCLK ; +; RA[4] ; RCLK ; 21.634 ; 21.634 ; Rise ; RCLK ; +; RA[5] ; RCLK ; 15.577 ; 15.577 ; Rise ; RCLK ; +; RA[6] ; RCLK ; 25.685 ; 25.685 ; Rise ; RCLK ; +; RA[7] ; RCLK ; 20.958 ; 20.958 ; Rise ; RCLK ; +; RA[8] ; RCLK ; 15.905 ; 15.905 ; Rise ; RCLK ; +; RA[9] ; RCLK ; 24.925 ; 24.925 ; Rise ; RCLK ; +; RA[10] ; RCLK ; 14.506 ; 14.506 ; Rise ; RCLK ; +; RCKE ; RCLK ; 10.963 ; 10.963 ; Rise ; RCLK ; +; RDQMH ; RCLK ; 15.935 ; 15.935 ; Rise ; RCLK ; +; RDQML ; RCLK ; 15.786 ; 15.786 ; Rise ; RCLK ; +; nRCAS ; RCLK ; 8.987 ; 8.987 ; Rise ; RCLK ; +; nRCS ; RCLK ; 10.963 ; 10.963 ; Rise ; RCLK ; +; nRRAS ; RCLK ; 8.992 ; 8.992 ; Rise ; RCLK ; +; nRWE ; RCLK ; 12.898 ; 12.898 ; Rise ; RCLK ; +; RD[*] ; nCCAS ; 28.474 ; 28.474 ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 26.092 ; 26.092 ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 22.744 ; 22.744 ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 22.737 ; 22.737 ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 28.474 ; 28.474 ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 28.317 ; 28.317 ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 26.726 ; 26.726 ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 22.737 ; 22.737 ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 28.330 ; 28.330 ; Fall ; nCCAS ; +; LED ; nCRAS ; 19.187 ; 19.187 ; Rise ; nCRAS ; +; LED ; nCRAS ; 19.187 ; 19.187 ; Fall ; nCRAS ; +; RA[*] ; nCRAS ; 23.706 ; 23.706 ; Fall ; nCRAS ; +; RA[0] ; nCRAS ; 22.368 ; 22.368 ; Fall ; nCRAS ; +; RA[1] ; nCRAS ; 23.706 ; 23.706 ; Fall ; nCRAS ; +; RA[2] ; nCRAS ; 21.361 ; 21.361 ; Fall ; nCRAS ; +; RA[3] ; nCRAS ; 22.589 ; 22.589 ; Fall ; nCRAS ; +; RA[4] ; nCRAS ; 20.580 ; 20.580 ; Fall ; nCRAS ; +; RA[5] ; nCRAS ; 16.352 ; 16.352 ; Fall ; nCRAS ; +; RA[6] ; nCRAS ; 20.958 ; 20.958 ; Fall ; nCRAS ; +; RA[7] ; nCRAS ; 19.907 ; 19.907 ; Fall ; nCRAS ; +; RA[8] ; nCRAS ; 17.186 ; 17.186 ; Fall ; nCRAS ; +; RA[9] ; nCRAS ; 20.624 ; 20.624 ; Fall ; nCRAS ; +; RBA[*] ; nCRAS ; 15.699 ; 15.699 ; Fall ; nCRAS ; +; RBA[0] ; nCRAS ; 15.699 ; 15.699 ; Fall ; nCRAS ; +; RBA[1] ; nCRAS ; 13.728 ; 13.728 ; Fall ; nCRAS ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; RA[*] ; PHI2 ; 24.450 ; 24.450 ; Rise ; PHI2 ; +; RA[11] ; PHI2 ; 24.450 ; 24.450 ; Rise ; PHI2 ; +; LED ; RCLK ; 15.471 ; 15.471 ; Rise ; RCLK ; +; RA[*] ; RCLK ; 14.506 ; 14.506 ; Rise ; RCLK ; +; RA[0] ; RCLK ; 25.623 ; 25.623 ; Rise ; RCLK ; +; RA[1] ; RCLK ; 21.305 ; 21.305 ; Rise ; RCLK ; +; RA[2] ; RCLK ; 21.360 ; 21.360 ; Rise ; RCLK ; +; RA[3] ; RCLK ; 18.901 ; 18.901 ; Rise ; RCLK ; +; RA[4] ; RCLK ; 21.634 ; 21.634 ; Rise ; RCLK ; +; RA[5] ; RCLK ; 15.577 ; 15.577 ; Rise ; RCLK ; +; RA[6] ; RCLK ; 25.685 ; 25.685 ; Rise ; RCLK ; +; RA[7] ; RCLK ; 20.958 ; 20.958 ; Rise ; RCLK ; +; RA[8] ; RCLK ; 15.905 ; 15.905 ; Rise ; RCLK ; +; RA[9] ; RCLK ; 24.925 ; 24.925 ; Rise ; RCLK ; +; RA[10] ; RCLK ; 14.506 ; 14.506 ; Rise ; RCLK ; +; RCKE ; RCLK ; 10.963 ; 10.963 ; Rise ; RCLK ; +; RDQMH ; RCLK ; 15.935 ; 15.935 ; Rise ; RCLK ; +; RDQML ; RCLK ; 15.786 ; 15.786 ; Rise ; RCLK ; +; nRCAS ; RCLK ; 8.987 ; 8.987 ; Rise ; RCLK ; +; nRCS ; RCLK ; 10.963 ; 10.963 ; Rise ; RCLK ; +; nRRAS ; RCLK ; 8.992 ; 8.992 ; Rise ; RCLK ; +; nRWE ; RCLK ; 12.898 ; 12.898 ; Rise ; RCLK ; +; RD[*] ; nCCAS ; 22.737 ; 22.737 ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 26.092 ; 26.092 ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 22.744 ; 22.744 ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 22.737 ; 22.737 ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 28.474 ; 28.474 ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 28.317 ; 28.317 ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 26.726 ; 26.726 ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 22.737 ; 22.737 ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 28.330 ; 28.330 ; Fall ; nCCAS ; +; LED ; nCRAS ; 19.187 ; 19.187 ; Rise ; nCRAS ; +; LED ; nCRAS ; 19.187 ; 19.187 ; Fall ; nCRAS ; +; RA[*] ; nCRAS ; 16.352 ; 16.352 ; Fall ; nCRAS ; +; RA[0] ; nCRAS ; 22.368 ; 22.368 ; Fall ; nCRAS ; +; RA[1] ; nCRAS ; 23.706 ; 23.706 ; Fall ; nCRAS ; +; RA[2] ; nCRAS ; 21.361 ; 21.361 ; Fall ; nCRAS ; +; RA[3] ; nCRAS ; 22.589 ; 22.589 ; Fall ; nCRAS ; +; RA[4] ; nCRAS ; 20.580 ; 20.580 ; Fall ; nCRAS ; +; RA[5] ; nCRAS ; 16.352 ; 16.352 ; Fall ; nCRAS ; +; RA[6] ; nCRAS ; 20.958 ; 20.958 ; Fall ; nCRAS ; +; RA[7] ; nCRAS ; 19.907 ; 19.907 ; Fall ; nCRAS ; +; RA[8] ; nCRAS ; 17.186 ; 17.186 ; Fall ; nCRAS ; +; RA[9] ; nCRAS ; 20.624 ; 20.624 ; Fall ; nCRAS ; +; RBA[*] ; nCRAS ; 13.728 ; 13.728 ; Fall ; nCRAS ; +; RBA[0] ; nCRAS ; 15.699 ; 15.699 ; Fall ; nCRAS ; +; RBA[1] ; nCRAS ; 13.728 ; 13.728 ; Fall ; nCRAS ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++------------------------------------------------------+ +; Propagation Delay ; ++------------+-------------+--------+----+----+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+----+----+--------+ +; MAin[0] ; RA[0] ; 17.864 ; ; ; 17.864 ; +; MAin[1] ; RA[1] ; 16.466 ; ; ; 16.466 ; +; MAin[2] ; RA[2] ; 16.451 ; ; ; 16.451 ; +; MAin[3] ; RA[3] ; 16.947 ; ; ; 16.947 ; +; MAin[4] ; RA[4] ; 17.984 ; ; ; 17.984 ; +; MAin[5] ; RA[5] ; 14.301 ; ; ; 14.301 ; +; MAin[6] ; RA[6] ; 18.987 ; ; ; 18.987 ; +; MAin[7] ; RA[7] ; 19.195 ; ; ; 19.195 ; +; MAin[8] ; RA[8] ; 14.224 ; ; ; 14.224 ; +; MAin[9] ; RA[9] ; 15.902 ; ; ; 15.902 ; +; MAin[9] ; RDQMH ; 17.747 ; ; ; 17.747 ; +; MAin[9] ; RDQML ; 17.598 ; ; ; 17.598 ; +; RD[0] ; Dout[0] ; 10.392 ; ; ; 10.392 ; +; RD[1] ; Dout[1] ; 12.469 ; ; ; 12.469 ; +; RD[2] ; Dout[2] ; 10.547 ; ; ; 10.547 ; +; RD[3] ; Dout[3] ; 12.366 ; ; ; 12.366 ; +; RD[4] ; Dout[4] ; 12.337 ; ; ; 12.337 ; +; RD[5] ; Dout[5] ; 12.176 ; ; ; 12.176 ; +; RD[6] ; Dout[6] ; 10.385 ; ; ; 10.385 ; +; RD[7] ; Dout[7] ; 12.251 ; ; ; 12.251 ; +; nFWE ; RD[0] ; 24.642 ; ; ; 24.642 ; +; nFWE ; RD[1] ; 22.824 ; ; ; 22.824 ; +; nFWE ; RD[2] ; 22.824 ; ; ; 22.824 ; +; nFWE ; RD[3] ; 24.642 ; ; ; 24.642 ; +; nFWE ; RD[4] ; 24.642 ; ; ; 24.642 ; +; nFWE ; RD[5] ; 22.824 ; ; ; 22.824 ; +; nFWE ; RD[6] ; 24.642 ; ; ; 24.642 ; +; nFWE ; RD[7] ; 24.642 ; ; ; 24.642 ; ++------------+-------------+--------+----+----+--------+ + + ++------------------------------------------------------+ +; Minimum Propagation Delay ; ++------------+-------------+--------+----+----+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+----+----+--------+ +; MAin[0] ; RA[0] ; 17.864 ; ; ; 17.864 ; +; MAin[1] ; RA[1] ; 16.466 ; ; ; 16.466 ; +; MAin[2] ; RA[2] ; 16.451 ; ; ; 16.451 ; +; MAin[3] ; RA[3] ; 16.947 ; ; ; 16.947 ; +; MAin[4] ; RA[4] ; 17.984 ; ; ; 17.984 ; +; MAin[5] ; RA[5] ; 14.301 ; ; ; 14.301 ; +; MAin[6] ; RA[6] ; 18.987 ; ; ; 18.987 ; +; MAin[7] ; RA[7] ; 19.195 ; ; ; 19.195 ; +; MAin[8] ; RA[8] ; 14.224 ; ; ; 14.224 ; +; MAin[9] ; RA[9] ; 15.902 ; ; ; 15.902 ; +; MAin[9] ; RDQMH ; 17.747 ; ; ; 17.747 ; +; MAin[9] ; RDQML ; 17.598 ; ; ; 17.598 ; +; RD[0] ; Dout[0] ; 10.392 ; ; ; 10.392 ; +; RD[1] ; Dout[1] ; 12.469 ; ; ; 12.469 ; +; RD[2] ; Dout[2] ; 10.547 ; ; ; 10.547 ; +; RD[3] ; Dout[3] ; 12.366 ; ; ; 12.366 ; +; RD[4] ; Dout[4] ; 12.337 ; ; ; 12.337 ; +; RD[5] ; Dout[5] ; 12.176 ; ; ; 12.176 ; +; RD[6] ; Dout[6] ; 10.385 ; ; ; 10.385 ; +; RD[7] ; Dout[7] ; 12.251 ; ; ; 12.251 ; +; nFWE ; RD[0] ; 24.642 ; ; ; 24.642 ; +; nFWE ; RD[1] ; 22.824 ; ; ; 22.824 ; +; nFWE ; RD[2] ; 22.824 ; ; ; 22.824 ; +; nFWE ; RD[3] ; 24.642 ; ; ; 24.642 ; +; nFWE ; RD[4] ; 24.642 ; ; ; 24.642 ; +; nFWE ; RD[5] ; 22.824 ; ; ; 22.824 ; +; nFWE ; RD[6] ; 24.642 ; ; ; 24.642 ; +; nFWE ; RD[7] ; 24.642 ; ; ; 24.642 ; ++------------+-------------+--------+----+----+--------+ + + ++-----------------------------------------------------------------------+ +; Output Enable Times ; ++-----------+------------+--------+------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+------+------------+-----------------+ +; RD[*] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[0] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[1] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[2] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[3] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[4] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[5] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[6] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[7] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[*] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; ++-----------+------------+--------+------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Minimum Output Enable Times ; ++-----------+------------+--------+------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+------+------------+-----------------+ +; RD[*] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[0] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[1] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[2] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[3] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[4] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[5] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[6] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[7] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[*] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; ++-----------+------------+--------+------+------------+-----------------+ + + ++-------------------------------------------------------------------------------+ +; Output Disable Times ; ++-----------+------------+-----------+-----------+------------+-----------------+ +; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; ++-----------+------------+-----------+-----------+------------+-----------------+ +; RD[*] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[0] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[1] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[2] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[3] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[4] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[5] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[6] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[7] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[*] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; ++-----------+------------+-----------+-----------+------------+-----------------+ + + ++-------------------------------------------------------------------------------+ +; Minimum Output Disable Times ; ++-----------+------------+-----------+-----------+------------+-----------------+ +; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; ++-----------+------------+-----------+-----------+------------+-----------------+ +; RD[*] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[0] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[1] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[2] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[3] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[4] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[5] ; nCCAS ; 20.845 ; ; Rise ; nCCAS ; +; RD[6] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[7] ; nCCAS ; 22.663 ; ; Rise ; nCCAS ; +; RD[*] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 20.845 ; ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 22.663 ; ; Fall ; nCCAS ; ++-----------+------------+-----------+-----------+------------+-----------------+ + + ++-------------------------------------------------------------------+ +; Setup Transfers ; ++------------+----------+----------+----------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+----------+----------+----------+----------+ +; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; +; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; +; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; +; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; +; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; +; DRCLK ; RCLK ; 3 ; 0 ; 0 ; 0 ; +; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; +; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; +; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; +; RCLK ; RCLK ; 620 ; 0 ; 0 ; 0 ; ++------------+----------+----------+----------+----------+----------+ +Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. + + ++-------------------------------------------------------------------+ +; Hold Transfers ; ++------------+----------+----------+----------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+----------+----------+----------+----------+ +; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; +; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; +; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; +; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; +; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; +; DRCLK ; RCLK ; 3 ; 0 ; 0 ; 0 ; +; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; +; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; +; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; +; RCLK ; RCLK ; 620 ; 0 ; 0 ; 0 ; ++------------+----------+----------+----------+----------+----------+ +Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 31 ; 31 ; +; Unconstrained Input Port Paths ; 232 ; 232 ; +; Unconstrained Output Ports ; 38 ; 38 ; +; Unconstrained Output Port Paths ; 77 ; 77 ; ++---------------------------------+-------+------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon Aug 16 18:40:23 2021 +Info: Command: quartus_sta RAM2GS-MAXV -c RAM2GS +Info: qsta_default_script.tcl version: #1 +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (306004): Started post-fitting delay annotation +Info (306005): Delay annotation completed successfully +Critical Warning (332012): Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332105): Deriving Clocks + Info (332105): create_clock -period 1.000 -name DRCLK DRCLK + Info (332105): create_clock -period 1.000 -name ARCLK ARCLK + Info (332105): create_clock -period 1.000 -name RCLK RCLK + Info (332105): create_clock -period 1.000 -name nCRAS nCRAS + Info (332105): create_clock -period 1.000 -name PHI2 PHI2 + Info (332105): create_clock -period 1.000 -name nCCAS nCCAS +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Critical Warning (332148): Timing requirements not met +Info (332146): Worst-case setup slack is -99.000 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -99.000 -99.000 ARCLK + Info (332119): -99.000 -99.000 DRCLK + Info (332119): -23.638 -216.621 PHI2 + Info (332119): -19.942 -610.547 RCLK + Info (332119): -3.072 -6.479 nCRAS +Info (332146): Worst-case hold slack is -16.153 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -16.153 -16.153 ARCLK + Info (332119): -14.623 -14.623 DRCLK + Info (332119): -2.569 -3.433 PHI2 + Info (332119): -0.713 -2.822 nCRAS + Info (332119): 2.127 0.000 RCLK +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332146): Worst-case minimum pulse width slack is -29.500 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -29.500 -59.000 ARCLK + Info (332119): -29.500 -59.000 DRCLK + Info (332119): -2.289 -2.289 PHI2 + Info (332119): -2.289 -2.289 RCLK + Info (332119): -2.289 -2.289 nCCAS + Info (332119): -2.289 -2.289 nCRAS +Info (332001): The selected device family is not supported by the report_metastability command. +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 368 megabytes + Info: Processing ended: Mon Aug 16 18:40:24 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/CPLD/AGM-src/output_files/RAM4GS.sta.summary b/CPLD/MAX/MAXV/output_files/RAM2GS.sta.summary old mode 100755 new mode 100644 similarity index 78% rename from CPLD/AGM-src/output_files/RAM4GS.sta.summary rename to CPLD/MAX/MAXV/output_files/RAM2GS.sta.summary index a4c9ebf..44e8308 --- a/CPLD/AGM-src/output_files/RAM4GS.sta.summary +++ b/CPLD/MAX/MAXV/output_files/RAM2GS.sta.summary @@ -11,36 +11,36 @@ Slack : -99.000 TNS : -99.000 Type : Setup 'PHI2' -Slack : -9.292 -TNS : -92.804 +Slack : -23.638 +TNS : -216.621 Type : Setup 'RCLK' -Slack : -8.365 -TNS : -253.063 +Slack : -19.942 +TNS : -610.547 Type : Setup 'nCRAS' -Slack : -0.490 -TNS : -0.577 - -Type : Hold 'DRCLK' -Slack : -16.306 -TNS : -16.306 +Slack : -3.072 +TNS : -6.479 Type : Hold 'ARCLK' -Slack : -16.272 -TNS : -16.272 +Slack : -16.153 +TNS : -16.153 -Type : Hold 'RCLK' -Slack : -0.874 -TNS : -0.874 +Type : Hold 'DRCLK' +Slack : -14.623 +TNS : -14.623 Type : Hold 'PHI2' -Slack : -0.396 -TNS : -0.396 +Slack : -2.569 +TNS : -3.433 Type : Hold 'nCRAS' -Slack : -0.125 -TNS : -0.125 +Slack : -0.713 +TNS : -2.822 + +Type : Hold 'RCLK' +Slack : 2.127 +TNS : 0.000 Type : Minimum Pulse Width 'ARCLK' Slack : -29.500 diff --git a/CPLD/AGM-src/RAM4GS.mif b/CPLD/MAX/RAM2GS-MAX.mif old mode 100755 new mode 100644 similarity index 100% rename from CPLD/AGM-src/RAM4GS.mif rename to CPLD/MAX/RAM2GS-MAX.mif diff --git a/CPLD/RAM4GS-MAX.v b/CPLD/MAX/RAM2GS-MAX.v old mode 100755 new mode 100644 similarity index 99% rename from CPLD/RAM4GS-MAX.v rename to CPLD/MAX/RAM2GS-MAX.v index 3969024..8be9f35 --- a/CPLD/RAM4GS-MAX.v +++ b/CPLD/MAX/RAM2GS-MAX.v @@ -56,7 +56,7 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, inout [7:0] RD = (~nCCAS & ~nFWE) ? WRD[7:0] : 8'bZ; /* UFM Interface */ - reg [15] UFMD = 0; // UFM data register bit 15 + reg [15:15] UFMD = 0; // UFM data register bit 15 reg ARCLK = 0; // UFM address register clock // UFM address register data input tied to 0 reg ARShift = 0; // 1 to Shift UFM address in, 0 to increment diff --git a/CPLD/AGM-src/output_files/UFM.qip b/CPLD/MAX/UFM.qip old mode 100755 new mode 100644 similarity index 100% rename from CPLD/AGM-src/output_files/UFM.qip rename to CPLD/MAX/UFM.qip diff --git a/CPLD/AGM-src/output_files/greybox_tmp/cbx_args.txt b/CPLD/MAX/greybox_tmp/cbx_args.txt old mode 100755 new mode 100644 similarity index 78% rename from CPLD/AGM-src/output_files/greybox_tmp/cbx_args.txt rename to CPLD/MAX/greybox_tmp/cbx_args.txt index e714d49..941c71c --- a/CPLD/AGM-src/output_files/greybox_tmp/cbx_args.txt +++ b/CPLD/MAX/greybox_tmp/cbx_args.txt @@ -1,6 +1,6 @@ ERASE_TIME=500000000 -INTENDED_DEVICE_FAMILY="MAX II" -LPM_FILE=RAM4GS.mif +INTENDED_DEVICE_FAMILY="MAX V" +LPM_FILE=RAM2GS-MAX.mif LPM_HINT=UNUSED LPM_TYPE=altufm_none OSC_FREQUENCY=180000 @@ -8,7 +8,7 @@ PORT_ARCLKENA=PORT_UNUSED PORT_DRCLKENA=PORT_UNUSED PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 -DEVICE_FAMILY="MAX II" +DEVICE_FAMILY="MAX V" CBX_AUTO_BLACKBOX=ALL arclk ardin diff --git a/CPLD/MAXII/RAM4GS.mif b/CPLD/MAXII/RAM4GS.mif deleted file mode 100755 index 65c8441..0000000 --- a/CPLD/MAXII/RAM4GS.mif +++ /dev/null @@ -1,27 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- Quartus II generated Memory Initialization File (.mif) - -WIDTH=16; -DEPTH=512; - -ADDRESS_RADIX=HEX; -DATA_RADIX=HEX; - -CONTENT BEGIN - [000..0FD] : 0000; - 0FE : 7FFF; - [0FF..1FF] : FFFF; -END; diff --git a/CPLD/MAXII/constraints.sdc b/CPLD/MAXII/constraints.sdc deleted file mode 100755 index e69de29..0000000 diff --git a/CPLD/MAXII/db/RAM4GS.(0).cnf.cdb b/CPLD/MAXII/db/RAM4GS.(0).cnf.cdb deleted file mode 100755 index a80855d6b114996bb71c0e1871b7302c0c1ef8d2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20110 zcmXte1ymc&_ja)YrIg}Uyg-5CRx~Z{w75eHMT!LX5UfaXcT(I76nA%bPjGh)A&{@{ z?|;7Cv-|AcbMLb=J9FmD+<6F}J$qJxhxYI8^6!-ThdSS#U2K33^t}9BJY2l=Qf@YO z7W91leDpj*+`N3;d_27T^qSwD-EGXj(|`VIZcEQguc<_@`~4fetc8v1zevaP|LC4Q zOI7(FJoCr+Ka5uUKlDU9&ca=#(e^?Cb8DwH?taYuU}`kOV*c8|m9UL3_5Fz2(5@sY zSVrlmjC8T`U^> z-|)jmfM{SWw%Dtv_GRUBm zFz_JJ1%WZ+(^utQfNwZl{yiaT@Ze%$9O5{wvB|xzP6-B7euQL5BJn}tt3@yUwj{qF zQzH^{fcu(q?K$d{@R0W4M#zfyt$TzRqOtwaq!TiIb)A6*R$5qqYa-hFA=CGQPl?dV ziy~KW6rcbFR=b7$bw4@05q!~gxh?CQd z*iOki`Tv)l8r}xxMl=Awl(%{UlzMt)7+cVi>67Fi9Hi(N@w=nEK2i%^ zycr8JY}ysFTWOmNiE1Wat`fYHq88dRvF^ED!Lwg<^vS^=D0~wo`m~croC75+3+jgBQvrDlLG_o$V;LGfe-#REwuh>gnc_+7h06WVY+4b0d0*>7 z&4+G7=D4Zw%Oohi&%{~iom9Ugj$WKh=+b;bqP)9(Ry9P5Oam|68ZhBJM03I})3gO3 zf~N>5W67Ji)%6snwPt^k5JQinqR zAuV>G#{>On^JV*rR1;V^4%7mt%){KX0|fkehYYKGILqA6i=$P>vl{vpmz;5B(?E4%n4vf6nu6Y7#E( zgJ3gUs)j)KhP~`J{GsJcUKwyO<;^^+dn@_OUTrJm16fqa(-yaDYDZFya!TU%|zR_X>oL_w{O zh}+W}`ygSl?MolAFzq!zaHDA?-RTK1Wt-PnL<8vSF@Wf^&DB~|J+dXWniqPvcf0)* zD3b|e>OukPf2*$BklhC{tJS$&u{{`A6-)PiR@WAi0MqtAX}1w2APk zJUiF&r~Y1Yu?`LRazo0#d^_}MV&(=ig5a=bin$?}_mk}q)jjt@#rEL2q3K;-vHCaM z;vw-^{tsCFQ@HBHxznFP`cEtyEEc#GNCif=Dm+hl_N*PSc~ryoOwG99D}7 zk&_$MQGg&Sz2ix6Y9Ms4?D&Dw_xsadzoCb_@5G%xsCHj;&oh{=$}`|4J?iZ_%S8VF zE;8VA;1-rsx98W}+9woV>452f?|zrJ1ZuN%Fxn{(eFM6xi|&1D@ta9jQ+jxx?T08= zKUudy%(KsFhi*7-5|23>O2YiYCgWjwj}T7ohOX~AhG zZ!qHEMe`mW*t+4m_A47Agf0$rlkOgbG+af%W6tCJ zxYWO`gn`$iqGQ0v$pa#8PzOoLN>}eGn4gN57e2QNGXYA(7{(eERNG}rRDNLT-coaD zO>E(b&3K_sgV+8vi7CHE^9IQ(V``lPU`<}$Pt77AFCW^xQEkT)f;Z>!hi5S%znS49 z*LuyZA@8OD%px22fN04Rbe~+^M0sXrpgIA{y=z)X0AdS2!pXwpv;c-GZpWOf-iKa> zmByzG%%D7m_|^OfP|0rr*FyoGxj;84clH^6cin^FVYN$2CZVJ=d>+%;+jAeLeC+~A z7tb}Y;gZnF7RF57FT&LJ!9D4;<0+lcO zr~oyWW>9Etb@2^`nKgn39{0T61P2r#$5xuynuu2}k@S%XMatX&2xkz_#=QMf)kWkOyH!4R5P@J# zZG>>3!e_gAOIF5~GD6Njk8o;avO?yENbQEv+b89>;*`xlik?=e zp6U-5FDJDf&tFYyhh|&t;5sm$ikh(c2T!+ot91W0Lw3>PAE= z|8`mWE&HHjIhuCyQ1H2_Xxb!EvKouPuYv^J6Y~QLDNX%4qxg^KVd)-{33>pI*ugxS}hn1}~fDS%B@W7$xoV~6ic(KV2# ztp@zv9`P}E29Bw8`}r9c`aNb6+i8c&l*p_tTMv0>t2Ja)s{p%KJ{@{<8rrE{>CvrU z^heC3Z}mkGkffQ>(?(J=Cbu9}!J`1hIf+c()yVnv!?xbhRt&*YYe=? z$4?jKUtVlLSlms*TO&2Zd9fA}m*u~=6Xx68)Q=U>^cIMh5U_I{W-_}&)e;q#Dn4lR z;$3iOmSnts)I^v6q+IxZzbWu(RoQYl&{o-xJ-t)T+wqg}FRU%Mev;NIsYaARfmF}4 z$@yBh?dQiA(Ge-o7$L*m9wXO+KYLk^LOC@>ozx86K7TC}MHh#Sp#%JPX{t}1iWxN< zt-Zg@3|pKZm#8PiK@YcMtN7366d<@=LXi~DQwGA5-qr6C`Izp>PNlxqkbsC4w^WL% z4tkm1JjBa8-<*E@p&ZHue#@ftcy8YJ>uKSyE=R-PlIIy89BAh&R=%T;x;@bFu7cT` zlYHduKiKZuez~@;9&x+egSttYrCrsD<5(JOCsd0%pzDy=Uq>5M+8)txZKKc@bIK+x4vB?_^w?8ZhGxyDpzrhDhO zY%H+cV?4ci!Bn&!{H<(H^dSnn{@raX+v1eJlz-A*htchjo#(lR9)1V!mSW9BCxp5T zqtGHLo8{NGf(6o7$GrR$z+C2PwOP<`8K9#eZ(etnSRPo}y+6l8Hv33F)KuL(@Gly` zgsG@p>2NWZRb-ZAaLH?^29s=X8?me&Y~`oOOq)x!>=3{bEAI_T-P9_mU_c>BBB!tR zqj`L*()ejqE52(wmaRB>weGN`sP`YT3g4Z1+v@C4iUrAp&*5uUZ8H4!z89hS`m{nr?(=Xz<7m6l66*Vt5omf+<|wv$j%Q>iAQ;_$cvw)y zKJiO(l~2xum$|Iz{s!!c*t)6p72u;N2k})%iePbgvo?5jC)DwM+DfQ?I43#&0sd)- zBm~<$wtTTF=gJBs<)srk*-sTL=vud~j2-UZm(b+fRP?JJNRpC`f(oJKb&Oe7IbqKa zw?1NObJ=fPA#mY~@vU{qvo&Sr-*nZ6d`HdNu*Q5VvjYvU6F{;vn%epqs=p$~A@PKM z?Cyc$aTh}ZqQDn&>!qq=1(i1ziP%i)kjRB5&GXz(6@OY@RDOB~pfBUqnMe;L-DrS< zSLUbM|1#5O^I}1keU}~g^k!wZ&xc&S4@p{a+UK6il(MUCNyvhLDl2<#5@j@!(s&|WQA9AL7j|_Eit_!`H z(v&**v6wVV#5++|5lXBoU2G*`c8xHreI<7WO6+LxPW{d^nm;#AQej%5aOx5lzC9yxr9qJ?CxGyakQ0UqJdLM= z_QPz5m6Kh1G-IlsiFN;&T-9JP(>%f^<{6pGy&3*u5J_Ea;tP~pII@Ur7eve3voPor z>g&%Is`Eb|YJA+oTs4@TZr1+9*{-Ph&2vn(z?x zxYjmj%hAXwQeqxNgh~ZP&~niH?)&s0_5R~6VjkNmCE{O!Is*+Kj)I7J*llD{3kd72 z6BFhn)v^dyv-wjK zo!qP@R=seFqsU4tTYFG7Dpf#^J1hH(muN;)ada!W>O`^lkVWE>|H*n|B=wdslmgZ` zn^c;J_S`m)6n$P8lXL5R?RO053&^r*CbFRF_d??4MQNM339d#aCnE6G_h>1KNTmxS zW19qj?;ffoDH^@G#K5@=Lor;}IzD8Sj^6WG$&qxUlg)SJpRA0k;A?zbj+aaO_)UQ~ z8I%h~!2e>z++JuPTWEQV_TDym#BWB6{*csM`NP&HU6d@f<->wiKWmb4-d=eCGJ+vN0=K|`qp9^@B%T_ojAm{ZN-B)s0 ziQ><@l%@E*h9b2x@aIB54KJaHyOEzR+CLVIFQp+OTL48`b<;{=%mloBGdcDG+QjHWhWYZWjd!zjem zpY2DNrEGgYlnuh)P7Ul)-y^+kiVVZLCAqh9HfXKC!El#|*>ipz&9+RTv7Oi{ITJW+ zF$KJS5JqqS9MW4`eA+-f0x2%)O)-m_%UK<;>c#b9k&c4bfQLdWHr=B8PAJzOo&Dt} zhwMBCD>MsE?1OB^dNHImrieG3py2+wc~asS=<9{s%!k6ofL*_Ge8xv( z{k|NDjGG&M?UeMN%=7XhvVTAA6&-|XINy6T+%IPH(Jg9j*G>*UI^1|=)-FtJ4ljj# zn-hoeo`BTDIRG)67y_0N5-+e+O9>m3 zjmOO`NA-&cp!__x#Q?il!^~Q)pL!a3+ElV+GW)Q5koh$NyqD{DettV)%2LdG?K~ZmuV{!%I%YjeQW=(w0EHP$96~TA;^$@k(lw*(XHcs3K`0B7mneNEZ)Kk zlyF~z-?(4YxGfkuqk3FQ@PBmN4z+BjWznL15b(b2uMwMuCdky?phHtlv1LFcTFakD zKZ%RBf{B0W)^3r%k3MeYZJXct=TG1l9_k&HMyGbJBeos(C$-VPOSyh_N5?=4#^^#W zuf%V{FL(Y#qsUusg(4u9wfp5i=8xfUui??B)DJZ-_BZ8z11n(pI#Uo6XoKXS%g49w zn#ud5{ZcFqRDH=RX8w5cZOPUhMFc*-ze-2>T9N_AVk4}gK3pHMij_Vlrm_ivu^;4|NQj6-b&ilN^Jq>K2T>19C{cbXJ4{|JUzbty!_;iv7B9V z^dso3{87&5mmOs4k&*#dIxHAkn`|!}P)U-RlE_Q^0%*oU zU{+Ts>ok3&yx8%pi?c2FdT?jms$O}zpqS<3`TT)TB&tYa1gKyu1pWhLT=cn{y~>ts zy`T37Vf%YS(-KHFdb6S0`FYm(W}&$zzK&geuS?%cjdx; zcZ){b-bgcXqfyOS)u(~>nH$2n3=?s4Q_gnV1o7K8ygBa6Hj@2gCb2i3oCWVSgkNe zySMitf6%~r5p=Nj#$+OIuGhqiOILyqA4g{NIrUX&=_VGtws|*&i=(|CpS*qpuP~3z zZUY*+GC(1&-+cXKc^36LU>W-|FV}Y>hUY^;Ez;ZxHTmR-G>M$6vRrdNUawgE`zefv z#BElcsIwUDesKR?=PX@1Qs->z*IAZShWqi>3$GCOFroupV4(O9^@_`ndxzI;B-eja zW$TS#nUYoe9Ie~LpWb~MK=ujdp|Fy=(4HHdT!*@1QeC(4uFImNAc&kt`HhRB8`kFp z>g|tjS7Xafw#fz?<{%ImvW;dlZ1IU+DBUz6S3J|lMS{m-nr2vY_K{@HJPQ zSXfG!Q32DN$S_gC$Har-Bq=cQ4HrXD&gCCZ#pix*OvslRe)1}BXC)ex&Y@(2@HA4C zOEM$Vkx;y1pukyL=1XfyN=k)E3Ng~@m>oqT-uLX7xb1hEi~**b6B3s*e&%8ORxZC} z1m3YQZZwq0%8uo}`{lQ3wP8N=?rfScYOF;u@%o{f@$$o;=3vEq=`fda!)aDz`oRBB zL2YCQHhVcKpqp+O$jQg3rjejWnvUtA<(-dULb-PnxE7#to`$>k7b&Dn0CtgZ^z~3k z!|NwJyv?2mx&*9>IKkeS6AV>Y=_<=p3vqZ%q)Ycque$5uw!J@g8|7Gn51!}~JU$T~ zsOC)fTPE60X}t^IO5CeQb3#;O@$Jn{g*OGM3VM0?N1mUsvQ_Wpdi>rSqX^EZj}9X_ z6rrrVlIi;B#2##$fw)7pnq_hQIl_@)PERsT+iC)hrZ22bYy%?F7>+LUyBJeA)A++f zNW(Gtss6;o?w?xFcggp}C8pGfhlh(%hOM^CMo+2jemQp>vJEm<%2_(5i~doKy?B=R zp7{lS$D7?nO&LrEH}@~?Kk2@7hKk&uC_Y>HX7`FM@>7QBU@K?b*XJ0iaSQ^pQso2V z;Famf@V7wKx7V7ENvt_3L(i{W(zQr48aJYVhPq+sZ#XGE-qC*=B3$G}W>>y_J(#=U zocRVICm6+hmLGrJ7w~OSY*LY7&ExGSMT}8c;U|6y2>Xksmu6=PRK1=Y)8k5fo^*ct zBv?A3Xl;r89IJ4RLt{QBZ`ZLe)cdNWw?CXPNT7@=FZXg?(ue@ZFO%NpS^E#iQSsz+px+MZ?Ly{wTMi zN|aXBDW~m222!dbTBDwKWyNcdeRS-&b~hrko&*~xaoOL99xeS||ngL7=G5IBTUrM-qb8H1mm~zK3I16BILolHOLCu%Brmf(% zHNUrT^>;lBM|02BQL>?pl@|Q)9d_jj(Qye--qs^}>%k4yhF6O|s1^e(J*#c%d@a%1 zAIJXeU_#?0GSWgFX6zVKby_YpINZIY`Gy#H}M=J2Gs-;s4ZBpAUFcB*`AtuNj7@Okv^8yi zqSFS7FK>5zf;U%}`_I%icj;=+V$+I-$Uy8LCzvT=9&ZjSBqI<%t?_$_SRAv)VaY$k=|`pl0)+q? zkj|jYNqTvoehwH}WFNs5Id#lAn;+V+oxFa8P137R*!ytK=ey(;?*;1FeI+lpztk^< zx?8vxLL0A+%uy#w^AkvqOc8u;ix=A6PC#dbJIyw=H4&q$6j29#WCnuk2wXXZPr# zu#-ZG6#hy;0~T}}6S^5tZ{w_ScJgoTaILDAFtyDK@_pd*K~Gr7$n4FZLZ=nM|L5bq zU5gN>Ezf4cgm>0~BVy!x@R9PfP{}w5XNI#wv>|OJOvb+%T z38bcYgFKK3lBQW<1_JpIpryXHta%=OC z9>#gon;})hsgCpS|$i-3@o=I>V`CowP>hYBOQTCQF$ zU&p=T?X@{9P|76QD5pw`oQ{MEYM!{F^))^Cb>(2Nnaxq^&Gh;b`*weD1A}C%V4>&j z$LTN~qndt*yrt9krPW$vAdfFjCx zes6!wkxvuc4Wo%XGI(aUx~&k0^|TE0H00{443$qH=~R{6mE*G-$7o}SrT*KP3h4aF zsKvy?4HPyb7zh5Z4089{yl<0e zF!zh?q@!r{1mba@xgd09I@IL-%kyk-&eNYGct*KNs2D0Q;I zYZEo#9#-O~lg)wF>4(l>kJh@T+_;bAN{$dpSRph-a(c8w zp26e=M1mLr>e^u#tbO6D2UZaH9M4y>A;x}|6S_ukylz zI>IN~nqtuL&V-B?2*??KPZ*dwDD604q@<;5}x zFS*Enxzg#Xfkbx4h`nnSXZsxg6>fLQn;RP%u-oNEfz;f6-rdNHdP^d(<8Tuk?sPXw z9Ne9Jf9~H6>W0GS9>fZhJI{JhDY+P%v~gV6Nc&9)z(_vgEA_E+mvRV|z~+J=go`{=Scbqj z>MSe--L>!Bg2Zo`uI8CdG5BJBqL_dENSK8u&-{)xkg# z?lhd3NoIY|L7S_3d@Fl0z0}RQ>$j6;NBTt?F?O!if^>60=-&Y4mxkMpxXJ)t=&sWV z;~X|WJ;p-4Jvg_-=kH*NXnZYc%^lu`&Mxy5w(HkmYS#YE(n&b4W@2`_p_=K}cqOAilVCEZUlATdjQC-2ImI zaD;hB%rE{;x zluVE~vbcQX$IelkspRH9yb&GhiD>jrO)%t1?v7?U{iYWnjQ{&W9~;;?3s7*JZ20c) zT7fXa6aNs>0cQWax3xNQaD-)5@)up6yXfX(_Q6w*^-uxoklo%ueZ$-W+9{G2r8VJ@ zpNmQ0Y(>JHecO;gryG+ed9eo>8}p7jp(!~Q^?{MIgQ}3-b7?{`ROejAqgyrNV=lpO ziSTEB6$t|~cfM`n%@xLGts&88*e1L1`X$&58Z5pjujSN$TU}mS>HGN>Lh;&wNp#U! z)Mq|^UVMYo`4i^;*;*{C)>TodGP}w*bz~nBOB{&ZQt%tPk~79F+}L>I+p%Yn-s@22 z@pr8i%oX9^o4cyF<`zqHh$o5>_T%&p}Zq5fvv#ZmJAkdiX=)0{QPS@;W z?{Bv+Xe3P42d*9?kq$ly63DEc0p~nRTBz&J{syQm^v+kpw+2WSJ5aL*oNEsqMm%f)fSb$!X) zQ%a*1Zs!@#@IX?L?g8)hj^E9DZ$+!!8;Ls)?UAJL?w`Y*5~lJs7_Q?AH=`H$*$6t8 z?7gC+^$_nYZuJH6EbUVHe!LGX_f58h2C}>9urI$`NDi?_BgAP=H~m3*c|EOYR2F~q z0si7FBLGp)wNv5s$5#a_%vA4D1^{#S!ZX5{fFWE{_xQy{8?i(^wMNC0?nBO3Ip!n& zk}Oe@ZfQt7_=W8k6TQ%2lTNDEJ3PO@kTbw2_j90_C}xAdDSi4)OH%0%gb{1FU0d1s z*?GVpE{|?h!wf?x_Y$2a5bTcU6qB}Ob-_Ld5}V>$>iWX~&Y)@h)c0z1DTlyLiS**C zEg5lUbpwxsqovq}%XwnZ+$TWYkn|IRfuThZU2l<`uTRsAnz1wc6d)Ro?KiqWCp^$5 zS$hfobB$T)2x7a(CeLvjg=Pjif9a=%;)za#x?w_7lv(}gKvI`gab{%&6BAr?zA;fT z&o;w=kzz@;b95~K*$m^t+t_U<{vcWl8ymO%aV7GXPP#PQNmY$euk%_KwS8CMo;7sz zKCzBV&8%5%8<9!a__KX|!Ih*5z266C+x11j&8Xb`M9wd}7qM;24=Q^E3f*$rb;hl} zyOT1T!8L05bQ*ft*~+mzY$jY9v1Wo8ubJX>UaT>BMdh_;VSgI6t~Uwq5l@P<0vI3l zzszU0*RxZe0G(nurA*4a%K_2j?O#*-r?R-YntrTMrst;!vTlXNGFXj&C@>4kM4c5r znFV(^%D)WT7!AYWPGgsO^U05>j*Foc*CCxFo+_QVu-+g`*!~ZtdGv%^pq>OeKPNB$tG>=$ zrxrcE4sf~lS95A{wdGNak*a1CPH{)lUyDD|eM^+L-teg1nRivmPU-fBzl)ZZB zOIl&5qXi=G11ycTPiLje;6FC5?9pX#npM zAntd%eapG898~;frmSTsF@t<~ws4jA?qjQ0JhH-mk%V4n<7*U8{YDktvs>!MklYcS{Ru1P3JNWvd~~O% zzn<@pOWzYdSE!qMF``p^P2HmR%gB(}lEb}Qp24a65dZR3#h}))8S7co5K6Vee-lJ7 zp{R}VTdwK+HEpEk*8H<)K_hl2)>?rbL~`-?_v}3W%ho@?Pl{>kx|SDIMbu#y4<`5s zRnC1LR1tP7t;c=Vc_Z3oVI4^-IwI@kAUB+0W|c@-Q6j2w($4TxTCT#={bhjCbAtOk z(bJckhnp(o7%aSS+&Zr%3nyS?Ufe1clpupI~ce zUwN$Qeo3S7H>Y6u#T$DNHT8;by0;-g5p* zFE)Donv^mU5uCZR*5bgcm%ofhD`u9>40|?ex_;UYYrqiE)&Tha{KdyNX-zu z$50W3$#{{{xNMY{fp;ma7yD`Ag*nlU%Fn1jMOXjkUP=oBv_ktxSv({J=hXvJtJNO) zQ?h|k4wj8~)JkjBRlQf*iTbr)CEw{d&8u?Qn7zRNNHt$ZqWS%&XZhKB4T7pvR|1I? z?<#(|<0x3Y7}5UOyDR20O66fXt!v^~$B>?^^mtY6Uj>N%s{lP-;s7}qMKMN#LO9*x zQE}UwYz&Nn*5D>FKb9KT_o&I$9U-=2r&kxF|zO|X%JE3nwThUACs(yUeXAgCK&f1p5F?3 zB~);%j1wK*c#yCJgh=nG8+qx_Me+Xk|EJLT#a7WzJ`;RR(uF3aXaUb!rgS?PqGBea z+uYZue*>A=&(G}AOz*w2LIL%xj~)$^1R4bZA)p28RS$8iJAC2j{V;x(+@bw@0h3wNq;=1Jvm;s&P>|J2Wc#`uck~LzPz#AM^hR03$Onv;O;IuW6X8Eu>rt9Hu!H;|D>8~{< z@EG-NE~CTwA!R#2@=VEFMEli>eX;QJfgTz`R+cuwNv7nj75A&95jINnV`2XLmcuyM zy7KKdhzTeREk|eFQ>LMY_)0imW~EU7^-d^Km$=54Od(!z;Y{Igp!UuAZ+-i3g}hV* zodIJ8Hubt|97{jtZ>_P9Cw+wCt9tfpw%PGgvdkgVV`gSE#6t1~>G6I~*fE`vYI= zgqQVQ1k0Jix%)?2q41RL4N~jFmF+6;?I~(mQUTTMmEt$s`hs%wbq8{@frB1ytsnab zqj_qD1cx0*@hTAK+DFRhDf0b6N3J9>a{P*Cz(2WAW;%luX4ix<1In*cFDD;L`aA49 z=XGWlZEeKRpruEK-hKW!}}`NiDUI zgMT|a5F+$3Z_y8J!AT$S0)%!8+Tvy5&nQ%S8)?rYDF+-P-b|kacAQlWV)wn?TsR67 zvLp;nl%0p<(M@Xc3M;V5c<#L-Vq*Avcd5k) za*GG9*&Taz0!ansROf#~rRqKDru8n5nXcYb1vR(F-d6MM{2Bp zLV>{czie1zkfFd0XMumx0-Q?E@}b7%zAs$9A%BN{^2>Gbx&5KKaW74m`%Wg~zKi|2 z&JIpp@!Ddqeu)0VKffix%6EfL;k{kwA+vE=F;{Myb3Rj8OKE9|`BWP6J@P8=TTFhv zA9NsNA8|;oF3Tgk*ZAUoRX7BMt1gv=fA8p^xBnVI9YUT@Z6(?9=|QpNuatN{2V$kT z0dh^Gve+r-f!@02zjvPf6s3W)m^yrok14vRq$Zhb1#*`vpXQ|*c5Tg6pO(Y1p2YVS z`6r?nr+YE(nc6!T1Sp607}5;fV(STN{kQ$QR!R%X*qpO!@d90sj>p3!x07aKjDn)5 zsKr1?c;kjrSt7s_0~?)A#H(+A2dK2Qw+?;G$7cyRHf=`K0X0qpmPb#EM|_y~F@kw<-J zu3^kadCln;A3EsyAqd6YF(am?pOanA8%B)C?vcf)q1Kx+p~p3OS7f(Bh0Xe;FGEHe z&Vp))5LNmbC(E_txv<@;$tP+0PXu{g0^%SWX&@wAw(})8fBBgv<>9a3AGxmp=q|k? z9OxmZetJV?3FQ8t@J1_{nS9Wu@r$Zx9t!c#$@hmzukqJldQ0k)BlDl+*A@I$Ti!=q z!IxuvY^kU*RQm=wG;r(jWG#5W8egPYmtH~}GL(B4wl7bBM530Y@XKoP%;`Q4)LZ{P zwsSHuqrcw6&V1&cWoup10F%7u(Bsq1LOkR02nP%augB6pYe1ZSRi!&m&?V#~xTe6a zr2w1=q)#F}Rwy1nC^$)w_2G(QsCcPpA#A3;E6{c^M z+7J?ClU>CAgDkR(s()}6-q>I#(P8P<6V<`Rz29Ci&FsuenSIBoe7x1FaK;C-xVs&i z5^vavcaU-bF|pt-37ZfZz^oOmLFUXkH&Kbf^TKLG%Y+g=962-xlPnj8VSnaWE3dOg z_;-M`-OI|lmyZg3;&iO2te?8jrd=5*Jsd^?x!WJCBch9ue(CKAuF%TviZNh*W%Bia z&v;cdK)WYQ1j(TJmB`TI?y8AtboFD}A71L&g(5O&hPcVE*XT=ny}Yu;rLWni*=%78pnY(>-N?zCBC#QvrclTn@+XXj-lCzkrNRJDUkg?Ws)W41^q z(bh?!ql`VmZ7_b@412rHesPtc8+0MxxUb|np_r31?5CcG|#(Q~mFLISV8()Sr zICygpquet425;aZsL!I11d(}vgS*NDcv~Cx%v7XcN5J&e?9K$)WFwW@s)XG=HOc%} ztUL&%8;|(m-Mjk)<3*|zX~QPCSU~)5g&R|IS7ENu24}V}LginB(tFXZOa26g3Nr+_ z>_@G&e+7A+q~8a3nQ7A$JJ#BCxYtL%UEELjiZ8^s7IM7V0%h2sxQeNq7zI-dTKp61V@gIXZ&1bM zjs5q1fJvGacISR8KN^bhJ6fE+{{Asu0N6C2X1(h`sq@HZcu=FRYtFoD*aYotsH^{B z+>|3J08ml1f|v&TsUtXY!%O2^y=X4aIubS>hI{gLopqYZ3tYB4nz512T}2`jz1IWy zUh`j1SBv?%%DnsPztXJYl{qLVQ)4YW!8W^>8h%B;j|vpb@ay?~;JWB4dP9wWP`p4Z z>@nRcOGoHh!Kn7)CyoYY^xH(^7UwYO#OXuL5xRg0j+Z7kqzg(}Y&tkhgY5JQX7;+K zP7NOlGKxo_04`UZ^_0e0u0wM7uM$3u{P>4&->$x2aoRSjKUQg?xy+)bUm&{0bDAI* zg6Nj}2~z$MU@Km#mCq8&k9_I%dkhHTi;(LlbnqY%&eHwYPjG+F=}+E2BZ`U(9s@rV zZyjSxoroT*%^dIitWJ49?s=#;jSVnIKcZTwER_A$@+O+cRgCw9s(2!qSwutE+1WnX z651}(OzV;(JjWvqt*C@RH!rFmM9)eu$ z0C)e%=!8!tN3LEqL*m2wH5CO|Yth#GEKIuJWVi~q-5#p3gFa102ufIJK6fjKEsSn* z&BOS*hhbYx&kL9!HgtE}GUC~*d@X{GNG<0#npg!swW(@`#~&q_U%}Em>>-y zYm90tQFcU zY-di)hW6P43+BUD)MiqG%!w@AY`gaP~VSE>dmVp57sn?;8=OQ}CEGoQDT zMjX7LJu*`2GA~cJ*Xj6WT*I!pmQp4P*$ zwQF!esQ3i&ani6n4uPnvy>WOEr;VgGnr`#mCA@WXTK*~TtuozxTFu?RL=qhWM)K$+ zTxVix-&Teg>=}s$t)DR>K-*37>Yh;HGp30)?`aey`&P^6>^sWmE>V6F!a>< zen&OyW6Di_N6A09%?BH(Be)L~Q8C`r;7{rZ^cI({BC0zrjCZZn2QxCAK$gR165x^|DVSb`~T^YFP`x zZDue+ugNDdVnkuV)r*f!w&fkIV6pHc##=8X0w2Z+wqajUwB>|Bl>B)1*0xoRJ>&uh zE_u5LeI#Q2*FFVDSY=ibbM3>OoM-6sg8jB8*qYVouB+aI#8}E1BHyJDt6*L$(gP*0 z_n>)jHuqu;CSg1ewK-Ma*O;bd|2obXJhWPYMGAHw!w;j0bCh43Ft-3T;_E>a9 z>^27EpC+`;iJa`5W6e=%vrzkQ4a2IC%x*pRcvAd@B4@`ub55X;q(<>5E=adbls|(DUuTkDQ`Uw56dsX_cVfH`I5S6)*w*3B+PL244sM(< zA)QLl0I&2Gtj^QoYS}yIs|2ke+GnI)DprxWVw1acpl{L1;wA?_c&D%|b-iJf3KTL+E3?)0AX}%x45{_Z+nT$L}xxaF_epU9r!#zV>0|hL}rpl(FLryQbJ}B32dr)Eqk7BOk$hdXM=D z{WJG8>Aog>P#r#PX}RG4Sv;^)8;q}q{&{YE9M_+iJfcx~I&EcQ=ZlVh8=TeCm!IkE zY4sh)iu-h3uR37-rAj*Xg8!!;@Yv^*@^9iXkUu&T@ON_b$E`Hu-%A~QCvjbbep*GX z#=&wO_-9Cd_@r|VtqFL4&pj^wq5IGt@c_K1-zrGDz`f3o$J~5+8mvT~ARIN?ihAkO znP|UzJNu8*7y37fHvK4s)gC$%EB9XCN!sI|Ie9vX>muz@B266s__xo_$~+#%$#yXM z@OfcpjQsWI@}|VEznfRWpFscm19~NV`eovVYsw}2*I&|mzXowXjsuMDx8#i76vx4C z2dGTaJU;%s%Msl_`Jml=8n=dCp-pm+?c1c$wl=Bf7-^+=-SOwF7;F@5=>xn^6B+MP zV}fX>Zas6OP)T?$6w)7Yjiw*;^736-j2m3_jHs;{JN#dRK$a^28* zt!%>?Pu}pqBX!WCQjahQk%76Z6( zMIYsgt4&hi$pfp`VS=a7h5Ek zR&+%tZrgg=whd=WfL|zxPvA38noNAHm^2c`LwxpVLBT|CH^3=DhM^g&M8O;3mR81E z4^Lq?GDeO09i-<3Q=7fxJpHcn2J1=Gnn!#%ujaD^iiaVV0G042Yg}4;E;a?idG-AI zKRo^M+lB4J*sy6x-|fJjtFcSGxmV@8VO&$LplWibaW#CzLd+4>C(db!U+PBJ1Kcny zpQ&MEcj?S`muSc8*5Qss;g}!CdSmd#(5JZLdabrFSE2Sk$(sf-+A+Sj&^LWW&g46i zV2NU-Lmjh23ie9{rFi6hHtpF86!z()ka)PC_*x-uD}^J$7Je-qDeuaR#@KSB6_%|~ z>0v)3X-snyX7q=i?jrjj?*>3H>9Q62bGd3+m;9@rk=zntkFw$^veT#)AF0}}%8Kma zHBaoyW7&#~Tf0(*88jHtCvQaCpQ0>pEH6y#p+icOhuNCRRZ`@CVr4r9vFOO^J z;oSbvN6XTco!gOTSl{rLPIz<|THGqdJVLM2EUked^gOqahee_AGg@PqH}| zk}USzZ*aqY5u9grCHl-}bn8$>sNrAM3XyeK6H7dg^K?vK=2OhE4gQCTFKeuxj=_Jq zg+8zz-ss#rt2aJ6Rwa3`e~At~i?262)EOQ2Bv?K7-te%vw)!^vu$EZzJ8!TVeWSrq zH$X*sbg3%ABahX4za^bU02$#)D_o=D!1ay9WIdJhGJP z+vw-*-RNWg5*^@~D*^Xc=}4Jp=Q`AV#9pai;@-piap9|H|-Y=p+E8dGCJQ8 zowT+MIT)NeHGY4G^V!y6CkO7*$j>_^g=cdHGDcxAcp z`V_h7dcI=zPgTeJ2cEvlp?!IKB%V98UcX1SVEs0{epC6A$|tDars}WrcrO>6ywXUXwQ(=2*VF^*^hrx zZ{t@Qg94FMhE||w96vi&*v)ns)`rxEB)@rY7b*3~*XQ&r*AR8O6+%LlW zxkhAH|E=m$voNcV_L$jWW`}5jnH^?!@D}(P#Do2KHT}PhJBSf``5;gg{-!^Ed@=Z= z-T1<|@Oh0FrzpQlWxMa2hCbsC;}@)L{4)B`+mx8o~;i=$7O$K@w`XGbM3XvU&A=BAwr?8KacQft+%?Z`u|tV`v0cs z;#pOyRNbsT8fG`Q!?0bjA7O+1_KaDaN6$6??&f~1g`LS6O);SzhVfrp&=q)IsnhCs zwTU}u3~-mi^Aw&}ey7ma@x1YY%EzZ`np<@y?n!Lz3_zXNf|U?iH=B#JE3S)QCp`Bt z;MlXadekcsR~_=8gNhX(xjfq4Dt<$r+AlDe6Fh5zJc|RLXNv56O%9>(qJL#DeW z8y^5uCDDuTC9LXxFX7w(J=99N4&E!@*iJX(0mLBmoHcD`x4m-D$uweLub21(qQ(pv z%2<-DV!g>5_|=;>9YkGB)MyL!VxGCG>W07Mm%)RjyX33wgscJ!a*x>KkZyY*0fReraQ zt91N(!y|0e%(D5i8kJOR=30UN!I`3fSQxAE6>?j||Eo@3vlC*u6c1f>znU3J9dx0O#2EU^!c{2OFM7ryPu{!7YtP==rJL581G=C!U* zD8ES8&d?Fv(TV(G)$!d9j^LSHu)~GQr>hRTp{HHr2)&Q0o>-GxDrJzvGg{LxKVk>! z(82gmbHv9VPrRV@$yBBtQijh%l{wOWu??9rdVx5q6XCLZ;Dx=$1CEHax z5^Ims@qWsmRhf9B-%~%bz@FD%Q~5|8`58U>J+5D>d>@r*Z+Fr0%{m&a?f?BZ)nPwP zcY{x8BiN8OLm6D+n0|1DV33Pzd7C2czoNXKu0Oa1hBkYRGCH6mUt8w;vD{N21AgVc zgYro_eoRO9IHK=$$`+55wPe)nWL4tpFw}dpJxgd{$%{} z{{a91|NnRabW^=c98nOT=l8|0^YkEy;1wYRwKzc$lNh|+dw08Vw|jZJ5(VLDWucW8 zwkgtt6bUF%h;6D=X+nsH5`q?1T4-UTSR}?Se}Ld`R=LgI;ljMxH}jjF-+XM61Wgjr z){nJWC`v%QyrUiI7i`bh((%;{JE#uQMO%VsGiBl=dJK8#7ql_un3l|H$IZ&;X4cSN zKI6;aIxsYxPQPF<#UKev;T#)DG(*+IbHe-MIiik+aQ=K_O)!BTNSMyDrOGJN3fac# z9Ekay@^7f1vJib&A3K7|x+#vgZ=-!>w)8_ntmzg@8Q(SvQY)DUNvS+W1gw$3GgMV=jH<4!6R22_`O)jk!6&$}C2TNa z4ADxwxvPJVj9T`fo|8)S1pWDXVr>9f^$p%y(MT2?-#;B*UVuMOGECxP-bIe1U-$rXqI3$< zkN^B;-n@Bl-o0neIbZIbxgYL_Ly3ijRZa|eV5f(cebCpIpPZ~6?13U;Lc&5KKv@@S zgauGkOcW>#dL|Zk(WSegM9EUcX$CbvW%wmeiS z$-lac{jb`R{i|reo`E{zoeCxE*Be0}Dk>mdhbcQHB}a9do7mkVj{An&#z;RZ!)qgo zgMA2m49{RYcS3#0_=v;49w{S>-3{m_>L#jqmn1+XyY5eCjpt9zlY|2ux(~i8X=*C% zLs=-Pb*r*kpR`_WmmKWu?6_}0&__QysPnbAN!@oYJml{~et$iDOLCX>>BntC@_j)w zWIs7LF}zrN+gSX3&x0=D>*E(geqSG>cQ@Oi#rF3Bt-76Rhq;HRYNcc2uC}itdw=-E zqJ0W~rT=-FSfHxYfMD$bl6!+mTRp2WH&GuHV)rHphNpYKpuOVfhi5_T+)OolgguCR zld^D!fC1O>`i~%HOn;o?DUr|;y&7}5GHvEbkD>)l(kX|2s*Nc{WkG-Xir5%|^vTxX zr%kz(p_S@c!4u*1s*EN0lH&-w)bp`S!mzusW`@0qol2|d6fV_M)o`ssu&vvMM*i{wSf(QzHH+#733{;JC$UX z90KVi5+cHnJ-Y>>9dQ@m&|1z-Qwmeki{Ve#>}1U+WIS^OwWnh30%ow`*k$;a13n>ClCcOU>D-5qejq#J2K$D&u zo89>tuJ%&fmxL=8C8)Wq=Efx(BdXC)5q(<7O$!)|T4qr&K8h~pEn<9~Mh~<~{Os~> zdF#%;ux-dw+mR{I;!obVW2qJI{{#K`giS7EvSEP~9pO?FMVJvx9hUQ@r2TEddP`@L zR!{Xp6vSzsjPrjX4qKbtLIV;jL9hfj9YQ|Pz<-F~TA@V|Uj^q4^LbmGt38>0WXDiD zb!;86v_88$U%uZ{8c&4a+K~1b#=@@l>AZZrLdkE2^h#rVdEpv}A(#OtF2mVqV@e2r z1JU*yEPB}1&ng2d#RTkn1ROW4;>`C$!qyj;cI(0q{wzx~F|#*QeCAllf6lE$^K8i6 zfDt^mJ5L+O^vuSJ9A;y|{4#T7M==^L{w562mx5e5Jb#a!4EQctYj{$2M5NCitSbqe z_xQh%jjzY$bRbd&QN$vEz=H4rq-N#;N zQeSJ=9@7jyP6T%XW4(KYg;rFD;HB`3A2A_N;ksP=Z;m0L5?aKI6$+D_{_PzandD;S zP1K*hNmgAS=UdbBzQreKV{A{YBTKW6H+iqpWxa?bc)n*pn3hKph*7;JM<^bReWhb= zkfG3$=NgE{_9IQT=0VB%_`4rl2G5gQtO0OylLfpf`IH%1Gug^;=XRuxU-zuVuMbx* zr+%icj5!AuXeL$2AGh&e(U}zmhun5G~X1;AvUQ7*lIbwAOUpMwmigqrp z{X;wDAs~M0*?Sb=k0pgjurr;aZIn;>sAU;*=c>MuJ~gQYTNCv^Aa(OUHyRA5du$-t zCnG?9Khqu|xBAFvlO}U+KTK`a=-^ZFkR6%&W7<2uP~n`SCv`cst>6Gul3pO=Z-TCI zGhVx_$>+QTJ#V40wP4lTffjf`u#}*xrMktFc1JfWNhFhZq9OxE<)5oPmNt3>L8b#oaZbsSGF42-kx3t5QlKB~^FRE+uXnzTDipr7Ve1wOz9383* zuBlB|>U8PNp9$8#a+tw;n=UZdfr~_O_c_LtJh~&rB2j@VsIdT7kXZFyzG-aXNR)5& z?u~smcC#aIuNt8+8U0hVU2SNA=7%4BOXUQPQ|he z1t15PU(GC$Bti`Xwc(kEcaCQF}JLSIV!D zc&(izfip=E&4@jb9R$O*>NbBQ~~9x)Io)xhU* zonXr*FFHI(=SisFYb8$}^ceW+go;sJFw7}kGc@b%x|lwsmt&)R3zv+;4WF0iOw9%o zY4n$5O$zqzuM1vUbZqV(;Q&l2a6jqEtuWzQXC+p9lXmvfKW7D0rz*xA1lq;6i=WTf}ksf!zNC4`II_ z{i~j1f9{urfm3FEzpr#VTY!Ytj=t#h;#3lJYB_uGWROfwf(6#(XN&jknA&`Kb%SRT zkpUG9BWY&h{_Vo54oriJPLFQruikS}O8t%D*~Vk9rB{b41bS=Zp?kZ%A`8gXr2T!C zd2Son-3wCuy|t$aI201jKCidYv8csu(YvC5a(`dV#vAAVszm*9jY_!pR&+WadoTnB7d{oco@t*4$tsdWX8_= zl3rMaX&O!$*T}2O;ou0oKCxBv>@>JeO=N)aVI*{I9Qmf(@cdI1k>AYECTZ0?xAdW_ z_3>j1B5gJDo4+i>D<7Fd+p1=A%9_e?ey1|MQ#Jm_JhKYs*`eBM7dEUPTF%%JnDvn; zZeh0ET733OrL8P9bK9XjjWS`;Wv9J9;o5c*ON8oB$;zR zluz7t#lr!20-s9?H2HF@uB-$^{6j9oCWE+H;7=S(7DFcwGZ65x=*xv_^E-4|cVw3S z*fGII`V7;WIbF!@#E6;?kqnBDMyEHy=L;$7RjGDgsiYYuKAvE45C-`;^{uwc^ z+6ZPMMTNI`iwLdmlSRO)bq=D3O1!cqb+Wt*Agru3i$+%)mX{i?7hjB9!3?W{G58l( zKMk~550fvNHthQ5+O$|*L%_GlOIcB`Q~A-++0m#`so{akoyL{MjoS=OWk}S$wc=Nm z^~U5dLw5|wDJ_%v%}IW#p}@dQ;_H5ztdP&UrLVo$5n@hEkUUz{-1LH*lo62YJ z2M?l_3O4+@!tJs;9r(vsoI^GOv>KN)WdqxQ)uM6tkCB?R>p@CnNSC|n-oJ9SqTxuF z6?`|-COG0QgTMdDxYilOGua z283rxnUXI*D;8d0%-!M5rZSyb_YZmD{vHj0YfGsa!6-1heGykTq4d;I;Sf^gNqM_k z!oafGKdrQ@%R>jWUU~Yl3{`5y7&?FT@HxIbVor734%u;8Sk)_K`p`C@UWqeXteA(} zlb-o`jMIk?R<5#-eoIh#%`Y$cW>Zul*OOd0Mf0n*K<54iz9^e6_vfgz8Y>zTJN~F3 zRqoWUsah&~RT6qh<1xNPiIPtFmuPmGsMI1&-zZO14PA zBlpBf|GDT2hn7ucQXS diff --git a/CPLD/MAXII/db/RAM4GS.(1).cnf.cdb b/CPLD/MAXII/db/RAM4GS.(1).cnf.cdb deleted file mode 100755 index 84cfd7ba7b250861b32b7e025577c3bf2ae585dd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1256 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KDw000000040V00000 z004jj00000008I&00000004La>{z*u)IbpRa4sMaM}WwXKqMqAWJDd25t5Ktp0O8J zyJL?$Gg^@_-@yOz1xPF)?$f;2m)kAR;hqh4rRi1K-Btan%H{6%o2{y<`UkljMbTf} zy9!{oz3D|!4}V))gJJXsK6(F*JJqLoK|2RY%EA7g94VacTFo+ax|>@FW4LWm?DLqI zl;f8>6N~5qXX>ewI+Jg}}R@2sqw+Lh9rC;X8E4exLq*-JSMqH&$I#hn-Lxa%*yRa=pH z@)M)?gnh(y^!Ns(f>sLI9COq(tAE(p3B@-C8Cw|z(m{;Kj5FZ zQxLwJ&!{17h7R1K5shc0qyw*Ss~0M@>arRZU(^8X1sRuAAOOsyB@qb5h9|8^Kww}= z0RphBmLdQF;EMq3&p*)bYoCaa>Hx#K!>^-RA}EA>`SU zr*X1BneGhZyvVb7yI($T(Zz#eID$RbG=kx|#=8o`nnqr8P?US5R}ESW=YrBBX;v1^ z8@VN=(9)!{4U&j6pE(>MS_?@O-rxA7sdLsl(UhX!>K;N z5yd*IY36k}qDYfRAsg;Inso?w6p2H6vVDgF>rm*Sy%|SVp*tr@&-(fKV{DIW&vxwf zJTJ!6etfW7W{;fY1%9H=_#L5+-v+Ak4e&1P}!nd`JH}l)7QtLwMqxYPsy)5jRJ-35(9OT#=HQ^% zf?b`QTtuWO4(={GxacI(U*UJD^eM%_cVBY%-OJtklB7|Qh?;k&+aO8-e#McF2yDv_ zq;LXniwhJ1hN!6#H8KVw(JZhQ*iv723{#Y)grj64F6ubAWPf)m-QvdA7g>bVG z8pGSoLb}D7X+UWWw^}O&mTn8_i3)}kp3kcA86X?Hcf8+-gZcVYW8hi1oQ`%9l|I@* zJl{AhB)|-%wOlPmXGm?K0RR6SPF^$s diff --git a/CPLD/MAXII/db/RAM4GS.(1).cnf.hdb b/CPLD/MAXII/db/RAM4GS.(1).cnf.hdb deleted file mode 100755 index 10dc2d1b5235adbe3dd7117d67196c9ca40485d9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 938 zcmV;b16BMH000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I&700000003J800000 z000OA000000062300000004La#8t~q!!Qs`c?*dXM*e1sCsO;WPffIy|rE^}e-u6FWy@JiC0t z_RtX!qR@DnI_MTWk;pG5g#&YP+!-PkT_NJ`5Q&KL#0kv(vV5GRkppi7UWn{mL~{q< z06r@78x5|(_80@l$Vzi&39kc2XlE+piek|j!lljCGDi+=gE~wk+Hqtv+sVE`ozzKm zIU8njWarsTcJnM@{#djn)HQNrfy1#az=H)27o{FSz8@_D}Y-4zcH7hUt?;Mr4kgcnzv23gf7?Doh)}J2j z5s!dPrr!qqHZW)dLjr^D9ISo_xv(h=EzOC#RTXX4tZ14t(x{1RTvog>&HTl$*YARX}7`LE`KlyeB_q77d3*<{m4YI=Ehfq%q?idOp*VvaT(CD&<9}S|E zfGN`w=;w`q8-QP3GtCK2zFGoxxs@4^u~eCCbokNL2LJ#7|NnRaTv5AD!!Q)RDJ>Kd z1eA)G5E39En4l9YZg3ML@=%9B-$U?r-;Z@<@G7#U9pq}IZdf3z!Lr^tctZtgYK5SL+1p=BHUc*@P( zD8vMz!qH0He8x0C6#X|GXdy2sq}C)I0RFT;6!)}qNgO3bADebTT%GMdA<%3tikc_V zO|1&?c0GS^!SsAibC2SUD!|Kz{jLumS;-SeH}I8AMB@6L%+#o`6|um)YnAHAZeMTi z7ZF!sf%dXgw$Xe15!&@-AV85>#WD9DH0~}L;c_ndr?#fehb^6dZLwc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IR200000001=u00000 z008v@00000008R*00000004La>{rch6EP5WfkI0Gi5mhHRRVF~fP};Ym=h;1MTi53 zXp?Np(x$04jet|~W;_8eQ1J?tkN|<8booAeXFa=JmAG(0?NqkM_I&f>%#PinD2hY0 ztHzjL7-Jh?740n;vw**)as^X!0G%9vV&w99cA$%BN#5JqbTf}D*=pra=zg|cL1;tM zakei(Fv-WS2D=p^2EGVXcQStGzy!vC8F#;mn@19l{+qb_d4DwVamTvOW9x@wJBjaU zo{Uqd@e~vJ1wcmQo&%o5abVN!#KB`7XFBiXqm4WnIy}1=*NM+}cWNm^9hcE2gJGxo z4Dg8*Uy5SNZw7l7%^520kqZ=nDih$5)xaPgxD~M8qE7yKkU@uY$?7@X)^qaWVn}r? zHVriou?~!kjbI@f5}sq5hjJDwqahjNVlA1QKyAK_k8x4u?wl@OfgFG6M%w;sCztN} z(3rII1SvAj;8#BS4~pw@QBd~l9pf^qDgVFgd5(ZcKaiN8)Y+-;$m*ESd2#l&^@H%; zS;$xsn@5k9=Z#iUO@HYow`%$im0j)UH3%-7Mf1I^2&@9ANmERxw5NGogcc8vDe1Xv5@fmUWom-K|k?^P$^d$16(H43k*_(-kdOTwu7i_8wB{ zhgI|XhAUp{kDoqz^6bGd8<@2qdB&Z-6JYw9+3Ab>EaGqiC}dLdY*_W&Eevn6Jnb~A zQm1eLHoLK8909t@UQ~qSqWRKSPdJ!Ow!fJ14V`XJ$VIioXtu{8=h=?fG zHez96WhMR#{bo;%A})M0yEEU+&g^WO44NaNqpRIzC~5;DCs2WiJsHJH1aVkm2UP&| zbYu`6^xKd`gTP|!DXS3JuE?n%pA*w|&Qg(M#zG&5GTD)lSte68&_Ml=%C@6 zACPeEyuV<^((;4~g@YnR7%@w)8aji{+)r$BNnJn^SS&@dSaMV$Sn@SF1=&O!kdMdH zicS39e*%n3T7iA9xIWbk%}6*hZ#0t!)A^IT4@I0baq|$2YI0$`JbZX-hvv=_LLTLp ztLcWlN>5~GZt|8(|IL)Ym@WFft4>zmL8L6eIUNxwOFH-`1z*j3rlSY=)wm_&NV_uG z(TVam;NTSXa*F5EH9m2Poa%dk$}%Hi)av_&y9WmOVLLxbUzvThsieQa4^due^n$<9 VvpvPc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DvZ00000005){00000 z000OA00000008p?00000004La#8t^o12GJpvWrE6D+oRTi7Tf$apTB&G+lrSO3}1s z`AhzV13TqCJI*AXDT|OGQd;}ju^lg&@pwE=u(q(@LI2gJPcHg>gWtc}ukiJP-GJXs z>|TD;?PjCn-oB@@=6Sz$wnwcsXguTpr#nd+N#j?C=ixaoTIXj^a1R;=u@r50FCugS zlDrt49uFgU4sdfcxHWLbEVOIwwu?HuK>H(aS4_}dyXuayb&7n@F@F;AEYSX-WtcYr ztaFhYEUJr8y)61CMc%96#qhHBDp88)tC}J~t0@wgE}JKjX~8E`=PgI63(z2Sf@+S! z)CF)@DQ_c6ouK>)Qs)iCsS~&+b%H0n$iJ=~c)#uzoosTvGM9Hg08S z`qRkLGK84yOq!%*P^%R$VJ%D~#VwgYPutx@QYtf{)mU`~UH@)?oxHHNASPM~SZCFA zZaF*bZ*3c=BLhhty{b}-ms%17s|){>Xc$YNTnHrH)YL`uh-am=NX}v)9oR9}*&^%x zlW{Q^EP;+jfi7ooaTp|WnZcp1J1COqdb;iR;HVDMZjhdbj!0C9 zK5>>J8e%9Yu8K2-wt%WNm z*cqyU>1`i0*Wp)SEum!x^SH`MoiM-&LIv4K+!zkg1?`Xl^R88DAi96Qd)$CugZbJ`6WKxU@keOKlfFPId(@h__pteJ%?g)u h$pmf9nh#sP{Mlh@;h$2c_#oBBK&+Yx{r~^~|Nmd3%KQKT diff --git a/CPLD/MAXII/db/RAM4GS.asm.qmsg b/CPLD/MAXII/db/RAM4GS.asm.qmsg deleted file mode 100755 index 4989b11..0000000 --- a/CPLD/MAXII/db/RAM4GS.asm.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595485253603 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595485253603 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:20:53 2020 " "Processing started: Thu Jul 23 02:20:53 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595485253603 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1595485253603 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1595485253603 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1595485254775 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1595485254806 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "296 " "Peak virtual memory: 296 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595485255322 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:20:55 2020 " "Processing ended: Thu Jul 23 02:20:55 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595485255322 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595485255322 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595485255322 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1595485255322 ""} diff --git a/CPLD/MAXII/db/RAM4GS.asm.rdb b/CPLD/MAXII/db/RAM4GS.asm.rdb deleted file mode 100755 index 57f2d3dcaae01730b9e99d35567f4c936cedd57c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1366 zcmV-c1*!TG000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*GeW00000004gk00000 z0027y000000034600000004La>{i`#+e8qTfez(+=nOD0JnRF*B!C@7O@PVpP}g?S zgqoz0+F^KM&eF+ItFP)#A?^$RH&DWFb^efCJJ7tq12=QJ+r8W0?%jTzE-x=H|3tSe z>khirYFTe!=qe?XQ7jAQEw|PUaGpcxk6Qm7bM#*U@IIF30OXcQB8tf}2-A$ydIg`~ zAk6(b1Rxm8x&zI(FxE6nt)q0TUIX_**B$b%diw}O>s5Sa>MIleXZ#EIVcfzl{20@P z3lC%}3!!B|`%x?v`(}kBIfNi;966tWMq|uA#sy|yo=3i<<6K8ss`hB>ROXr5I_(a3 z4%{t2kAtnO)Oo3Y4q4}Pp_6Cf8uc7s*Nfblfz7xbN~OeDu8rIGAZD!X2$gi6CTh%? zWZF{lX`xGHRzAnzmc9@4u#Blq-dRaUm^rt}cJ>^5Z+Dj*+p*2|cQKsesuXUCeh`T? z?AMuHU6r9f#NcIlJC^4%ra`JBJ-K2#i|>mhil+sE>0$RrIy^LROdAIo`W*~|lcBR? zd)v0%+g5+)bsqt*=LnTR}B5CroB#&ajVPK@#k;h@U zg7_Z1K`KxYDHnx^W1MvnQ6degnD%)-JH>uCoNRn7_(1x`QVh1p@GE1Hqm9Z$ulNY)w zxwO&II^3jv1cWDwvD8#g&jwP1aO$w9;upFbrT7h)ffl3dfZnfz-jf$1kbabim^L^Z z<2I~Jgx;j%s(#OOoyiLn^vx=$U+N5;7IiIkSf#myh#=rR!#*^Qw`uXLO*g<@2jXXC zsws#H=`X4fN5{h)AGG@XJJ54Sxw43G?ne-WXUw^0=T+ypTh8E@I&1@8(9xhj=#lGn zPwp?lKNiU~;2W+JNEB0{@UkC?WUA-xL`&)+ImzkRv(r2t`TrGl^}Mamzkp{B)3!TN zS|)T-WaC04)gv%_gL<(@a&qw+Piyg;5b=trjWD``#r2MVe5wbPmKxU3zC{1$e=wCu zRaUQjKKVVJ{w5iT)z2_j)g!NaP*qhfs$_P*g~7hC?d@-F?>k=`9v`@OCjBR>Ovtd_ z#$dMXINuKFF8}}l|NnRa;$UE8;9y{2h%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrGU5 zK+-NIP?HfzO9C-SQE;fQuVYYTe2Alyk88ZYlb5SAL<=WS0V~4|ASqnvsn`04Ni?c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FK300000003AD00000 z002|~00000005f`00000004La)L7kXT*npv&FJpk*>x>lDMTwwB4)SJN^T*vc`AmQ z(MqwMK+sK~v7gG=sB6`2gCFv+S?p!B$f_{?SckT8p)EJU9~=J#eF>;(C^SzZ52X*K z+#1y=dG3QSvE1L>yRy>O%6pGJcg~qJAHO+s=FC|=e*F0H1ajIVFUbBs){?kA_UBk$ z81Ng|Ah#xxU;GB5K$Is&w>aTX|x=(7=GUkg--ngx~>j?22&NlI36 zT!8fv(2P+wZaSe(SU7lkI`(VD8(8IBPLBstt=AI$H3vIfP}_*sUX8IE#nqpTx!)lG zs<@A-drtMf}9RQ$*CR!4-kGfz66R^M=sF4v56&43g&S z)(mq*6jDO-W$0nLLR7MMfJ;>bir6=aB>cX??s3a)C&>bfO5qc zEno^lhoZDaX;rtC25^?)N>`Oevb{B3$zye9}9KI{y z#thW7T&ORg84Bpk=(mKz-vL*Jn3y(zLdYrJ1-2-7n?K@xWtCBW1{>tvZ3OLZl+FaW z%C+tq+3Lte@50paU`~+JU$Iy{VpGI(5 z9%sv8c8@|)uo5~#*tr3vf@nwSbW{b+u?Wuwm@R2bcNxd=+B32HMu1O;c#~+xDd3Dj z8fv_mV`sZ3zXclMkBY{omk{b|*UzBF}cguP4L8JyA7Bfzky^Tu*cK%fsi;9L1(o=lam}e%ZW6?`Nkd z2S3;Xr`SJ(4RR5&&JN?=aG*|>BK(6h$*PL`kt}Ad}ecwGV z;qJoJy0E`$;5QsIN*B#$_TV%NvB!0VX4!+IjIENv_BO_tUQ z5OF7SH{sHe1w5ZMW&o-8I9Z7O!vY$eIo$s#9OHW;Yp4kyTV-EkQy|$hyq&#XOT%!6 z!PYsN(!L?cW#sYiQ_gQt)EqNWjWyXxda=N-c{^F515I5rQNlo1eFom&lcCJtZ%tw9 zjER!a(BHM?a02$^D;8qkoRN~Z^TT;u`#q4J2VFZx*y~-OMDrhGT(8S&b{8P&kMwtZ zOdVJ7Z{bi7yePa2@B2x{;sARt<5SMn8O6;abPGDI1jLSBcD_g}N_7yGGbfMwMXfud zK|W3sLwPTPp-8}kYJfdG+dx~&KhK2twjf%`=z(q#T}FG4y}dGs{h^mS-FvQx^KZl5 z9}%XV&%gi8@#fMOupiN|&ni8hm(xO0z zXJ3SASFtl<>d)1)7)fgxcH$O58_qjMMfQYD&Pv(moEO(|LI{8ROacD=IaUQ`%22|4 z5IQxaCCFBURiP1fk&FsHv$@Tm9^a|-WLXBb%%wsuCAmahOzaet|Ip9=QiPnW(cd*X zyvuBCun@REd=h=e@L%r597`VWC<; z0kj*QxValmq?I9gMRwO`_^1autwSwq56Q2c_pFigWLdaN!>YkTl6lFn`w{fvj10dV z&)C7o%~6usPW-kwTnAxhnuJrPMUD2{elFqlhhTS%EUm8hKXbK&^-8Q;4*z7D@uO*| z%DMVmw9#UKM?m+ka=Q!?6{&x~)ql)bCz0~B&*xhpcEg(`b+#I@Yq`|p+b(|w$Cz#y zyzX!xuS;kV{);Kz$oH5XkyvZ5ab;xnchSE{rjuOD;Fiiw(a8ZI~Zppu!- zY%!(Xi}B%zbm!>dO_^K}WjE4`Z3J8Ys<_W#jL^E&^TR{t4ZwRefaMc=>;_y(!m`O@ zJLX`X|ko-?B%aTj}Bq@0hVuQ{luVbUPp(kIl%maqp!P)!M=~syD+ZZtb|Z#{EYG!6 zNR~lG_RFy+hCRPzUtiGqIS=ufOqClhdw=|oDB{~k>(v8^fZTyZjtyp@^A$x;zG6AR zPP>@{52u#q)odBy^XnZ*6#h%{Er(!_)D&9PV7VIU<|)-JO6O>4nuM8|6S&R4EIcUj z4-CeeT63Cy(MFxIeJLqcRYydZsUTVpx=Tl3h^3Uy7_^n|$!6X_W7`2Y;=$r0(ZHm5 zXOn-7;Ja^|0fyO$kM_zLX2iVJYgx7P72o+V?70q>H5VZn7R!=L-e{D1PtzIpqR#j9 zl?OPe$j$=`ln(sDKO}ooS&N0w*yB#Euz41CM=|5fbV)@>>i$p>j@eD}2C&OB`ZoXo z0RR7Z0b*icWZ+?7U|8{Tf)+lE4FCQ2Ulr}8e^=js?ZrQ7>geO^>hpPEr|AF5pi2k2G$el`EVV|HvFvWtsIg1ID6?hC zUFOHegLl93LxlI1zE2CP8ca_}L~BJ)tGT|u$;z#Ic8_n^xSvy*IuTmac({UJ_C4$b z!BBg6VjejpH+0-06fGNuTXe@rFNZo$zyP7JSEZ*vF% z?v}dD_zQ{+`m+~oISeKcKo@FN>f0<#F{yvv8&|EMZ z{@5I+5;RPBDvr-rIv+XR^WG7{7gv6dsC2WQO+T~k_{|c~8=RZN|7L+dsDFyJV-Dw! z^2f~`f8+6Gx7hdtN;Lx1EaJ5sUYYd^vi1KK@S{tXjgxpR;3wC}T3otV9R=T{g(cm` zsaeki|6#+{a+#SjK^x-1Ok8Kv{U>4w+ATFgcX`Khwwk}av&x`Ad@7_j$=lgJ-3TTc($>)H&K8F<_* zTo`4u#THT4wPf325JB?!Yy(Rl@b~Z8RI_f?vvJ5za8!o6|u8i1j z!9CPHo8r}-LIu9iSHm<)sG?EB`QF;lVG-ko}_?ZTW z4+(D>FPZEQ6AYxvxFVcW;tZtr7UK)X?qnAFQM}6Y#DTuqaGLh=)xBV&_B0!Kbq306 zB_bpZX&RD*n~1f1xu{~n)?D^SFeiOWNHJgh@a+`k4d2JV`LH$@ctRX1MhBh9;`um2 zIZkNA#qzmjgC+%Z>%ul~uvLwipbcmcG~hbixh`0b)KF}E{GBZJ6&haz&IEC46Z`5NaZ|HEQgPQqiMeC%&^3BW8diVu zLbya2I!#?h(;G5#oi7x8Kwz&y=T;p}xSFP&6g>I9;h=2O5M$+Om^16*mf;-8Q$H+m zqMLsasW65sez{0}_Yi$WUv}hLsOg;P;%U65kXK@Khxd^fHvL%Y*2Iy39n2R%zqkGJ zXieL2P}9br5^Ysp&dz3s*^oFjivp1H;VGa+m1r(vf!IkuR(^337?$^nc$A6TB7x@O zp}%9o`o{}@-vIMbOu!p|Wj#9LXCT+uk59DDkUamDECHXe&mr}KB7i3d(xOf<0&qE$ z8*&7G*&L0#j0)IGnJ}7>pztqn8QN@-C9f8%~q#SWkTw?U}j$fao z@5c*MpOOa}H3oZNLHwKqsvxzHlL*uLnqJ;zKvpMeO0Q1JkB2B6IKI$ZyfN~Ct%n>Gv>TY56w=RpksD{n&jEPtM;udMc) zQU|XH`VC#W8`^&i9eQ0t77Uv*Ta+FKZjxJnda;LIrX77a04x2yQyitTS8@JZWgKhy z=L>a<9KO59!)Z!m-!Q;A|M_tqq10?Icwb=qX1@{w^jkl4U4FbwfU?0J8et8c>N=Ph z6nI|PWd%2!2)cbSE3ML}KnVX_UF-bnifD z0ON;#3~TM8qjn<`xQ>~EeobKC=Gf~h_8+>Q!*ext8rRuww&Ya4o zZD>OTb!t;!^VHOKa3jY&)^{@F-}Z|8fX(#%JL4magl_0wtBNsa7SlSbiG1QqaJO9S3N)}P8n%ZlKOe}+ zx1dctaDg6j4?d1r#OWntFl=kY5zTYTiR(qzY(p5l?Kl3)GT#4RuNzn9YxKIPW8ge} zQ|;Mj@Vvhqi!x64@r05V^MM-!LEe%8k9Y3Bwx10+jWGNn1z(kGj{UXW&hs9DRVpGc z`NY4F7u)phHj>dPu(9W(;aukZDSBd&VKyJD8=mXf5f*`YKQd*ESJG`SwdNbONkL#qzpKdR$eJAs#M3$2qMr>$>nq zU%le*{|;87^-mj7gstDK#=ZyYT^MnNwt>7Tr5FD7F2$gSen%y$uzeW$s41;m#qQAMezv zfp!|9P0zj?OPifj-eC?NB=)apPsKohJ_s4^U3H^lWBfgX_PN+WFgLzMT=eyB++ZUA zQ`KZX=yGV1m*QOYz z>mxHIE%^T}^f^&HzeQEJtL=mjVwYF+S%LD)#p2UWd^s$P;B5-6&b|wK3qbe8oUn%& zv2I6wCBRl2_Gr!}xOL@)lv`J7XBQvQWFPDbtT4%#08E7S5rdAyq6;CItu5baK7tLJX;ihfGhqv~^x(9OECzLo6p9?)S|ZLzdpvA?FbjF)&S=N}l$EWCRi zM89F#djmpF-xi%0R%&Cdw5JmaVdXR_rj7A`S&9C!gm9)Zk#8|e)8lasv>w1ioO(*6 z*j}4`YEz+(QAeE(#rs`Hg?o4MHwPM1!;i8aEbRhXxAdR=GSJriajE#>wY8y7j4ysC zOLY|oyz#wD5b~EMiPX_^`Rf1GQO^rokOW2DVxE7l`07H9tLAP^z^--|T~--W<%~Fs zCU5R0zcB#NzXksdQ15CULT|JMtumZ6yCrZDSOPB24Hj9n;2xvLeyaVu65H(LWwRnN zd-kU_IqnD_*j`Xbsy#k;*2tK0c$3aK#G3fy+{y-g~z#I)u=3_M4{H2`zzI z!?!=#q|!rBMB%F!Z;H2YjF~y|x!aFSYEfBwdef%YUSiTGV!5YydP*&MBTrjXv8)sG zwreztO`@U~<(7m?_%DK1f2zI&Dq+ebh@IP+1ty7%Lg1ysyG8qZEWW%I8)R@bl*0?e zacz@sQzf*w@<`DOgr;dtb%D3ydvz3r&@l!_Et1aH+L_M27rl-?97BCDkNadIg)P4D zJqo4?Y&TAgI~8oHzSItF$F!I)#(8aS(i&7;#%)!ub?iRb;0MVJQMrH_go#7T^XGgM?YpU^!PHvvLQQx;pl0e+8qgTejalloX>G8=hxXo!yuoZp&>0V zOYW-g?WX9T9h=4%6l!j+d&#IJCS9wW#;vZ+36e8MKz3>=l(y$xqvIzvlb6(+@L07+ z0BVLoh)G;zXkF!$0bzL*k>Wn&uBNlOnEll=IQKrFBV>M|9rJg%o@+znKhF<>^DiEM z1{nN=@`u%HFg<+0=KF$4$Ah`#eR6Z<2SpAI_gHqHj=^0cpwylQ9hmU4W}vh!vAqf( z=zj=?4J@H;CP?pt^YbXrsFD=mvzft;)eX;gWAt_Tr)jZMCvo?9RQa~_!3QWF`+No- zdy72n3a!d@t=>267gMx5E%RGQ1-mL}GLHemh;arzB%HMOL5+;)0M87Dt!40>2C|ky zwRqLZf6R)iyeL7C>XqK$a>V71ui;<;MVu8ILVe*A=T{RC>=7@6c10_znnmk;$*b}i zP37Sa&&o@dbLiU2R5Wg+l#~4V@{U_dM)E8T{$z8lqP0XH>l+(VW(Ufg{M-JVs$5Su zIAe=1NLDM7S*Z<+2)(7x!MM~y;V%kseWtU?o9KE;)2%o4kTG zK!JX&AYu{c7eHH6qhZmJ&3jwA9I;zJj=ur^g1Cr-DixT1WiV$c&ch2<#r+Zpx^7-? z?v5;_L{pLjwe4o|d3@Gha{cyIxh3IhF0#)#cQ37aQ%hETA-$t#FQJIM#4)rNwExsF zG&t0!xUkSkm_CcL#N}8`QdiZV8ktT4{?)1}N|rL@j+Slz2Mqj5^(~tje>S^@iWS!1 zox1%GIy_1-Aaz^Kq==~SA2^!In=$sawG^lXp+tv@m*r9C%xq`VxFb)PM{`h8tE!_i7ZK z?ky32G{4Q#S-|wFwXzNz>EGbfgbWR_yI@>6P-GvkEwOt2U|jHNr2F@;fC;(2J_8;N zDqox~)4Pp0N=!XhdwX)>`_p5S7t?{t51aiTz9)X!2snd#P_l(u?Q(A&C$h>t{J5mA z@fYVNUrW>MI1nq}gXWf(crUUc8rSpLmN?Y{sJPVJLJj)95l}xnyM}uXZCKsJCzovD z?=YQ)MqP)i6a*y>?dl!M*M}`z-pd+70A10+W3z6Q*r12Z^tyVq>2O7HGa*aJ&x|`i zwM=&Z0|89xJ$r|hR^F^fG5XFcX<7alVZr*xOM)(g^rsDA$_d0&dq1!;Am`3blp6%`yLM851?=WmtNzZ=Ar3P<3 zyuGK2_WkW+YL%vB*C&hj9bl4HY=eU`E7n7$EH56oh0G9-B#~!w@WE#(tr$f4p&3>W zb3VRo%d>jMnaaeHGyf-Ee)+iqvovC{%W%=VcNFJOe^j#5KGX9uaNJ}^qpzqsk9zOt?|2~j-O9Dpe1cE?Oz;Id@A3+o9_>`WiXn3%?OBci7V8@D(@U*ix!VRnesPj zN=a?i8O|d_dNE>92)KzZawiQE_Ao8{ppERJTc|%mR42Lxka9rU(C$;Iu;_?h`S+7W zr$yFn*-WYB0wJLyQ91qCA|c@fJ=TBl(f>yXuvQkK`>M26;H)b>%q74o^@9h36?0yO&!=IMjN_4BE>gyaL&O8Via ztJbS7kJb&^W;a@fk_*|Cr{S-v;00(Wp0)ydvdHFj*);n)TK z*5I>+<=(TL*xhErn4Y&z(WHH;LVo1T+uf7vwtpZQ69e1fqx31QyY=8a=}GK+Etf6y z-6>yoYcx+mmins`QNR37)7*h3l1|Mj1G9Z-AiN+iJA>o%lrr9^GOqtN%(m|eTrT+_ z*FR@B%Sz{#s}*1kzx$QXz-+5((p^8IFY4kTS6b?oTOUjB6p$KEH14PIVps3FNY!;8 zmY2UsD$Y`H4r1p(iSV3^Wk&O?&hWrq8Ik7|SBEwtLCFb1Mx~bR+x)+Suv%DOC&yBGX!YWZ^d>ufk%OT%CtAxtA6b}D{HA%Lm z#{@k)3F=|iKTc>?IdZuJHqw%8uA zYfMvcm2nN+Djx>}d)pjFJhtTz((>=()0g+ zr#ryG=NG4#p5gwEi`$#Wo~dkV_lxm}^og^l%mn7F-ln?CalRo`PRxoxboG|Twlz{R zKX7E{rZVSyXI=dS&7zkB*|xBq(6G8g)<~1baY2X+A~0z@?2iU1MwmxfR5bJn?Stbr zy18{ipNF=;9Adgm$w_tpCSPkv2EVVyo)6}3?Y=2@fNHLnshTLaYN$h^cjX7?2ZXd; z2qx_VC1jdJJAqgo*!^?;tcM#la$TK$D|vX}$xDxKmGi90HG95|mzfGoyQk;64BriF zw##l7UfE*cvE!T2d`oc}O1F1q^+dUDKh*(rR;9&17>gvdIK^!l542|Sd9)yiUl@wD z-$g6hX86rWkF>4q9~(!sa&q{@Y|NhV6MX8t4Cc6Yw0Q80_&i*;Wqgq|JJ;UCd!hm) zYH#98JOEv+-Pbf)aQWv3SklceZZE6;W>BAWn<|^>0LgdY z+-cy&3?}9T!7cb3bY=_`1AgP@xt&hQi6CGy`z69jZFXpl>B{T7>W66m)DUgmSrCfn zYN4)s0fa-okmegczz2(8`)AiwRX@-;5 zQDdn2XEmq%t9)hEy~^E&VKYKbfW3Bm`kNrBP#8(v8 z!5^-5f|>C<&0@=6QHddMF>T|9-e{%3gb*Y&Tu^Y{|2gV&@wzQrvYpb2WxjM*cus zqqL{3b+*4TM@y*dPE7oIgBU9N{{_c36T%NEb@%OvShPqm7rH%7VR=HKfdTxu!xdVbwt!jin9zAX2 ziq?Ahk8vh>x_aRivsm?)a}j$ANj-UW?=tv%#5Am`D8E=29Ta}q}6lwW4ak_7W_~Ifi9PsZ)Ff-ICv+81%nW@>$WUNu8 z>Q7IVzLGNmYyToKQkPyYKUUMlvAtmL>2?>LsTx?e;BS6P+QVXs?*sm&Eq=!XA9dQ= z6dO*&{*%2YdI6ox46=E4Tdvi+fD>}e;b}L;;ZB~Ai|G*J&&HeQ?U7I8Dz;o`4*Z%X z^5i5WxbILM5vdmnus22Ol}tBQgMQy?0+Z(r%%jtO7)?0euE^RSkFX$YFaNbz6_xp# z_6MO|Rd{E{VZ1+gSW)jdtmKtXs@%&NGwH)7L(HZCIXMT6%ZTg9)(~rF3*=L&cJ^=%9E(mFMgI_2{5$vB#jjTP)W=wxNCsEA%9x%9nnI_kX zkkcE6s#FbRt}jK-qYC1#BGo9LcxoGwsSB1^<@TV^k5^4QmoeEZl}vcxh;wig(p|4G^>!{3Ly2iUfC+Oc!Q-;#gSjK-AfUtfd5cSO3 z@@`3-0vwPVMWxRSH)Y^N(4#wnES_G9KJtp&)q#4EgoL}lZpGn`fhrkHsnL8Kq9&KB zYKNcbo;AF(S*qbPKZAmjpnUFk$-))|$c%rLPEDveqX7%CcJ%Jd6Wykv7jhD6RY zx5|ZQX+NU9Vy1LCu!5K*ee0bT1AJ|@`ZsKUnz_mdN~jNy?5G2So95n*Sde{nn;Z@;ncJ%v;p*o((`$AOWCp?iYz zdvx^yyQa-GifxoN0^I7nIWxXmWV0|nMFt*UoyoYJu`9Td*`O;B!kNWKo(u6SgbTL^oUTB&~fr-c(M|NV84!m@u#Q*AMFQ&Zz7$61^&$pscl> zU-D4fU5PPIoO?G+Gdf_x4B*ZkHGxj_R%g(54uOgBpi`qcbM_Lw`nZZRS@cvr7EdgIW|IS_f7J;-f)hYjaIRgQvz2}L9}*Ufx4{8Q>D>0_awnh z1NYJfqeQtl8W7@r?RWhp^~fchGm6!o&_~QRdz)Uu+;e9R7VyFZNcBN$56ie(_ocpM z?yyI~PQpJ6JNYL(RGN}cWpZZXy+=pzfTXA79DGB*2huwV6AJth`QBo8@Ue7xZ;@|N ztYISvKAODxT{0+6lUrezw-a>o!J%iuqtcq-qWX$ZvIsT8;!XOk)L5nd9ZbJsz3-~z zc*(3?MwA@YNi)-`Js(n21^!FAOZq8hmmkm~aFCMPt(h zhcx!+Bc8fHd;OdWXTDN;-`=q3tkv#i8lf-mhe}Mi@LUGr$H2ih=giqGOQjwvtsCwY zGD>$|ile3g9QCcMVQmX!ODl?JC1GR*Zr3)1duwXNhld$cq!2@v!6qS}5o#BDE%`pV zmDtMUJfl$9L6_qd{RQCU`Qv|P-UENQ{T+K7Gln?78TO2T6?Y1pzZdy0(`ItGx$eAM zZ%T>0jsID*P|?YZ8<20xh83f>KAa%{x*%g*>cq6ysS8j2z@OUo)uw4N^g!v~wWqfl z{*jb8jawsh6(@j>5jqz*ACuvn$uD%|ldfnDlcq!~$z~bXYenm-k|2TJrPkILT)x8s zGiyv1_bEuui0I6BD#D{}z;yBh$^hr3E${p0VKP`AQMm#MK^YT1Z<}J~ZX#WTt5n|S z!o~Q1J~C1)o;bV1yJ?KU^f+ggGkk8?yKkuJ8qLl^s+HGcTt=6chd+(K--GK3s{?^1 zmAWvkb4gDLlwNtIjo_sxwUa}{KgXUnh{&6(;8mq@_b$Sm3T_Qo2)RTO1xsp$8K=@5v?QzHRK zl{)^!FK5au-z$D};ZJcm*%=n_?tlpb6(eg@=?YoLOIEmZk`>jG2JgYOh8llC_rsVM z_(M>)=D7GnK?exNLemTsN>CxUM0k#Qo3rkvd2ykn>Q}s?J>b>s=j-?L9&uwUkJk6B zvXXg{zgSh*gq()qok~AgN{J^6Jc?8%BtWk##xkY4eYtkx2udHAFUK$3v#tCk>g)+S z{wd#&G(MwUU7s@TN-G8d?w_RoBdDz-BWqLsrTf3VWP4P2UhKCd%2M;I{A;lxq zZy-uinVFc6(O>D2Eqe_PAT)*X7HeT8^3N6*S}5x!Cqk1D(N%^FA?tQPKiJA+C;DK! zs?_V^P$zZBEN1Ut0=REYF5-8+ctMTtfWnH1%mkKSYSmDSeCk3uXb|K0h>IlWM>Zj? z1GeosR1WEu4L>6n;Hf#3qJTMLcHs*enV!0vhtXaQIixnmO=ujd=)exneMe`E(Log!Vq)=>Zjbeb zLd@_sVPf#Ljy3fzJTwG=OWlA%nlS;OIwm+?o8L2`)ahVmfIZKR1pA8{kQP|S=XNC( zkvZNW$(+#GJVqp+6;+ZG-k>rvAb>_RJ=N2LXZd{HR~cVQ=IRMp^x7v=vkRya!ka36 zTT8bL+*KNd(6m$x*AzAT{9MD?ny8`#nLCecTnhtI?{Y2gtJ7^=S*3!6)_d&b5cXVz z^=MtxMYUk6SBlp=-4ORgxDJudn^c2Wzj0pkh^)jae$kq%R?Ms!JCSV-nS%#0-lsf< zdd8HEM@QfBAGvp;g1+!9d8I?VOr>Rqn$XYoFd>M&&VLJ2Qo=e@Ru3MLDF5&mb*4rx>}B1o z;jWi4%RG%)GCQaY{Wr2&nw8(83Oi+*(v5E)!=)jeY4;!0j!(;jeSQnjs2@IVNLpL5!6mI)UA5X_>>Kpayn@d?iY63F&RhNw1ArkJ+ zw&N|uWLcO}fVfX`KeXVTZpxA+53IfK8!#J2=rKmo6?t*OZW1OnH4w$u+ree;M}HW*)8 zf-V)g?d!Z;9Q^E@gRgas3*1-IF5XIg!1Rw{<^64|tLPjMCk)}l?1HOR`qk6~i9cD8 znyI#lZVPJ*UnAWPO-$;blRMv7U2OHH{5IE~=z8$m%sD>E<0=KVub_;`F!%X$1rU8| z#^e`@_p-*DOFd6?Mdb%ppr4L3;$fJLAu&-3#M+9Cp=psc-oti7iyKW*? zE344C$k_I3O{lEmIyLy@X99P^3-PAihC+k%23;=SqVKs@YH&)h2)aBq8n9b`;8U=N z!Qu?F3j^J`fDY+xJLIBxV1g*Qv{P%h*apM_=&*P}zGXA9qhQcxfA-|XQ%WnRX^hv~ zGx%?EXkK#!s-kZP`Fo!}&0tn?qjQXmY9E&*+!xY-=91~xGIZuBLAq=26m%|8!MxBb z6XVAm``Nch@z_+MK(qNIa0j_~GY_qL8(H`F&h^%m<+O&54bZn5vvL5LJY=`^O5>Z7 ze0B>}BqU&K7~OLS6(%8#p1q~M^z^4dOMXLFo$PUusgEg8wUbuiA`E16fvSk-qW)xA zQ9RgDc1<@GS+6MPVuxs`GLQaPOD6VP#rfbpgjGY(P5T9eM78ZS~$*ublGAS#Ah5eE3hLneh>1|!))p) zP#>bk|Fj=2U=T?Eta6vnQ0Jf9FdJH@K@w@Hax7?6(jAq;=j~_F44IJ6*Dv7GmPTrf zh#jRC@*p+5(?0u9uzCu*o*5pp`UoLzjUgj8k%!fJT7v(IT53dH0Aoewkzq5&)JvaA z`Mu~DV2bRO-CN@!Q9oafnl4h2@?6onu@Qfvr54WrO&m7`PjsyyqxQcZ!e#ohE-OeT z<=mJal=9$Rn8Av42;5b_n&f-*H~qzl>DFXf0Yo=9^s0ZPgRC~DH2)UQnq96JN&&kM zG^7}eDiDKsl^+2RA))?X-Yn)&m8TBzTHjzcC4&lfV_Mxc?K#@*XPI`q{DlT978d@C z#Zsj!TV5ZKyljO6bbc4oeOANtNpwgJ4p!_YLpA$47A71BZ;rVJ3>ng-QZ>Bu) zzLpBFhE9|W>Z9Di#yyhD8*olC_~~rllkIqM(QA$-#i23HA9;u~yrwMg1dD)0%FT7F zd)!+)j;rYkhVAmb%Z#w(acl-YKX2*eqm8othNpG3-S7SMS(`87hfq8NZexe#I1QVW zwi5~n9ho1WE{$JQo(}t(xw`3VYkmEBzsSlJ5`gRYwb$gU{G8J3Qk{l zZ9R79OS)p3s+^j-5#@{d+_0L^u4<=?x%Bp590(jiz39BNDh8iXzI(!Q3<>Sz(W}19 znt@5>I2JiPUUJ(k$Cpt!=Y9t^RD0SZ;BtvoAXJ*`IBfde^VBJhU=N7mrFM65v(Vqee#k2V#?q_Vb`tN#y#*fCS@<< zu(Pj2A2&eAMXE(X@{z2`F)hVf(Z`h=q!peZytnRdAjr(`=%^S1dJN03zefGZc7itr zq;YK$Vs1|O?S%)2cht$KKUEGeLkC|YdVVc!Z!L!U>P9~s6Wi1SZNG+=VQ>Mib2nR- zc;1#WFdX?0q>dVBeE6cT?MG-E@bym}&1Q6tLj9M=@PvoC<!2ZkIugli^2On?GUwX%^5tk%jzA4gdUSAFRyKVk^`tf#yE=vAy zQxyJ0D2@%!3NikxQOTnUH~pTqofw?bZqX;}A>=Id1BA4d2(W`e=-X>Td>5}@S@)jX z9OHlIG?%SE%(hvD!fw(+0(qSl2R(?yTX78hCVz6w0!N{}DEilxh8(t&&saF)G?`h9 z+P8m}vM=3Ba$?Cu3tD_~#J5HpknJk6Y7_$fH6EkNgsY^BK);;E3P9x|?A*J7>hP47 zk2ELx?mOw~%ERkJx1CN%q6A0BIm~xl?d3v>s(R-}?tEawwiA5k-N)g>YS(**0XN!c z@E08TRPfnc)yLoD7KWC*CztU-HwqLv@B1ucU)eU3Dt`IX%wa^yUJ?aIlLf%{pteha ziM#k5gzE2~wUQpn4-RX5^)}b=Eq=UQhg$D2!7W1c5JaMek-27QfmwTzGkdFX;^MEw zkYIL-j3AfyIlBXpt$RY`=UJvtHT>4Yobl8C#6($jD6{C4SyC$_pPGi zCvW9jE_-QSx+VI0HH?3mR+h)kiotWmH+F^Za{lqO`1nJ8CM@7p>zg0WaxaTkb*Q?3iIMZQP{2 zfOxOYQoJIg-c(>^vsZMNdq>wp@O~?pE%=OqJdp~pXa#nyQ$Twa8JPvC6kQKSp{m87 zw=71sR93e>$9oj%iA$u^MBMz`7u`N(!aq55g8f{9A6@;lPGziQLN=)|iM=HJ17T|-RLcz)xff?N$bat#&9?O!%DqQY3 zHHh4)C|)ea=f(TjwW>O6d%wAzM7Ra31Dmr2zNuIZ8^ZAHLJY+#eF7v7dHRH%(~w3t zsvg|=v(+|TjPOdVOZ)NLi*;DyRx6pia2@u;?+fgrh373(Uvdh+sq_8F3!U&n;H z3w-Ex5)x!nC>v}-&5!e%!K0kNn-?DvPX~qJDj*wKA1=MIX*(I*Nm@76$hd~t6i*RF zHnxuzWQ0$?VPXf-SS@X0}#2+p5o`x@JKe*zO;erltUY5BNz)W;*(_t0!XUnAwI4?ZwD~ zycpn^NceScGsOBrLR-Xyx|Q;k2+ ziUCdkuojqK#u7Z~ODZMrfB;{+enelP|1)Ep(CkgdUo2glgYr;Hn&A;L)!lH4`0Iv$ z1s|27?5J6J$9;)b$g&`smYD6-^CNEyT|rT&3esx-tOGlNPl|x)6^aG`?-g(^)U~;pEhXb zuTUD$`1nkgM;XxG(jDVfyduGR`i7#7H%{2h_A(a$|BD$HuU`y2O?sRsDQ3F3*$vg_ z*)Zy3Hm_to>Inq^ifzYg>z42epM|LPOa66Yevee6r=`Jq#vG?p$+b?I*#KAl-x77A z2}mA=;eji!*|*Xl^)03y11`paHujOFq3pZ=AjJOiJ#`)UsiXnck0w4v;;G?TFH6{H zhfPIg5TUU@Qa+6$s{Sv4Ahqqs2eKqiFwZn4-GL*q+7l0wI*-?s-f?;EaX+{7E!+F| z2UX2M;^moau>X)`Vn>owa_}t@*2`r?8NDOY%<+2yJjDKq{8ZtR*|< z>QU0s2OYJfB@NFZ56me63vggL%ECZImHjgM*J91Sp&4zPH;9*(>Ec# zrdhdqBIs6VU?b}G=EYLlE=?;-R*m`UAz`;vn8M9h)!|dNT`2}|9^0@F54PD5W^MMs zQotWKBFHiK?b8GjxcxDMDHNU!uT_XOo@-Ge8mC!ab%LE$`tkbr%awLLcBA#fi+>iV zAPZ1zg5% z3)odp;t9Q(fQ(SlMMJm9`*qWB4J!kytt*v_Dr?B(PG~s=Ji8$RqdNjpN;i`&Dk$}} zS@S1z>NAQ*RdEx)&QH((tPXG;dwVhDL8U9FnZRL>w#^aHqHPKl&W6l@!Pgq5W zA&|&ptX)szsnmr|Tx-Vz&~c~H8R)T+Lx^Jc0OsYC^7hHoxMSi}_%W7!p(b?Q$jh6W zQHDweC-$hnV$7s$PAF7tsKOl+_cv7DwZk^+P3I{e4d z&%hY2MUX?rCZVyDa@i{$%EnA?Pv$5^Z{54&$rihqk|HD2FJ~rqVm8tIw!O78+qVlIhRP13f6Tbgp^S7SA;F_L2$r z0MR4B44SZL$PVn>1yI-+k#!Q*jlt+(XT_favXf7z;G0bkjyGx>7TAMV8_5G98 z;@ROi$QM1On;^qN@>hG8JQxOnb(KW7732}rxmFTTmSvrgJf^|)i3cy6$tMU2_XfB_ zoIAWgKV}z!5qJe|j(^t$ce{275K6y&wrhl zzywD<&WC^{bK_6CQ0Sfd zDugM}WW5OjY_uuKod*tmn;Jiubp+vA67@$r-TWxUVd@)ZY<^?+oZ-1U(!H=5frWLn z&M^|k@FnKcy%mnvnEeaOVS$St86OoQ-4s~8Dob6B<{NH1+;!Qgsa71=Byr2%%;t=x z&0+P`b*_5{+=Tk&?$17K^$yNci1(C0CrJIKUIBb?C+Ybbf@|%d>b7UY;p1^Am+#Y0B6gd?XGEtgHH<0{ zlM>8;`NsP^?_sk8u1SG+$>+c}?NxO@?gR#V2Dh_Z$DyJAyq~IYjz{9)&&pfLB-_MO zhAEY4&Adc+qy*tHm=X9WdUvvB(n(FU{P+#aH6s;tfrH{X-BuSkX{?E-uU+#F*?bDW zKXX%HHCK4(O{0KinR`i!!{OIVPN3e2(>l3&hGlgA0f+86=7D5g*)Q#hbxom6kDmHK z_dBTDKt;9~&cFnz=lFDI{arTgq49GWWvVbi3hbBs3wm>B+Ig>iTFc7cGi}rH8%X9> zPJILUbx!4E_r6)AFA+Gjy&~URfpLnIh@R4Cu|{%#7%#ajF!2~7I@BgzGi5u~SjcBo1}Kef}a`-KUG7v=y&U@M+pw=kW@*#_Tp?UhgX_e{KU+3c6nNnR9R=-2geN6EQu0X}h;__b`QCP(r)5tT~TG(JFa^;1Mc zDg13!kTFUieJwmf1vjw}$#F1d(@QZ5;1p33dllPNc+fF=bnx?2{M_vS0q{T%zXn6> z@5g`6+RrQy@pEL(#uh(smp#nLM`W5{^8#H@!xN|WkDUy8Kh&jnp?~L`=G7u_4*)dPz7@+$&~VEVkb$31{n^`xMNHf$goL zXBFL#$&AhDsdc&-8nze8p5J7e#X;}wJ~cv zBl}_Y^MBx9()n?sFQ4gV|2M|MANyIMMKt1Pr}JoXBWtRDX+8T-QQfa(C+}+8KYesM zFH^jMKXg_d5kK*3Y=Q4gK)3eHmQWHN{xz z^}*S`2Xha8OujH5+1-?|uhW74JNdG-{N6OrKem3mK;z{C(N__Dn@l%f&KCVn(KpoC zBHP3`I$w16U9=feaFo1*Q-^(JVOgPfWRv%n>dqABr^U%rndjyfmJgZHV$41+`(pTi zQS|uTHWO{Op;7;unxOqH^BetVvP}V->C}bIogBADvCq0Oj*Ri~$1ASRZj>)qW?yVv z@N0+qUA5HHX1;u1Ht&)7qQ-1KR<`LrcfoJ;GsOS$8v2h#4+*xf^F`6onIUcC=c^Bk zo4eJ-LX3-_$(J2;Zhe9_^W_Bf%hj0=8pUj0MZV}QfRsu3!|3PXdkvkj-{a+|$(J(P ztwqzgkcAbmjbD}|JBrWoIcfWDZRaW0UYBFZ`g(ui@G6%}U0yRwJip_k-=EQW6vN?b zL;Q{VcsJxRI3qVCFS*^x=1tMlJG4Dj+Vtk2sCIqF2s+O-o}TyCQ=isM{ev1yxavQr zkG@FOxUuN{cMk8$S!LLr!z~>NWcvxfkGSnsb{h|80$hYsfe^#iF(7=J z`OuFxA6>M?=iag~Mx6ewK~iSq`Xv;H7aG54@UA1ysjR2dSuNXPm~fZ)T$ikOti)}Y zZ0EKij{o=$Om+|*OrTqqFic)2I+z%ZTBG|n?jU&SVe$gE=Yv_kVZyylycM%HWN-#1 z$9K^)Oo;raYOXL&e7s$w^y@65E+-i;XZUhj*K513BtrBZ4snGq|CTS?yA2m*Zu8}O_1E!E7q^P}vWuj$HiBY0 z&9+&hf2PLfcgyBa)X)zc;fvvl?6@c3zv3c_`EsXx*;$tGzXG-{HOtqM<)^xZ{G&&H ze@U(GQ}2A)>Gn?6dQee4#DtR=`{>#vr=LCnYovRs>-W{ zAtUXKZk%LtrZb!CKjM>7>|Wfi!uHEP`iyQ-vAZ%`Z-%GFuzij!{6nAR7A-3evHXjY z9}%Ws7LeovUE>zn8teTH)N2=-~ zdRk=@(LdLf4CP&t%o8yrot33n=I4C_=9CpRqW+X^v@xzfbhu`&(uS|I>CyAHQ^{EF%5~jh?LEA75z9ES-h(j#0U`w)y1ua^ley;%q9u zF3I2Oi%IL9t>xoAu2J8pOo8DB;{Sltv*3=6%KTe+eR6D6@>>zc7oR<>=Ih2bUh*B7 zh%4i@Q3+PW_G(?}7H|BABy}%V{QO$SG0LD_Y`n=Z;m!5kebj^%?7ythq__CR6~)lL zO?1C5^Sb{L1q8+C6tw8f3%#dUNW;(nBq!5A?YCB8D7+j*(6;l!P8)cs7X5IH)ql$!RlkoMMUj&bNAz$UUv=wWo&i zY|(diKkVBkwhCHWbmNFm8zVYPL9xBfbkO~JU-jh6Ri%Yqc)WVyr@56$c&X4{txt+! zb(MH8?W5ahT3z&&oPI#gR5qIS8ii8{vJIkK626`6q* z1Jop+vXm53L7+Y;-T)&%V2rnS|p?w*~5Pr|VjMGCH8N4x?l{>=AN{*vpWJj2&zM;nE6-7Tp zdJW+P)dr2rD=)sNYxB316?wPq9DC+k)>OgqE#A<2JSAKmbL zQ1s9C*@k3~c1fZ4iA=lFMlS83=<7jK56N2IozuVQ33vN_)-Tpr`xeRcJPDhMX@B#8 z7d_G)$yAfT zoo!aLG~PFBw8;GIz}0jQpY^>rug&<|*ylg(H|y(V8~fiEee*uL={-wy*8et|&^}9} zX>sFBhBN;e@w6_5c2LN}3yNCCD*vyrkb7bO4`^$`K`(mvtS1@FCQCO9 zZ;+g=`YbUE&k+69eRQ*sC!6Rs3(CgCoE51AUJn7*gLWz55s-Ai6g0V_YK1FV>m#i-Hw= zgMis~ON~YwD|YXN5xrj@+IF|+h=G_NeYfW~T^pn@|IX@#qI^{vIoI>P?A=k)2p!7* z-;^sS7l?k74KW+3T;M*uIAbmdwbqK;uPy5F6uxAaLkRuZu7*e;$X zVNXS)5KOc^EZ5<4Cx1(>xAKJ|L{ylazFzni<6=kAPcwS5C@SyI>xZ~RwQ`8iVC0rG zYCbIbdM^31`EH}#x91H{*_zNnTp~ zdrRt>Ibvu>Vka4;3BFMvre5v7*pVw`fG0ZNCvGbArt|@S1I`0O=Q-{d)9^L|<3**L5v1ety^F z-!?)M+?~!+8k^W`XMCD%*z`1QyJn1g^iN3gUZO*>G9&Mfk&L~Ko{WB+C`ewkI}(k4 zheo2Zr*lEGSh_{L{3g|mF2C8#J>;kM@f-a%@hg`V=rLwv=Hxm*(7RC*7+EKZ_Jb_R zBpfGSu#oG1`;Mmha*F7@DFFu9r+-*=lHI|cT~Er4!oTbdhH7t_dZCIrRyJ&g~Ee`{$2r^vb| zNzO038fo!TX(Nl`_blxrKEEeU{S%>DMlp>)8lv$*@#CN6`x&|6iIQ_`pT;GmWvf2P zHiPiiXy~$i&zD)^e?xbynPhsQU-Hu>Ir{OAe+K4=Z|}Nb7N81(|>-=WQCx|Aq!vE>CdV_RHwmTAG=9cLE_ruI89;(L~1BKyx2)qTdV? ztykVR5P>!-#gXj!U+|j7`K9sohIz6YzUjjV9qBNxr7fX6v z_rGXmvP~bq(N7cq(lz`y<+WT`$G(S^zYUwL_IZ-PsQjRO2ZKQSo3+w`PzG&&CtY9z++&tl9ZyhDYMo`6TjLW$`Uz>SMmxUUcI2H1a7!kkG(Rfv#H|U@j~Zc ztGSPCen2se+%a26^xm@ylfc^5ehUL$iuuBCLT@B~GDjwNz&}Q`A!w381DoG1n~l>x zp+C=Czbe<6Mpgn~vSej;yH=~FEsWpgoF%D&zsa1;V~5Rk!z;77X&r%=WQ5!I%w3O-!8glyJ9u_w*igj3mR<%_Di^5 z{Pq;BpU&^wyr54qzqW)gz3=x~i--JP#C+Y6Xd3Sp{gsjsaxGu$jb+K$%IIEqwM*Wp z+fz1<&Q(wG?vQ@7+&PVYwIuJ>H^#p=FvhJ%@S1t6XM;)LnJ0H(uIa8pXJnSev{K?% z6m-I-0%rX^XcCZYcUX)sG9kZ$#rzV~=&372U#E|58ZQw2C4IhJJm8DTLZdyC3)vPk zcgh#;Oa?VZ)-2JvcPc$z_b*Y9B2K6Ddq%&NGr0J=g?8`lwu5Xqd|Wa=B6=@B9W;g( zt#2!++Ij{p`}b+Fb;745vujE|Fzq@_{q!Z{H0@$_s-IW1uSo*l+O;N3x5?X1=Sam8 z_ZwNukKih@A=DS6}}PRzgv|Io4-ifbkP2Mcl>lZ{BF!%vTA+N4$Xep zo0+`ZkkxfRdN>^3=kGiEw8J&n*NlvQ>eI7atlq_>b~g}2$Stqheh`8npt(*Be2S2ELm(ECaW%%+5gH5-fb3_>WV4#0+l+wg9@?1{OhA-) zj=<9XJYcgMGcS3-JVD#6LV~>;J0q)pqHJT`;&0H3W_cUBG^clW=ODB6lbVBAx4c#K zLvo$TnNR!|i7qZS6@J;~Cw|tm!~U0;wVA)&C{ER?@Fw9A-PTZyzMtguOWsTrlNh@C zu>pfuN>V@7{Gy#*pQC|dT6nLde=asUb1^t%*8E{~R?xosQr!&IAh}S zqYP@B>CG|4}cWS+D4=q8z`?Hh?sJFAOTQ;)MJnUx!K zzN-_G$u0C9bSpFRj*O=@df@oOQK(TwyhwBJ0#+<-k$jw*}*TJ zfkxAIi|o=kpRMO|?bc%O9~lFDYqu$W|2NY|jm>gQMxM)=PI0QqiG_|OPCL%&a<)=t zuA@em)U(;Br{V0`TE2HK(8gaFouK`#s#TfNVa~bdZpe{}>M5F9IxLEMdZ6}-rX-T| z5Uubcj16g_RfkDiE?upDK4-P!;N-RHk=SFPRa5?BfG`mEKS zZKJg2 zlr(2@6QbU(t!d6FqKO1LhcY5sbz^U~F7PMp>pP_R;?Y{?ps%_CudlMqo~<7ZnP3bv z6CEq9`T_O{BD|io?xk(Axd~s1rlu845yRq~lQE2+XrJ7i!^j~APc{#umG#7A`<1MZ z=E=^lXw+@M#~_-}JVqWC*PM!BwC$~JIc+0ic}$1E zwURAoZU|Se#Puuq)D=-mAO@~&J1J;k!#26oYBg|1y*d6MVL|3w3e8A z;}xxScSA(3MVJ|bHg#qEenmpltUh;rMf2qQmG#8rwd&=a$3Sb-U9P#?uZ;Z3BmCI- z`q;!UqkFOlb4P@Gr{ij!GufX$oz8fjGg|Re5Ai4LE7x<=StHIFUs(%JXS~iCt((f% z$7Z}fnl2^{-_ffW-KT@`l(23OFPO#ikLtcRe>0met=@PYEwuQ!MaMc!+W6Do z*>+@CrOW?R40~L>Oy{jyeJ&42TmFAVfY*pRAN>E-`_^v|ARBGH(Uxm&y3Ot?;7dujgj?92HRHKg-YnmVP)RZ>GH~3Gqs12 ziS?)2eyHq4;ar(b0o;546I!)ElbTfWp;jePcj%${IA18f=Rk3(7^U}F0s%F^c(g$D zG++^qA+ZJs+3G-86Ik7e#|(&YKEN=`hJQ9iM6VT|alOM;JV%@ugTI{K93?N{Q#yHIjuz;b%9R5u}s7)&Q`hYlv z)^-o&J=+R06{GY%85&U{5}KS2zH$!`)%-wxPZ1VId_8nD3%!{X@z;PUZ6_@3C8_pYphfWRgYB)tn3d@dq` zHg2M%U4RilKpUqtMMJMG7TuzatJpE3VGDWmSVcpCfbr7-V*F^(`}5-r3H?abV;T)5 z03|5Uhn4Z8fxFscM8oElXi%%}x`5Dc8WtLTeS8@GpO+gh94{KaFXJD}+yHnn9Oh0m z@P)!LqJc-3Wo}TbzFz*{9S!;x?>M>P2lD#=b~JE(Ge$JL@-dEv7z!~oWcA20$7hNk zN@X<~!ir&wCt;UYn()F`t{Y|-3#fU9ETBS901$u#eb|2dnL=MH8z&kzeT<_4XQvCq z_|Y(CZupUms73?5i*b~u1W7cMd}TEJXRRW6mv4;R@QO+_RIe49fT;2XX#ZK)6#I?` z!jHxFe>)oJ#xbJd<^QLn;SKU`3=qzKjH5y7r=1{aGyY8R0&$N41TVprt4N(rv7wCX zhNj$5<%1vI|E%0_h0GiSgmYwgH8EWFBSP^*bvQf|&>&+OAVw0n{ByhgUD7^U}F z-lneuA^?efucaL|0fGBgIHEOgzutsB?o)b4^H(?%D;l;MINj@q@>3s$e=&x=) zuI8gAAbN`MYGZA@bT9@Gu$Dm3yRkSLl_X0(mysi4TV5+s0fGjbi`-YZVBcCnAIWu%S`%xs1&JkKzJru^|^&)kui*o-@ryO%c&+fki88gL4cZ zV09-tIIUjHf1oUU^1cZOfFfdouLNSo_7Y^WWJAM;zX=H40ME%(ZDWs@IU<&^pVZ1j<3@hQLWRn*Oa=%v^HqQ`A_CSb5F$;*HVla1 zVP8hX>O5RlAxB(#iau0~()$F6C=3aXV;}Tkdjh`h30AKS7QIc|n91^&>h|ljA;6tZ z98+XpV?+=MnaTM$ru`$i0Ylq44+22Y6=OsL26#^f2#_)WINB5qnW^C8JcHofjQV-* z)Q3v&#y*BboJWGJ0#Q8={_&FJ;nK`^L&pGNJ$d>}M*$~dmI#GSAV_+iO@hxwWYEUF z0aH3(9wW1Q9(rQm1ccimHjZRv{`%V(K)@P}aa!M+%d-Fq0i_8DwMont!RIn8dNzS- z0ogel4b2fzIvmH=diZA(5WUdDBBlVgF@S)r1VZ7Qe?d#OPq8%tK^-$j@RdN^eyfD4 zFf3zn~21RrwEG}VEQ%iai&jL-HALjqNigTZ304Vh=T4#naju# zaeD#8q7w$92OAbCxr2tnNex0susMR1tAXF>7o$V%F#x-dj7Aw5H>xi0JXd zv8pzH6Kss30c!~aAoPTFm)j*N113T$iDg7=TU=wDI^-IIXpGq*WL3|DUfqudf`!3P zq=7O95U|pO!Z6V3M1eqW01|s>lwqI%1Q%P)7hH6W7_xU&AoK-}nfGsqj$D)va?u}q zg~pOw>kiby_+|5Hy#lDj1}Ec zjfgC|2Lz|Ex)XV50EEu-Vv71EAmAzaTt)`&CcJ)o*`Z>T-X{@33Fl*P)X|?Y zG@3cFXaRkEN7}~#;&o!H-iHurDz+vdSVRR6dklj2!P7Z15FR(;7JfKi?ydFk&+($+ z)iP^q2^s?g{he^Ba6QAPvKHG#m8QL`L1@VST_4bz!AO90|HAmBWXtNVzC=0wS$ z-%#5Fl2QetCQ-tAle|Y!?oBy`lbnyb1cwJ+UwCnvfmog+6-MSvZ4{&QJ|~kXw~=Nb zs{&DzC}CZ;h=^ECVlqA66cG_Q!PgfNUxaEvY_}&cGy@S)Q0}eu@Xzsv23B1s?NuPM z?EXXvt2>b+LanCQ!qFxmh=h`_4~Q?B6GX&zd+0;OD80{!h!TJ}?r}9AjSs|_xA3b# z0D$by+psIo;9+0A@;v3O=0FDG^Wspcx-^*?V->l#hE$Ic5qrtV>eKIt2KG%6f%7FF zj>4-nlnWrx7ZfLTcaw>RYh>P4(mn3 z*f41t7H)?;kq7x#;l_O1elM{{V}RhMEj_KnJdHL*10a-qeL(2X3MhG4yhxlXM(KUd zt%&F;0*F<#>AxDr85XdXK*;#Kq7-dtM8wPzd?gSUeN#eJ0Afw1Bo(9dJ|jOsiJoWQ z;~0KKL^Q7-Xp2R}`#F+2#?bh^M$t@dG%b3+JN642EV%>?5F$rV0bNm&3m^!rT%6S1 zO$G#jU>gGjEQ6Ke&Lxh+xo{X-6legzdGK)zeYRh20>V7^j0S;r5e*!VQ^tz~yc%=u zfYJ&GVMksYG=UIIfFW0>IEOcS84!58nG(FQ51u%mdm!N1Ba8&uot?tSCJ;*J!x?yQ z*S{iC0AbNZfS?(Ka6b0da`fl;k-&g_gdmXklw*fR0`(;yrUSx-#c)`A$Z!b9tNVZe zdK_2x0YYFpY&1jVR%8>`Pi^sC+a5(L)WV*4OrXfiVUUum*}vJzudQ z_j;A)GBOZRN0N-=>OLX@`nb-QGMa$s@n8`-;#_TG00C?kTRRCe$t@WW|l-_560A=n)0`YmZw2ujb zhjRu&t@1?`1`dk^B7iOU*aO(@#Z0m2h?#;mQ38xOXAiABkK^(3!t2%gTs0X31pP&B zM}M823r^s;o%LwtImaFysieDzMBOO`5Zg@y2;@~Aubzj_@qoapFN_xru(}iBVCc$w zcX}kP1S0y7VZon7QThbvq?{?J3x{K0(m1Y`(Lj*;m(_*`V?+e3G@*>^bRAy_gh{jf z5XaSh2?WlUTkw{k1TFS4Q&bmGQE%N#7t~!>)GVoC{aZ0pltW`!eqfderSO$N9PbrG z#4adM3EtQT5LIXE&g(#`Mg)McMRXewJBo6)wrWIZN&OVrpMSn?*iRRTXczk?Afmn(5ooUhk+~cQZvh)l z1Q6pmT?bA8f!No)#)WG)Us&8D<)Z+ClQIg*|4QLgyL00Pz$h({X{ z64#lfySo&QM*&ef8OO96RRZ5?j_8FRUTvX`7rbkLSXdE16D_mt_oZN^35A~4xbEI} z(9lWHswE z&JivV)=?1=%3~iua2|QX4amSnjv!g?L=sYowy}?)Q3powunz!YA9YnAB3VYg0dcQ1 z4_Q@4vZN8LqktGEZy;m3$rAnHVCHiI zZfhDE3=05?;X!-K{r5WQXV8eCqTKv{)obluUES5Y?>Xll46(jb zyQ-`HwWeA%ban526mP5?7bv{ai4qzIAFK}xV-qEisAN>s+t<=*-jJl0_6t+Ifz8e( z!5eg$AM-QrRb0L87KdE#I2ZWshc!98VI9+`g4cP9H+YDK@UnSn%?NEfx|KNbNA+k3 zoZv%3axZaBIyNLC&f9+}+-QycvLN{77=U)ujc}0A1M>o_+9oK4P#7UAud-23pg9=F zagD*`89Cqynm2N=qFLge;6|WgHHO2CLGD(x;!C5;FoIfTFL32*o956(=N&uw^5hYf#N{CdRM||@natmWO zSk$|6t}JC!LTzqnT@Q`+*T?0fiM8tu|2s}z9 zOc@g3w$u}7GtCGX;;uJMGsu~I2CCGF?hOpX}fJL0|!BdBGb^e~3Vq?!>hfH=%vEk;GKD$jx8 zAR0MX(JXNzP_T7}!!F{m0wW-xG^kFFZUo1XVuYzruE0kFtp3D^CvXn9Oe5e07yw0U zo`9D`97=)J6M!7>1a4{xRy0d|nVc0U;|3|q;)?oXc}Knz2@KUB6{k3jJ?5slAc85F+z_O$bc1L0ik>Y z83pD9bA-Xo6Bt+CQ_7}<`9?4a7tUIq5hO%DPe94BT_p2?4;@m3hc)vu0VAk9ho+?c z^c|!tAJZ1q7%5(R-i;8SR(V~M;@i85_jeU1cmh9PKobH=ppf@2hM=qrX6Lw#W)2}V z_zgLq4KZb2zzDSPv}ry5>4vDIkm%crq!SGBJ!x)AWJ5@%tHHd0PomW!(~KZg&l}X7 z-redeL>vmM?+F-Tnezfe0t1ka?Ho%S#$>2$AfqsEax4wt*G=o@vt}3{4&(E}E^_J% zr_BpHNMme9Knb4{Jf*A*lwl~MzmK30g~yccG_(u%h@rZsjJe?`i8b*D^PVgr zej^{Ju_>6h&keCznP27(Au8>Pmt}?iv&(6QKr!A3pI``}W{2FHGGE>ZIme+P;9lbxQlpXQhvhu4 za07S>&6wvphUtbF`Z26bse5Nx8X__yKVpauG#bJy=FJaLQO|n{jhJ_uo=!Ie^TaYd z!WntGR)&BdijOJpGLcR<1l_R=LtOoahUUxV7$RDo{C|CqSf(M?(jzc*91c^51??Cb z^^Ft=X01Qg$976542_3L^UI1ogf3?{S~ZUlhuJMRYzbgDY#?V_>m?CaXiC~o-$=O; zJZ%xsbX%q8)?FNc< zOYN|(|lq=||D>^T&O_c47j3Ex!$1X|-3{k#B{uoKm zNh*weK430DDI#TMpp3eRbIfJWYI1p7Z8N3Uc^VIBy^IuNl-Fe$_0b!+9-b&SN~`ll zali=WM0v7^EXros&W!-!u}N_VNBiYWl%3A88AdSEO^6r(cF=qR_JVwtgNZAPs+rhgLA;MpWWp0{$WXk6}-+< z-$eQKY8k=lwf@b3888Cgu&G7ooGa=^@M=-0&J7qL;>gq9zEO}jQ3qiG1;=?B=LR=` zr;Z_|EM9xQ91>pMrVNK0s5Re4pJ0UB2!DmOMhV8ZTF;^z$RIhPEKZK#VXKg+`_Ub8 zJ{#gDUstU{Q__A;HALH@o112cv&ESkh6#oMYD(nZlq=_ZibW}##zCVa`nJ)ze7T@y4{wYj0iA`$NfZ&W(L5ZA|EiV&bTxwmlTNNXNZ;=KKPSbh|k z8yjo`hkviw}4W(4XzVi4$nby^s~19dU1&5&T)KVNZz5pFK2 ze`OM)M4THK|1~3s`jSU*rhLXv{f|d(n5{P?aB%*`>jXpmn>4?cG(sqpMmc&JgLoNf z4A$$}8yrVH#d5P_qHarmKN1>SZ(cZ0)bYm&L*f$}1KSFUK(4fV1jED2sQ2Jy zQa%yEQAhb^&6Wg)#5!9lnv(X@heR~MR8N4)wEY5IBgv*10ixEEjS^A_Bd}K(n-}7q zA}*B|9S+6D2)8^zloXnh_H*rwfMyds0nwrnP)OIghe^HHTNRofFLBg^y>9q7aF>q! z8#wS=-xHoDE?0pO70r_92^6|9W`H@F>B9l;%P@lYC$(H6B1_E^d^kAH zu^Tp!o3>W~=LXn1bAqH>rV;Y)(Uq(j0R=FCo{-k z?v6L`<`KcMo`Uh7_msMr_iHy&tc%_dpG#P0s42N6Y4!tgA233B68#Rr@dlbSQ+ibF>kQ8#v?mqi6I(-azB!9F-Sz*L2nvCx;8A9y zPCz+&6vc>MMjFEqj(W;BY#=v(2N{+2yr&I?`e!*L`bdoMWU2B@nRbE^-Xi!9jS5Pr zRi5OmC@bc9Pr)gq{AnMsRY=rr$r*zy?rEkn;bWRNyisC-oL~t4f9K829ZN&t5vFuU zv>_Y-%9rz$%88f8D6bt?dV?>Q))|74dy>3i52fQq$Zbv#>|$$%5)6sx5tLz!d1Vlz zE%+xqK_3>@ulHOx^-UIv#@I#GuWH`VPA*9FCVkBR)zI9Ae`0zIMOdN)3KKP7Z1G_W zG@f(0S}QO?j(wj3toODXg0d;0W`wpaMvw*zFI61(hFKfn1osA!NL-UrR)!%Q7|QLw zD&JjRbzeuu2t*7p(Fni^D4`ByBc9({aTm{(;R757ia4q%za;#-w;PW9(l~ez?h$@H zFP-KI7mCb76ek+t3)&OI7$p=^{a%<#|U)o|5Kk%G=d9r^)teO zQtfxh2yalw&^NVsclBAVt}H)VbY3Ss$E!@hCn!GA%21gfKjY+!c5?De_bBD}SAHz; z>o3fYyC{}C$F6xcuBl-&P6U7b62#^Q8;zT4YWeAJ@*XUyXo256w*-?QPE*2XYO_$x zR}khmKSPz;C8COm3&?EAmz!+(OdjuSeEDL}msC}R6t9DS#$x56 zdE9R|FP*x|G#@US=dN2_Id{Ku^U}YpGR?b*=9gEQ=H8-t)+*C{kZ3+?mHX&}>Z1cz zndT{?skt?KUzqDwCc`?2{dVn zm*X^VDw>D%|fpKR&6A;rsanwN^+SNd|UXbxd-_ZNMdY&oM~TcrCtMDuZd znk$m?KScAd`ZU=RcSDMoE+uvfmoY2g?~#o`My$jwNeClI8z>GQL2`Q& z!U$4TG5j7Gtuf30SFsYesUeK?Cik4vkalk3;1Qa|Dl>xQ_Sp$49YJ!F9l{7wRWX3P zNV6ilE6N|<)RWw*%UzWj0g7ORNGzL+n~xOoUOfTH@ty)nF^W}^6lWoDmzdE?f}|Nt z86!kuS+5n1bf|XJx=xh6=Sn`6Y~Fl8&dokR5>-HqVSwhI!^od^<#d{#>C=2nWYF~c zwbe%|P1KmW=&g-r4A9&waMv|~L`!tE638zM)AWaCxoN}wK9gyFYBJ3SPNsSKWSaX= zrg_R_nsS9CQxKyk57_gAlWFSROz08k(EO*#H2-We&3~9o^RUS@Pnt~g$jLO{GMOgc zEK?GrJ&)@9d_;n_P#nR7svzaIZOH$ZF(Wl$rnWri&W+qRBUk#6?h#a1B6p50TbcvN z#fWUiW<^dhFUNaChB@R(k!B-jM21=w#{eoKt(xSujWak9($3ouU?u)%#=>W~oYVFu-67rvg+@p^i@VZ@v{7fHtMc7*+9nCb3h4fR#bXQ^ z?t7Y?f#%=zX&xxuAJMn`Bm2k?3%Oq(*;OcObefP4nuNTikT>gFY1b}MT_wF`w)A78 z88kXqJE5RBNNC=s-$%s>56JUnr4JeRKtxCEF@SuExa$SBM0v3Mn?qCnff$8AI4EjV`1-*R~DK&%2|4W(r(SdV)q*4%Y&6dZ@#pT{ANS1q*`>rf444AkVmSqX&T1D>r_qkVe-@Qw7g!{%W67Ii{M*3<0 zlKX}(caWQew0k76n1v5_`v@fWTz;=T!!_?Zhf8JCeVX|=QM{gyCfTPer%JcLo@Z;O zxrbV))fwkE9eBQEe0G1H|76g}IFj(s%9VtWJ}#uL6Jv$rG__8+RnI&?UJ5QU~!%H<^Ugwrr-66I41tQ?{ESt(xS4{eHi`IA7Fg6iDWO z>l?3y(pSZo9%IPM8e?B3dfFVspsRGQbYE{s*?>UO1!mo~eVoaeam~kK$Ttm>ei&~_ z+o(j15h59QPe9Xjx{e~qFwYn7T_ESLhPe;Od112>W}48oFo4AJHrG3fbO4D2sv*WO zfMlM(Z+~wyfc&B?@7%4LM9WmuVGSb{W!)Va*b7)9wJl3R5My{-AF26gVs9dWh!JBL z;C|D9486&}cAm6 zBz;Yzp=o8Y27K$-EtB(|c#Hs)+=+2L!hXCs5!`%7B@B+Em&`Y71Y?owS5w3p7 zA4>NJ^zF$H!-jx8PYd?!R)wogndo2V|tlB#KNGguI-@Uv0Pt_)#>60ohtmmUi9@nL*(k4|` ztdzU$lcVnU>~jZogGK^3LD&lLBIn>jh|y$juu^R9t= zP+{On=pUKhpiDLrbz8J2G!Ivl+a{19@C!rX5+v^dsvTX4KNJ4{?~;nBB+4;LB(chU zZ2OcH9db*KRg^2{p0Q0SMdQ_^T`ML9FM<(j$Xnze)3X4}vA_Yb_^@5k%; zcs-xb*Yojyyx*tnA7<|enum8@+;+5Q*EeVCzJ|W=Uv5#?Un!{^5*J&h$p7bcMrUF; z<8kcaz6{I})_<1s^W90}1DWy{vcgBR_}>_QnY(8DpRBrEJ73e>*^?EJ+nGLI-5_u9iISt=Q9r3)u2 zHG4k;%$=L2Jv41j*dCg*&D1wdd<@BerfIYQ!Vxr zj3xx-U(HnD_!H}kbGKD(6)FVueud#|p}VUcW-@bpPF^bu%ZKDTnaOw2D{umof3v_=u#<@!DbdN-4+y_X-$35h&gRw zekb7hiM^C7-9)TLiOG1lnk=0!C>!{ruwV98vjd!2CVW1IdW5v^n0w)G? zoQfX&eD%K9(tFw>X7#>p?d6+SJk&s#b}a{(OuQDse+vE2fp5otc@pgB@wMJavRWAs z6qGMu_|Ymm#Wj(EJnDE32ZkxSnsQ(fWG9G!_pP8gi6)plUfTN7>&J)ihV$c2JhLI&}bS&$yec`ubX37b>kpbJl&m0HY_Ii6O5jy!)qN>a z=bv1#-lnW3w}@L(J)eyx0p-Y4iS8f`%us6)avVE; zle5`zB``OXrbrW&_ybFNJ5b`ymZK*@qe=?QYd#l-?k9YA^QS#~Til!y-UKV5x) zhFF-u)QXhC83}@L2OS#G67PRrkruJfe3DkFml}kn>v;yuxbmwleNWb+Ug$YA6yakc z1TFN>mf^!DCg>jpdPNq$22(|QgGmF%lr@77#*-^HKuTJV$bn6xrOIeE+Tt_;jrSBk z>$6m}Zd<4b9{)`^XM2Xu^2>s_E|#u6srLMrMZcdFg`5qY=?+_pqE_vWTeYiA70CsB zO{$;e$QqIt@qITjI=thc;QZt+l`FJo7#Wyv%vt<_)U)P0nXIpnMh)zuPbrO(9UNLE zzN;|*c&rnRB1A0y)rQpmtRN}7kCe}i(eW~oo&%c_gpgJSYjDM3lKTZ|Uhp7_$%w_* zS9_Y^oBDSV{xzAI{I2b)tv*iJFq?JpTU*O4Ag@eQ++d-egg>(IH+K|b_4I461%YBN z-_0F0VOUqDB1HFSs|e}+X(v=v-&xlV8t3Tw5Z-pV)uLYa06ht3#pAK@o{BReuZPclNyJ!+2sa&4oCGKHar^P_Q3BszWIJeK#_<;QTe!Yq%$h z314*mrg{$WFMnS(<0`#*@-SfoUGdcxViolR&qwCcfTP1c#L4_RL~dlOK;~g3%pB}g zuV|9n|+EzgOAzB}(jgPU0f^(DW@;y)X5x-$N&fgMz@mmw=A^z++fD@#gY7j2l8L^d*^i^3gTdy3t|;qHXUOgF*0t#!Hr zWWAMelroAsELbDSH)ZX2?RTBi4y&LxidEcO5KUOi^(R>3`Ojs!R=Ja0p>CXf!bc*~AZkfn-lHFSg!tC{;K&8^|YG$DplmqN9wy+2RIrMZy;~ zV7);8WIzd$h(^{=xwjW`kAV{_!g*IcLOlm_g{`*J9b-FQdc6m7r3T{0`|^n6IMs>~ zD8vsW)xmH?;4}L2REb4JMs0ffojLEmTE`Hxs@5in9t=-w9 z^9hruMWdldmR@$yWLLtT`ixyze9?-r{8k)Ey)?Ki!70g^nCg*ya&Ub&9y0*Fc|9~=h;WXZ2gXvDW-%i=ME93LqUK=s2oufYC_m?5V)Z27$F@L98C#{So3TTiYHe?eC+n$Nm!A?+e< zNV@l2?v3yF8AnUBm8Udhva!aE&)vLV>KBTm3HGY*<_3ggto?J+(LcQ3h=hCEeC>ES+__3 zT6aIpV7w{NsiQo*OiR@lRMj%anq-BqqmPTPb>l{9+w&AF{F+xhCL^mCV>6lk;1j)H z5@SCOvfV?xO_uH{vLHS&j}|r^gsm|u=1+QATSF^Bx zvKciLVu)HyBFU|4Csy(R3@W{RBf+%(6p%Lr9X-a0&hk5#(-}VYneyjJcm#=})W0)E z(EU;tWa7Ohymf=(GOFp?zti*WBDYQt+6$%t-zG6m1RzbNVpB`-IBJw3h+2-iP}>2LLoeO6boixItj4}=HEkXaT!_@x7N7li^zB*ScnT9S&Toz-aSLl zNah60E>UH!<8co|b(2^FYl`;fQfqXLJr=J-uqH+7e zD7OR}kRF3_U)vU<;vh(4zD_B%Na4%6oIUXHgQa>Wh-RLO0d4c`$%)wj5zC?DD`{Pl zi%0!5ikC~xIP#0z-+Z#AE+!&__i%HjIc);tt?y6bbbQ}>Je|?k0-X|kF-5xJzsi%{ zWjiFHL$9A?tG?Sav}$+J)o#>6mj8Nzhr2wq@QD~gjw6|J{%{>r(5mjGZJVq;)X#_c z8&w+p9>ZC(o_YsYQ(NK5sxcv&os(oP#!z`?^TK1s0huBTNHf-xIEv(7y1QDwupAf! zPS9ktZ7+u>17jNhEENLli+OXnOUv{GRh>7`0u1)I?%d{aw?i}DP%EfpT#0IbOy9CG zneL`PCRlwyhVyd*Uh8VK&WFEpNF@F|K1)j`NYNMoY3kdxLwCt`jkUd%Yh&qEle_^w zJ-cL%J8&my-&45~Xs3B%Pj{ zdWdpjp`8|Fk)TvhSx|N+FR6Rpiy->?r!n^bWJ~`=Bps!!Fq^`g#5FO#H7+xo{7i?|T4}&hj3dvSiAF>z(lgB5r)x8!aZsh$F z-g;X2?A#+nVl>*hmwQ)fZe@$I(foa-_@tUYw{!>_v33~1C#e`^&4%jYfwd6cK6k9^ z(L&!m)e|D+8}dU{wxLTNizP!O*@KiBLt|JVGEk_4Ff(u+C7C}1aJLgF6>E&ZG#rLP z5O#)&_O!gW=rWykJ>Rmz`^GXI2&rZiJ;?o^f{a#6d1VUCgHD)X_VCR>Rq5x-hTm*n zIZ6q9pL1(TN$DkEx^nu`7Fj_?Tt{+uBfDk6_rgrOv-#V7)y8+$iY#mAB}J;;u(Y^t zSr5INu4ZB?X6)1w_Jy25U=&p}j>xPY(EHQh^N)wy1#GlrV~U)YYvnTe`a-pTQKY4} zPwo55wN9h$5K93j>pilCPaMZM5qGDyLI+m@P!L-weqZy?vl6i%b5A(ZyD`kyos>FG zxhmK{_7F%U0&r_e%Rfj`_$M8%%d+$fEI6T!4Aod)iQV<@O1gT?0LqP1-@_KLN6|=N z>C8-6vMSPz3nYBw!Y({!8zb4k2Qzk`Amj=a7?2L3uT^_s?)FH6&8ZiS6&B7a78D_2j!nlQPU7Ewa z9*iE@fSFvjZIM(O@A1!eww^#4Ydq_^A%*^htSMb|R08}~GQ;FAV}C0ZsQ+gBas~Ov zC|kU&eOvrtgS6i@pFw%g$96(D(WH5xZ}O3uLvh)KyJ`F7vBsypv}5k_W2#X68JFL)c5 z?YYU7OUP2hc(qx!*;*KmoK6qHG^BCsZe!VbRNk8&8>Q0>ZwscnD8! z!2yV5fK|XC4#s8?C|T5+&1(b z6tn}R8(M^U+$Kbwv)4Arc=^%&CoCk8 zicNoo9P5ykIS28>q{7>J1^alj5UHPG%Au{8RC){D<5hXv9=N$xoZe(LZA%Q(HAg!i zn5vXum!3ikE297GQttVoY8o$>{<%e5ZL z39-W@M7&^H?Y`$!5BZHP=pVno zD+#$l89t0c`*Y)%%yNE7c~-_d6tIdQ(+bk6AJX&az8KG4x%%c9xvji^YcSAd)kTIt zn8M6yP?^5TU;QgA)r>Uwht0f!Vd1TJNYyK^P_~G^W1v+@*b%?4=>IC+tT(hbDR(%x zQ3TO(FoGfCs|H_Ay}sU$O_{HZttVo_9v~%K>R6GyX{-f|BS>%|>Op6{#rSLKFoh&c z5zge-&6$Ro*O+?{ngiPr(i84+L)Pv6jHKcCTr;(lh_9q>SqX16f8{%2-qqMpTC8*= zk1Fz87wkAqW_($|PW7k$HW~|zu7&rBz+0hry8w*!0ABNGz;Fbz>o3z^J&b$6UL?W1 zZvAO`3|1nsP;!D$GO=(qL+F&lQ1}OeLgUK0=Of}q%oXOm5_toQRoTRG8ohc!Fp_!_ zL)OxOx{c03Ro^`8OCYvw}z1e54NDcypuaF|3MW@PK83_<8c4Dsm>cV+C6g;bY-+!L3QxWnT&18IZ}Fqm$FEV}jdITN%ilGUbsLgqFH zIK#b@j~k6Vdpw`!zNye)Nn4RSnme^Ajv1Qm7u{Q*a5mXb&0siZrZ@c%dj?ykvf}>=rQksZZ<%V zd=**;eeD?BysyO+cI+aA7#;TC62X;Proz1O!qDbizDtitW&HNvxuNM)`wafa&YRSWMOcn5I{ z+VwU0&(>TP*zoY>_X9QVcg<7SZ0LE_#LaZkou+B7A_BkdA>CsiDb8agcVMB({>!Z= zl=pj@*3oqWk7OZ5=_%;OL62C{=-<||)HJg3nIPU*?)HG9U|lny9Wp|8xG5cSaZRbL zjU6M%)dTsY6gP>J%cT}2O0aRYMoKhG;p0M>+TUQkBDfK6oII-aD?`r-B+h5FYC^ji zfV)w0q+O4P^j?l%6sa5;x(KYI)}(q{2i7O6LwpoCpxYJ@c|Sh7)DIKamfbWx!mA#g zjeT{^Cl{*Jorly)2KIa|?Ag*CvfO-2O3&FCIvT$WTwy><7r1~eyO}Pagg+hYUl`oFI$kNRu2~p=QM$^Tl}S{pm5M1iZMF%0gs2y4_Jx}j zZGrb>T5yVusJTrF<3#1mQzD+xB&!Mu!q)olFD8k<5H{<~O`-(FnO}C-9pV?-IVY$P zgrcF!@l~_vC#ptl53h3K}EoN2oz?5F;@2WzX)YbX9}w40{5K! zGczs?Y09Mr3ieEvnv(r4{Ago@k#OtS5}L2tpUO2Lx@Xj!Avy$VAaQ7S3{jCoxl`~f z?8V#S&k9_|^T&V^?DUG`2s5PAz2#H-YrOc0Os_ziXviA@7OE7%1~*uf%M`D-siwDMGNa{n`e8x9-`5P%8D$oYfov(( zIa!rE1}&SJk=Uw>ofv8<2ZaVRF{O8l>HCUf8T|{eG+(I0T2j0-;}g+QG5vFd%h%T9cG#n)!+~@ZBZ?{ zrFKL;j&djW55SN#YR@6+2tLssT@FHSfOEMPKL%HKR-|6wFBN5bUeqev%3I1>rc56^ z3y(=47uFRRvEFY9JJBWzfn7Vwnbh7NG132|=@00)=2Sowx;}(?{gV+S^+IOw-rG-e zypj;2RY`Ex1^#!{pNbW6$s3c-?{WLfolV8XZEMZw(s}H3)|3_~e020ppPO}U!Tkc5{bb8C zbPr}i4=j1Xl7-WWcx!U56*2TvqF9q6NHB#rgP#k7HGTfqN(_TuHt6)9C{TJ;KCNI1 zuJ3-0B605^3wG|aZCuyJ@WuyEU#P>3U|VSm0s8OQRxmNy8&>39Kekt3TI$?VObbu= ztN9yi$puX%qoZV-ink?(M%hf6KNZ~EzHQq!u{e7BQzuXB!}f=XrGfA3L|C^6d60yv zJCOK}#x!tU9!ee22>phcbWzKVTViD-k zSo%(t+_P44$(ZIAscZf|lpmi%1KH%`1!)NtUxKe$&LkEq$Lsn&^kvTMc(-m++hEWT zxTj6x{<{CkeMDxJ3X*%O{i}pPvfC=$dUtzpJ+}wg1N-hK8NYVH&hyf!fdQe{f%r#Bh0kKj^^y zDNmT?w$pmwlP%sAF1_)pC#D`1T&VFa%s+oTVne@d`uu~6m=TP&({u*dz~r^~hf}T6 zRNnieT~o75;dlMi5^5{ASxT*Kgq=I;6>pq=CGKSQHfH^2p<9ccRsM9`;ZB=QI1U!I z`|Pg^$?YdNv3d1l#;Kg>{7W{;YMc^!&^_O&b-&kf&3Z(0%XWtThCD#`bqdiyQy$tbtxPa7Ki&5q=ykU7BrH_`tAmKB!p diff --git a/CPLD/MAXII/db/RAM4GS.cmp.hdb b/CPLD/MAXII/db/RAM4GS.cmp.hdb deleted file mode 100755 index 27f7c437b388876f0498f346a279b964a82cdee9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17646 zcmZU41yCJL@FyBvgS!L^?(S|OxVyW%yK8VKxVu{d4-M|_?hk#y1CH;1S9NuDwe{=n z?cJW)?&+DS+L^(CfPkn)g8ZbepO@Gt*D!Z+wRUtMV`XPzVPYi{bGNoLBV%J{BV*xW zW@Te$V_{_{Q#E(-ur@U(`)O=yOU6p3Do3ViZbBw$X6^QA$-n(yaS#xqLjS`ckkJ1( zzmoXh+zax;A0d}SGV48Kw5o6;qvDSfVs#krFZ|8${0W7DQ3Yy0c3B=X(*y*V6odox zo|Kvj`uvKMx{>^kP4~qIoMj|f2^hDH-)TqaWT?ua^Pc-Bs0njutXd zhHqv4RX*q5i9Y8iXJ@7!mus!(7(|?5G zFWKwywp!b`n2(%IhKz$)(5_@aEYXsvLO7SY>-BAD0J)%aVkI%^d_mdLMTtLOED(4Y zrf@Ee8Vj>5{`BZ3apUR?9%3-Myaz?|NR-S6ETzujg=*+-iJoUL^7@Xg#83f*hB|&# z8V=sicumzqlnIb5&!oZk!(8G9tt1kR>~H;AUJK6~ z-DW&%5&^!pn{M5W{#4BNwbtb3A<0_*fMb{p-ldK)MA7jZ zX!=VEI&Q6dJ#a0FmK1pz26{rAVGR$ zsAc08BxnTrx9y4B2uq&#ohR$_pO{`T+%~;_h`dH;cgi}1Pe9*Kx}m*fN>jHsrrxaY z4SyRtu{blG-~2sv*;Nh-uBC4~2_WZ)t{#V>Y#e4|bc>EXBq*LIV7897=b37&#?KLBrh3w+x>+EVqUeE0uog#yZVbgl$Sl$~q)&X7x^C z!RO6r=oV$KaufqwZz>y$oM)*+N})L)WBpfV!i7=ywoPmP8)Hvx$6;_foJS%Y56HjYnlYoBOGd@Cs6!<;h%QWnBb5*@0gV6d78?En3&yoYl zfzMte#UBJIfrlb;*%>3!NBCEN59!U>r!V7Nomnz#<9VzDIKR~EUXmr?%}jF1gd`$& z+z-xm7g=xDQz~QWOGtkM_`y*->f;PvuvOd5z7RCdXQnLFTOFSUd*D1*ojI*LlKeFz z^u1PsbXzBoUtRwf+c&l*B-D=eFL|5peb;vOduHF)W7ChuY0b1d&i*PF^TR#_u40_?fmap~c{^#J>c*QxI#N{kowkMqYS!m7SqZ!N3{7Vmv~Bi#X*>jjg} zwA|+0EsJ&TX_B5-T?-n+uEQuJDT$Tf=P&|2zayXN6Vven^c?hclPST}pKV_Od0e`f zC9MqeM$6@w3~i^2(k}IX9FXcFRs1FUF7&p*TbO6x|AHjXe?bA1A6GHU-U}C)TN$$x zTV2M?vL0iP_L)pKt`vYW;%fX~3uI)QpsCYy$0RmtiFupiqy1f#el~M3EF@&x38&3TRQU~g2( z)2u}IQ5Ob;45h7K$nvu9Kh8=Qb>qP+h|uwe%QCy7_}aF35&%QGL|>^a(mR8AX}f3&zcS2! zMf9tEEc9_}+%&%xn2gJVhub~IK z0hP|#=~re$_V>mN%LRtC6~}{5R{GCdNDv1`{uTd?;rWN_XU$rZ&E!naEoU&_Wm~fp z!nTcG_tTjdr)A7m+XWsA?9|qt|8re{0?B+nu<_HiISh*Tq|iekSow&Cs@Q@qiIRxF z^Y&oHx45yOlS4v5nKCi+SLLUm*q-b1Oz{khRmLDmO%Z;Z2mmGy_eDHhm-q?Ix{{dW z_)U4G`qU;HW`9h8r=DSPW#CJnd0RWG0)L(7NCk|2L=@Z}6FwihR05xnKO2W#!v?r##gyim(EEL2>J|CeNO)Sqil0T%XvprmFCNxu?BvxdRI7eJ{I= zisrq1e>S}78;fH2XSv>R$;5hldHL#}dg*qfN-2%9&T=LDWwZc3va#UquKn_G_lCR(4(?s>AWq+ zSE&Ryg+~}v>mw7lW*@KxtWBf4%1tFvydzR2P-!wf0hQXptd$>A`rBUlj*in0#Z z=LCjI3ms>Ee+Plj)30r}K@*opPY=^x?^AdEnC>y>_TMw!4}Rjo+0LghhziC2fR6GK zO%~lpYIqq4$oDt#_kt)y|1Gwa>vjfhiDq726QXTI%L(K((2AjyrqFrvW$064RE z1XPied?&bcOd7k743_FPm{?R});Tzp6ju0B1jrQy`n``osOq*Y*&H7(zt}J!yl27~ zf<6%Fm+jWP@ZU2Au)-$jevqDy;*!IOj}EJA)*1Gve1sZ5L@3%a4P}8un!kxBhsj_L zcyhpN)@%!OHjVbUTpqRJA*R3UrTjH-)gL~y*KOF=B*36TQ1X;pX)#xff_RxR9+1p< zu7RjW(DT9BZ1DHn-C1dh{!WN_wN}aX*ciSq{&4t)BpvYr2X`*MzyDA)gz9Y{O=eAy zkPu#7#x%L%sbc@b&-a9pmX8=Nb{vlBt4E=T*f#{m%zgf^ahFdZqB~-vNdv!e7_n>| z$T6*H;7El3^38f21q$?#E#9$VtdRp@x^4C>8>RghR}CGG{I9<7GOcU;PHNF8^r6=j za`K<00dW`6ju+>{Ti4c|%)TeZ$S8g)F(~J$c=v+JVm#LlIg1rCaTu%%pw_gnr=t_w zp#!5rY-YPQ$|KxIzEhRMF-dfgjOaQ1()9RhdSsL!c;(+7vT1K2b6xG&Md7G`24Yah-%<>W^R1}Y%ABs|AJSGisNwU(9_F|zk;$YvWs?4nK! zdY;G)m!X4Yi#&R4%n^V`bjrpaJ`E>e3(ee9&g$i9dQ&&NlNbM&pCGiIfA>D6g@$d2FBb}l$W8g7M7qTS}U{qTG>gP-`1cYmV&6V32zo0@X2#*5m@{4)x< zZ~3LA_rG9>jxIiN{)w|soPOd2=Hjt=$laKdE%|~ZF*R3rrBJy_$x^b#7XUy*7_J>f zLfaVEy43kVr%H()s{QpBo#FLl=5SrZ-l?vp#f)i~%-hT6ZAo-PZ7d&-d^C(UTGdnq zwj=p>&fkQxemE&O%>^XpatvZ%r08<<);0!HN1&gpW)$|uHzF-~Lhu*Yh=Ma>VjY2& zZ-9dZLP7F@)uf(EqL4!z`t?$wP8<7~O$x+g`vde{I~>m~#;qNXd3($sNX-6X7y0ChWQ? zLO_EAc*@tEDiqBcA7J`c5{B$EG~qI;Vy#ZEF&p6JK;vR1ozr+SbprEY>|Z2T{TgOT z2m>_n{VEI#Loax`WG!=~TtFnaTf4~uPs5Q~e4IOy+gCAt9VaU?i`aBriL?|AY%_FjEW zsAFupgecNl{_VvLwt>qkCpzeO^Zn)Mrk7v zy%tVkx593D?|N7cYVGLbI(RbuWxTmUYWF;@!oA$o#>iQ6R=wji%{_`p)9cHMp>dtm?_GAUMe~ z=@?-x%C68m$?~Ai20TT#rX0>C@_v{K9z7IilISF2qg&%e<%C-{T$RM&bkfd3Qf7)& z2p*}F_7t6>K7xd++VSSZr8r_M0Ho~H;BW$G}u9qj`1L1k{Rak ztC~_5PomQk%#ID~WAU?zb0sLjz*K2*#liZvk9C;~^Utd0&rhW%K2;u*_8o;)T7l8i z3o;;QrE~EnQU2t&86ttPAbg_ROMMZ~dYFVeXd>&#bK^f!hpw2JK;35x~7Nk{ZWGk`ogqU@LxWSm#bf$D+5~p(9W_N{q$72 z;G#WrRSa|A_;W8y4F_i)VIKU~Oqm+35;qIF`tUba^*p>9?o3TX{3_|s_4=$_N0am@ zx;72Xl4eizfL32#MlJ>;#-?}vUd5Hdb+X?nIcD;nVv%?~Duc*gRsEzh1-qa`5jn(@ zVp9YWnk8{9RCOXfo?i5y;O$jHd%KoPR-+4Zq5*J5j^9#1%66y$%U8mF+Nw}Q26@ft($y*~xO$APMsVQNI0UdIX6 zmx;_$L?XEYJc&oO`>N3wiXv>CD#HnR8L;Mz=*j+} z*yNLPC@E#1R8Dn)Sxs#n2w}m#Xz>~0jf*W<{Q1PRsc>HjQ^tyR|2q;c{tRXtuE+J~ z$hJicXNmiD4PHqXB@H5rt=r$uuK&J-uwTIT_Q;f?qIDzId6_R_q`&2RXVHNHlkJxu zn=@=Oz{elem9oSv>K?quSs>U$E$Q!q75r4(>U-Uy-`=Yk3cQys2$Oic{CwGuNe9MhZaQ3Y~35VSP zq>PNa>~D;_uKD?`eW7G*KlUcs-O5sg@r!;o+kuT8K}PGa%s%B{jLjl9Ja+;x4CV*b7oF{bLY-s#6tzkXKLP`vo*!4Q-Z zoGSDNwp>;VXV{1WbsE@dJMQ&Gh@@7i6)pZ)x%84!_ja@_QE@%5>A6*Vbw*i0N@ul! z);@a5~SQA?7DDZ^+r318|riv=LJD8Dq=_ zGuHYxIz4x%)upZG57%-P_*X~~>;We(6%t?FKCtgt$hrR>lA3VAz3|uP3j)LfX%TW?P?rELLE5d8NUU~wfPmjOQYo$U8#>B8%_tHsq-U@Mm&Fk7of4MtF zCZh4F_Bz(qM`jo+T!GtFO);63zkjLedjo}a-NR2#VjILQSd`_vJ9HGq0M#X{O~z%1 zze%$KVd;nO#N4b-tt>rXC8T{{A-ERh$SJ}<*0BqsH~+e7&ys?->wAV3!~j`+%H58U z1(n6L-Cvtdx4%hbP|j9d;Pkl*iMB`Uyct=%LXOb)KdTTm3*t5ReE+oAY|pxV({$;9f1TXJMA?+4Wq{caaabc~%q_b$)VsW|O{sSb(}R8k_e` zqDOYF26gwfYmjt0nCXQK0i|{Sbm#C{!K+?M?7np`i};l>unio&i!EsI@|T;%aCb}d zRA*;3)3w7pH7tsh!>ye=X4}aI9?9K-oKOYhP96lpT&bdv%JDCq64F1h6viS% z{<8AACGrV#_-|^`B}`d1$ztl}0i_Qxw-z%BSTRwupCoTTCIC`|K!jhiIWA~P)7pxRlr-oT|PU%%W>;%Ww$O^?{e)&x~6)=EvSQfMa zpt`j73ZO&AN^%_y*2&ft;nP(S`QcV~@P+YGt5(fltQlt$!cf*YAYyVgeSUw+E{v8g zUV>piW`YlI+{h?}_O3%dD(w%ung(eRTY^j0M$fN1chvNp9@qJLjq;;Gc78gYyw6m7~x<$u55>T<+MtH1+zILKPYq&%z!$# zdeN^e;24&ROZMT=vhxk`0}&`pN&OfM^F+on4H4X8NbyFt*BLEf7EgQ)XU{wL5e5;rN^N?0HN-ln@gw?^36X z0JO*tRy5J!UYS5#uBJRvk$2RYMCljWl4~geIG||MF}Z^@zS6KjW0093PbU}(&U2wZ zK9f5ss#IuLpNB+h)ffGa8u8TW*I(xllNW@Bj5{>oh3P9(=Krz#w5ZYuI2l61|ru4_(bKEIZ1dvM{;Q|q4dcYWW!Kd)% zCD(1BvF4HwA-zKmRpuKOi6ibtfclV!5hZIb?QNXxWEA)fs_J*@E1)-Q^PQT5K|l^0ju z=`_VG)PaJvH%1;G_qKHu2%>9X~U^OBPihX6dENBFND=4%d z^k8ACVN~*|PO&F3G9BaT2MEG==%0KDaH%kY#t}6x1vbC|f+zy8eNBzoYOJyO-F8AhaPJIqHOF! z%W15S@OiW^0FA_Mx7*d@PtdyoZWIQ{e%SZiVwKgDjK%Cpz9g>v6LHko&DadVdTtvIapIaLtbW zMl(v9f3T{t;0}dq&213pT#y=zpHRTb^9}_!SP+a;?s2(CAsLxb6vqYJQA8Ry?IN|7 zsQr2KCw??`+8)@x!ws}l?}2jBnu-O6AX+~1up}J^LV0;Wj5z-d6ky1~xo|8e|4;{* ztZzown2LZzQ;wt{{#}0+oCv!1lK@Mvw!HO5eWvO(Iwjj~IOt86NMPS}@QTz)fbj4m z8iWPN&G0Ik=8eq3%!Q-VK}Fmv`$HLpCdzQnpKO?WFgDmY(NqvJ{Jc9an@DKwxyH>zjU5{a~pNgmC2mKCOjQZhh!z51cc#sr#IpH*SMBdTNhE-4=(^u8Vm{O;d`Y4^oW4r z0D&Q(YxH_C@N(2L`4OL;;GVE_4lqZ zm+m5Y%(VEla7%l8R$JggH(mKCV(=KlaHA6%ECAf(t6A{4InTKe^`TFq{j$5GjrMep zE=lghGyrL+4ccxj)M#qXB|MIrl%;<0{S^~P;j{g1+G|RgJ}c>8C1=hJvpsjcj&Q5{ zzaB>0Wg^GAVI}CG$S|&NOCFvzm-@|Z^`82^uV+(_S7Uu!kB@zQTVtH(KHdDdH>p-o z!g@m@Xe!Ygs{$lX@J9sK*7fa>ja9|IP2Js=0hZFz$7Zixi?-D3xC|#ci|g~O{mt#= z?P%y zZleS8`=jp)D+4CJtQ(rzG2P*Y5LshmiVeL8AZF-1ZF(Y@*<@w}0B=85dPer)Ma;A6#dXl=;Or7{nQ2QD8etP5$uF`deG|P3@y5`u$>9@0?G; zlh0iJ68EX|qhSp*L)mq8sv}^U>0zWMz@x7tpk3R%>tdpP(M;B|ucwB)WMf&gYP_sg$I=KtL!?>lMeeHcy+>}%MGR~j z^6_(qbwHQeSp0FHHx@3C1|jW^!o2H9q2L<)<`tNY{;4_h37^w9@1bVSP|w5NZ?e>R zHsKFc%Xaj8zhAD`i|lL&@?$bEe0H;|vKgsj%cyNB)$aRnsc9}(?f=eSJuHcBsJH;pm;r*!(kQTsk2GV*^bU5_uU2Y#0bE^u1+I;5p-!d` z9ZwFM51&U5KWDc#54C%~c2yOqr>VF4VjDJ_17GH^&a0X%9;}5JRG+B|h%+r09u@^% zo!+C3l+~E_v#g&ohV0GSOVur&+cOmeQgc(NL6d~Hjdpf z^;HVj>saf(t4&@tM8=!)6$H}Gs}+lu&Z~@DlbYa`#PHZ)M-1Gn37%(5{rw#Hv5 z-P)0|zi$ZpWqjIe*0NEdZ$Y`OxoUdEy@C&}r|NfrUo&@oB4-(6qabdYm-dgmI>t7m@y#ogm}*EVBa$k zXdtBjadiovzuflqeH*+ywo}d*9(cao-yXRpED(CH@#}iLtA^0rQ{ z|9CzV-n^aYI|A=F3;%L-{8&9IsPij$t99w@+si1Z8XkGA*&kdM^7VbTdqOJ+w9x%< zJG^#y^?xbX{j3fIVt)lqrrd)5jZa(K1Om$Y)tT-J-oV3e4PHudh7kdu{pXD3ESA(&Vl&M|aHJ-0lv=2mI*yK`LaCYxzMz(ntt}7J+C>_G?KbcITkYmeDb8RNV z{a1r-(_*J-bM1w0xHp2!AQYX(idZZmcF8a!q+ZDQ<#HZ&jbcVfwGbuvaTUrQz2zLK z1#(76vyc(y@(z~Zok}|_P&U1p8Opvkm5UHg-q^(G#8>dD7Qw;Wy4Sw{PS;Dmn zL|q$#T{8^wP!4`Xoe}z37$5rh7pfL@EfTTCRpiwvxDBf%5zET7(7#1Q7iw(;u|;`C z$e=Jj$U{HagUhObb|xQft*^sm&VRq%)n+yxb|dSLf2YVf1LKU3Xm_oMF2vd;?3&-qmUdw+^yMb( zn$Aq0dSM>a+B@vW8{#{Ep~x$h6&#LLKg1;|;w@89Pawn06x^jC?3#Dsn`*EJlhrlj zj7HF7ACx_>)iv`>dT>M_UAAo^!ptN6il4AufL!n+;Y_|x;Tu8A2h%7&!fl^wVIK0@ z6JpE0$Y8(P#HtI0Rc7~GCve=1LLR~5Xg&&acP1>QDfNfzk%U+9oL!wKFNfDRf=MZ{ z{GfmN0=vDE1D#%?-8x_18O02wl#&~IX!qYFCC28qakb?>jcp}y?EW4qj+l@+()G9I zRTB})!S&Xh`KRRctP( ziSEnCP4SeE`aR3i*wrCfm1tLid{l!Cpl;~*MC-nyY!?5>6d=?Yqjn6e#@2nx$d;-^ zs#z*Lh*_obv>m9=IOzgQmlg@ZJ zx8`+4IhPn+g|SyLyUu(fvy`#Oy8D|rlWj%s$k=2;#IR1ko4YW*%{3EhqBcHr=`ho! zhXU5+if`ATf!%41TV?MGDb>6@aeEjohFs2%0GfXH>q~H%%Z(^s{XbzZc?2rBH2B+( zC>5L!>URu-#4LIu`;|rwocO31TR1!ItRw;rwm0Q(R`S~hEenp)*29dA9E}g!Ypsk3 zjCn(Hn)#)`fxxZ7B%ilptl!lioOT6A=%rUb30&)`NXW5$)N-fcOMT@_$FrC%ZTYu? z>N^g@NMuRkSCTnWMX3lBQ`gATyD&Cmm{m?E+<;xWQb@)`89tlWXo1}z^A_~@Pq9&> zd!k3_&A^e|BXZgNL3T5lmF!vexN*sfg+%rZ2s$f=s}RDdyx;0zTT%77H6Bl#d{M*c z#WK$zx0UDKn>`(KQQv73UpjXHC}^EMMQ*F~6DR&4{e_ghdCA%@42JI?0Uy(TSl#$e zY$Ls;=MR06{apGTM#&X4r~pxnG*T1ftQ=K;k7G{OXvgWb+!^AOYpk z9h7y{92O>>3H6fcdvoNr>HGU`2JmE}<2~TzMC;5DA9U%(K<@A}Pw@P_EQ0pgQ%ERu zCPp{F>LJvN$cdpPAstP}zi<)m|B|W~h-Jmv|Fa|S!k%P>({p}fO=zQ1r~BnzU6(04 zTXkOdM^j+io3X~lgY0ErG*Zb@@{{OZwuaixACrU5RltY<+?gpolU0AxDpBRW*iYnW zVrQo}ED=dL{CVvGw=~*KDmkTSwtfliBo(WNoicmfG*LRbW?Cw9>e7;lveZ=kv=p{# z6%`8&x$$+*wE|Zx<%rbwe@V9<_$pgW;^XV)GTz9h|q%P`5SZ9_(FveBD-sKaW~5y-1e#YSy1(VJeV z!fHH*LE$y1Hp!1?e6(4$_ago~7vxuyO#{vW2X;MzwnRo@sQK(M%|+Qgzuo@zg;_g>_Z)EbMMwxcQ7YR-^UR&W=h{6#m*xGTJ027Vg5BcE~ki`IT>?R`QlQl3Sdy6AOf2>2^p)pe*+ zzUO3TkmcI(t>xKIUSBy0ayd`^E{#&?KtO6V&UUr0$bqY$<}F958y0|c0>O|v$kB)SodxELLsR7xV0IC5)ZntMp^NlW>_U7 zJ;7GB7`KcE87lz-`0&k5cSRh<3`h)vb76_dDIHFZs#rvY9H2Z<6Ip4- zWh5Lcs9>XOMeWaoMUAo<6m}O$?X7nbNoCZ8G^uQvyTj;DS_dZuww@~w2P`K1Yzvr- z1#y$H2rtfYmO5=G=Th}S{wX43!mmzzOl(1ki)SJxIW55Rm?jg3i!7f6KFH8Z@M4gj zv~+4uh=U=}IRyK?qOqV#xx`b`c~PS9iUsm(d5 zV>KG10oR9rz@nfKO6A9M9SVfgCwR zLTVrusk9KOG>FB;df@h0z%kX-q}*(0hFAQOnyAH;XLvDq0ACe~rJ^B@&60^N!}24!76J37l=0fh=S({6Dq3oH9b(pyB~ zgVvx-&Ty~xK(hmZQmPC;eaf9>=(zrY0iCf|Ryo1_GZNtgnt)NIwJ~A5^0cdp8y_cUKqc>hd zWC3O3=~b_@0i&z|qm2PFIixPRq%J+AE+M2Yze!zENL`vpZyAISu!KK*!;R(!d0dl) z-U0e=zDX0fZ(mh(QQZ?ga{pWgjLMWhSMLY zJUW}RTUh+v^)2aTORZvM+Cy73%E0i{XEcf z!DXr8Wkv2BkU2Dp|5}b*pc<~acLW8u*b3_%j|dDCk$r`T?4J|r$9#Z`ZW`D!PZiBS z!M**~jXs*hI8Os@tS?OeadAFi*0%77^hbabqB#)aC$~lzz=ew7&p|X zn-=&Ap)ulLCWsTI8bs@e_P}hd+h*o>I`ik|_ioYOHsJ0M_E*cC!4>%5znt_;V%J-z zUEr0m`4%BDsG>e*(7a_$ zL^6bbWRu=9ih#7{(ot+R4SMWbO{S>&QSdC+)Ysb_ss`RR>VdSmv-$AK#CdlPpJe$=KK&&OM=7`6&FfF^ zH-SmpsUDcwQ@^frH|F2O@QH>;zTtZNxVJ|zb5~o*zHnsHgUmgSiLXS^4@I*@RA1jH z>Gj*Aife#dr|moXs8QJxLgzuWlFQI4o8-dBlDP@56Gxb(9~*7EyET|HRE9M)i~|Tu zuF^<}Z}6T<6koZyyKxhd76HoX-9&7Ok{(_)_SPQ&?S1=>-qnblqQT;SQtQ;|sKX1$ ziK$Ii>>btTeWWqZZUr0MLrJ-#vZ`4qGV4D1Hi_kfX-8G?suJt6G29tFgchqXlK5vI zL`lfX-OFg+-)*E_89X=A3gwg9vWm$-hVjG6#Lo}E#E7mXFJSiIofs}jdAd>fTRY&U z)^}Hw39F9R4WsqrTS{Tcq}DtX zt}U4FtYOWix&yP*yfjan?ESuv6EMLoQl6Iv-Js%=l4=RCwV_WJ#GxrF?39(vo7ck; zZ8o$u1p2`zbM~Muh&#tUC1#IcN@c_w8Q%3kKAoPtiRcoD$$nTe??D>?;CiFbAa{YQ zpNTUWFxn1LS$EU^CU$i%-gh0j0S&L{oY?2v8&&YlhOe2XLv$?sHTkaNu{~h)8k_|8 zmJ|tD`6#6Hjl}l(Doa1=LUjT%MC?0>OaT&ndCuGf4O_kuti-Cts8Dpuo+1}KHog*6 zm}%Qt+gKketBuRrHe@g>W&%`Ld6=+HleNt)a#6Mx0v{x8K2FAdR(^8h5dExe?sR4z z3`2SMp(RQ}&Be+2xeLOZDTIHTz*ju^Uy@l9qY0xBoPEI4Q+%Yasy=I*gT`bS^QV1} z#P@4#X415p%uSSP{y5OPck2!MF}LfhA}c+=74U(G`0nt^OH9rpsrjsM60+K7k-7Vk z3y?r>2O>QAMAbG05H{nJxQ5q5?zv>{XR=*$AB4cLHBEHR`9qQsy?cS2oK_)7{^3_8 zevdpz0iQs>s)u(71I0C7$eHiOH6RCK{TY6JPP!eW6gtFFx?iJo38Qk50s1gRo&*i$ zCv||K;DIG*%P){uhQ~TT%$X4W=n(|{mA0@8r5jh%w~($_-MxiL?uE#39;uu}_+5QJ z+D6vyg#nI$i0sw<*Y^3S&8|fHcd>?VGI7zF3oN>xzyXRnMV*3#+4TznP!16L6=O4N z%kPXTI%>e7QRP;JUo6@?i@0dQtCP5>#jc$)HGSC^!|{e<>qN2#0e-tAFdnJc25iwy zt-jw5waZ&-aE^V;6^VY>-p)@pzVh@rGj1~nuTTN;LjX}yuBkHTD0s(VHcto4lGdKSx_?0> z;hd}Nc9Y(ki!cPJ8h8`LFv1_c7GJ^3jixIHNZpz&|%Pf}zte_Hs5U9tt~ke{R|V*U*956;|j-fw)|a=h9 zZ{T||!oXY7M0wDk)Sn$HFrovKJgiQRreo*^q{J@$@sH3uRXMwXy-}n-7{X9cIGTca zP8p=PruF&Vy-~hBQU8qsUKk(8lHi3{P!_Ip0WQ#$c@NhB!2G$q3At;%Y3Lgc9**tU zjs?|jC&BfIk=#=RTuTZZND3f_jaXV5Db@Q}<;Rl`iEhnu*7w@`S-}}rq(B|Cmnrp^84^P?0O)iZm-zW zVH|hyBp=J7@4uNGw-uJkUc7P_dT2(~q#=K8Gj!G)qBWm>5+{M&SW-E2dy;)UV;INE zgA`0}Q)2^Buc3Qmi5u z;Do(C`@4L_<5NL5#AWkCF6cMKLBK-RmVy$SBJ^BV{|e7<3Ro<{4j7R7?ms&!kwGa3 zY3yehV^2?CN2TeIglB#IJKXz;&rMF}r&pJ!7unletE)Kywm_Vd{$`_4645q}SIBJ@ zM3kX`{r&ZMAo=5E@jH?|e7+E?Z}Cy|{IKdq;-I$Y*5|6Ds;oyo+~+}CXC9NXS;OM; zYL4qp#5_wWSyws$2Z0aV2>rZP{N>KSGa70PD={4@G z^o?jvi=gC^-AI9#8E*f^O~v`5%K~nuC2^y4m#Yq8=2j$L?!NV)Ds=kpL+IjaJ^ZzlF-ud(bbef|ws=-ufB}u8iTF3g~U4 zx@k&FCK{a?;+EKs)pkegrd)pGg8k^7Y}1(kq$kC_qGXqDmV~ea@#J?V9<0H z_;iGv9)eA0l=RG-6+b>ck8^)~ctMtETH9eu5*4Hkrn{uQ*1$HZ(5j%Hjx{=N_dT__ zd6IU&24U`3VbNw{!E=d)V2ULfDL{nN0^zB(vJ0b?l`*L@KM#~3d-=`W1WTZhRD5o$ z|HAU}@#bl1*dE>%rf6||IpG*^*Ke6mPlEXtj-C^+`9kIXJ4J^&>t?>|@LXf+UNzpP z%YePP@4V7r>hNz&+tTFuQd^w^lm7C{y%@v3rAM5#P`tb4fQ*Wvg_VyzV}+pf;Y6H*p(mqRlnR70Em1Zi^G*`X-K&>^k zp6`?h&%d6o`pInG+n+2fQBVI4dHqcPT*W!FL?>`|^+z6_BFeuE!gyIl_msX$9UC1_ z7MiOfE-|8?VI9EBje?4=zbYW>ZY=_q?oeX)CMX;UEZUMSLXR<&6@|f*svuXG-o|ki z#Q|-)b?*7UsG0f9P4_}GH@A>}@kL)4LPDXKt94~J=a4stz6q;O_z&wP4IVq${2{DM zpH<`>-Y^u9Yp&OOF@;Z3L0nYSb*3w{Y^5!Ix(;P>){v#U)mnD-V@_UnO2<9rlRs2v(}fkI`;SSrcS9Yt(j!=U|N^z**7unlvo4#l!ZkP&AgcsJY^)oyjos zU~k)p#R+T6i`O3{S6Sj}L&r*4jjD-P4zL;GIfEnVS`AjR=8X{>v^9xkL1dOBDw>?G zE2t2Uh+ZILsFL%XhGrhGppO5_cz<5IiGAD%KXCIqE?J$rnWpRmJjtto9j+>>uY4v! z6;G10YBT3m;`9`s>htJ#*1ur@QG~<=f9S}8nQ+GOti3oovIO-wXG-7R8;>nMh^SD`%Pb{=WhS1o`_Fh{x&IYwdG?=|{cPB#?mygcv|= zp_oVS1sg`*#E3L>Qb!%}bC%&L!^L!(nf%Y2r(UIAhMU`j$P5z-{!@mEX*6%IX{u|c zUIv3@J7yPPF%vqeYbOC5PYt#)bu*zmU7*7l`gi+)!*E6qDa{fr5~YOdcXB_Rn-2xs z(j47GI(HU#2#4aZ^DRdKmKhg|T}*IgnabEN-k7vwAdN`haNeUvFb=OlVlllxyi(f? zaZ9K{v(PtDxraObgq>`n`QRDJh96&Z{0!Dfi>O%^ImjeecW|;X9z|dbhs)R7tj!pb zBE=I4DY{sUqW3!ouUrRCsu?$h%IXy^e39v;=VBN>tHHp}XpZh1pKSlUoW3VegPv)} z&IKZKl?|$#GD=j?{Osq>R#ua8Qa2bQ?~o9h;0O}?HnAL#8?=!eA*zt2Eh+o%sm{i_ zm63@ccm|5s=|^#U@e7pu(LvI6iBcg-X}WOt^(yMvZrDG6rjjV3vrm%?G*LjP%Wdls z6Oj?Rp#4l%^)dkPGk|wkd-2RSBNT$ zP#v9k_+l3#9*7Xj2_a*TjvK>D%e~4Y45X2mq@7F9p&If$7-3YxNUIriB?$r3BEWmZ zB2`M*lxHC#$%v~bDmV>fx@1*{Dwn4_iq2}bUjGWZM71(pJeDJ_!`cczRt~V5I-~g5m0_ zqh6|ynn9;DF#FJ=jhiqvh#HLMs8%|tix^$PnBC=7)%Z$MTk261XujJ><+C0Oq9R$5 zWt*pi+pSI)71h)0F&H=_?I%=MUs#&|8`8*yuYAXrHDE_xGkc9~~0G;R?;g1!^vv_57SeQ3=43E@-8M Y3&oh`KiQ&4YM_jGVMGo49{>RV|Af#1;s5{u diff --git a/CPLD/MAXII/db/RAM4GS.cmp.idb b/CPLD/MAXII/db/RAM4GS.cmp.idb deleted file mode 100755 index e91cbcb68c991bebaf8508e25c675f069561356e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11939 zcmb8UbyS>9^EMcq1R03nGB^Ya?ht}If#B{81lPd{5D3oT9vp(ZLxTI@g9HgOxH}BP z=6T+4zy03*?LT|!oT|I;I(?nf)!lVI<*#=8Dz2k5gUkei1a_>P~Gi<^`C9TyK!)5_HoWMKtVH?yz@asf3JfjU;^Kp9Jr``?~% z;D6wrJyT=<*E|EF{>K(@{KtBu9A;zbzLA3sLNO#ieL9q8Td0+mtdP#oH9zD_S;+5; z?Hs_qhUmU5VD>y5AhNIE{dm|JmA}v*i4p7z6?n2DsvfX~=ydD&+vM8Zj;9N`S;G$x z$BrqljjiDb=_Faq#CSZ~*r1jmPoN`Se0qG@n%yHlR@mKtg>iZcf2FuGJvK(qN4Eab-Fgo$4By)ucjr#) zug~mvOY3*b=yx0TuG=+$V1J_(C8m)KJ&~~pB)p>?kx)`i$YK*xaLwORgGeYIC*dY{ zFoKQFziz1v8$g;FPUHs^R+BrJz$WLxg~@_6w$(+J`oqeQd58zYfx>a}_Km`Eswfbw zejdMNHcSUGVvwTmrH@l|j2~k@$fN@+7ds_ovChOPffKhx(*r(?WHRiGUM6ZFrCfuR zB^b8ZA-}jE86O<)bw~ruER`)_IC-H`~GdxO+!|ni<9SGvXv{7B; zmd3CZB$UU0WXC7hgTrRkdF|4h!#WnKVzW>>(-?eCR*YR z??Wyu+u@2;@qV;-nOmsCwt9|TNfw2Edgqar6_y`|g*2YplAILb2^|bzgY#I+tSzHq zUx*Anj?!19hjw~8`Vz(Cl;3ou45Tc221{UOQudi@MdPFnwmbR#ekJh~KU%QNz7Zrk z!SC(Gg#x3zc&c*J80TF%n~u_m?64NMf8!R}a3iE!sVdQs69n0=T{lM>($5epaU>YN zO_yYIo-|qc)fFn`v$AhE!F#9r4&GArbt%GnFh!xE7-rv7e7+Z-?RWPrTrqsQN`xeB9 zFYOOp!pi?g_1sK`QK25csi87wN1sw9fRRiC>DG-=59sD)2_B#2h4T(!x4;BhQ0Em1 z9?}2PB>r{(t?^YT_4{2KJZ{uut(qLiQ$mC_m?47HzzM8~i{O9V&kuNxvmw$yI}^j>E2V$le`aY_ zdTEb6f6zeN5*6gjQURN%{T|y!`yKzck`@ul%?I6T$qJY-;b0pcX`f;26*;%J4!TZ# zkUiExE0wc@nGp=Fsy6GxK;yHo3g*#L1G?y3Det4-5Llofq+ag4Jl9sZrQ-I(?ePNo zVfNVkqXd9{X#Xgb9%A4gr!?pjgyG%d+(-)d7UFi(P$E(Pa1#6vB_{JMMx%;xgIHQr8U44{A4Je8>)*(bga8pQ zdaC~)Ws0G2`-c19e}2yxNAF7%HdgWXK$cx`^oTh3Tk0&DZx_!c=P3x*x}4MaZ0ye)w}Zv-D%9~qvx17Nt-C*5zfe79T01y%G7JT+> zA7ux_Wj9!m)3mVA82<`LdGXp?7s4>bvGC<@J}kvrkfXSkT);A;4mJ9_V$6tVkMbpI zP##F6NNm1SnG(B1#}N>|i;dm~#wTE&SK}RhuKJAH6*h#=U!uTxBF^B_?R#E=+_!qSU3%(|~?h#zZJ?MO5a66s&(qp!jb}6Z`dw zc8u6cM7Nm0jeY*PI-n+8@G!UrZ8afOY^cUd5xt?Hvj)q2LX~d%y_mGpUE~(~FCJP` z@e|IGV6`#SOByL^a1GY}-!L8A)il2!@_CQMAp9bu&tyg|O?HowZvsT1=LZ7+`MQuH z75h%H@ppp)K2V1u83>6YTB=I{(xh2Tr&aU=VwS(Xg1n2r;7q(C2Hcwd> z5+`TT?FZq00L=C}uvi$iGj0fS0w+jY-n(|uBSN~&D1ro`yL5+JgeW&SrlbmegzH}D zyTQ9m8_aP*p1F7_QMEx*>g;+Jv z#~6Tcw$tFTYW7_gRBZoA=FK!{{MS2N<=5NqMV(}#yj=*fTpYfXQuo=AtygPs&~KH~ z#qj^`T`Uj*fVBO%Oy z4W2X-LTATcMzlebXn9y0JY77O?)C=)aVb zZ>tN8ZE_o5;M^2a$d6`2?%?lV(<3yduAaE0mcyJ-O*_`}kVwiV6Y-CTnsb`gXa zqy6blJ`cI=a<|Oml?FP1Ss}^d&$$YFrhgaF4se1!!VR0_H7|f!M;SfofEIyI zi+3$qLFjEgjRwDi(2fy;9Kyu0O{4%_y?nSE`sja}fq4a3HZ0JWpom_o4WA%GZS+}~ z{sLCQSGP!e${l49;xD&jh2`>tHVi;j2dKcB#+ zYBQV$Ir86~C(?L$|iml*oA`%*fjk46^p>LRaB}!1$lUE{19L^B}GENvjN$EFZ~)TF(Xp-9aN?w<p zp05dgbIoY9uzC*gJAa^0`S4y^h_5bTwH!NnasNz8GhBjbh;{X|G8{qHJreyShlpN&p*ffD5B?*guh<^W9fTBUZ6RF1*r%C6!qHK%w zp}H9hJd381%^hD8{3D*;pO?7sBB;k2Tdbbi<$_8Df!}h!1@@>!$uY3u>-VCj@nA(j zK_(Kz)mKb*z@^JoqM+vMKNjFabv5EZFJ|P;)n}PkRNaG;k6u2dYkFoMCOM=P`dko{ zcdoo=}t}g)&nG#3oP*7V=PPJy5d?j5}1Cx0BpF>)X@BYdt zAJinEw&Z@5)c4^p+o-0wST_36jdmnx5YG&J0@ZKoyK5>By2`pLXfIYxk^(hbZ<13# zlF2@uDi|QvY%`J45WS>dB$9fM{b}9jF%`0s;P?N^&oQ*Xww*rOi>GUMvzIenG*$|D zE&dYpz`>;bua-~J9m1^_EGBOSW5MF26gcR(2lGv^wC6T6(Yo<@d(n(SSQX=@l=_(V zR5@&Q7&?*T&d!5}pQZ96V_sZ3B2@*@#nVfo_~FeQ)^zV>lChADSXC$2X>cUDiZc%U zQIicfgdgjoc8%z4-Be<9fYi_nVc%Z2Ud*@G`319Zv(e@xly*y=7tv^#b#Ckr)XfIf2mVue`dK@T4vQbm);2J~>4!pn9aZPX0RQW7%O&x% z<_z&Bu$)^-WVHk_jfIns4`8HdQSz>fMzfRA$0Kk!#j*Jq`sz3A;R*n=a$7BLtG0_- z!idK5u>r{VWJV{JQ?XNj=5BhIuIlG2UGL?+hwhXLfArelR^;{BU-W<}MA;cgEtDyD zQwGd0ialU`l6Nb4T-d5>RkZhXH+t6_@kLxMAY#=q$C`@TJa@eODXBec+aAAcj(@@J z0x_Qlznc>{tZplW+a%G&^v32TieHe;J@^dmZWo9Jb`qPVdkma!C5AhgObc+9Z#=D{ z85?Vf4G8ZPzs!6)v-phZzD&_&$)Mwz-qE-uaTZ57vi9eCtg z6nHn$DHB#WI%h0mZQ^isU4SE2o{VI?9NDmhVg)X!2fr9Lv^^5`G!TxRw~oRV+I_7# zcjX>%bl-iq?4$O;=+V&h+S~R#`rBKJ;kh{E_N{Lthmk7GEC^P=xY>Bke%D&5qttyz zqqThNGyi;4#orvk_ps_W#S{7>l!#JvxV-PI?U27edzw?Ix8E(Pu-K$>qmB*%dZ>uW zh=Cs4lxlxB+9|Yx8feUyakyLAHGrlQFTXBI3Q1kOaoWhfm?hP$3cYNYVthNt1coj8 zcnAeXgkQ2$%#}1BseIOBS+yUgTK+^{J@fd-8W5Mz8t`1o_*c<($Hbzl73b)qVZPt< zsPCV}Md~>#58uH2yoOG+{X1y!v0QRMD(ushOE%Ga&HZ&THps$_?Uz#HM^ySR*Y%oY zBGuv@9YjykZ9fWLD$IMI;CeZD;e#gU{l_xymM9vgc~U4TsT+4f za+}8=er$}O<>q5mxex8V*=&rDii{gNI)Gt6zJ4gZFZ?%QoqCC#-b0P43K@3Bu8HF` zlqEIuTs?bjyo{|0ecT4spJ*hC4@3KY3kV9UF1<>88XBNZsiIEp=Wwg6R|V*(?R1w4 zB+3s4Erav_4AxQKZ=PcD*ep~>)ji{&!7TY?bU}InqeW!eKU)h zSF4tr9^ixSlU@%w6+feSEC|2JnAOqgaeudPB(-0DvX~LS|9;kOkNW%FDKxC#qb+Tb zCF*h~1z6gQsV)v|)?*}R!zl$fIGs8cTq3D)mmYHa6%N^WU2~`=%Uvsp=NZ3!Hs>tP zG|Wz4_o~@MMYWPPeH&;Pg9}-@815dTB#HqyN!+)TVzRBX=d{D7z>jSAZ^W!b%>!i< zGgn*tKN4#iE65@MRE5VpVw|+nJB*@(g9}6N;D8}#;dg+*ua`OT~7BUt4|m4m+S>v~Y8-}R!O5&>ASZH~(H)IjgU%nIl!>@3uP zAUX1K?ohk4b`%w4`Y_}uy9;%DxGEBy&M`7FRoe~WQkf^6$V?;Hm}&xmMVb`kT`4y0n>XD0kOumxzta05ruUfeU8p~ zWy^Irm9+Jd^eob6|I;yrmL8{DR%rM}#FHMx0L(bK7Rq&uwr6(F|1Jb&z z>J;^eLmTq|XRviy(QbELY&h^hB89nG`c2e)ja5}87;@+5KOb4dc$n_i!ER{rwW+4RlD|;KIT>RxIk*Q z8UGC*qG(GCjiS-#N#BGThnbKdvYUD{*hcFNanD3ud@b{YH8mUhB>3e7-TRD_QSeo@ zf8+!Q^dZnNm-{~_uufI zzNUR=3^~1ujoAK~#OF&h_uvdbc?!5Nxv8D$zphEyq>TnQ4^mWRf<`i9&}jQ+%XdVp57mB<x)J&1siP2`|^-XtCh>D2UQ zV_Y5)7}O!TAj^hrBTd5xE$$h?4ekqK*RG1|9Gcf>{mOFS*&eRfdT&Evb3#2#Itw$M zD&Y%s)e={WrgB(Kcc81}w;!|Y0u#q%pCAoeURe7XJi1D28CQUu`OiS3=hwx@HvKxj zjuD$37y@p|HY!8J62`26b{AIfZ)+%3{MA%f84vN4)XIMvWT}?|iMk7@S{MUvocS(ldt_MX+r?tC6XWj1JNx*$yZV*z-xPB!7l8da zsLyRXlX*%yNI&RtH!!85mNZ_!SzP)yp_7}}Z>6c>;`_;Z8{_k6 z5ntQfqqp&A8>Ntpqd&-18zJ$j7>WUv8TVcUxg9^!RxCSk?2+}#&_ZPpYFVg7*etk% z$GVSH!Oax}sf_=I+)2X`pY|njO$=`%45pt6|LHt#bWm`ZHIcR>Y8{qz$j}r|&3xLQ ztL}dH@QeNi*JtGDuq)rObyM?^r`XEp-n8w9NRsU{m`|<8R!uc##OAP;#MV=jBrQjP6f34dnwa{SOANP{u zcTHq-!N)MbpDezS$ymR7TWuq(@vc-Qx&0ZW$UVO^#|dkE*b7IJ@l-oN-X0fJ=qxqK z1+>!pji8&_CDf_yXiKu(U^+z-dV89#rQfkX>u=a_r!)JY8j1Y=lN51uQTxg3`Gxq6 z?LZ4ua4F@7j9kZzn_$DJ%yqSQ+JpPz*c&yW2+n}EkxZTA{12dNSJUPsx0!7ngUCn2 zrz_60{f}MSziq(0Iz~jjQu;dU`vp!@1OBhT`4{h&5Pme|Uj^0YNn<}1^q*t|PN$Ab zA2Cu`JBmf&{i6J~b6t?^61F!|(WE!C%)Avce~Rxw!+*#T#l^&1>Y{QYjz??~3= zxGa{#2s*xD@H}QWyvIfK+>d|6wT{BSnIUrUrs@0GxVD{-01>za*Gqr2ziO`Nx_NqX zyZu$m*fX6Y#_3uQ2V&@}aKWi#5&_obFZzCqDN>%X^N4P*ArMu=TwgP1a*49oja^el zMYCIu_QCTvsshFb%}$^7GLm&!@u;F=TO1lr>-356iUsR*;*ct zayHM#cCE5hiyl@GKy~8EX3}yn03DF&~~gJb<*H@9UWL&8?8e4 zc7yy!|0a*0T0)fv=;l5N+*3KB;HeaMBiUE(&`)*Nayidiu;`{j464jATE~NC*+P2# zn}p*x3R+H}t}yCUw|n>>{ajbU<{}j$4t1u@`Z@&jPV?*>SbXs^!YublslomYSZrfZ zv)^+}jc#fBjFHW+DK+q>ET{rT<=b&nZ$F<%@lssdKF;bB=lO1K3edM5Fg;?(%z7+= z@V_nj;4(YEk4^kqB3<|F1DV|<*Ei1V=Dg@-Ot-ieb?$o(({@vv7w!>r12R!RTqf+} z*4AyB740mR;a;wHz3NFF`^BQVk{q_l-&E&(Zl?u%(pF77)QTOh&5>fW5p1XUFq?Yit<1Ncl(fmDs+X~p3)U(f#)ch&xdQTdlJJW%};2u4BJ}EJ~ zw(cUPFLY=B<5e9mpV(va+YJ8z?pU%Wdr75!pQJ@0Jh2ucySfa&9OYiJY1g*$1=Q(k5_X4>$>AVmmYtMoW!%A1`?Z3a@8GAse#s{ zaqpXr{eNv$iXki~iuNPUe-0j?G)Cola?NrKJhTVQaeQ6sS8pe=BbGWc??|)wx9!bE z_DT8wjcalCOnejeWN_E$pxsVV?GdH;iAtTyJC!Pp$aUmO<+_94ouA4X{4-9%AhLSe z*G8^Vgl1rTv2(R-+-ttY%ha~DvzklDp3u%C|0(x=!RavAGJJER9Ycvr$5!L#>0R{i zED{Y!4s3%N}exQ4Ee-X@FYX7>zpiDGa9)Qc&K>KJ7nLK`sc0uZvIU4A!H&vRjr(bdv~tA0Bno-K;sacny|P%| zjTq!{121UhdvMEsd2owdl*P=-t;}ZIZJKil5SI`b^wMWSfrxJM`%u;+n^iG~AN&IbHi(?+W0QdZ4?Fc6t(oCv2W%5! zR{)KiSbd%Y1NY=AQE!7!q#p5xe&>kBmn-bb39qX`&tE7_E~3za9@J9Pa_islGtnh$ z=i8Y^kn!4(d3KD1>Ql-W)xq=rraf<+Y3s-X-i#Ve+dN;SpkPi#B1 zpF*hH$nbHM__Lj0!M|U2=czWPIJdGrmi9N7-z`O|^6GTN5w)=9Ks{Mh?_7`mRIdnt zo*oY2RB(;9Lm&L-D`QL#?s-*oUM@FcF=gh}Glsuj!MG5- zWZM`ydYv3^lTrIU;=Pxan*%bbIt6Y8i02p5IYu5raZ*-fopvJ4osVco2He3?534hm zxvKSU6I|jkG)Tm21)2QxbPa~nzBz=N&1JcK(1jiR_ ztbD_US)Sp(whr$k)Do??JoP9a$sdfwhi3e;@W^Ml3IJC=4clBERc;ewLS?o|mDaq7EIY_B}IQuHmA&!p{_lCcEH_{`C(b}9(cbc z{TFEin6qM))KP?8!ato!h!1d2y?F*Ul-<13h5rhlo=&I8w1snu2pZ`sAuW;^=8o*E z9~%a@H?Lwm4!vAAJwRp9x~?S9=(DJ5Z8_2-Duy#ilZkFaR`&rXEJUdYALNGYz8a6s zMUA%V*=*@s_+W|6th_i8W9rnN~Uai#=r$zJL0E<&2o37Lg))6hYD1NFB=Pe8{kJA#z zep$`dEK=r&X{_Rkyo9f=u>fT`J4cJ157G+3D!gm>W8_cM`hv*F%9P_)#&(z0#2G)j z?66}<+WorCP}6DBe1sC$NcnZ1-kO+LUmY>p2+1MZWDqG`NC;DDkZ zue0?!oVH#kD%`9MB6x&3@4uUmp#8Tvo&RL2kIFM{^_~G~gGbP)zhYgB{kS^qwHVAn3*p|5D=8&@v z3)po)5G<$0PYxXzHQ{8wA+b4`h6m1Qhec;L0A8%|2X-r*sDP^vmL=w34v1w}AF$hg z)7Z=^9L>$>O<*9^(3!s`=V$G#Co2#61S-5vAE}A&b#L42R%JUWwwjaY zM*Wy)m+e=nIwgE^I1YpZldE>RN1FEz?y6HX>&}DzOMaGY1~&%`Jk@r%p3TSl3GXOh zNrTr5u7d6Zn6Dny_aE|B*S5>Ms1^MslK%HEBE)db_Q9U z>R|BLjJv8o35L#46=SY-@xh7HBAJzMhnQ4<2$tRSm)f?px9(3VQXf1q+jXuPcUJ6JW&qsFut6zJfP4>^Onk!^%GP2B{j!Q^G7KF z(`fr@D~^nZtHp!og$Xy9>zpeSbHy^MwiR0%9)D)Vmwi4T0@hDmd~NHmsFbS?=K=^q zf+vXDN3WKaG~`8;M%cUB5ce^b*Ey+UDkl|%_b~;=%PFaE{bSxSGhPwm5^u?zDm=SzgTvBeKVBQI)jH=av1*(9-iz_X z!U*f0o$+Wc^Hf#$?e8!LTr}Is(s@7U5mVPAp|61*kYRO@4V#3bMbTUW3kw%tY$$S% z=%Ngh@-`qHf40*MGiSLX$ZY%SIYRDgz>-WcBllA9(&LFHwjkfgBty4}OKb6Cx&x8b zyD=dF{fl2)sGT5ian`KG^e2_C(47+wP|ba>PGaR3i2~f`9ud{zo7U|-#U#GlPNywN zC%Y0&<#kG7Ei0eF^Rd@0LLaw>W-{=^kcELGC(54>Oi#FPHe9X)HInLL)i!vJmlt}~ z|GXO$_j>L*Ak^DB>f?yA!aDtxQ}1ftjxApB8_z>?US-MAkcDYvCF8+S*53z+!Aizl zriH*O=g7#Ju9JY{kfVuR`+f>2bDrslU$@1+n!azU(W2@es(}3&z5%&gMWC@%@Y!DJ zgAv>gbaQ?k`r^`FJKswDV+Q+$uzS0Frg~QcihJptqzF_g(-8J~H7!|TbA7ZtUZrLJ zeM4vR4IexH-5lNJ!O4*Q;@B)AT;LF-xNY;g^O&FbM`p-GqeH>C!A!S-q>&B(Hqr7R zd89b>Lsa?N*14H>!y4U3i>XTm!$t_-(eDex0%Nsdlez>Mcht-m#SHDY<)SsFvUVP8 zk#&KOX`3lllXJZIMj2A}a#s-Xvb|2UqlSjl9x$$gLf7Rx#<-MJp+Ghh^27KaI({J- zcvQ$}8>nJ=5uT%SX7`VT7@woSoQ$vBN(cDsdi@R>5AEIy&bep~R!i zWQb%(8Sn7zWNFwh(aX$v{Z`mxdTMjBE>5q;L0VU(ut#MRpO$PotML_RQedv-ctnZU zYZoJjC$zP9_qBa;$Y*AEjBjhcEau)_zI0yT_-s-9j0Tsfe7mfRrA>j`#Y)K1LepKg z;Q+4Kgnw*j{H8XA#j;FMgI}jV$qae)&7NZq*Tf_n1Hh^ozvR<$LeWfXv|#2>(!kL^ z$;?XJO(+Stuk)KIo6-nEl&0{lfN^`+AD%HY!QMXWFe^ZbUnozHJ%q<-Gvc78*XR0NLkdofO`cX_e52N<@!Wl49k z&1l&T;ff@x2)|gRyEl3Nlx%x#p|QgMO$13;;*|eTf!dDYj~r%z-|7FsC!_x#e8M}= zPyG+KaOM3EPN(j|`s)b~jQxa@j3rL`7xh0>^q1r+{vo8WrHX&KYNMZ`{67RW^*;{= z)KUL{47wJ768Q^)@_&GY{r>_H4;znsLVNb?>B$?#PrY3cP4ac8#fC)zQ2+L`MucwR zt4sn+>qHv13G0nx67*kYuRf;st;AO(n{IDfR8+iv>KOsl*3O97ztcmH67g!;$r5o> zMS%kW&zR!8*uVHwj+QMhS$Ap9bwQ}gFGln@@n1#~1cmWsCRF^{sxG7)6jQYR`TgV& zKFYR6#ub+I5Ux_0#@?d++u=pAchLm-(x#Tou=@`m-#sX~)_Juetf$o1qbz(F)cPC$ zs~J{x$UEU`caATTENGeER52yfa5a-s43F~_^3n(a@|9iuVkMy zw9YQf7@MTi2fj+u*d@B3;w#oAV~`^;CAWX!d3sq14N*B{)n%0IO<6_H)vXCykUGA9 zL(UfKy%`qzlMp}Eano07Aq}`L*Xrn_ic_zmLxw7jt1cfSMjd#VG0dF;{taS2=1U`S L!@I}(C#L@w09geh diff --git a/CPLD/MAXII/db/RAM4GS.cmp.kpt b/CPLD/MAXII/db/RAM4GS.cmp.kpt deleted file mode 100755 index 29f003afa5c4ed239b360be1ce9ed1fe2cb471cf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 220 zcmV<203-jb4*>uG0001ZoTZUbYr-%Th2Q%t4tZbOq{Am$a4?30!DeB5ENOdXp=+8Y zsUY~{tqV*<1;N*Q?)RMwcitIawKcTtDQBz2+v7_aH@#sv1RJtW4LWB$<_y21w0?BQ z=|>n~xkM%&YUS}6BJeCuV~(d${j?C7KsrmfNJPprlw>!mA-v0`14sFaFRJm}DnF5| zh=6%V+sX_|dsjK~@LMw-XK78AbVCf^itLn_ob3XToD$b1-b(F4)4i7UV0&tB)ch0j W&>dXo;DxOsntXphDxy7AXptApoM%}8 diff --git a/CPLD/MAXII/db/RAM4GS.cmp.rdb b/CPLD/MAXII/db/RAM4GS.cmp.rdb deleted file mode 100755 index 8974ee569ab67123ae574317c564804011a2a9f3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15349 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J0T0RR91002%p00000 z0071U00000008wn00000004La?0svDWJz{bHL$?87c)DvFB==eJ3F&IJ5$w_S?_^0 z&dRE;so8pMWp>Z>u269+>sD1VS(&vrGrOmjm2F{!KsNu3z!H+-ALa)#5gtRe_r!@4Cr+F=apLvC2OoU! zd-(fZ{7vDxb!+NH{FGZ~=k-R^skfSHKR$0Ioj-)wFR#D5P9XW*`eS(e9i;wk{QXwX z-*4jm|JlFaz%T!p)31H-!4Kf)2awx$AbGWZ7Js=Lw>t`v5tptyD`g zLxykhzdww>{nGaQM&%D8)b2$1|NDUPWBA>QPojp}X?5zg7_YhK*0=ru3weH#)K5-3 z>Q4DJRajXmOc!ShbE?$n#7U&etpo+vJh=U^)lF2l9jn%H;PdC(>L_m4PnxRJYBkz# zs7C9gUQ@^2W{n(dtJ_iYNVPhraiZF-WT4dtwJN0I*(LG}LD1HP^sPm+C zl0;{k$1TuOKkM2`*2rG-dEAcL?N+TGb>bs+)T(vQ;%3K)1Jc!-$mPtuvMBNU=Skdd zH!f7Wd-xaPT1VaPv@|tfV_MZQp@H&LzCJ9flYAucBOmRt|j$zEqtYu zB#xQ+8;;_`8x!nT7^DZRB2*qv5T2pm~=WTTsHM_@Ats?_oH>64|GD-ieSncRsakJD=WVEL zS~L1<&wsR6p3Q1Bgt9Py96?=}-O8Y@fl_*-Me!8>QAhDs>%_!n|G)JjjK!~YVN`Y7 z2WQc_nx0PL=;)wrTjGJXe7*=fcY6A9Qt!mMFUQBqn3-z2W<$3f!sB|UA3`L%3dX2? z_JlFQGR_x3Gl@0+352w{-G+7Zs;XQxVKN|WOz(#~S#F}**#Xnmr(OSl0)M^s{x2Cj zpVEJ?-hn}=G2h#)<}iQMVs=_8e;$dzqf2rM$ z&tR+?E|n_V`m6XaF!X`QkUhZgUBtk#Q%_|MYRk;^DjnXn(;(l8F(+zv5*&#G-!!eC z?Z#PCeRUNZ3$@ArFlngk_M#+eG~xz^_o<5`lrP6h*B0?>BQTUvIlPKsxM^c_ilO~I z?G4yR*Vd04j2}m*?P-3!AITqPy{h~ULTdLVTJB*Vy{4i~JpXh@@ zhi&7~Rl`4qB(PDCrVgJ{4L&LXLq8atQChs(&M9_Me-y(xcX1xmiKksI#M(ZOYxQGz z>VOcGs;JRw#xtraGBiZc<<_nrY4=~>{5!1j6);lMm|tpN%TUV#2aHK}rj^M5y;)k}yfx0+43Q|pf? zo#oTG_FkL5?zPob{GWNyLzu4aznRq3nL)Cg`Pz>^908i)&J0FNGhaJvp9q8aPCvAj zyn%d)9N3wo0PN@J)-&S-SCfdyJv1DjF&zwwCgyCeq9zf;IY6=3ju$;VPJM*B{RGCz zUjW8iR0C3LWgA28>m(w}tqDJ#1%8;OLGk}EkIXpVyjO2d?_%zdqcF-=pJscPbLs87 zrhmO#J8vA_HIuo<3u!;hoY$MQQ1Fm9FW?vUgBdVYXb!ZRee%$jnRB0w`b)dx7md~M zNn~X^-!(I1Oe+R6*nRTT{q~qfW@Lw92yMW1O#ER68n*me+=wu#dUS*^XJ_ByoT^zz zpi}hxrxm4R%`cc@3+0zQ%p>@Id(EToL}sb+lJgk$Q|JMlWGo+4KOGUU#10a@ z3`58E{HKcqdkiNv*d4J;LXADEoydYjcdj66e5ug3TuTG|uZx{!z z`u)}fd5Corwgg98A6~ZTW~bX7-A$W!chzdtd{1egaUWkXqp#`O_PKHvyM*l`a2 z^^Q;=!Aj3WowbhQ8AHX?RE&V$zY>!8esPlo{Wv4zCtQ-;=og-FsUK%#{De!A8~wr) zF7@M#jGu5xa-&~(!lizkk?|8QNpAEDPq@^NGcta{CCQC`;R%=eaYn{ZxFosJFFfH= zKhDVb36~@{`h_Q4>c<%wKjD((M!)d$z$N2gqf?(NYW?yLY|b#pUv0IbBfaO_93}~; zUA4R`+>SqlWXAn>pI$p0K8Vv4dF&teg>zT*qc};p0b6hUhPzLr#ff;_tF0Y?e1;6f7;V!jwJMx4`wTRJ9QN5eJvzUR@x1%IQP8ezL(sZ-_VwO!Pv=; z`~JKACp+%@ueRyC{y9Za7-Xz8UdTT(}0zZUmK_6E2WIp%;{3!%^Fsm)ZTR8t4B`~|U2N&Xh zA!U9S6Y2X^$`bccOne0uBnc;72 zahFu;+^6SqxK|*GyD==OYvDw6wD$mcm1hwqk@*Xhf z(;M{*&tPI2A~VeUUIgVKPB_u1lXQ7w8Wp%d7)ssAe%Xr?UPKok@et_@RG-^(yB5B&N_?+PPX@%)a!rRa%$Rn;i`IIC6 zvH5X#5sEYYm}>+EyK(yq@m1;Xp@kEsWUVDbRrJZ7M}2q;+3C}!u1ZeY4xciDo??GG zTx^9^7k!FOyCNl)0+hZyWy(j)r>B6fUe|a^9d7ni`Yf$}ZH7mwrujUBg-dB0C$Akx zdGbqDUzcF6%8af4LG;wrui)?RGr;r83=|H;Z8K)-&qGRnlzj*Fm-+Unj;p=U>!vV2 ziSIv47^cVZed@5$!om4Wp6U#J;Ba3GkobdtNbmp!jQ$Z~A3yAX;|d2bb`6{KZ8Mc_t%jSmj=K#tjdfOC^)^DqIW=2+vpD&7%`V<86z}RwcPo3U zpek>xcj7~}Zf=S-38^6WvAvV1)K28?)?>$R&QUgC+{RsPoliI7N4VT)-CXF=ADqeW zMQ8Pfz50~z+og9wy+Ox!a|E(^=Or8Kd)vkN*=k{Sw!C0sNt+#JZeycq!*Y*Z%)L5B zum*~%ps%dyLof?Vv+Aw_a&<>771S%r8Z_*9ds=|LZ*Z{)@MR6X?4e&up>u6wiZ-UL zEF$e`7P|i|0A~RNpnM)D`9Pn5t43NY)(wCV9ySA5XgEXjh!?TEN}oZjzvwnLyL7iW zhZ-)Hdnzjv^PEA zho|W~z{7YKrsS4)xA!);fUmN-u~Vwv-(Nr2TqEGr6eq0b=VzA}7M2Q&b90MJ3p0g< zm4*4`h2q@eY_Yh!tiduUC3+cVJ6+!?t!}L!tlqC2ytTWvc2M5jFW=v+?$bGeM^c+=$?jQ!wNAO3zq+dp2A`Km=ii7el zYU%C!JLM{n9aPu1_dJeet04N3BjYu`&7HpZMAMbY`h{Ny6}x-Y&F#&vK!|qB2m9+A zn-x~i-d3r+zP%1aUU0GT$twtlY! zVh=WV_U_~VT?pOA2AarzscI#R3@8+57St;U;Gt6CyM67)4=Rhj{oS|M%hiM3`&D9J z+uX<7u4`?SayXW8!hyK~0IzuZ;gj*iy$_^mv%5Y=*nd!7b`I@*+NB)>G!zi8y*sJ+L<9b_}ojh57h0eoWt6-n*~8&gu><5Z=)R zSGGlF`)T&@+Rxl`@20^-r8hhKCjVKrkP%P{*WB!!+FtDkP6*?!&p}w_ZxGIz&l=&< zVhEw=eAAmob2y78CP!p(Wg&#qg0pYw>zmKz(T@@6&O&+28w6~3x=woWIYU%RiVXWI zC|HgK<%oAVZ%4ILTnmePB-)*3YHrf*!JWunhX&V`i%0|5WyVc%6>Twc^KJQ+MAB~J=3EwvtD zc~YoHkeMfyRsXATFE#9eiT*qfdnl>>hbmelEZGJ`>Fgbwu*of2>1A(XzCXFq2=h!E zSQ{^RDE2%0dPx1MJ@Mup^JE~dV^f(=MV<~v&?ERR|9Mi__N!w|7;z#g3sk#ELtj4L$L!Wx8BTCbSC$oFC_ zfx?r*DvO<*!C-D?)|x4KK+6LHD&+yc2k*SeNUJ+DH;n9(X^fEK1nk_y>?A<&5G5($ z6_q=2hx@H`y!KmY6jfZhX`;-P1sSZR!*;9D#jw$1q@vxbAL61*+YeK9+Y1RF8AKGr zi`Z5_t!ENPO0-Zsim~?nY~1N*tFl<*sDP z=H_dL(r3S&)$IT;Lksz)X)$&L9E9~wJxZ@c6;!=>WvbGgJzeY-F(R4?v4?6;lk^U* zqD+paH}o78+k~Koo$)1kB=Ylw=&G_eRRJX)O&ag`HLsUYFRvm6rFwcAmvfvQ!ka=T z1pIt@sY0`bi&o9WAqF!w&$1O~=3SpHyZlF;aZd=7plfBetQ5stPrq$x^D3pTQ4vG zR?W1!KZ39O<*hH_2M-I;1FPe8ehYS3Ko^uLsYPc%C=!eFbLNGJTz{Q`Vt&OL*RDB_ zFMN>dEqCX#+DR#7FKh(1Om5)~5BvJ9aH{N zvW0ySc{q*M^NCW71nAA|bxLU>7QC!0)9l|&Kae&$eb5)Aai2iky)K5hqTJ~iNEgv` z&0-+L4*MI@6VLHNVQE?2!GEtIjEC*bNt_S_Mmw1iZHE|_i|WpD(I#g^aYfxJu84@m zC3ReOcAZm2F$7cskTy1_(I81c;dg2aht+R7{Z+4!k zLT~gbG&yj&Vujd5qxV6K(RE_JQo8LW;yu!x8RHXdAN@N{9#w0Y@$iYa>b1CuSa0#N z>DgI@Ou>KXzSIDE;rSjwvjQjchGtYgaNdvt6qtw`#y@r$Q&XSC-*&yJ2km99 zgMQl@%BmWl=`(=4iEU9rHG9)Yr?-RtA?cZ+o6YeEIIP-hL^Zu^O06Gb8Cpl#C2X)5 z@D&e}2B#!wGINO-My;1k$~FyGuqt&rC}{_4hFhxgUi}==ctl~T2{5A9(b~~%FZ89= z=H}(hN9vflnYrl)YU@F@x@97LQT3#bS<4-pB2I&4Sm{VV1lH#nc$PIdKkzy~xa63Q zN-ZSi`NwN}C9+Q;%_XLz=tW#_>P6?P-S)J;0JvOFYTbIrIT8AjC-j)93gE&+E$_Gl z^=zFQA4RO2z5HE*O_5RzuT}euGvMq->kU0n)nBu-8?2FZV)#pVu{57YSTx$eqJ`AS zsy7_;^`)yLvo121n=GUZQ&??YOcP=0lDSOS9D6g2)b9a+l~z+pZj*p6sM_L!3xk7= zSI!gmzLStr<8gG+R+b)f9pP&Cm>U%gk_?+NFO>aMlycWRbDkVim-Hl=tp!d&RQJ|Q ztieAj97j4ESkn^0=@lzB8-x0-2&?hG5+|+nrs-H=k-pvqZyJWlN7jH0vpwy>&6q&` z;oxH^!%&m@CHxpx=A~-TH(C}ck7Sz~lxWa5>Z%@udZWgAdADsy&D2rmzy=coEHXfpXfIT(~HCi)um3iTSYp=XXsYeJ;=4m*9}yyH`-1@a}6q zO)C>Ecn45C91u~I^h?hhjRP%aA=ZZyWRay(YR?NP(~)D6Ny(JqS6q%ZNt$ub(Kdsz z%;EFBR#Hnh>OtX^9<}P2eZfS>Id;RL^GGewccO%KWZO@sUNSG=2CV5kk%iX1^s_aA zHpkNAp&919f8BJFjjFB4&(b&S4c3ex0H|&8(WFPOv5r z%9TvFyXVjc@WjDOkaVWI=kOFm(9|6`Lho1htrsg(m7nt{%n{xTEf@tv@&@ zZ|yfjDXBng<}xL8CvWYHjU}xy?5>B>f5xZZ+}XsMCalD>_p{&&o(!F|+93JI^^-2| zW`VwI*Rb0&){y$hr@hGZm=y@NTVlpM(38XodQvXA={8ya!rx50q||oT!W<>_M>GRY zl2*5QWKeSkX_amoIH|Rd`IxhU%pV@j;{^ZNYhw&}hUlRcNK@bn!&j3P`%J%98E!Y) z2!5>zGnWok|4ubyS8VIG%RPzY0%j6ezJL_LNZNd$Hr~}q^=jivbc{_h@Y-NJCuhZ_ z63cLdUSVyhvVUJ|H9y}`<;V@NGmr+w@1L=e9?7S>)$I8Ayb-^j4rj96N%rk?tfM4m zMXbe#-IEiFFy|SJ?P0xn^E_4EZ~==aQ{R~vjy>Ege9mWTKO7WiXRU=w<=!WjbNCqyoKtv! z*n`5#itQT$QLK%OT)Q<9sri!SfA)<7!}a&EZ64!eOINm)4B@37X1nw(L57qei9d_X zH%~CD8K-%}>f-7Fy?bA8hqNd%JkPw)*Ro0Hu~xGUJx-!M$o>EDKKo)dTCH=7jXYSG zbt_h~Zydjc@L?`tdJs+$Ra~&Xr_9S8d?_7q1xdR-UB#?u7ssy|s}A>NshgVPx~z;KDaNQqE=gC4Ad+K9|(m*GUGZ+1ahC4Yt+2Zu@k46*Fgp z({QZ1j|HV13&uz2mRJMcupL^PoQkyRoyAK`W`1u7d)Vn(al+1o{fF3dGm?vi6X$8V zO1ZSQK59z#X*T7?*6wO)Yt)3gCXM9p@4llhmspf@tGvE7a;EIjEVY&0d(|whQdne| z3c@%aN^IVna15P5&(jrwy-cfhx1=M}CNnQ&uXH!4YFs;Q*1zULEiz1-%Z+v4 zd05$e=ivr)Fcpz@iNqLTn!z>T@MM^ic~SVPv#*@ik2|T@BXI5pJwz~g>_<+NxTa6_ zVA+ln-PcC7q}6VxlihXafXa3Z**CgkG-Y}y=p30jx+GU*n2O%gB-VvoYH0X*pfE#2 zx}LG72udtnVN&J_6SwymfOs;D&AhPD+QD&K?@|V%uwZ8RV1FJ7nYmVHTO6sN1lY6> zDZ!kCb?m`@H%N_0r)VWRWSC<*+bH$nHSnaz2RL0uyTen-(J}Ud$VaZiGNsDAP`#jX zI6p(BkCi|$kK92v_=ZQ)Aj2Z5*~3i_echf*KRBt;-8xq=rpe1JAJND!pT=%0YTs;M z25QR&H}-W0l;-l!6gBh0mgwXHOaHV*;5v_h<`83Q5q!G7YHB4a(ldBWxlT@R-86jV zkVm_W>^Q&ooh`e9F*B^*wpR`r)rv`a$j_15HrR)Dw<|zt*A1gdnU2?=j=@}5oj?dh z2*z3;p@}s_XN{-q+gt{F<#Bx8v6lYz@V=SBn>*BF6tXEcSSD=Bka^KVckPGRzW*+5 zX)dQuof@*K$T0g>z1|6f8a5ZMrbydE^5X7R(3-g_> zugo!6T=#^rW!<{Q_^Lhj2At?%*IQREhlrI<7u^eH*fw_7Jj`LYZ8IQucD3uufAtuu zh_o6QO&69Ltnd>!v`HX2>^L5-wM=)5fq2_l|oX;y5Y8 zwyQ4*cWZ=+($?!WmxM0@6CT<|7GdHR-PWGel5loGMJrdI^BG056YIN{+;-)joj&ve za0SZDILEGb0{c)$Tn2B6ikeYg%)Um|d8*Rl3QKFX_@b z7R{|!EN|~t@QR1k3XephU-X2lCb}SrwhFa}rZCZhQW1QrM6dg$J=ZmI5JuDR^ zu_O^d1g)@(Sjk)&z8<3;&63Y^B6Gnz+e`&X42tsQ0VJI={A6e@3fY(w92GRrdF~75 zil9hlVIBVsHj>W*VB9p%OPc9s!84R30toJlqK<{n3zFurh6wXn z$i}#7UKBLDjh`d&S;&Sc>R9-^Bxx4B6C~xum4y;|L#UglN;8Mq+ofIw9Tw<(-S-fk zFO^glGJHM7krFL6^nzfo2!@FkwK8^2OBL-VlzT)vOtdJK&?4gz52>S<1R+9XMKcp> zL{KV%;~`oqX*Y$~BeG$l!}O1bXsM+aMMDg8I?q!UFdr)$pA0{thA7qalAu%s!<-fX zg6ZSowA9m!f;>T!h!s2)@;`Q>mqq8YBxni~Eyxo@3)t~+dPNc~XcB}7P744_9%VWo zE9F>*uP4(SbnU&hX-OmA1wp0=3W^19EtyPL6w$UI8Pc9C&Hj7=;w=b51sNhJWXA?| zS@UduN;K0;(JYrs75w7SnG2c({b5E6q6?x}PnXe#=zU4FJ7uAN$C59VuA#iO^prSF ziEiF|XI=AIGkQME(!43eLWwpgN@8PK66fBK;Y?BZaw%5_DITXk>je^MmWn&S6=Lw{ zS;W9LBPIxXt>`=D>LR6A7ZJtOly>y(Z~8?;Ci;2~u8#vrxr>#BSb$gQ;3r)$^=W+3 zJNImf++q#4aQ~C|-rqGw)^Fh~7yRHMtVLs2*PB%%`lH^0oBg_h)sN@qqn;#fbvqf? zkJ|)6xe|>wFIS>9NwK>WX1u7p>trlmIzGoC`}pxEHV@B5U-r;b%eu z5yUcwdq7d=LKA$*o4=KQS9)qLcdmE;+83Pokk`H~zBB;m@|_zwA*x&eJRiDG znt#Qx@QVg7ivN7nzBK-dqL0gqIR!x!|M{r>jOiyF`a_FvIdNHQN5bEvyRRV1H?;n5NcX1nr>#nHtoBM8>nb^`DxMYQB?II`|{&OJu zS;c*Pd1M_{e4bb%y|gQ0hGr;h1jC*;*5vmMvp*N7UT|IGgK`-c6g+4( zI_ZUo+{^l4fBjxIR@gT$0$^?#d$sZ39hKP~&c=^@un+KqhiYM3EUdG&@o_|Bk3P1% z<3cU9u;ll=_fO8kxJ8c$;^9ioJ=|F*?^(?knF~OBJs9D0AY%nJbFvO;kR7h>=bbd=rCg01A%vXDp1EmDeOW_T(p9)D~?C`6u=aeL`m z(;t)X;iQ(%n3FxmT)vZWJ|XK7W8aUJp*WeDBpLSQcR%}#$;f@2c$XgC&kP?jr8x*L zyr-H#f*qoH+8{aOofYFFO7uTMzgVKkD&apGA6245$a9Tk>=C z_^1+Hgy5T{N;3;fe%!ccd}IkftKgduckh!QA5qqH_sv=8EK;Gn5K*D$ygml;czl}v zn%%?5?xHvB?yU0AKEx&m4Y|3i^VCO6p11?oJ(Leg+~HUW!LZw);-P(rEMV?C)u)%e zP%}buGjicBCnYoVUA~4I_H0jHHEW(&E#o=iLryFlPe@cyEO=mcac1Gc-LTQ%G4|Go zGb=PDLa~SzBwI67-*{WIrc0<6G5!@z1q%z^4D)!}vnI=`79Gy_^&~_tcpM{PR<<=^ zmP4X7TahqEx~x!Xxe zTwU8_{!fbnD<_(Qyb`DR@N%{MB~8Fn;(?V2O+j8=8w%E}jnOL{p3Oc)M3<}vp_yGH z$}2$UJnW@mSXUn!91{CO!_+I z)sye7Qn9|O-El2-;C6s%;__WqO59aD)9Vo@G`Z7TJ@UgN?VM!y^r%l&jk;s#G~e>w z97UU?IooKgqzUW0nD74_X->tDl{BH@oz@CesMDfjB~9per?mp4xjuWGq|J*79;eCz zq`C3ISV^<`oz1}Mxd3TSdyJJdVT?O17a+}P-LaA;tn|Rykg3vjH9FQV+wBV1RXU(Y za4liH#MvIf_uoBLIu?6k-m=A0;X{<8r)y8Ra|?6@<;Qrb^f(1S-U^Z$KHo3unV$3n zoUyh!so(RxBDt11esP?%xx)upqfXfixlU=j2}8%7=BR1Qj~7$gZo<-W=YeV3#DLKT zDQx}#u}fAc3wHUbPExWUw7WcCz*0pz#Bp2WBTG1DoQ|iES+ZE(%t_qiBTQKN^J09| zOV|}9>>3p*yf{wf_i#-6BV4n*tfIXJWx~XF-9d;l$Fea}CiK5N+)Ok{^o}E~fylAP zcd~3|?jWX|hik!VcMmRDLr!QLLA1u;gcg;@b>^}btr)wiD3cGw>^mb#c zhDDo~R*7PEYMdlkP3$@ELrxIJGqbrUl&|Yig;Y}5o_4cX%$d$vW>6poe6UTav^l4 zvsZ3_3ZXLt_f(8aE`)^}xWj>ZYJV*=zkFE7?eK`k^Hk@T-S%AeukM~%QA?IG=ilM$ z*eDY^*4<8W%2I zVUvdY(b3Wp3foT91N`C{JyBt1`6`JnnGpuHLFW^gA z-vM4ejj%;H#YWopqS|}7a5#agDMlH?|Zb77s;nt9}T6fE#HnolxJ{FKy3n zR0uRR#m!EIrCD_c|GlPT^bTox+Ke!gq!sAx*9)9qUvADSW8>p%`@ppjQ>3ld~ig?ST^yoKGA=OAiyy2ob+xavDTC>$obd_8doI2h*~ z-@Ate@A9Lel#zg)G>-)Aq;3!}zxAFO(T~jz zb`&nNa1FgQeV{9*~44<$(HE^+*#Cv@;5l$38sS}$pCTG>u}IiH4OvZ_XkIh0xCQm z{mQQ^Yb|Xrd|mbKWR}^RRTkD&z$mb;#f$>$n#?G$uFZ@B>l)1{u&&jN0_&R1Kv;4x zYwuR`W)qbr?1H(cHOd-s$dWb(31Ga7NUT`O5LA{km0e z#$vpvI9SpTy&_xXgLfAX9?l)?%$Z1jwH{fHH_IG2tGMYMBJB3u#JlVQWjM`3dtQ7V zc_-o3^Z2J9eDHxG*_ESs@UW06hxK7yJ&%(#4|0ERbLYS<4r6KEMt@e6=93{OoVU_UT>ZEOdSrt;wdd;fW92ku*Obe~&5Y}8>@4xsv$6GjX+=cm$5k}V@8#KM zOUm-N*7F2g$Y4}f?0z>-m6bwSnS)VXs=@}N3RX(4FZj8X=vToy^ZZ5{_sul)<7o&1 zs~-Pn8geP8rv5=1^z8UMDV$IHon9u>6n_`>n!eb;S{mWg`cV3D>Zj8@e4Gm8@k$Ek zvHWHl=hOb*K-|9$#C_a&_)gc(~0#IetD+4&OESv|fsR-0OjSf5LxG zLw_a!_qPIZA(|U079ZG1gFeL%kBHBwNRdp1;{JI6-;W0P_j3XMDUUi0{ns?)1EIPM z#r<-CmX`u?Kkreep}hdy&!%w*Sjq8mX;N`=pO5>I01y6RfCnD4Py2&`v>~{VJpXn| zsmB*e>-DaO`>_$}3*oy?+*bnS{jESbUJbR+T-d?19+_c$Id z6c?(Gp9%1}5Fn-#pgBa#KMTNFd(jhz&|l8HnHMA-NhkV2gh%o>eJ~CES^!@tE$0Y( zA3uWdUqW#qnnQ4f04+b6j_N!XAM*Kz;(jPlZy~swirYZOz62%|qUEarSqs61WbF%q zw7(GGODJtfXU_%jh5Cx`4Z!_$Am30Pp9}Ei7ke3n_Ye<4ao(=;NJho?u>dW<>d~a3 zgFt!zcA&h6Bf^F1<0z0e)Ca^*7%rp}|52c9A>NN8?wf%+`r))@eBeL&U>XY17wQ*6 za3Q|@`)ly!wLrc7+W@`**i=YfUJn3<;6h~!!F_Ll&p(xN(g*%hAm6V8p@%S`zZ!t+ z2H<`y09OmZ{j~sG2;W~1z&+AGk3UUX%~rRq%5>2i%rw!h>-+VQ)BAO_Om4X|JurExjmd#N z`CC8hVEQu%vIN-lpC3qomRYslGcV<;>w5;K-Am$j+yMw4me^+aB7&REM{&}D)Mo|G z`eRkg&CRinIj#Kts6d;Xn1lpyrF8f!*L~_q;X$7v!Qszji;Wj+G8odPg?QWw}KX1hEyS2S-MB-s7czet{^`p+Ij+x>t$!gS&8=N#OC2?e;*&g|( z>c^aI>?GYH_R%sP8gnn%`u@{Jaj(0B2i#wMz z^!=);aS^noo-M9O;)?~W6~+}$o*1%?^WzN&H{G_!nu+UJ(98FR>Y4k8J4%+ zOUr7oI?j=hyA9raAmq5k#aD|E7f+`Y7j`G+(XqBnzn%^ibgXRy4$}0#z8&_m8{I*M zSoP!z{cYG2ygN3gzdl!D-3u@t%pH_dIVP0}_^ebkl+4o*%A zE>41OF1q^#bP+*{2)Yy$92^`fIOyWw2hhRAbCcXkhI{{T&+q&>k1K)zVE|}m@|!3S z!DGmhWzcHHFxdnVd~)a_pg!V|`FM~5vxD%N2y zK&LC-euiyqIhfa`5J|e2W995e@WVYm#|SxPi{*$)6{7O-g>0nz{YkJzyAQT)ldhVa zz?EVsJ6S6;6TSwuq?awD+NXT*fYF2V*&6fVvtmUTE2>Nl?iRMWd9}FCz90W8UPgot T#!gh|;p00960^O`Dh diff --git a/CPLD/MAXII/db/RAM4GS.cmp0.ddb b/CPLD/MAXII/db/RAM4GS.cmp0.ddb deleted file mode 100755 index db1bbdbfb06f9a6e13fff01ecd894f9fc6438ccf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 76439 zcmV)6K*+xl000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D9=3;+NC007%30RR91 z005H(00000005UN0RR91004La%)NDZ6j>85+zkPOyX)f9gw(J|aNot9#ogT{(+YlXO{%(g?P%;73a7G#;$PKL_oEwlMscEeQ`8mz>dDxGUui~Z zSW#3u`6uT}YG1+ZBXt#Bg+HF$QL?DIN;<{=rwAFrmA9mdTP2q&-^-JV!vuo!t=x$( zpI>?D>Wh3<8c>od9^QFjPKXOCs_QE_)n^4YS+9nnI9Jjol4nej`i)@ruBDz# zBG3FS(Dd@z+iL2w@;yae=|@pizUuwFvW3#{H?g&%qGVB8Qrd_kw3MH#J4#oYXXKD) z!c}5<@`aaXlob@`-uhRcmCwhOT8ggHs`f5VzEWCJ_tj^mABE?iapCQU>KP@ex0fnx zN+&Ab)ssrY>ds4%Mfsb0Qt4LNT4~JN+r53#G`@4|@Gasmod2_;*y{g&CFk3d*>NrY z54pec^M~0@uJC{T{Z0f9eE-6qKO``Me6#YGPW+(BHv)~yn@;=hd6j^sR9NcT|6Y+a z`Y^~2c0(U7 zKet&(A1Ys^^)1U=58m5>v2O6qMEqwwW$}!^Z}g^Qn~xK2{2bc|`jUk|yae8DC>+{% zJ6U-?+JP}pdodpNVNT3~c}LTn$)}}ufN2DUqxK)Dn3lnI{}}6NYKk#b=Z^-<6Z8SdAAVznRpi=+ zMt(QW3Dvfa7Y~8~B4+3KTT$Ym<(;j)GTQu_1B=s3rT}E;L|7aoY6eu(daa z01aay_c@HV{KX!VZ4;Gy_krHNDPV2CY_)Y2y2MqPUax@!Trt{B-zwdcEZf6`mGm?Pt!#nH~Uf z0RNfQYECD=STWo{ikm-xzTN`aXn zb%jDLGsC$!>NkTD{i?uwwWoGL#q_Ks!zjLi;@$f~U3?x`skNsj0_TNc^q=Sw}rF{x&p=uW?b^`@=IL`wnuYp3qs*7XsI)xUj7B~l=+42mbQhD!4?QGf=OR-7p_^^w1#RN%8xNd4+)2I!jed)rqG<90?dsf+E*HzaD z7^^fWY)Vz1;trfZXI8wi5@<(eh+!UQ;q7cwKGX6%Y266m;!p&x+3Z%5T8+2w188yO zP+`pp;41Js^zn^&(*pdzU(7Awj7&|E$6jPSHj5{D96UVVwN(5013>zr_P%P(DAUM1 z^jGK|tC41HB?HVXpzssjpm9gyyBDT!Z2iz$<1s~`ofiSztL11ig3k->7+Pz{B4!wr zss) zPp=iA1bfCrRttDGXTf4wW(D|vj!+A?UP>(!VNia=a9Hb5?U8G>ru`c%#w|vWF?!iZ zGCGx};kD;kx3oda`Y`ftczCGw2d%Ajn--WoWwH6Odqp`3bo@z?Ba{f$ZpRKf- zXwJs~{18#{EyFfyaPubJPq*GRUW11KO?Fm}xwK(Esvdt_WUb}p=G-{P4A@md1N@t& zE+gQNtSoV+RnTW_&IYD7Xv018U28RKogi2#Fz`#0X5`a14^@Bs-bw~$a7{t&7Et_w zj-P1T`n2wiCze_Py0$zcMecHQ==zkq6!Tj}D=p_WX)HY8p4|u1hg+(#h^MvY0c+Oa zYqd4qBVyfM4j3 zY45C>nzbcx6yamZ_BZtMd1^P*l0d7a0SAaZ56=wU--&iiS89o+)xtfAAR`#@uW8@@ ze9=`?(`Hi#8gE%lvuf}#`Y@p=72W;Rs;6nEj>&i*L%L=;-cc9p6U~0iU6kR5uRNtede#EwPxITyGFR zH9?_S&;0Z@+xW<8X%C&RM$s@Vv;Ur-Rga4+E44%*;eXz&WzfCc9{ij+1IRUN<6d0$ zguf{4Ih2&_=oQ+XB98l3;wIKEoqCQkXXYOuQRYSn)oGy;c3$l*hv142IeR&@8+C~!S zrdEYvRBHTI>8Jk8g3C1-8X1M+`HnJF(!Dsc)_Spv8v{VQ=Jo*pfr*L;cnd!-ndvH+ zD8-1(dP7gr{^m1iWg>srKS+|tO6a8!tHo~GpfwfQ+)HXN)N*%}dH%_FA>@Jg#Oy{vKjh*szV7e|;{ke=}X1d%3nz2et24Y)LCWZlqria zk^2FX0}OnRw~l;2d=j+;XtflFMz}8U(#(Orx++f%@Sk`@#aJqqThzi` z)F6yLf}Dhn?)ywl`xx-H1*5wA-m(0CtE=X`J}Q=G>FoDY=2Gb&@9Ff6dsaJLdnwnp zPjF>(aj`3uWlbXYrYu3$9`-dEGWA2Yg=*~1Z6{Ns94|%Z#5$KD)1Lq}Xrh3V?S_hykT!rBd?^$bhv!bV{N$ObcmbC+|!P?iV;JM;Z zYH)ZEZOo&5Ew@(cNl5sF3-jil+|;gV6kl^ADfy!?c}!Gor}DKFTB*N7YwaMs_7=2) z%QS7_PYT@%PVD>DYM`}ti4|a60{g#R`AjcUq?5!IQztG9i3_SRquuOFwJ$#qt;Nxv zDtKMU`QEghX6~P~JI}jsrPH(pTo6h9(=Rt^b*co?({yRI2>2-S+Wzmw>GHs_LfdKP z62O^4Q0&|k;9uaB@-_64#n*a*YjFpixW)zCaV64;i+k>Y#!4>ieZ6|o!o~wAUv{5d zQ?x-noaZYM$KB(y%N_678tb%XEjO5!XEJ?TM}E=oN|ZPT{S7UVT)E?yqXy5SM9E+n zOThQJk6ib#;=F-2=t0O-2qw{-&zv;QRZ4SS6!ty>f2@3`G9?IbQT5HIt=*dQmZ)72 zMR4Quv}#~n_v-3_RyFMo(sxLRZ<9|Cr2%o3D`xOf>>8=jSKNk_`z+ZnbbINd$U0xd zc$M5Q#s&D-@KYVK!hBbBUJ04 zz{r_^{1kia%*eC_EX6Mi1N_%K%OT*OG;NmOHZG&kTJwqf-c>;RS{I0%6UBq8BPrpt zGIXSSeUDoDz+z8Ptnb{0=1-U@tfh&>8fcsQlKIo${i3MFVa-l3Bfx*fY}JOsvqdde zxT5g`L9S^JKhn?Yu36*Gzil)^BVM)RP%2Yx4Gru*)e7UpC4>8_s9lf$?3fRh(bV)l zGJ@8e85J2~?tnKp?YoQ;Y=0$cxy5RciKNZYIk$oyllZ2X>~dPcC!l?s3-i*A+O+@Y z+_Zj@ztu*w#T1e&$f$hHFRKcxZq;7T5#UQPM2KDOVbuTLjD< ztRVBsx6ZU~MiH9OrL|>hd$Z>SnPm}a^%o4K3P-kzJ51N$GstUKFfQ@^GaJ2s5oKH= z$a_U+6m^ya_+Oi;qT~}-k|;R?NKeM?v?Z|ENS!>~Jb<-tBTBq2cKMSX*ytuObFdk2 zQQO;M(-`@p%nYr-VPKYrH)~(JlkcJ{SR>!!C^fL*Fj`WtsObD2BX2Cog>@9Kw-+Y& zwdvxDOF8Hk0=Yk0gItI)@7^8*RB!J&(#mt@%(!r^B~4pgPintsu*@sU6yay)&ta`! z^b;P|;zMrk!v`A0Cv>Mj@2dIKTg+M$u*RVpWbl+okh!1dQ;XM7^QnI^Yhhq5n8}(; zIX~H&wF1e@T6{Cm2UDJT(5vVG)_!((rI{6m3Tv3n`<8{lXW1w1>HNWA?QW(Lt`U4! zuEa2PJ`{7QFulv2mhR`OX|2i_SZnh@8szgO zR#IrMgT19cnJdv48g=uKAm@6j6P%FI8c7k(n)Aj*Yg-)eYR)4+D7;3|wE8gm7_3;^ zz+ho5H*C`l6m;!cM>>eyqP6GX>NF^H-9DI(RaA35A~R5Cz^Ctw!fX~AOow|Z@FA_# zrNGNGc!o1XewePQ>ppnJ_0Wflzks~u$zt?&aRw@5jJM|Kye5-A>p)@g@JaM?&QXdR z(#X23Cu^Sr1*U_|oFPNVwAB>Ya28Cpp`ablTd)MFdUy=_7P;jNW3bOf8$}hmP8Fqo zj;|ME*sJW$uxiH*qp06&1&+n5Ey)prjp5=4QLK)8-v7h%AyPXl+xuA-1}{W-6-A-# zU2r5m+=f?~q^vMKTxxE(k^4(><)lni4DW*?9N&Rde_wiU2t%SOFe;RqC7-+xk zIgGYsKOwZwbJtT0`U}^zpP;%%K4ITpOS_s;9aNgZsy~izp+oh3-7$8puzG8g%m!o& zbUtd`VhZnni-L}>v{GttR^Y9JXIwcq(b`#GX~wdimam=~$PUO}m}GOZ@sxDoLt)Ja zm2hogr5dZC+3@+}Y1MrN&K4_z^CQTLnNdtCu$eL*i{B~= zulE&7nsc31Tl*r`Y0O&Cd30+r`Od#W8KRB1CTnMkp+zu~`p=_o$uCluQ6;SvTIXMp z?#pu><_^J&J7#rdKojcGh~K)NR=dK`wn?;N>L)Mgi&Se;7j}+98!MWx zrDQ*UqkP6>&uYt2aJ~{Ksy;PiWE9@;Mk9@dOl=w9Ht&Ho=+&iw-Qae*^AU}>vXV%x4%+SvY$`?$6YFPa{zabS2j@gh5{vgOh%yMw=8^Gj8}} zggOjG{cWEpY?1|JmfP&#*T>y0QT5224+hf@@Z^X^KJJ^a6K25t7S2lU-)-ckJ8AH8VshOfvx;Ae&2(+)_ z8D0CwCSGmPEfH`V9~f*T&KgEL`Xv>eJN7<}HtIT_DNr|zPKPLPbl%7zxg`$_iuks- zoL@lcnkewsHxVh<#C!%1umg=>CcgDw^w$!JP_X(EMybHa&16VD3!5yU=>=5nUs6R4yBib`Vt(OFF;u6{!7+`k*c1^oQdw=UP#Knab0&^Qo zQfN*qN`7Ru2 zrwd$kb=G~0t6*yxid)ON)0`p2C~wgb)(Sl(g1(p0k`-%=s5OzcEP5=ood_MyP;)Gv zd68=(RSi{OCk%pMk|X?QK8QPs0#ZGZ+E{y%0A7IFiTRr|WNI+8y2XO2?mLSYs4Raw zK2IFJ86mYD!9Z1ATCnCDl6t0Fd6hQE>;Yfvk$oc7Yx_GzOIN{~uDxXhWO&Gb#?OD0 zrM*dJ5Ei(j=_ug=tlAZ1?%UX#7IsmsL4WoZ34^L2T!H^=joke;%vz-z=-%+*B`BJX zSwX|+M3WfvQQUf$yVIGg&*h!Cq6?h59j${Yk=@ex0X5Tt!q|Q0ePX4Gn&D~IpvS+E_aR&kW zgLbUagQ?-n17ebU8o7s}Mt&-9kZYTh_Z;|!24!jSglsf7<1?$0Hc2Tk-4JH$bNnv~ zuHx&?(yfj4mX#_gcQ?=+N1|G>B81xBP$uE8%11si#LNc@ey>BQ=NAQz#hXY(YjMCd z<`Il|FHTe5_`dE%cM4hMG;)VC<7nPN)W%lvjjcCQ+XL3D-D&Wv^?_?kZutz(6Ckw_ApfJiQ-=Gb#y3^0$1Z06L;u@iag2= za+c5LwVP&f-$6MOkQ>90$9656N;em%*;su44y~8qKl2=BCHK^uN{#v`Fe*vv3xjQH zg2EENsnnpc0y}~sC~RJ%;@OYlf6%@23q@<}zA8J62cFab>#W$scFPc1+@Sy<*+F5% z{5EtatiIIdO3Gqi&<#iruy(~M$FjOQ>a=%|sc?Sb;^){z(TYEQ#wLj5P-+*2O8TtjX1KfFU7Esk<|0La^!*9 zkv-t4e_W-{DPy~1zBwYQvoI%xwPDQT^!9^H8hQ_== z%i}6=L4ID;(ipzR-4Bnq{CuR5Yqs{5xe%cqipryk(X410=<&j#Ru$H{q}=w9iFc9& z8h$B9)0O$EncL3DCB`O#D_)fFti=wh6XIH=(_S6mt%l;7RgEUhwN6{cd+G31mai6k6*%9>o@`ugIg1Y7zD3{Ot+j?~a7NTV2f42l-$)aW zh08|F8O(VK43YOU#0oDBaWvuO4HTeS6Q6>B@! zmJl2c+J_ND7sd>tMW0m4&Lc}mQ*cwcZ-U)x`18VyOP`ijo%9Pcd- zGB_xLjP=L=pnAm@iz6c@#bOniH8VBj*0VKr3SMx%*VD`#KZae7Rczkf*n>`V=r3}& z)KSs`eWv{2uje1VY45V7f;<(stb$yae$S+w+ULh!u|jnS_J%=uKa*{@vbNIl#J=v_ zjVoA9H1blYo)4OxHGVLqy`X|G=MLM(8YR zZ<;cUS{G8aJL;X5)lu;fT41fUQM*GWD~g|&gm0{&Z67HO^Hw++{G0KMF;p= z$jn*vYkLL8s)Mi=#@=G)gxorx-x$bL@@Wx!>XhFVm>ty1paRyd!Ru7ft(`hb?n2~}oH>o9=R&CWj!M+6d_60# z24lj)p3J1o_eDC;@dq=h)w)ksIUS)Xff%7+Ckf~Msa?{#KR4fM^~GIW`QW5E@Tq@` zbz~&EO9#HSvdU@B|3J+UATL|IJH0P9n+_g6Wxdi`oyO`mv6s`Hws8YR|LGICe1=-- z*`N}@STM2=;Nd=h&!vgq)mRW(dj-X$;fm%*Fk1g!C{@a)?0tm&Tv{Twjcu>vKS%EB zT@G10bY|jNpg^N0yd_8b4dgoWh3=OLwN|sIN@Ee7(HK9&WhNb3LeZ+IF)+lzpYa_t z5~opn$+IQ2rl|r$ryiSO1Clwr{!(f(MS;udrlvJ|xu>|ct!W!Q#z^Xsre+pK=AdHy zbz~`>AF66!)%KnWyaLwtp58OmwF|z9tDLs1@u_7+N;c9(OX97!cIo(@1O3ebisUs` z(2K=4X~Cj7)>l2XzYVo{O~tnJEm%RJ6O%*^gVa#>RDQ&qc5wzJIHthCj81gUxjN7o z4#9NddYf*T>yu7gU{76Z234j#RddoG#iv>|w9YlqFw)IhO#2_IuEjqq8=Jo_qQB-T zFf<{e^VXXnqb~gXRrNDf3=sn3g* zbsg1>YMU}!3BL2mWp(nn~$=6}TZkFNupEi@3Dh=o}osmD<5rE>&1`t*{JPBLB-`wxvj@`aLL(YXS~ z@{oiT$v6zo;k#haki<&WmQJvv2u50<2rH#5q+EwYs!4{-Bt+u|~h-aVw6 z3(~qVdf%uQ-^%hMrmPFDAJd8UbyJH_aX8b8UyII#7B;YQwxwaG2Lhg|ESgkN}XG^p-Y&y z1QFJB?30LM?TYbAj(3&O$kD_aS<#3W&r^j~cXiRC?MJOvTuHC9WCWd{Dzfn zCj}j?ma++YyLO6`^G)7@7ijEZuQWT%Ek|WeXQLqnezzuT+WkN_BT$T~(Vcb;UL`YR z(e(_OcyoC+n|Y?j2&(X2^>P!lBE*p~TZ6?IJJKu_mRBXd3qz}iKwH!hQDEFE-ZCC) z83m(j&Oa_b;yUrqc5cU_&O}Rk|H~|upj^LdFeS*RW~q1@RUtnK!FbPqkfCeuzB=E! ztvTWTTA(o=e%`3WL^`$LEcFV=m}{D}6yD4l_ot?E9~oHD{rY8B%ZJq>f<0v93e(K% zLn)w(@|J=+46y0WuHzjB)AyI*t)CGiDxN~NjnOFjb?r*C)~O}xl8jUQJSXT6MxoX6 zuyKg1xwhCma2|#r`jNUCw}KY6s&3}W6p3@*(i~)dpA^I0zg#}|TDy`Jn>m*d^g(7y z%P8zWT6CjnZj~JhG3P@28?2EZy)qrZj}x@E(7Ttk*NmSt4i$taX0bmxf;*CABevmg@t^%BP=u^`jQ=75F$;66E31IYNeMg)7QUD3mkPPt!Wt#Q@Uv_0T) z`5MxQu)Gu$+{lyHJm6%h+fs*Cvj{siOg*9!a2&)!sz1)Q=>Zb2#%agCv4Q?&E+gu)j!5jgLrEDDmsJW z4&0Um8PS=xGdv&HAeY78)nd#T1jskEf_}fXpa_BV7#N~>ChN>zU&^yoyf7)%m^oam(MU2Vk5_h*! z|E|Bb3+(vf#+NM4IT!vAJw+)9NLKBo&8+IZ&IJm563 zhSK6GTcs7mM6ry&J>a4J0ZRJwgiXQCTvL~ zd&sZ|C3!6^yP5F@L3DI;DE-K+vIC~+BLi--(q!i_c z$t#@FP(gckcEn8cQXw?1^fq(V$*oaUO%)6MPYv*H6RVLA_wM$&a z^;!G*X0-k-*lb3Vo<*qLxwPc#x7xyXSHYG7_QqC9GyktUsNl34l%n)9>mH{?53x@e zNfzBOjvCc|LpASBw_@nFW-$C{HHsfoXHWzGGgLi61oS@n zc4YB2o_G&3;=|b&r5Z@lYbu@dq`T7EV~}mdg_9LAL4Hho3-8R~4GqkmsVB(4ZCh{c zV~#uu+srz*>we!vM;^s=-zjj$>KdsvxWf#XOW&QN`}N|v2b}0(UC>RIL^JMc;_PmV!&U3-0@mDX9VEuDk((2sP;+9qE}+df8Fo8whGo_PcE zbk;)J@?C))gYk@nVP1yTwj|g>!&WG;o7Ey2xgV4&B5HeMBjznF*mcBDZxnhX=5fDZ zE1Cv30d1*%p}|p&<&x5R9I>wH`Nkb*R^Gv0E&-c>Ff#f1S}A$TYG3Rbr|rBr@KDV2NJwz-wH z;&f|O^cB!cDfp9(nwJ)N$=Uz{%US6k$v4>eHL}iS#FluK- z|AM9up`*WTA-m>m59jh&CHPLShI6RJ%(Jv3`LEV>=3E-8L%=%`#q0j{>0S6yafi1| zTNl{Kg=D!rq zIThK$T^^8SU*lDgpqW(YwgO`hB)>z(bI(9t14YzJxZ;^2KIsEpNpJ8#b|!JfTDaYT zJ=57}y|=|-S3TX1{mo#rFhh-)vpbMgt+1@@IdeTh=!2F6?Kxw%(Bm($WF_~DPWK*) znTib~pONZ4XwqA)+)e|nk^dBbif7v{=X2$B#^SaMX=>N=G|*US4bZIdki@o+u^jv! z)k3-v^BOJsFxooL?jY&DJGcr!4EVxOhMI7v5 z?*no@7Q~?EiI&@!!^@ObE&7?Ebg`0ZpfM#P0gSCJb~RwvtwFDxV_gNsP5I_}$o(2- zvlmsQraVL*U1GDvr;MrV9XcimDRV*3m6W*1E$JhV)U*5fUBqJIEm=VO zE86cnlY?kl5%tzQ^eA)pW8rH&A;xnPJ4VsiMhaX*tED;|Wg22Z>%7$UQ=YSeBE;u^ z_eyr7?A`j(xN4iMVme{}jm#7WY46*Hxm2UkM~ShYG&nsn(?<-eE~Ps{c{+R}oIGP{ zKNsW#*5>T%LrKpoPu<7p#LorHbGf!dJLQZS)&PyXHuQ;QK)Y1(TUk~fO_O&VCstSUr*p88ubmw2P(-Q4i zl<62hPF&Ibl{3ZB?hpdjvZLRkoe5K5OiQ)?W0;D0ja|`*o4hN{xT~hX;11IIbMtQ7 zX<)XfmqcCwSmSMdkt3A1yLz?${AQD3|D!YbH;i(0&(b zVa~bMiGO-a(k&}!+9%amMCFC+`y5|E+kPmp)9VquQrvv7oYpT_U^Es{hRE92FsZ@M zN9-_ls@GZDoXoLxMX5a6i&|Sp$+g6RF3#XluT;KeDQ=x?l<)gQOWGbhP!3Lt-Kxfr z-lHi=(Q}lb|2)eNL5GXC?D6(76u(uUM#GL>r-T=_TdTEF`4|zydRjov5X)X5SBU>XG>7$`RMI9HZ&a!5x;N z7!@>;;uSeZhu{BZMbpjubKtFqqHeaPR4&DIx=vTlr5gi^7sYruSvT98ya!37VNoq z`cbk1YRYpp`;Z|29kgel&>@J4q!67vhM_huz#FEXNQ${^v<%lgbJ&~Eyzf~$nij5j zCR$^0qk4;ulEXC$TD}Rq4J4l{JZwi7=M0kC-+9l!fjwl6kji-=5oDb0^wGa;AvN=l z_amgvT9k6;hc|cup3lmV_h?2+fqiYUf*+>3ivBak63wkfmDl;v>W?R_-?dVOf)vZ9 zZJ>&kKGW)#Vb&QosEi~}Kw%G29M8UnCO1;q!7=C$*wP2p{npH&iHQ`L*OpbUhv&Kt z+2$2#N~E}EZ7V7wTx~rig5@(Oh~y^9JTKw8C2{$oPHms zf(X;h;>Zo4k%}n16LucHAqg9m|9RouL`nB9^k`C|kF33A-LP7&R+{t6=+Qhu$7%2Q z5)`9YMtXa6lXV8-;^HHRBD%4wn{TG20ng~d=g;2#hVbkEr;OpBDN@qjTXq zJw1=62+k2mtabc$()cAW=}oe3)<~V#c(vIumP3x-v!~G19p@;#<|WQs5w4gEoy@af zpvlaQ)H_XVck1)2Jm(a7I2h%(nl^@fl?ZjZR06)oDmE>nH|3n8B6MM-4p{@xzTNd8 z4dk=mDo*QTm_vO?+4r`2ty}iMXN~WTA4zTYH z=EDa9V`k+$9Z>r!l&TZg-ZZ6UHC57HY1Fmd8j{s}j%k}iQTDPw2dI5KFu8mOY%u6My>G6zoJlWq? zTdj0{sWr&B>BCRXees5#27qj@4fWV6lh1b+{KX{)i{j=OG#0~n$Yc!4 z6+pj*r>6Krhk5#n5~`)}V1*T9Xz_xTBG?iw7&tRmBWc#k@|p?_O(buK)aN!-Vr($f zJr1m;cs!OK)>1+A&$hyN+k7mYKB7X9U$#@6^Kn?b0}TmAXC~}tYNvg1228>mWDRFO zQ4frOzAL^ z%-3=`o!hEj*>wgUAYj~wW{3Q`f};7WoXWJ7ubpBM%(zJR-i?LRyU&YWMWUzy?9>+I zJiOrP)`+v2amT66Tf~FR0&oX;Frv7u{k%Bh=Qae>TAT2Cf~%44agBglCPAqK%U7co zxm^_Se!g{z<(3E?2(@%Uf~m{zNk*HWP_|NPa~m&F`#Bh>F=i1pcZF+Afi&uFd&O!6C?eSTnN>dV_WaU5S!P97pR4(hYFfu|=|2GgO~rv&*mt|WpT zfDgY#&q(JrobSNyplUm^7?*bj)4pnI5-YNwe}vZRJ_)9(U7aZ~ueKx(w5E~!-LET2 z)8mRlVN3eHqKdY6h?QS@nubfcIgB%l7HlK17WLAmZ zg$~qGgL~*)Op=Vz7}?-znzarY%E%i765q9uH(tOM&wuPj)qOr1;dMj#kMh%ghFgmdqpFzQ^4nVHMA8V5Q-kiGFFKn|V zKI=6}oM9SWhd-~n@-!6OY4_a_nqOaS+(E{XFgS`#9}mxX$9DnLo;@_4sd;0VEkd*wwo_YW^;^FMuBMvxSBTJK(At{sjcI7JymTkUWoxkp zXF)?yo%u8C&Ob)W%lo<$oqq0l{UjyhHqjc`83tXU_+g3MSY3L9&>K%eb|YfT(z8&Rd^#hpBN3nLt|{C znXh8H<6Jmp_0$HP3-Cth=8wI9Q@d`d-O1Wjuu|v%p3Z79Hb5<9*AJtH_0_<`31B_} zffpT7{A&QmgOl=!0zts+?xrD))3OQb?2zy~etM*Km*CJGOe3uwA*2Pm>>f(cY0*zYC zsX6m#McV$sMc9WH7%EJbpEGKJZ4S24ZTt(?TYGgkR&XF_@BfSIL9-PHPxUrEE2~(wC)A#U$#R`{&AU-XGHr@Tj_ziRM#JgohOLz2!w74j z_O;}Ast}vR9JPN2J>9fNPG^+YYym0GHZi}4v(^25EP8)S2{x90c_lt3OsB$@(qwvr zd&M(?-eqC%5{SH<3&R`i)E))ax}fj|chj{mz}KFk@CG}z(}O<@<|Ba}+QA_E`~Rd} z55}qzm3Ahc0u#=cf%9yPJCa%|X{~{(F%HlCD{K6|PLS~vS}SkxUwl`P^ZZqyaT_z2 z+EekKMHQVL!eOi#NSgXRi;S;hTCp_kwrmZ9w^Q>bwjyOHI*F z4J@MudydvRLS%%g?G5gsbuPWBzgg#|H`uAot)MNZ&Klm}-nuq#`w2A4a1jZ)Q@aId z$HbJZckTIFEt#1s^DC>xnZ!aEJk?<^2x`$p zRgZ~U{?uV0FRz>j`}g{0rK>TbMCe#JN^)?XBs8c0^z$SUx?M-8kd;DP?CI|2t`E|o z_rUnm`UAzO>XQso`(I9-ef2RHl`5-Fon57o4*=)<7QCm|gY6JJ^QEq|2=r4Nhqm4h z(K&dZryb)nH3E%X=x)C0u$GDz`b@h&jI_daZ4Y-(!{*V(ftN%rGqp4ER*@h%|JGRj zs1&7npvDGH;#v&kJPpoEwR{-wq16RMFr4oriOYjrH*#nv~3x3XQjpU|Z@migs zlc$-B37K9X!7Th`6m4*?5!QIzsxJPK9sZ307(H>z?6kMt4596?=Aj+>XMlhA<^cj; z^S@ccw%v!CHBW85ZP%w|kTqJ<_J9YanLwYH9u?MB{ZpwcZe*eSd7?Ozr}mWDIsF@^ zP-m})Y1VF{%fg#FJ;QT!4xVV%mT`4cJWF>D?#`RwIct9)G%^D28Xo>9?LVY`93_Y5 zydUsZhBH~Yvs0TfQCJ&=iW`AqVH?Zer=VhOiDu2ixi;v|1@oq+d^M z-L1J(s$`TdbVdxo^j}1%nxY6{)kq_HB<}cL7rq}5n8i5Elmo0 z?D-iV^y`dj5Z*V{eY)Bujl7I_fIB8K7EF&eikB!1GyM24+M7bnLch|q`D7Q~1J1f} zXycWD-v4wa{Yt-`W z-MP?C6g|nG!WxGBvOA_Zx)wac8MTwcl7gX*kh>X*D3{v5=DGQ=f@OoF$TP?DcAycz zDZ#2}!F-vly%Owe!D?Tf?h))fM6S)W?QyAV($<20vX|;7?G7H=1Dg$`sRNgZVAmLB z1AurkEXc@(BI+d^T>|opD56|SuvyS2kNrJU1A}wc^(<-d$vpfkKVU7xgub*Zo>~B_ z%7V$*{~A0&@M^(UH}UL!W0NJovhB2|ZQIyw+qOAv+qSJ~+qP}n+HLo=jcL6(CtlqD za3k)=imb{H6;&0LnQN`oUc6PT9=PdQJ0y>#)2Gmk|H398bCWAhwntd7KZeoNYN@+p zP=LUGP^6_+PSUGYKm2_`+h!vvsxX_Z!O3T`Oqtk4mtOn#+hAT2)}IS6*o)yqZOQ3- zcGko`aJ6|WeRH#rPpvd$AhhQ6nQ?D&JZK`J0-~KJ-pKX)Yni#@epx5xKKL*v10Ry4 z7`5QmlaO^Vt0PG=6T2Jg*R#`&%+~5R;vJFZcC^QQzF_}@@pW)^WSc%~Rwx|HqEK*K@ z&xg$^XF7d&_eQ_0)GI^2UFuVjT0}OR%ZSNSl2hm_T2^6;;fv=(*vTNuOyumj8{+03^lpQF7NYeHXCZ- zSG!Qo3x<4UcWfc9C`Ewt>%<_eF8d(XL3XqlEI4@9L->u%rxBpb6OLF0(Ne;9TVSul z8REfzfDBdvIZWyyJ$SgSxi$Mp6c$JmfRcQTl2&0EHIpadzimxEwgfk#G}r5uc7S~M0@SNsr%kpPT>jWm4f!f+nTDU zv+Q15cjgU3u(96=r~_Kr>%6@*)0JmDRqZpWl-*)_X3=Pr+rt**gS0Nd-v#da!J7dr zIWsklZC*3tTu1ch&lMk2zUa?OZ#{CZpbJfX_lwM_bxj1nh@EC_^oODWmNBN-_VzpR zTJsM6uvUFede$=7 zThywnmc(WQ{9KGnRul@QdA?Jq#(DgD~&oi&8Z7B}pr! za32)!5V9-2cOS|H%RwIir%I<@&249SlTr6H#s^h37K)xvRysztpRCamrXNyk{CO>L z=5oLNBdNwO5w>Y-IkT02XExgm9Z2U{k}vLfoQZFGFEr`aK53sq<)Gb#UM;j`;KD zv~XFS;%(mC2#edUHSN0j&$D;$F>-;Tnmlu5ftMz^=aZ*?!ltS@OW)_3c1g{i|4+v$P@y2!+5F%Y3GJ+hn714~oA+PaKH?zJJW zk8gDfF3;$M>yFO~RY@3^@Z%>!ShQKJ!K*PHA^_{;2U-A`i;<1V0>7$%$f7m}hU~8e z;yt1Wn+k zP)B}0hq(D-u{y^YM6tZ(6U`0OQbhtNsslyJ@HJ%DDcb&p5V-88?+zEcbyk4MTn)N3 z?r0RD7~M~YVD}6Cunc4%tihCKy-f1dRK{;%4}3^JY{*HJmhcD6c~8M?MVN0X_%(!v zo=|7RN&k{7*nueGi0(R(YSjulTxNSu0J?EuEw`o7uQH$rAI15R`{-oFz#0hsT*OR` zzS{!SJ6~)ZGF@7Q$SsI0Gj4VnHm-ciXvfy61Y4sISDE+(!ot5uS*2lRi%<4mpb?Dy zsR^}Qe34ZCU_{qaRG)30ch)NMcVf?qvSQY-3eO~^eTi3AvHxFfCCComjxhnd)Go}F zz6xd)#4B0-4Co~N%Ey=!cDi#4+j7<$rVMBvmaPK2H>C4X3FIIse4|kbRasCVr3XN? z?-V9x#SNJjfu=V^*%ip6Twe_!59?_+8`uFTCDY*u`k|^!-QX6lVq73f)WZAoW;H=Q zX_n72RSbp8PmSvE2L&QFg|(6SzV`PyII_W$Z#xk|sp9uu!mGpc2aAWWIB|_k<6Z`5 zwiA`|Md^+{LLQ!(kplK!9T25g4Ckw~0UHfO@M=HX4+y!BS1X4lGv}F9n@e?P&Z5J9 zWT%V7CaN~ngFd*|EvukH>yYm9G#9q;@t=uz{(YEJbB*IrPDop@$^dVSELiRl(0dKv ze%I}{-XI(F#GLnoC^?@Fk&2b+Tss`7qh!0)!GUJI_!F4+FRh1d&Tm`BbZj?YKHb4r zRgspMc?u>GQ=v<>tei8D{g2Te&@H@h^b-9Q4-=2Pde8&~V{MBRMA@Hb27hDVytZ`l zcN8w&Yv``SUZ=VJA!O7j9Y^=eY{Bjk*Lc&MlF_=gM<1;mx- zQXpopVACM8zUH}=itW?!HnCA>u%V;EU%=<@?0X0I(FtQYA@U$idlQI49s z&{48Ctf--Uh456Mz_G@TidG{_4DY~&!bsDY;$7lYuPpAd zxAA-$RBLz;T>uvyIPP#nnJu=fya&To6?e|f%4FB}S1;1$dkL_|ybwBUdPW0!Z@dkw6a`i- zQgvtHbTiOjy&%@N;)cGUXSveTF|tSF=D|nMUvV>q-rTfiG^g;xi;CZ?H#H30KeYhNuU*K=3g1loPnr20@iKK7Gqg^Gm#_oLRgur<;Z{zGd%1#B~K4?aV@_G$b4s=|mwI)Pwy3I|5paq#3eYsOG{rU5AQj>I=$Y_6DR^dGIM*5N- z6;(`}cGIpU+;F$&$D|7VeBgD+kX_+p2J4k$7rU1qya=oX*700znPHc}_`*z=t52Dm z#h8ph_H2yCY{(iSgePq=tDb2T9{KDArTcu1R21Hx@5-{$K}SVPn37!mDiFIJ>_*`} z#_C)fjCSXwik?GO7kgIqW6-)ax5m?Nx}-{MC6b?hs-8`B#{7*c)Vb1 z@LGPTn^iO9@!-Z;r(2Th`>&q2Fy4t%b{sQCypDyQnB>jzqiY`lar&4{}ymyrfV|4^uMO5G*srYx*ro~=4A?x!z)Eq|BS-ra%(RcP0ANqFQKx`ZQ zT0@yM5EarR%{-x_SlIdRRf5%y`OJHQCES-rzBeeZ_jj^mbNC+EUCTP znVHmPt7@DhioKyclY}XT$A^JG_0bqM!VcBHPF+a3!o})MZCh5e+OJ(7KDN*$IO;2{ zkJ$RT7_XdJQl-j=2vgAhrL(5_RPI+ur5-X72( z@7-_PZSXa1Xlkx}P(pKjeT16}lwzusdOx+p+2qZAzul==C6i#Th#REkigjA;m1IF7 zcyy`2E59S2b*vn%OWUs&@NZ4Gh>d@YlYAl7h%LOES^oJq*w5bvJ_|VdD~G9Zn-ypM ztuT8&L9nc6u%8}x!fXV4xD2m?k!t!GW7c_4vpa36skq@wo?*?v)*jLN1(&Qa03mV< ztZ{6nMQKx~f2$HABkMWKF0U%|m^RT2I0wOt39TxyKk;oS^H`I zqNc8pb6wQ%clt=ts+HQA>S7kkrOOt?8jvOG^(zI5D60T>sth$}yc+L~Xg6V+tQl~S z0Rex|Ny$fb6!)-~N-517vw>|q~^deJ)?CJxy}w7$RSDT8qZ~> zOt{Y%Mf*XYP3d@&A{`8vATDbJn+Z{_}jsZXiWG{ot#NmL9lahFrNK_rjCwbhKV5U z%XC=imcBV$ed?cO=kbR0s^y`vibIgy6{epM4E;eM;)a#J+ZB?G1}bs#(Q=?1^w!yWu$;=@bs?qp6PHM0HWX zGa9VzuwgfjuKOyU?HHNVJn>n`<10x1U$URw(UWoC#K!*JHnbIG)L_$o`L|AX8eWYu z#B~FPL>MxA7IGIKqq0L@Wv&QYqYU#i@jyE-9zcb+$y3)V606*73s|SrgDDSr1GDBYE)-GOB@>N%`SzG+Yte;Vy zngUc}yz%e$k@%&L5CIFPD8`FR0ZaRyri6R=9$H%}d8VY<0Uj(FtlPT_`Rn|Z#kdx8 zjpS|&LaY5=u?58u-Gs6 z(2*6}x{U;_ue#mkYefRH${r4Q?pGc&yaF(Xp5yX(!e1L|#(~i43aEfNizAu8A=(_@ zmJKw~;`6`9Fh>$|@)mq=G-)l@W|NP8I~{2Q6W{hQcm7FJS>~8Wd=HEy)k|BC5Vu`| zZ$CQgWpV)uHqAY8=cWMhiR$tsFEjkFJhoKLv0ivqW^Bv*H^|kl!N@MueT7%)!(g?_ zM&K(^9x}o1PZFHWMjQMIi{Vg5(*5I`C zejO&};iCKLEinMUrUPGSUF3Af^_N_;z%GZUf)kWGLg6E;`5LxR8ezrLjmo9$!6`wt@{E64HG%09~DTri1821Hsl<`X$AMZwz1C$u_k`2*3u9){0Sp z(rb>^@#~cOy~;87VUDkGrkpu3=%k7xBS#Dx@zttoWcer-^xebmLIL*mCExn9q@R49 zY!SyNRMv++#avazcgt$c^{N7PfHh9DAR-&srDNO^kSy{&@U3Wii0@ zmo!U({*Bs9PVMtM^&Uzt_T-d+&$LQSd+N%w7C{I%?DDdT|GYo1OsXCl2FYG zx{ltclhR?exg%fSc6~!-G6BkB@)zupSGg@%A>nPMM8arR|FW8qi*8jjWQ^&uf1i^ewbtS5AEz#X0J_G`=GuP$-Th~+dIJMdcz+1 z!OwUFC!z%`{2VMV1;`%2yO~`C@5!PXqS!Mk*DgP!?HD|M@glt)!GD=c$AY3`-iI#s?yWFh7f^P}*d?k1Cz9Mu!=3mFNqJDw+7awhIfw!1Cq?=p5_uY1VT6%5pa=x%&$_pgm) zQQ>RR$Z%KtBZSj$1UD)ei0lp_BgdpPi68wHT}4@<1|Qq%Ok!jGb{;f#sipnAfrAQLhk8Gd{!q zH^6UX~e_w^*@1p1Lw7u_JjIzj2_kk~w3hS1hto|KWMGtG+?$#Hl z^)4nD`}*Jy7Lt5vRquzxZw~M8aKKmi$QS9Ti4!J`RPg%9^@wCP&z~=1&hJ@$(vnatDtR?4_cz@3W!4%ZALA@L+B_B3edeF*Yegp*lo975zRKHOtxGt~!WIwM zQi+E=PRX~jf=AisXWdk?+dttvNC~UB^1y0Ks;UOq=;hpW7mS1PEUa*X0|%}S7Ei;| zEG8QJXz@CcjyoIesAu?YBk$4W0!G@(*SfeiEdPq|KQsGMRCSWJKm4Ognpy}BKr^#r z)$r^gEU$YN63+lCF$y3r#LBIaF5zHiaLlZI_B-1fgPl2|w65#W+^EamJvVDs<0W-1 zJRN`~uFd6-x;P&c!v|OGN_CgvZ)*zTHYs0k--b7Uq8iRjH}k#xfnaY3cIItPaCdiD zj4kNvMvhvcAaM6Iq5)m7fuIn`b0&*PX9^Zq)5nZGh$ry#mMVJ9w);G@o$R4W%i*76AfYY<-SsQ!kwU=fZ>CufF&!i>#O-ajv0t6 zo_^gs0d0Petue*dj{VH)R?K$Tg4?8(0s&*ZyyZ}U8Rv!!Lx_S_Vw-Ot|9aTK^0G*UE9c6J(VpgDa+dgeOv7QBEoQbUQAu7caeAM z4W-VcQ)x38yIo9Y%A68&Qp1!3k10;D-9ao7;Qz)N4Tx4;JcjoLgu zTjQ;BrIAl%2d+!Sa`5&8IXLbb^uEzU0cgtvUYoc_z-TH$h8cV-6QTX{_i2jSb8VCG zswOqV4_^P$SfZk#gc0?!gZ*rsxCI!kV%KnmS+~~vM>mQW2}^-w$`{OiDjua~OJqBU z(-QP1^=CL2Vmp4cpM8vVgZBQKJR6m6!&=xby2vsH&(I0DWw}Q9k{?j!;eck&IdjOS zff*X1kjnJ~bAO0lM;7*TRYba0&j;A5`{8CIiE{HjP*brTc`gLSyC|lvLypyaySRV5 zW1%w0el|t*Xbcrus-5z}b;R6!GbbaoVNq>y8UnKU+}&)}B2Buunt|~uRI){2APcIX zi*KH|QdIoVXV~t0MrAO&yKMC^1$r@VdJ6UQYrFdqYV(pt4MY3xpP&*+3rFx|AEOrp ziK@4;b51L4He`=@Kt_%dQ%gtOvt23Bn;U5&M7>AnsHDYHO8d?;D-Z1PiR@GxVd~FC zpUd>)2tw6EOxx?Zk9Suz#iG54|tMD7?Wkt zQgND{+HVp60{`2fEP40NSY99C$apGN$gk?DV%Xbep&5rfdEZff&q;IXhdLEWxXAgBm!qvZz}Svc-1UC4#>8EPeOZhlrzA zq%^wB!C0sLbvFq^KS?~8Wd~iYo?i%@RjV8X1&CeYcE5!caqK|f!!ll-mh)9VOl6Z& zQBpDXJkJI~s`U&UyEiz?su6atf{2VRwIK3$;s@{#~>iQSf z|714y=`Ly~6w4u4zil{Hxt48HChIo3>gJz)$5{3HZ7nObKzWT~?Knc2jqXn*a9XVi z8{s0hQ2GrwRqZ?iT&^S`bdO42D@DULsFB_`2~Vw*A|WdBPtJ??^ycFE#44Ff{G&1O zXVXy}qv|AA)@b|+GmyTL!{GXb^E8p{8W*ry)NFLJCHJk~pcwzOdYxCR!(sevVtzp3 zc^TwoH%n`MMqZZWVn8u5vA_67>85G(k9!mW=aF^gw_og!H~c5{Qh3Q1-g@npbd83* zxZc)9-!%2d_C7X;kS*fHonLWp@72yJ*&<|wEV!^TH%;;7SLC+LL zv$#4%C`Xn--1cY^)O~_h`H3zR?~qh2dr?|0Y7Q>Eg4v}OQu$dGsGZ(;l?o?Vx4tz78Kr+WT*Bs$cvxs%jPr#K3vM|q_*e4lly1zaRD&VU z1z_gCWl$Dk0=#+D=?+@I$Cx!r&|#kmVCFd%a{ypJsv?#(Pqx^r{9o2qYnZCOuFP$x zcR4iQ%0eCxHQLL9NJ8cX#)_UBwCkK2joQ!QdGAlX+qA@^*VIexqCHM`rYb(<3z9Et z;_|rbLl%-Kayv*lKBPSjG5LI7 zJ;78#eIn5uDG9f}31n*{Rz(@xEd5<(tunlkEYsoJ9zv~aMi_PAKD#gGS$s>~xzN{A z+9^J^E!L~d+7wgIm@(8Y3_q+ca%o1mCqO>g3Qr~7eip{c|L9h1i`~cz^ACB;3YV%O zlo4o4{l=pki>C?TTPj?Rq^HlB8aUNyq*DAAOq%y&7gL^VP!C@6e(iJ3C}dWFVV%%B zLr|@=uBke27m-Nu;@y{ae{}N(l^_*k*okTw(B}V!_{LI{F4;WfPx}a6;Z7Fcv%Z^w zPL*t0AAIB1Df=U#JdlvgO_S@;6R`03;N@b$bOQ+h@y#eOtfLGJu0+HK`Qgw!Yau;| zI4wu|tha-eZ(qi_X0iN-$q->Q+CfI7U z2Px=+rTUQP6$_&{2)mfHT%+`=gO4p%PRri&Y;bN4gswE_9L~lQcU_&B680Obmm9_D zM#kFTee=_I$m_q_A<&QUdD8+smx&Iil!)rq1UmpfX0HLs3p&61w5WIU!KGh6Og>Fa z+l2%!v72>%a0_S!o>Y7V#1P#lI$WH7r42s`5cz+6&LM^nzUA*=&(qUHB(ztS53?bv zorKz?v_E6SWF9s*cB5DN5c*2ZvWC@Ho}%E}l|b`;wjVGVH#f#1;7tlRJ@02ikt(vw zyUDP-tg3t;zpVB0=J3CiWSFkc{lg0}Fr%!=relciw`HGPAe3Jj0u|9F?`=sO4I&$} zSDXx6Y7F2d-(y=oT$b^?RT<6_!+AZd;eAqcr{6o|@`HfL&>}a|7lV(mOt#|Ml=Ab4 zlJi1wb81ju7!mW|$QZ23#We=5)xF7d-)T`T*_w|)b9mZES-s>> zq#eWQ<3q$6-BM$8U!0at3Rx--&pKjQ?t*a&XvCX!i8dRler7*119z#EqCkkx1^X^w zN>V9$?}kCH>g5=A_eaO@H{Ha~|4v@%C?qYh1v!a~vT0$b^R_2X-y}~EP24q*750<) zZdnSbSnb8)*;s?2(ZGwz7TbM+%iysyt^eZXei)*_j>~>ZaM|>KhCd>Z=~Mk#0{!Kk zw55t3U7zr!B!^Nn*A&1b{yhE!+tbkNl_j#=%S~UerFETHb(3e(0&p3pA-qI(@VDMW z{o$5(U(3b1!3dZQw*A%MQ)$HTLzBs*5Z0DOdumkd?@U+wp7_N-ycAvXyBEVL$Ib7m zs89H78LAID@l&lFiaS{qx>ORAlUJ=La)LL(i#Bz5(z5io&E_AUL5fEgx2h)G+0e*iv`4q2=r}Po^&0Hs5yF&H- z@b8)4qR-H)w-?GBN6rMEV|`+ywU%)kY02Nz0_fRhFIIZpY7g)?;h& zW_PsdWVVJ6w(YZ32wm!d{IoXkmX3@>DRm`c+%s^Re`k4(V?B{nEuaVKoH4nz1rUwe&JUY45IBHi?{2Tm(!H_@v%6G?=7(h8um`8_X+ed=lj}^O7WQP{*j{+ zTQM~r%@~Cr@N1)@Syp;eC3Vy;`e~m)2Vm09Xj-PrFpME zo7Pa__Q1Sh{*YjJAOhI7)ZaqEu3$H)D+JcFRZ<&1*IQ7bu3!Ry*G_&@@HLt5PX6}4 zu^SYh*&xxt4HWW=*JZxpT}SADRsiuI)1}-oda35G|2vC7_=31u0LDdqS!>}2(d#_F z41wSO|J$y~Tq*xVgZ^hxGtXH@ajw+gB0+Pp9Q4nxf786q_a6T1@Sjay+5PwbxXhJ0 z&nW&sm;bky|2<&;>kiSEfJ?ZuiL=kzHGxu00@sTi_nVUit>x0w4h4{T=qEPj&cYZ6 zIc5||XlF!_3nBimSX&;8OF^b;N4Yy%F&0A_!z8KHMDS{O8hrGm_-i!m2sDI1D5+HF zJMs5yXp@x32J-au2cF-i-|}rIv2#4*C$K>2GeeepA@ze7#h#jA-n_mVSe_T_5-F^vno)qfg*! zUXezZ;NfUX#8{(R>38GBB)dg+PL8Sc_wFbIW|{^OLJXOdS9VU$HFYMmfBQS>1NRZV z79b-TlP6LHqbp4?@(0s1>$+IGToT<4P;2vk{(PtNW$rth*Co(7(j4zZ3Cd0Xx~%TQ zNbK{`k~ABJx`hv_CU7y{I`>yZL)fHKPl&MaU_``l6TdSBUf#=1JTjy5!9%TiYI-1# z#P5zK^)<(l*3s1dMj98JEsQjIa$+*Y7Ff>`9>IVafZDb6fx*uMw8Wy&WE# zli>QA=|&k~vA`as@wp!-_0iNuItpinFu;Qc}Vm+;&-CJ$T*H7Jj#atNegqG`~SON9^mp{TLreMldv{~B9|D6rnC=5!Lw zSikQ+kbcOWHmJ~|JW6e#F-aD7^z5viC7?l&yC$9O{8cBD8F(5N;?O$|5zMYeZb1giKUW}>C6YlL-u4uAEMG9m;rc&{hlrl_7|$sgMHCKVQ5|JvTnAjY&lg z#@UdcqM4y2Gi}jg^j`JneCYoD?J;EY)x_aZDc)YN?eLb2oJ2EOWb?W2W`R^14vA;- z3TeRrNyON07h_hcO%+j|1v%M5O1b_Nc&l>0VDSUHzGz0z36`QCE^?t@*}fMEUMNG% zKeq(^N2Pxo0u{od(?=kq_#Oir>VBdelWpcEI|g_>)rO~wV6u>qxFm- zAlnXvV8{siyTMb@@;EnfI@|Q5SF{_U)V=+vE8*o0>fq+&P4>&Dfa*De0msyrC@@2a zie{6D(*GSQD(96pqgaO}o^~1b!6w!&DHP8cJu2pO7V#{tZWiI8lAo6^YCFVf4DZ60 zFL1cj#5WQWt2@H>_ZDqbBP0`rDi z-38nkdk<=!rXgn91kjK>U1x_UA>OlhI8G(;9ZqqtnMg{C9RM?^qiqF98PH zXx<6R7r;Odu!C#3}qCWa6dqjL`(>uK2?i1-azQpE*^sSI90o zo@Iq*G8BzB^G+rJ$hdd-bCjIrvqujY z{Ity>O3ZG9Lmk$aS(|1IWqadd-u4f7Os{KHU5y*$w#ea5?IJ#Y2@uqTOhZVt*INCt z(bNvqaH(|UKUN$kl#VY0QVpVi?$2<;vyo4FRSsmlhC6u|m-dhw%7>Yl!rPJNMj45A z@eyu4u3%vOYn$K$9eE6u>cpdm(^p_2#6vD^n)VA>Ef9ghkRxdoyIlW(3M|ZnxwQ$I z9!5R{e)j4Z6l7ZLZE%nQhHOUT+{689vtYYtu%Sr`qlZEUDyiZlO5-?zBdr@x`72Q6Jy&Tv0k_xuxKEfyO+7m~GX?NtK2V2R;x6SZpdxNhh_T)&j z_GzZNkrELEMd8sWk0DiCs8()89$o@uVaxb5Cn%W4oZxW2iUEginfpOhabOO|oW**s z*c5)%ys~>>u$K}X6j0(-A=?loG6TkrURFmCisOZ&MeSX~2i949fe#aN*&8#*d|jd` zsybr0-Qm%rO09?0mPhoCt6L=FW7+ii*j6%p=JQmUJh_(%ys6dFaLDY;Ea-*Wv|LwK ztk8irZ6sLYEA&#wGfvPYIt=kH))6AYMWRlvIa4T(-UTd&(cEVV8<>JEk%^K!*I2u- znv*C(Stj7IjzcIDgAFLR4sn|@j!QA*?#x_t36gdyPdG4IDMU|BqvW8dk}eTjEhVKi z>5oS9;%(}8mVjI-$5zYG=@I3r96DNeIV!5dg)&LC9X^kc^c>f8qHcUR3E~R)utA2d zSahu6VYSqf0{585gv4r~lcU`}3B#Om_HYkZyci+@TQ@cdq|AxFXd9DIzXLdQEMFz; zrCtDzO(zlsm<~Kw$j<%VcKm#n0COfS!FECf6=HcZ*2pi(W_8-LZtZ$T65NzPC4}XY zgGx3M!{wC&@<-6nn)w#k@w_cQp~o$O(J7lfms&%C7|gNoc#N7Au$$eOf;%JmhlK-g zlrc*#81Yzz1Y*dvbZSK1Z2ClHLXkD^#!bl|ukV++#8W$Y6qM9LZwBt>{oZ_^WsNE| z9w=JLxW{BxJn$F@+1aXJ^Q0hM@VEjvaJq^iGoQ())ZbLK-DDftFtz&rQZ(*_3Pk!y zksXsolhqzGL9h3d?o6IGLx`jO*Cj|l32E<1N>3kD{y^~H9Ymw?5b4S8T!|gyFIPbn z^HcZfS7-Fd5cxV5RR>msP%XM{F-XVlkgopzX(xRi5;RKn?;mje?=`$Zt0T_2a)4EG zjE-nB&JlE<{)N65o}*-d5s(~2AE1-z^^ng5Ka!!69Ae>uV3=+D%M%g>*+~`7Yxq|Z zku?eJFxLCPAo69;^a_5XSN|Xm*IMLp{F*}wpSf`bJYgJ0i4G#B+!^Z7#h*dr;wd&0 zVu2iJ-K>1;sVu|7mHC8rNivLeg3d~jwa+%w;r4+Mv2+v{EI3vt!4x^IjvH9{lo)X( z#$<7({8#k_0iZZC89fFT=+H~?yl5s|>;_qCBK-+WU;z$wGa>d>P4L0!uCSXejj0)PFp~{pSOb+&$P==8=JP%C zGldZczRuDkx-HEMa&yQ5XTL7i8ZPMOWekPJ_k*|ra_|gQyyQmAWDS+JOj1o5$=6G0!1(8l)NCgoa|yr{eT6v6d3(A1-Y2XuAB|D503L>oKIm z1~I|Q7gtpgbE(OPr6#&!{qs;}trbdIN9XF|26UlPM<2uwNbOPfdPL%`c|)U3f>ulU zx^Ta=%0v@at~U;H4vf_4!`Sp`l-aTO>U-oSF_WoZpt-~nPx^(=<18@bt$(mCW9t5a?&CoZ?u<|2mStuu z-@`~V$oF!>MU-InAq4hTv9LNIYXnP26paZ#%!IW61j@@99S6q2>hhs}MEf^ZQsTwN z2UPPGi7mqIAo48fDv*P*N=)6M&&DUW=cj@pQSLu=*Vvpe80YsBr~8>A6khCtIb@+Q zrYzluaHnN;@?(}zaq)TVfK{ofiF$h(4;4#4C|e_5e{B(jZq=N!MUUN|^Lf~)?lm8GCf$67TkL zKNpx0Lr9TGNqPyATu(f_7TBXG6Yj+D>LxXP9F-T_*igpNOAb8L#GM%ZK~P86G>=8Q z8nN>dA>7Q;7m7r5->kKz|3tZlYm-Os#1D3lU_5(IZjP6ZPh>a4l`5yn)$c^ zDlCz!mqCzD@z_0Ul1f*4iU!OQLTk@$vp(2|dbP|S z^Rpq7hP5&1EG9pmU9`7~wXiiCe)?az5Ma3}Wu>g5!u8c&!iMY4Dss@N!&57U6PMUHACp5Od@`$zY(eDVI6b=u z9*fHFW8h_mD~ldHsMGgNmGPK|@H|`_%JEx?7Vj6FsZ_Plu8yHiR+}LXoZZ2ci>+ls zUs33;C`jVt&_BZvjXi>O;zQs9Y&q9Bco#E7Vvi4?T|H}<3rEnH_D7|er-qd8snxK- zgiim0KPeO5*1haQoR>(Zb2yw;KsCp%6=zGXcc$lW$yoXP+s}jez1K*=O>GY|kKxHmi~! z@J}6b{ot1K#ssHA$z*~<1eHwDB;C(JzEp2t=@D_4H&Ero(lwfbDMF*T2?_1Ewe+6i zF>;>+Y_&AlABBaocD~^K80-)!7TZo(z}vrfQuKSHwI$F>l~hn{dRHYs2|Ht(LyRxn;fZEV7;|1t9Et#d(s)%cwkx|;P-jV0 z(MKgAkrdbY8;I*UtQFzDI4wv`mcUX+FQ^dI9Q;gdjQP9vEg0|UKc zT2Eb5EzBnuo%ESz*=?kS9X_FB6l!89WKttWg@)e}Y)T{HZ7MXov(mYWqd9+GwcjU* zH^H=n;yO>-V;nvD47F^e>kyX>>suP_(R$%f2ft~MBaClBu{3Vpg4J<;NC?{DG9T!4n%9b0RN~B|KW#h39mh=$8r?Q^&8zKgCX(nkC744_af5he<&b*b zM^Mrxs85psd$(@`54@^+xAdkRVBmZ-4pxbXYo9UI-cZq(pNT#Cmi!H^AYnL6ZBeW- z0&I8@cHBk_tD_OH=4-LfuM|>hvxGwo4JaAKDk-~thCuwPk4_{7~Xg^V|QL()jtg=MFk}j|7KauItC>QRT*_k6b9xB1JA-LyD$= zwO261DMSajZZ=dN)P1CQcq5l$l_(~Yb>{3#n;c~ zKN)dju6urX&-oBYJ_H{y_M)HnTrCUh<-CWtuQynB3^00>_f=1VA^YvO?j_RaLjJ)I z7C7F%7mT5HlqfDJyHpyVGqai>>h&bDn(HL@D?+p}w(}a>iSVu_Se8))qI&#vyAcZH zI-Vkwxr>Mhq=AP86qU2ck3Tjz-FXB{c@Na1Lr9i1f^+t!FaLmRuDhEX>`uU@AM>hg=sA`c4618=GpKqMts+0@nV$}J|r<3 zYi|mwP-(l#ji-dvRiz#jPBnul85X)18||Znx2S%ZYO6wL#1cEGhiy3-Rb1|vgph>B zo#!*Ck-6gsxSCORR!G{_JGlqnRo6(;W9~W>NLr|~$Jw{{n;|KuM}xD39Eyn<`9tAe z1ksg}^pqf8t~>t#zsiBUa(pYA>T=ij1l1zO6njs`^i*DX<4}%zfG~|x;`*wA?Y|4} z>-#?4ZFl028$DJ2b;zfy9u0oKNoDv=fusZOoZF3terC%bIq)kvP1x*KkBiAeM9RLe zk<97Ba@o`PyRFdR!C}@W=D^RTNJ;S~&wqv_YiEM0>v#Uo04*}~5%rhj?Po@@bI^F0 zK@bGIUjTUp?U{yYkx%C0P`6hSslCn>(Qj7h&JRpP&ZQwcze<;ao^=5~cb;9#H1?=P z{n}HL*@wv+gMWR!zM_wp!!jEZUGJ7JSu`-Y5sp0n2?xUmC~^F>QCi37I_%y1iY^N@ zCW3AF5@PWr97W!CocgJwx#l%~9xDt#-9PZFg~)+7%9U?5vL#gGKH)VKKpJaZ+K3RO zA%x+^wf!==&qSa32prWs-J#aKSU=si07E7N$1C$-0cTOAgxJrf!3>&B(~ffx^AWmP zpE8^pcivTk)EyIS0Am#I8^HJ;DTMc)tcyH zht`L6lj|BA;HV{8`ToJ}*g%g%yMT>F6Z0@9%k{kG4$9yd^qOgR8DpTu@`<=m@?guP zykdfHcaqHIf! z*{@c%=wSf9HT0FU5&_eW}9&dcpUJFy80=Y4);7Hbq zkQUkl_+uKPMl9+^>nfO*ds{JU&5U2!FU9MxnHI^JKN^i*DVQp+XbX9~;5dj29Z&q_(|))9(F9NcYi)}xM8Lvu|6L&?uZj|)@SNO3-~^&L_q^Z8yvo8+2$(E8!%yY3WFMFO&5Rq@ZL+-Og|%ZOk&W{Ud?|wvK2XM4QoE z=)l&l2MoIrVNut;{~vqbz+G9ibQ^SR+di?`v27cj6Wg|(6Wg|JyW@1yamRMjoiF#@ zfAPls0X6m>Yp-3SYR*}+sssOGzwE8!HZK+hx;%>dB-Ir(ddhO~3OW1`Bp%)r3>#=~ zIC}03`KG!~lspXgL>61l>Zu&%R4`mV3)V}I#Uyxz#H4gB{Br8;y|12PuUhd; zJ=9^NT*2gM616!YkDXOrh?;GsjYkGaH2yCR_u|cXRQ>e+>if$CJo9OZSnuj9V<-dH z5%lsh8Pi&93Z)~RAP5GvyTHcqO4oFBy{TttQeVBkKn1x=oo7ITLV%S1 zCY+l!HsXgzrvuXIKGQBUu9B3d0OD=dJ=@jPJEJ(*tuqPjT;d7yGQU?2As zUaEHrB2IQ83=f7pQ!dGFHcjNgTx#I~kj*9#X+~Tb;lflQL!B}NCKU<20%|RdNbO@SFO%)xKib#!F4g= zYP}tx_K-cBuiU{}0~?-4yBy}$_8CZ46B9~yEY4B(T-GidyT=CxEt=F()$%3_y~>qp z)6>4PU1u|SI9YZ5O{sfXjL;S(6RD~^IsZ3HDSv%UB%l!g>0eRh;ZnZ*My@L>hFlqI zw%xvfUh&_|BcS)3Mtsa>9jQ?3V!5%zCf3OrJN3v6rQBl-eOBC0Ti$pbR_cm3}z>qk@6Mb5oI*zi%zc^_%Ce_zj0|qq55n%kHpt6vp4y z!0CIyeX^-d%Ioov0o^p9z(GJ3Qemi>Qgqib132BmGwmHw!^hrunysh59ka*9nvs;_oh1g*(2t9n>$Segc-!TC|4U( zgsWTKa>LjUtRCT;CH*EdH5oPPjcnqETUL`jXzj?#hcTZ6FU7+rU+CJ!@R=kplxRV( zvSL`7dX#CM3 z5#_VARuX$@@?uJ2WOy`<`hM~*M!7mMe8L5t?h*8A9wzJLQQf+Zk|XmIVT~0!(wz&) z91~r~CICo;LD|RFql=`rvxI|cJr2BtAN?Uqyl>?e;An?EdG6wQgYCkQmW`LO8HpQX3ymNFCKv?$j^ zN6i+j=RY;q%FixQRV}1=BKP;fNhiqEt)L0TlLRl+v_r{xlWI%;(|CD>*xspG2!eyEMP%~k$3uQ$BSpz7b+)N(~G$L zs!%TpfFqZ1hLMZjvYCpinj%T@f1fY;RJ9O{+=Uy?Wh;J(Ncc;swd)+%HL|IHqa^i z1A7U%6yuZAMBlAHtY_&!CxTzY!ee%id7_9;euAnKmpZ&g*WdFqChW8HEA$Xv014sv zvl`FK7(6%rMM(5tkmzuATUFDRjGw&o>`O-Kq)*9Js;~#w8zT#0@I#sO6-z55OUY@y zLiKOOs!&Ysytso%-5Tzi55|-nrE4dYY7RWf#h_-38lB-@w2N`dSX>H&=;>N5W?ZS? zgz%Zshtx2e$8BFw+khrzx{c5Xw%9FN)IGc1`FfpjdNoV0!$0XqcPLXNeAU=n`*>9d zy_Nv3FmtgB(T=C`svw-*@`x3 zO?U%?D^to^>3f_xf#ewIW8g98dbMjO<*#*=Pb@J8iVUF_))CLx?H@rgCWC*bEy`b; zy|4$^bL?6Ac%$)Z`#}8m@gRE;4Mut+s>ILC-%~=xaVgpz2WE*DDqG)a-PdxdjdBPs zbAj7P=F(qcm5nC9)I?@@krRRM72&S*tOHoBT|%E|5a~VKu< z)OV};^|=L%x`ocKcD={doH}BDK)rT zPL68|EB?)b4Av(rEl$w4NyV(WL0eyB;DiP3OEido9zOh-BW*jTvTOsbt<|DK2lJOF zqCa}>2g~{Oh_&LE@Gwt_FTdY*LWy5YlfJ7pz@|x+nut3g;f58CLc|5-Tey?~mDx!4 z_27GS>gK)4^o$R^MSJ^wPZF=Xr6ZU9m*dZ5&J!s!-(xOkF&TL5PumodYcGTcQ7d@(` zIaU0Ad@z^9Q~&g}YnAp3zc5M@X*M6dxm_xPiKqDL#0%aCGdJEg->sYFSi|RBTun66 zppePCiJsQwP^NRv-KQK&ql`{ty#E?LH~4b9(=f-nl=Gl#;Kx89H#}T>vE?qYq9Yb7 z7toz9;71U5p9r%c3MX050y2AUf&-dwjvqCeOjDpPxRUsG*BjTCt|1u?Lu8Vwa&GRB zd086r<})OQZVjh7o&PkyqE|*GT|*p96?XKw#Pt|h2WcHuve@H9V-~MY_{b2lYp>y& zI;PrtKF3|?+qU!+1)fPED)NkOHOVct<#U5HD;C;;F*S+of960kCuqfcNhjw*ghuvk z&(hW7jOnA0E?&b~#mO=h#t3YBU5I8c(>6PW=OPUj9ztYNZc0b5CVtCp$kA$YB{f88 z@%_}p$PM_d1`$OkJ7jm7_4Smi_d~gFv|`rF*)%SK#HR{RSc5E>lXlhq%Ivsbxz3Ig z+B&@WCGw$BIQ=|8+`0GJtWI^=FlYQby8k+Y&p2(A6!iHJ1Z5^8>?P(%aD0 z4lQs+GoCPWkRpx(`rgK|G&n zO^!ZyE^_EUc>_Bl2JaAj|6a-ih*^tW0@ zkOeU=TvALb`W%vvP@nchvbb<@VRG;hoCui-laKeMZ~+M9aCN?U50n%uE|fy9LpgDD zEG!`SPY?~v8jLGXhw{ncZiMK6bLMc{>CPh6lrf?K$xAk#Y(3Nf!2YMGI3x zNZ|h)*N+0^;K27j+2f6j#;_W=I8bfDH%1cufZ z^)E5Hzb&4pEqUT5>HP-VubTTB_^Hg7d$rXMKP96%r-nQ|Es0oc^|)(0 z)adhhzEDfZUqKDQ50=Dil2KM#Qt$$vC`q|HN!vJ+p8Px0Dn$VoNx_uswld7d%S^G+ zmUvQdA4$%CvH}6~@a6lPuPWpZkFz8KB@G*QU69J$sz>?5xC-G~6lgG{k5SPDOcB%MwL zy5O~Vgjkp3Q{dip)g2Pm9E;(&w8bob$_V+hb6pZxFGtDHMqBou8qcYXlS&if@~Mr{ zRV}obG@T+IK$HzrXE2fnOWlziT{N;h-7nIrnDGsf&D4)Pi{F1|Wu=yQjXg-SQAZ31 z>XiLGVU*s35b$=V6<=$qr(#(E9Y+MNQ|0t=W1WatvWuR9z8nE1Vz z_)f-z=ru^obdcJ!sbL2qT?p3->tuy2kc}gh61uQY4C+oUE(ay@U)<40IPP4M==*%{ zbM2uRv+gG1pm)hlUC3xQ#9wj3uy83=;Iak;bAief`7_{mMNO6O7kdfA+|_E8 zUIL2{lh~56J_~?7IeHYt!ZgZrAoQsvB(=XGs3@GRE4)Y9m}IYWCHvqFQ&O z#pn70A3lz8sIqv;y!4x@Q>Gr)sJd z#AIDnkOaorvC|j5;;5}rUAUz2wHlo-9>3rdU$&CBs>+$XDf^v{s#mEOAVj9a zLKrB5j#%;?ts@PiFsD;G@Nc$qp^5e~|K>N^As4H@ZjzMY9&XEc*4XYPd) zL*C+o6&S@Y0rJ+1hdyw1Oqc@KeP>1YXDau|>#dI{9J7t+#Bi&o+BHDgB3dRV`znwc zJ_3CF`UfZTa}xNo%k_6L&6lb%7ST48r)=njJ4Ws?R6j_h>F$(AU$UY%<3*f$<@nGn z>rV?8BlH(=!3@GKgldw?ne?_!zR!W-6t-Z(vA{gO3=fffY3>HH@_^0;3|s@WcRak{|Nd3jf2s^!Hh zP$fGQQDT(yYhC}s>RcM9;`6y{VEcoIFR4TNSVj>R`6rhY_3rD6=s(SmnA^*$$tRvi z`W+God|XA$gjsK;upy&%!z7?$n@kK}Yk2Al<(xexIp|A8q=QDXa}kbOFJRK#U`clY z!DYURz;%Ut*dTo&@mERC9yLPY?OKwQKggY!%AHOw300=pzjG9Q*Vg_Jl$(gyn+N)N zT9`?moNbE1DSo%fW$UQR9tJ4EbuVUI{Ur} z1>4R&9)Yi)q))pc2GH%_*+RaLbYc^tx9|GSJABp-cV)C>RgjShEsQj9eQb1Uk5NMsl^R-b#!=j<`r;Zl!NeAj0scx zr*h>lHZqJl;*v@5vY9=Z_cvM{VTCQx85p)txT}+_Q->S6S#~wB(^RpsC47j&u17YK zrgay1jW0E@+aR>aFpuW2vA;lAbWU}(xl#glB*B$4TmyOEJlzr`ml-zsSi4nHzd<`| z>HIAQDjmh%E+&`#YS`~4h}FBVY$vMr7^-6T+m62&Bi%s82VGqS&G^yi?K`>(d7t|V zkUA(h3oG*-)_!HKDt~Pc$l=2z!H)Ahs~+?l=fXP8t2aEiu`07m4{2j5l0mR1ZhMIaTofJ3?KKl^L6uJd$a=y-2J z>-akGm-6E#e&PSqpwv=eeD2(N38U?*>&@Y@Im~Bb==(I zRg?6`SFhc||SMF&G5@K`lN$;9F+UQDSz;X}DHrM7$) zB;`$18Z3dq=(L?$xGg2Jbq38)s97llb-r0*3w>ru_{u?~{~%44V6xT>9jE(^ zTl>x4B}i50ff~*hK4{0y%!@jyQ_;OK7{VCto2C@@EO@?FF{bxPtg0e;>?b*UEOvE{ z$fyhXZ5I;rXb-ubAQuJGnZ&_(K{v5m+zb$!oz`3wTERi=_@gJ&OY|8dvk~`4EH&pb z{1kEc2txwddw0F522~?&%W$0r?wm-9>`37(&C|2OAdp)gNn@G|&+P zQf{f^P-=IJF;~$`W9&|Y(+0i8^3?y-B9v4^xe^5rFfS}y>S8FB19;Tj2{stU6!hsNypHc)cHO3~XeW4STU5PQB%^W_CSf-&h%u^it;j2W+MSYI?@8F`)zhgiJE=_E)(tOkFxI z!%LiHL0;1BG2VVl01-j9WP{1RhJI_He4ep~$h0dH*Je>r7*>d8R0=6!)({j*G3?Hj zeQ!ML_>}nNBPm5v+Q4>9k@BV6F{Dt57NUprhrAcF&=JIh89uI296*UeGe!hQ67(kk zpM37;O(ZLW6yekNhRSc%`ok4ale3F#+5w|4W$nQp$a(gV;S)u;FqCQ~@`)NGu9Q9P zsV}BgR>s%@+bEtwjGX#2b9^)Bv+ZcgV|)b`LU>GP4#d=KF=U`&7YXh7byuv>$s#M7Sl|x`@7qj%ls_W#xVIFLk z1r89_d~4NWYgzM=Hh^ZX_+AYH?Tz`;yV+8>qFg>gojPlxq~iTtzBrb{5S3Z@N76Q{6FteHU#qI$GqrTFy&O&Ui9GRzlE!y@nel9K&`Wcmm@W3NZEuaPKu zJ_M^eUfZU+I`OwyQ|vv5tCl9{mKgu-TaeO(+# z`VK^8V+WF*a<#TgKtABFo;(A6=~T5ARb*v62qg--rg z@fV2&(F^W{XNE=u+#gP|74j zHqSoR;lUi+9@N|Z%pt1^V4pphiYP}Q!wNThAI4gTWLih;i`|CT_DthbRBX_uV6#R| zM_8sfx?fz3wpn~N?Fk|tGH?$EnBlK%{YoV(9QfA6j5y3mEH*s1TNH?;N3DShO*Su< zl!iMda1iM<%u&^E@sqYODxj|m2AGNE-3CiTUy?+d(nS&LwPkAR zG%(6aP@w~tr z^^h6};u?*ptGx36uvkp$Lp56UaB0*gdR3)Fgy02ukiI+*iLPX)$dJWPm6@1JMp9;E z6p#4eJKMT2ExeiXusLRro(>X*Z)wWaERZI9sDz zB^4YXJvXBV*v3+U6i+pFdlFNyMHxmR#Gc!_%DT7u9N#<>mhv}zMf6l|BGMqv_Xx#f z20)2|ox={YwsQ+fZ3rQX(}jw-!QEqGF)nlm+ogcb#llxCUo*8k||Hnkh=t}WvP$C>!|0?5p?CyxIx0yPKCSMgMu!giJ z^kNN$@z5+wX<{tXbW~788JY{`8oMK>2y6K(u(9isryj(w5;s$7T)X0g$%w`i0^bIP zH~bG@eGex&58>W<7v~^F@H)OGn{3Ku3amfK07z|!`Z1p#AAn!xFTnkVzeqz<%5;3PB_@Y;I-ffL^Q(R zvS>2BGOr|ObZhUzfm@iwA?d_)RqeAcVqfBbx&O99z<_lv4rBOo@`CH*fzvCO7p=>h z8IRc+`)@cu@|ZQ;o;mXvBlM5<@EO#wlkauTYA?`k%{#>7`YTiSQIo((h-tKpVa;N1 zr?)e(ZYz)Uw&schVft&zpo@DoV{pb6Zy#dcXbKYfyXA@A!0%6>xA_Cl+Sp=59;5A&6~Dua(eQzYmFWm+lb=Uk*DIeCfmCGZb_J z+P-ye(_zMj-XF%%SlC-c} zj*u24*FNU4_U9%A+eE%0Zdk)0NHJ{%3wCU^pBWp<%pepvPfIeOP+ss)V2e=mKpl!# z#eHDD^X>3!tUxhL-i}(I81#VsDr{4x-bQgXLzkY{HIjN%{JJuE*H*^UV_(A^U$KqNHY0YS=rYqF~8cFSbt+4QCCZ3-vfB&C*O0da?zDKV0e8 z->S`+z~~Ikil6DXvvwETi9G>0ZX8Lp*c5Ju1@~sofPvqj7?dQmm$B~xI>67LKaaKR70?ui_T&^X$~WJVH!T9YxXkQ|o~0it+Su=q>gyuJ~HGZ`a9d7OV`y^SCE5L|TN z7Wa-BnvjLjd3cgOxalN+aj@Z7Q4wKaNep>osL{>nN3lAkNxP9!>PhQIHu#r^^7(ho zRjEtbx2%emFsXlKRaWVL!?pXG;w9AEV2y#OaH8+NA>+jtRwYSYTwBLwOn*y{ z2(2^`{#iuX-t~!fapgiCr!~^qG~SL5!R|nTp?^pF*!ljvg8S+SO(=BhP$~Y)(rW}Q zOkgsmV`=`HX^!KP>P;D>V=Pe>_6m>vwrG6qhoEML-UA)qrDS03*}G$}o+*)LPo!a< zi!NbH#e1>d0*;Xcz{0;udlSPk{F(>^Gy@7wSi~kyBFqyGB-a1&l;~z=8zTZ>D4)tN z8PZai+%GN;S)XLYzc2zeS<)^73JgA0x3VJ6-Wh5wVKpk!f{Rl)1bA7=qL8~CA@Fa> zcuJ@ZO#!JahlHGj_QULz=e2Lq8(ZG6_$)lg!YN)`|1g_YGVHkd$-Wm9Q;V39cqK-q z##TP4msXQ3mq32G#;Jb#4M$gL0@e@C&_Af#C>jn@5&@HQ;W}-d;QIPFfCj4JTa9nh zi=A@ABO8VvCW6DY0&p5v5t3@yc`qFY1K~o*w_OM#vXDo)kZ!O1u$&;0ywF?M@RX-0 z@{YRZ#gSx`E?_G9VZA76qM$(IfNJyQr)nY-nxw{$gX?5rDbWP;Uh%O7PZjA-TIBTg z$3-@PpUPwIH#s?5S3(>pccMlgz2w6Nk{=;?WVGraJ=#N@fuT`HTh0UN`Xcme0#{6? zQ1%=Mm^IU+FhXRwByd%<>Rf6|e&T3MY6P7%Gtz7l3Iq!>NsvIGmEv`V(121SQu>`^ zM!RVnDibb}@E#&saHPATA6~Yd%GmsCM=wxtoTh;r*NSdMy23lvO@$S$oJ0gmp%! z3^tcS{Lg7y6aR{+1VHt)fh9jU=Q5;E(tcet*0;55ATy{hjjq7|o0dN2S zX>#Q`*(_DrUQQ22MS>>hmDB_BcGynyvfECJW5Q{aYx%fJ&K!4uL4Z++aCoE{p;z5N zz@sRas>n$8Nx=$vJ^R_N{gv7{pp6Q0?Nnp$^60v_b^O(SLbTxYApP{KOE}zXm^iJfi^~(!lE8loY6#g2 zvT;xYS<0hn(&(tIB(@$XeY{eL+RH21m@q^x{l0ten;?iM>g1nCRDfwcO<6-sn(0wQ zq%lW;HuHd@i29K{bF<1VpnB%f=*bX$$~fOIfPO4~vbd*WNY8E{aU_ogx?UI_i|`nB zj4f_pxsq?1V2mwyv^|XbjkAKOT@)Kyh|Z$~_kkf8fC1rTiq^|Jl(Po~;8N0SL}~E% zVt0}qj0r(IhNM;hQeZWr(tW7E?s1H2qzg<1X}#{zA4OzTY}Ug18(s~!6ftnJ$H+uK z@k#qqYZ@i`IH-21cq1Kf&+yzBe81R{RHKL>1HNA?8*^gCuwU(*u(bHuVJ<4VVrbW2 z$V@bE#fELAhT+g!QuNO4D3H_s4T2|NipJ82c8;h<68?nw0br_9IMf^y|E35w?3H%8 z0w$&odwJ*NQz`Pkf^tk(KKbRJHNT@SR`VAqv1^n5(oF3jo2Cw7b(+|wz#6ZLd;^oW zTSSx_i9u~$xH9U9W@1hPrkr7J;uO@^z5fEu$P*r$>Nu9q=63W|!*lTgKj1i#Djb_< z6RJR`dYLVBGP2vYE`cr0c>1UqMQ3gn6^o0Lfy9zI0KEOa5{ey-TeqC--l!3vPEDi z>it!4A1j-Tnv=iyHWop&ph>M5DJRz7VN2`m^r{WJ7MFI2*OQVW(2<|+1SC9#vb|d9e z)ig_E=zbz&4q_bw?Twup;${jPCS^Hn$5aus#Df{yaBHH`WDr+GzuEaKcT-}@E80X> zFoaFRmy-?ZyfhBYy<%vF6O!r|JyiXp;2IMK>CK=0GQlF2$&oU>AAp!x7F-Ac@9To* zGJSE#MTl%W_DnfU=;nm0^_slNaf+z32;RRxRnbKN>&E2ND&&x@I>B$`4N?~CLt`U$ z$0aTDBSz{7Ke;4gWccy%3u>XKJ!uEDbpczHWE6i#9uJ_sl}#2^Dk70P;64yM4S=?FK$3zC_l@Vp7?e=(Y-FoSbMz!zu7TP=Qyby*|Zqp zu8gz68k>y+vIhu+B81Z1jFJAdLF6*tIjY}8?W&F59I7{)XnuP?;a0ELqEc&yP@ig0 z(oALC@~i&4Y-0rsv^2>>kk(*5t-?AIBa;fp6NX+GFfHV#gqx07wc%k|?Lq$e%>zs_ z!+HX`XJa0Do|p&lUx`EGFM)y^d4}IG>0l)~;`=ES^z%nSBAUn(B%Bm z0F+6g1)>Rx#advZhm@vWL(iq5)5^nYW99a{w>v2Q<4e`7>s9Rm<@yUfP^hm4vj&pQ zd{QB@0FgnOO_4VToDEfIxCiMteK3Q|=`?ckAG3h5pGhyRaLhgE6S#`% zLN&;uUW!zbwlfI;6(NYf9~7!~76I<15@+)~jpsZ@G2C0zLdbLeM9~H3XXmR6B~jWM z)>G6*^x`KP+sL465*mx*p}A$MOsx$dD#QPdSR;~F^ZKV=do(UGNGZ_5t;+$yNQT=f zk^d@W#dzQgH8VtNM8GAGw*BF`A@1c0oDIRD1}-d!L8f-eu{xykz-z)kVbqcz0Y+7? zu#mL-26}OYQn5C#k~ssK79^Hf)~h2x&()$}j+4olCLRI}o5<`5T6D@ym#gZ6!gMBE zyZ-7&=Q=^m824L9E&HTlKH@XAzA_~rLqmtH^SQlm@%TA@Dj=<0aLEw5C9&)_I%ykA zfdUkfJ-`%KR*v4I)2f+JB{FLH_FfP6Qu0sPtebsi%&`v`tK85CLtIPxjR-#9Z!&ab zC}>6<&suToT-u_B-EuV8U2xPE=QBN}a7zi2J^F zsYjVbOl+~t!zqBzlQ<2%(v2#VEOuxHVmZ7zi!|&%PyGv|YJic1l~!8O*B){c2YsqX zdkg^xuiS(P_zM|8!#HW0e8;3|L{Bq{+HY!LR6n@FRz)pxqniJ|YMsTXa*d-pn(ZW-CyDvuEdY1fOOHtL9J zVr-J}Oxt!BwK&fx?(Tr!nSzMPJLN#cb9IeX&T=iQO$lU(gO|ma|Bz~1XWAleE2NYO z^$BDdo=JS{SAPww|6bHyE6mk~eI#Ajl;E^MxOF$5)YWQB9JsF6B5|M*zMNR%D(DtT zeqeWHE5tQu+BTZ$_1#W)FnJD5B!rO&%YA0iNYLsfV^<#2hr>5fvF{u;)!^M8YK(KW zHD7^Y6K6Ct2>VTk=RES{eSvUu9Q5cdVO(t-3|%eH#E6U4P0p;~$Vk^@K|pYBgc|XP zLhPW$STu!2e{OS;2Ht{95c6uV6roM)TKek$h_yFdV7CK}mr*rzlG9FjxQwH-NmlwW zoRQquOc-8#(Kgi5kBBY^Lwpc4mVIa4D}tsvP~Y9dZs?a=gCw&K7cI?9BDV^Z{SEe5 zYS`EDhjcZXRIS&%c=yn7dU8t%?HmuRbV?-SS7mA(>NzJSUh@*oLuPYVY(qNKndXQt zyjNvBpN3oNv2l+|W0txsYJ>?XBu4i$(oSnv4;WTW>bWUfsJf*;2{N0xfutJMyJOqg zoRsf|XJn#@#5GSM*{W6p;f@&R`?)t*sanfBT_g_aAl-MW6k;{K`Tp%__mKh1+66_<;Fya8ND=E|5$;J`qjw*Bf!COcL1a7( zwOXU$sdorOQn3yb)DY!>BTp!uDDWW7xZeJ|+1Eg=r=y8IomcNbm}MKU5nbKg*+ZUh z-9LY0d=i>6Cd8vLz{C!lx-zj0Or1Cxd!g~c?R2yreurvdQ2^VgVjd?zfv*I076Kmc zG>`CsBI)6%mBEjVw(H%dGPjN9oyKYwcIu^L-mvrcV@ZK-na^l8@NA(+P`yFev+#?-kt;ZO)JILo)9@It&L)2g zkA^))R*W-CaI{Zf_+)gxxfb6xzMnXW!XEd_Vkg1ZTtnF+czS$eKSM7@5;wN%ER$w! z@)1VV?J4JamwD8IvyJLU@O|Rb_2G;v1sE_e##}ZQ=@4CT4i1floG2rT==@hJXvv#BPc)wt8o7zNAaVhG939pe zxOYjvIJ>`pX$I~^Z^X990I6+EFfwrJncR#~^cy^KBd`aZxv(gFSha#E6rokM1RKhg zrA;$BT^kQR&o)nu04!~)9pKG&$z-kLTxWcNDq*O4WWzzuK*ejRotS_WI6oP~n68bZ zaO^y}kf8pMN@?5a57}kmad3!8p^f_+Oxc}hXvvO5muWfO4izN~*C-f0cEd6L3?|*Y z0c9I;8xHm0yD~%^72>X>glKSv{4m2G;^fd$RPU){>phgCJe_HdY+z6FwzXYc!DU#a6`W{x0mT$(#Yc!2FH% z{*Fo^n!@mH*%YUkxM7Sig=2_7(bFT)#;EhMfJxG4B;NICNWY_1peNW*YdQ>z8d`DUFfj6!g#>CHXO3-&Ah zvD;J(3aNfJI@Hyi?9C%W?)5tYCePL(fyQ{k?pl-Utn0G$M{h+e6zmU1<%s%SPFO46 z+9dYwUyaV$UXYEE%`c7VR*JwZ6g<;ij4=+vi$^r|DX0ll`e3e}JIl5$LtRRTdDmo+ zBKovd+q|+7_f)n~37OlU=$;B;l}2fP+zM4Fo@1D=@&$H$dLn# z!Y9>`X68&vI-EMoq~Di0#8>P9zHF>|gO<}Rv(Gu1bt0$`{5xy(6EXyN+%@a)uu0AJ{sC-V9302M!p9{7#c<%gR>(I zh-Irx4#F*#M}j%~l}hg?vHeE!Z=h|=Mu2R0*Izy+&2DB7IkLpn=aHu$^?sCOAfmu8 z7wAW4Ne&@r?`JBlzZ2l|%Vhkdn!m6Jo7f`25pJ=E%rskFZwA;jEt>N~H+XGCWQ{C< zwTYzq^(laQl~>j$nkOY(G)*#e?V6)d6DNJ;jt`ageG2l#xm>0xeq!loKsGgfaP4;E zGt}Hsi6LDj>p-&tLaX2v;|FHZlv0J7b&cKnsf< zc0l^$QqR?5PffMofbB?Dv`XdLddOOY zb2r+F<)2X3*oT*cY)#u8lll}WLV%@`?b24|O}oP+D-SE;lhFOJ+<&-9X;$bSljzDi zz7Teyb$F6bC|Wz(xMcX>cA{&xD~cek+ONJ?nceqG^-9j17lc;dsvH&pX6RgVr~u8| zSy%|AoN*4nJHJ;7433m$`XiHMZJJ=jq*QA0hBXmhUol4(O0SQ#!n1{3W@{Su6NSdmn^!0hjL zFa^@6I0<8(qYJvw^$^39X)VZ^-xa3Sgk;PnQ{T*+8wHvuS9d0=^GT+XJ8*20(n0A{ zF{JkRfO0jk26!F%Jv$4BLiKRn2NWO%W# zdRS~7Z-Wd&Sr#=P8C8vZ7Lid4Pglb+xTO^8;ZdmHVbSo+ZT=p$%5)V~`U5ydUzLA4{4ZTumc>Ky*@E#bl7 z3v}Ur2a`N#XmwKRInv>>uf@q|(KVpEy5w6W*w25`8f&Ms)n0b+@w_ONMfyBu%PDB+ zBLnh#0=>JXAo8|Q{v-y^Q3ZG0B^|6X!LFr1j}{i$lX8Lhz5;wKDf(M7k=iLiSplwu z3_E3>nocN}-&Aq+Uxm)12(;c`z_?M=nF(`NL-|=~fTEA#R*8I&<|dL!>LYC6 z1UeB7!y$+d~_ku{wWMM>4Yg_<5(m7(u`hEW75T;-6CT>kK$0E52X&ohh7@)s?W7R#dIT>-E0& zRjOOT-reV=D>&F=rCIX4qKqvhJXhS}Kt;_8SUW}ihVeTvU2S)ETq5c8w}|8rm~&5H z<;0zdRs0<&bQr7RGZ5T9E~rZAaVIQc)uT zVFBn6Yz=JCZ~;v=ou_d-@|<;mNMt*M^=X%y>8o|Hoabxd$lVd2*2K%@^JoAaZ7*YD z`kB`$ddE2@V#cq~p4IbC5sJQ5$MC5&5=y={+v&yr>>A4iH8Gm$Asd{FLESbpqjmDW z8N$v1f7sa{mpTdyTfGUQ%(f9Qy5BQg%VmSp?4Cpo=YvHVZpPj^EyNkOB+pE>>F5JR z?KUZY151X|AQ2E9BWM34$H#G|SJ1%3v`r^eJHLq1PH=@eRgW$4>Y8V-3Yq*e?SxwW zCQvnDj{(8DAIS3h*_eF)bT}v!KLO>K|B?^R4?r<(ytp63wCXs=K0GAr-GPH!^$iU zY@DOOZ9vnNP^wrb^EcU$oH3Yu>uv+%hN$*G{7~e&Y*AD~7_= z4KN=_*wgRa8!iAA)y8_v@lCM)Fj*_n&epy<4a95FqlQ{iutb^K)nsA{H+%{#s0n6&S00FP)LQ0C;;v*(`cS6UL{PnNftjlYTzZ+SHSwNjVC*l?(X(U@6GdE z%zN=629}1i+E`^jq$YkyG|-N5JM!g`u;u=~|KK-@ZO-OIUTUTgP2wEfN_|-@FcwJ# zGc)3W1*3V?-VX>qo;t&#@|UrLfG$!s&zv+DN{<_CF@C;^kR800TQ_PfYKYT7?hU*+ zsZ^Z`wKFc%`1gP8y=8D5K@&D6*^DEK4U@%*+-uGcz+< ztmu7{RHeRD@+JTBBQ@2vJG*-`vpYT4Jw4setf=XB+#j5nN%OqfNhT}j62jd7SsX~J z89)739M2n2)98gkVHyys%rh=O))~?BFMyQGHc0Xk_>2H-`Dy0wYv>Z>x%@z=yVM3v zgFniI_smSAzR#kFm6%15TjipR?@f8{g<_~DM}>uiW?EUCzjMX6)q#e&@ixV^u#u+b zsxC`+y^dg~0`=)nTd4lqmPah{w|zC`p&zZYY@PLQH!MRpmhr!~rk;fy=GJK&a^S0P0W#>lgQ_A$8qxp2XctUN0^9ni}K5=k}WXuGX$PQzb zIy>IvJueV)utC_8+;EHi#Z|V~B9k!LJN;Nsk;aV`lt6-0?k<`o5WYuJ@Y&f;rNXpl zcC@ZkkQ$63Cbma6^$SjZDaiJy@5xfF-z~vYhYhW)sClJKB`2N01l@Eyji-)4;Q9~? zqR>i)VUfBO0(0%tmhy?t1*#2O#zDa3U2Sklqe}QlWcwgZ$)S3T2P7(O_wY7pCp6Vy z>!48CupvUw=-5+>WY2mw{I#WBBLw(D{8s-eP*MSqQS*kprHzy)XVd|a`U(c(PzB|N z0ubmfO#AvZ&5-;m3(Q~9D>t_Su{^kQ+)Q@cdl-Q+zN9EkLD;FxtnvA_OthlV=Ek7S zc)?AQJ{*y+tFnLMUK*Y3r&TCPdun8?1k6p1oe{+_TboYhw&|?USP_FY0yH&rO)tI@ z+sr-`fMUCOYVn#fB4qB=8!Pehy6)_Bb zx%2UN9up|oofZft92`(F&hxk>@1A=2wGjM~qZ}2rEvEbFI!O~&+gIDRZCw9P^K*?+ z=mi0Dr;a2|MNlE2mTn*a4q&$_u4L6W!coS(hbgZkY0N3|&iQ?KHFBer=0?ub7#ea3 zX1|u+jaV8A$?+*^)_2Fu{~&3dpv@0Z8Vhe!CAy)d(u2wQO6=wE zW;Tn5L}I=`GpYPqy))J0%^#2eCJ|Fdom+{ZygkVq2C{!ARIUo*K7fL7DqM2zfdAQs zS<-{qO_cg_PvTTKJOs&*w$lV-D~l#C!~SiMb-?8#iC~-h7H2MwCfb(rNG4Qn z67p}rlbX4U%^qy{sL9`F&D1I^AHyZ~FSg(HsO*A_dR`UT2JWZH;Sn;BJc6 z+OnOi!mxgh3f}>O@~;_6RS%vr+Z!0<2iF?Dl-khXZl&0+9ZcOL67u0A5Vqng<|v;t z2LPi8>WVEO2%b$~w)09c1gtnAQgkh3K@Og}*d1a+L+{A`_YioZU)#5~as1<9_SPAw zM7%iSa1bgOP(Q)MDQi@*=LyzSA(8xtV4{X#;NEX0CmZz^MTbX^nr~{VoGAOpsKHmNQAoM=emRF+B&Mcggviu$0+90navYX5`QaP1x zbTrlphU(M*Th~-htZC{KH^UU&ezE2|dlF3iOS?lVZCo=-K?9r01lL8_njW?4UCo<2 z{)bT_R3TzK5vml4(SttW(i^w* zgp3N>_z_r;gF}}dtNyn>129h(T3Vg6xw1TBr=8AVe0`K)0-DMAYSWMHu#B0Lo1X zJq|rTm$^bPw{dE9SN+n(xFpj8H6zTnmV^+ee`?Wc&K=wG-XNEEZV6| z!ZuA3v%QV4$wSu-QNF3C=0x04R~hyb+%T0MoJ!(kFTI04VWFUef>d1Q<{@rd<|+>0 zd-b?Yu?4~E!_%^*y0qL$<6BaL&j4ehWY=rlC2FPNpNglhgdG^c{Xmy5DzpDSg9_bv z@Q_$=QQ_zpVm6q>i75m7OA7D_YIWQoH6&yv+o|i-t79-N8AmX{Gz((YHF$wasY783+@?#wBMUXw<7e>!pGuG4LO0(6{Ms@dK8U}{W(vyd{5TXQCdLuti^kKL zP=Hm)O(-9CM3O`lF{~nwH+jSI=URY0lE2J8S6L;tr%;i;Us!pyoZDzDH+*>b5RSOB z=QSW?K3=$@weCx3?7!uU-z<pQv>lxv6v*rt zH5b9oBE-KDP-Snp7Wi2;PqkzSu+B>n#`s*xf%0XrkKD zR=g6brjvA`(k)?B#aCfHg$sNib@-r;Zja$@wsj*$G|Qjrsy%E?i(#GD3e&JCukZ>z zi9Pfw+#368cOFZy7L1;sl5zgK6eaAwmM)+T`+E#_g6wn9;KLP8Z(**3^N`Sw*1Cg&w)E3BfZ+$gn zjECkfC;Z>eE!&!~2BZq_9@%kU6bmx`zL-fok`j6O=3nW;E+4L{FYkmCb47_=`lnEU zyJMaZNdlKlGCRgnQ<#qo)4#w=qChGhx#SZtx~bl?rR!Hq8uoGD@l<0PpO^1kp)9RS zrOunWi`;Zs8{%&D1aNZgFT3j;#JfBN3ANjG`<8)2m&?gYWvuJ)cb(6xDtd2sY=}gS zP-h*J6;~_0%KK_{U3ndg8YVE1E+J|?$bmq#QOk4x2;+U`DTqhcJXfL@v&o2xDq`}U z^#}x*zcoTa&}F!1Ut_}N=WU}@O!jRBWoy{b?hE0Wszio)8htLHDF1SsRPTv-QC;w% zp=(ORJHCEttQtCqxCu?vBY26~)w9pU__Fo6Qa=!%*r2Lc0^gaSi6A9eEimApI|SjH zNrw_8mA^_Kz4GU@B!i(9^TgI7MTGf#ipv!fOhYsi$UvQZ0pQ;ibj}#?4&l1QMK3hl zy#7?hb@8XRd>Gdo0#OsgYcCi_XfC(`r3`KyC~2`*JY0@`7&?CZa1v=p)c1AJ!i01# zqvQg*zK3uAgRU;L-S{}KjiP^qV|)#V+TA#QAGwrHygURo7s>~9D{qmTZnO-dlk?i@ zb=LQ>Qs=`!{<|zD(*5?{r?1gQi80j^l1{Sdx2S{9z3Efyg44~l{!q}kBX>@`D4As9 z^-9x##?JUJG+O)8_#979N>5*_pOb3G*M?5xNr-0REkK>4k@hjE2BHE$YqP}*lo77< zgl%n7(OrXrd*he^MrWC_LSvJH1{k8xXk(CT-G4F( zND-5tfVUd|efL*mkv8l$Pev>F+6fJMK=su+AyCZm+t1@On~<#-e;(*BQkIkX>>HW_ zw^rU>VOzizVP2RzxI7YBDM~D>a5w`o^@Ir&n>&_QTa#kWnAMSV-Zi z=ud2FT;rL(902s>*8rGKlyt(5MT;BB%h}Rs>#Xo=X)l6WWCbdC@3ZUp0eSOo$A>H) z25Ju~>8LPU+i4Xnp4EFLoM6M)hKPaZZvkFds2w4k1YrtWvB3~khJGPU@a;}8Tl4ZK zef_H8SBNh3?O5-He3Ra`Zh-KsqR@8Thr%%G@)+bj?=eVh-)|c5kqk2XS`fa&xV?xP#6-wg{>(#vF^1xCK8*Z*qQ|NH zDQPQ;85%Hw^~H+%iUV(~}&K%|Ofw55;R zt6#9ciGo=RL5IC%5OX$GYOks6ncg3?bD3maVPp<(6Nwm}L26k0v&p7U#v9Xb*OhL# zC54mg>2k?MLp@@8ygAfoG||X9=9qeg0Lv|Cb+Ix4xT5WK2gDe`!YAz<$>_-K@j8W* zd9m`iJDWV9uY3x|<`-oxTi@6~hRfjag~elCqb^a6;hhm>RH1I>?(E*3XR-A^nA#xh zr9aSndRu_ibFmqD5x?r@;e+6CtyG7A9*6yTt=aFo=J=0p*OT=_UDISQuCKXooWLy4 zEIlKGTg`pF>v0Vur*61>4xj{Gt;hMy z6d{ke*olRc5r-Vc+JguH@X=F$pd4DyMt?-uWHz|Vf^F!A@LzhqNPBpOU0PFUE<2W zkoWaEa*pz|Vw`QuJ2)3MjLme8XA2Tzn(UbZP%`lJXc?_km<^i(f|hrnh&7O_llKx8 zq@E*5--xyZ*}^^{z(;28_)d0B1zbmz7^n~Lg`mbS!q;mirRKd4by`|8XKL`a+K5U_ zIlIE}j zMi>bCj5`T5S``|^Lu+WxG?A^DsF%+ggFg01NzB~utj*8#1C|}j zFV|1sYESg?b3cI~DVh*~Nlf?WvZTy**VPr`VdU;PyvKVd)~czEbstIz;Rfl}8W+e2 zit#Nto2z0b<0dqVnIz&xZ0G-Cw%r5*vv?RHN#Y&^)iLQqDj zkq#dAVeRkqTk2PYk=OF}D(iaEV|%k33P0`VkOm|#*#@<8lPJzSF9{;NgfdGX-b~en;{j+c?X8KDqFA6{%bHgn4Q4M{Y1z@hW zuu|K4z~o$z!PfXglq+S7dY;O{AAC@nh-1o@RGH8*6 zD=*C~P)!25Q}_WeiUA_!kVzmy>#p*mzF;TNXZ!?LwVrH^X(!6f3JSo43EK940w@QG zC+G*e^Ghs9wlGE{gmG{CQD5}~tSR?f`Os&-*@T$kUw@DZ(zBB4y_?{T7c^&ZaDcMW zr?3`JT>KaC8f%+nH3@%bwHB*Qe*KsG(y`|^&aKeUF&i9%kUH{jfzeeLF5(aovrY_` z2w@CgD~*xd-`4)@8bos2a3=Vpw>YwHS`#Add`I&}+NnFI{+J1=@P&U6=qxss6f1?O za97YLB1yiMQP0$*6slA%=GEL|B=uUCA_ixD>cS5qS-BnD^z&gY|im zG=Xj0aq|OLQ`IZLAgkfiDRcaPsY$ez5v;LNs1&WnVbq^1J z%>GpGJmg;%fI;|N5^7Mj(|rhh!pwqpX_Aq%o`KpFNozcB@hcRGFux*P3Et#`HCjrc zzexxV@X9t9PMlKH|C-7*)UujID)qvPel0{LR2tAug?Qus#}kXTmbaPeMk#1rDLxSt zoI{ANBo75Dp}TELJ=98qV?t|eGubgu#zZC{BFh{pO_$--m3NT?%&CufmEtt=o@KHnM70c+{vX(#2r8xY z65_p(v#<;YSh)(5BRFHhz4e_y=VlSh>sf2|OYSbP=`)cmN+v}Dj4VSW&IIg)vrAX9UpC}_kIwxc1!oBraU z5j>5@8wlhT+&>qW1`l}O-l>&63e?qON}`$(H9uk65?@dQbR;QG1u~IPO8O7yOL>ai zJSz6h5_9&Ev!I}(pM*3dCP^&5&n9Cx3uJX-PA#FMb}-3p&;Ptr4gH5|MTH~jo9)ww zdfuM%!8iPC3f}?T$pVg&4D(gHFvxN79U>+hB?+=Np_!c4SB)k`lH~+mdy!^ z4}J>>hRxpkK7^?4Oc6hxp}#`UsP7K_C+R_98nn@0pUM((v@u}|-qr8J7AkzwNGDG> zFj3M3wOQ&z4zNszo4%Ct3p9vg5xX+E9aRI7Z>ytadQIHse2fu!ON)lryueE;Y)u|T zjYi?%fCqk|OP@NY`&vm@&9@p(;jimTCIR1VJ00;-vhYtUaZEH6#apIR2a2e?h8bcs zB;L^SU`Jw)U9+G)>c`|(OV?27`l+fXlcHD6%FPj04YUB`Va!~+m_ouU$>9magy%KV zH_(=^vqW#`D_~}?U1UZTb}+2BP5B@+c%-3Nt|LXHj&1<5F>YC^d+PTzv!{?(?8kyK z?a6wORx6Gid3xKeGp{q(mjU=mRH(0T3W3GMC33t_mAQYFG@{-zXp@NJW`rO*0&fYf z*01Ad*vxKzm4Shk*xq+QzUB6x!l);xlGhy3Jngoqk~#_zA7?K!70npGbK|7NUKL4p zw{V|uQXdn3WB6O^%N)k4IaRy7Vr!(5Vso{d&hH%XS#`!(szeZWa@KY>f|6Fu)oY=#jO_$bz_F6u#$Q3bv#PU1i^^Fsy$9<4jD=|{QB=)BTI&@y%-CU+Ou)X>L z;#6``MM&TapNxAnw?;J`@}}5Lsxy-76nT=?pFy(wX*mGK@>sOCY>TESS1yUBA_yQk zT8Pr!c-?4qb>eaSrxEPcfkE8P(ieM8)1{%=SxoP8moG=ZR1w@zt=;TUWf_n-_H!tF z&?1@J-TBFRnAC5E7&{k9EaYn(_N?=A;3lK8wQLKKL=bHMJ>?srkrb$;irH>!GW)LR zGC5PLCPrx@l*VW&G)DB}e3optx7yAOXHdmmR4a10OxqvJ)M zl%5*AV21H8Tc%p)ok;w8YLD9Q2){s#zjEDNrIC2H zWSG-6G?489ui0V)LL|M0pdOz9Lbo?Xe2sm47=*$_x90lAwD0iClEkYCJ?~93AaK+ri1e% z9m7i{J}(pbKOBt4x?fYur_cIUQR{^a$@uN!s85TC4m37}-0#~XVD*^q3?6>lMsQ`7 z&#M{L8JetifiMaaAK1NQ&`QCmVE+twTXHMhH+u!`y|6Oeh1u08S57Q~OG86fkOT>`zh-v_BoU%6^0d zB&5!io~uiViKWU`sQ*A#9p#m4caGap4}NyO!6=16w>Yz%)(D=%Ig{B)m zjBzww4RfHsJ-+|g5Kevh)*{UGgq(1dihi?X@}YwK5{J+Jb8S+JxY?-p2p*XnkBZ1^ z>L`(Xfa8qaW-LOy-(@E7g(HT)+9W?D#TY!mO~7IL`U!pUq6gvLT*Z6!gXy1 zwI!J{gr_3~2w>RC7jRVr6wgQXGvd92J4Dz64vZB`&I;g-$@&U7eQvUKO;xrl^D?>Y zxXh2H7@IcQO?HlISP}=~zO}*WN--CJ8)egVY}tyXlzXYTY;1Hzjh&!Ye)!Q>ueqnO z9REc(ljgQ#v#Sdemx|40(H*PXZ^U;SvUF@MQ}d>{^E)jp_U-+jq%up%636$8GVS$zv<@!46+ zk1GD0D@+o1kjK35aazXVE--0m$O)E+$7ZiD3|<&t&}r}8v3?;BXhKZty;uB>yc&-; zUwuwd!$7(5U>Xo-vt+q8Bx@#3$m7%}k%FqZzvQ&r518vi2M_)@LdDyNKKc&jwG}~6 zYUw1tanM)j4DpZD#q1MDkh6ZvCnv?ISd|F z_VSO(>rpTYhOtx}uJF6^_H*Qm9#@P?6Hk5)1@&TZhE+|uL4+AtWQ z+TLyDqyql|VsJ{csG>5XcaBZMx@=bSFfEgi>QCpMcJ*TFqqwiN7L}8JwhJa2Y#el* zaGbUJ59V57l*qsR?>sNNXYoby+$8vOpgGXi*KGJ+{}cKDrqSP6Hg0v+Mq*k!rOBP; zGBYfD9!W;8%=*{)bVPfBwtuopB)ZF;b#>oml!`(^SHFf=I78E*mH8|yo+jPlAuiPhaBdZ23{2Hja=c zNnEg0k=Lt5yipC0EfW#kHIfB;4Z+8Lx}b*Het;Vhq?2qpSEo||D_;v|Ue)}$!KA*8 zSNU?*Al^8jd_HS%WgNg^i`SPKOIbVX@)%4{)kUQaXD%&t1*=5>X1}omj*yD!E8OWR zSk&#GMLeIzIJ-&Z^vy&i3Jih9Wf`7o-#kOKF-8djf7*vnY$Z+%CEF{#41cMi0M2+o z!Hutsr(8emqMOPxxIit%A);}%J)iUB%zVFD_ztKgbP(-t)JS2WfsYz=MqMZ1h94Q%;1!h%zCe3PWZ|iZVeNMDhQM z#u!=)mX9+PZ>Q4hGm^JYbX>5t1dD{JNG8FV~P5cL^fSvb_GJMSiJO+uC#1Rn4uq;@r3)@CGN} z+fn0xe(v&IA`$c7i@^c`D}9`AGOiMDt`bDEMmJuAR&5+jf>Z#ArR8X@ZCBE1bhZ_A zz?@zwCj}Gh6agt)8gBfebnlp3t&&Ws>xFl&@j5Xz=Y{}h7aJ1 zir4A5J$GGIdk4x^tsm+-(gADeK8xr8S18T<39z@5)oMw0x@X&lz_g0?IMRLqeB6tI z_m6(RFYDens7)?_D@grZ6uL0}%`ljWfo4aXtoYM1vF0f2r4^kZQrNmT0xr$Hn~oA- z(AJE@t^*>|Y^{={qbUO2&u9n2b-Xgucgo`d6WnMk9wsV>zAO=rh_Dgp1}m#K{mh^N z_}4(q3RCO^%Q42;+H1gQk%?E@Y?6CXM~@Gp=^g@L95^+b!GhC!#!yfP%G%av(DDxD z^{X+ZU+XP@aObUBs6POqF#aLmTdXIr>)s1|9;pSv;4p?qEo)8$MakQYofg*OyL=Sh zV)YCK8npTC!7^m|f}gAm)W<-h8VEHpI@bH9vYFr(nRwm~85dhqh0%rO0^G82K#_VS zLtFcv>DU3AHsN88g~Opc=E$MkGqA4*pNSe82gov3n$32Btujasqec_iTY;nVLfaHvx6ELgapsy+;fTfLtoL9UUyX5iw2T&}WsvZOSo( z&x*Gfi;H|C^?$mZ0sxyf>K60R@iXcvb>an1lMvefT4fObX-U6xy%E*YbXlIXc&s*p zTd9Yam;?0vcP0y5=kZ3biQ@g)nLk=zPJX+hgl&ll01G?_G4fNTU-Ra3;3Qa7QSGnL z(k_l=EKWa)Md*uma`}!97q|WxWl7dRa`_Ad?4L=o5Y{dog4cOi<&H9GeJ2QK{lv|b z*JV9T(Oj(e+h89kGWFBX;4MuPHkctiSRo+dD|@UW-NgRc_o>lCMp62{*FMlLW=W6h z$>^AOAiE^$G){c{N%g${H~hnhGuK7L+II5pJ9}vXd!1d4ezH}$ZXFgi@MJx0G}%=~ zsTv^ywHY3?D}EDiyBi3zPrpzqe_yg^f?PAb$lSg}9Kwt`>Jy_7SP+S7gKE2h|SfEuB{m`5i_coYMuDqFzuM)Cyh>byjNT8IE^BCEZ$C(Z;Hi6=NSI;($LD+^<#%iM8j9WIiox9 zYH&dB6sn!sM5bwG%~e!qznkl?)<>P9H#*;Vk1{Zz*ueN$lnjYSMiL6Cg^ z8PyFFI(bDXcZbcYo6du@^=33}kzmQGTwAKG^)C50`~T zSVwN_98#QtH}0X={coO!db_q6o^ZWCnBlB{_)2J^v2M3#^J3i_I))o0V@=0O<0E8~ zjo{42uG*rGaoOGNp7ite&a0mWpqv=0` z?I+{s-m|hgjp6+5oOqGxWkq3AEwxy}v=3b|0CPng7+<2hM!K+?op<9yF#12K*zdp? z`Z=YqYjnN+P8aMm{#uHzg3rqXE${sL>pXwE)**tB4;~#$9J0;BS+qxggAi|zFD>-4 z^aH)|u|Mir#EvHj8NzB*Zo)@9tk-V>Dr0CCCL~ifDc%cUc4_N4PT*9 z5Zgs;#brCfGzN9h_$wX(F}?03!C^cg7@L7ndodm?h`kq7=TI}j5w;*XhF{P01+SjT zRCfDJF9ybPlSK|eLZ2A8YbNS2HUbvZY0Smdlaz%l+EV?+`lp?UtvpVG$Fi=Fo}$bs z#1Zb>hFE#W&3sz-{tmM^59kJ0C-=J=&C7~8=g%_??Eb`q#@*LZsKbgj+=<1osEL+e zTFbHoE@F$ju@^G8nuS=?18cOZ%MDJ7)_%NsEoIj(axRO5fEtV6W$XKQw?)jD0P#*< zBi)J-EW*F?tAC=pk%9~>Eqny0?Ofo(oN&zssJ+p2XL}ke3WBKu3RpebK=xkhe~k&D8=W?1MLZPoa4B2n1|a~ z!{LPokJZOUFzDS`e{NFjy9&HkQtVU9V{p4cHuL{cKrf|c){bf8hYpl9>cP|-{kIa zZ$UHKm8`au;J&I<#VO8%X^JsTSw3z#T&H>vKDd+Ji8eRcY;1g(ApB3D5eP+-{Agc) zLhj%G`#^4Agn3CNJ%q(f17S>-3We=cAMABm zUgT2Mcu~wqv_#mpa5^V0KriTE*;3mx&$J$a=Acb$I;Ot2HqQ5#ljD##GCz34ET3(# zeZ203@3Xkba{nGOTkX_$=cPI}TBANQh~X4CR(SQ~O@(Yo3~N^gMypG|`Gh(%l>brV zE;>~uY_OV%KaDyih$?KZSnkA1kdy^eI$~fkLBbd8Pg$*cah0<(FKp$>5-S|fx7U`p zUkHXA^>E~F`jy?(x1&5gSBUeUChqWYm?<*cgJN5X>+^p+NFw-Sp5EDR*W@Gq*v%h3 zk>wLla&=E8Qf9?Ib{gVSBoX=)_PMyR7c@qPzqEk={70OGC6QUIWq8NDno@f?B0Mq8 zI!f;k2loPK;b>4;rK{*+T748)DZRvlLeu%0A>f`Ic4^S4~@p zlErWgbZzsn%zTw+P6&nR${Hg0#%o!JX=0yoY;_Fuc0xFQ)ST_bYD;%}ee$sZ0IVI= z>5@uW=yDF6SS*wD8A!EzpJ-&~C(g~^uu^Edt(HnODoWJEv5x8rwH`a9Klgji&J`3a zKJw~*YCfRz;hx>w9B1%Tm`yuxY%jJB<-h!{UM_5!` z5Nh__8FN3#8Itz*pLwaiW2h16&zvYfoeZS?ine%dN5nKs*tui^=EHqddpD8o7(Rg@ zoRuR|0Szs|aHMoEPboIIyGE3nzS3WARKQ9__n-#bzRaTYXYISTT7T7U>xA3WdeOSe zFS4BPtOr+l{Vf<~C)}C0CTy9>IbmE?}=WqJ`nRd(HACa?^8MJ&YOQHaQaryGz zrrJLMPqx{A0_jH#SyzDzdlt)tl%Ob`)_OUr(R_P3-mr>u_Wb(h1aIJ5X-X|?G>-%E zKw9CRe+}k8(1QqGU0OjXO`iU3%E4Jd`!Leo6GmCuO~VtNRKY&Y8m#~ROp14!aEc~` zG=`u4D z>4G3&w_Eibx6X0GOg9)ye8Vy3z%!;Dy&$uFn@3zv>IMc7Y>#jrtBqjg5VFzATF*U% zKM`jtObJAMP&38n-$y?AZ;Es1(+x5+`maVCyKn`wirGjz-axyD{NDmT9inLoUOpL2 z@lz`v!e{Tnw@jTt8mSfZ=9O@(T)>@}9t7L44-)v=-hFDyze+5#0ophJE#s`HZ>6eZ zpmXU@U-1N=J;LDU8OP$E|CBO*EoEz`Qc0P^xr%uMbN`uMXlowKgem{;G;JwcPcY{j zW?b|@v9mtM#d0F|9}u^p!QXA11r|H{%~kq~h7Vio?BKHoX5Zwh7G`6tSzag7gF>Ef z;x}RZ^L=R#NmUAxk7nusDyf(-*181%Z6)PY9lHDIBvxPw72TjHHi=~fngu9z78ZiQmg8JS-{MUzmZg*I&P>N# z;06DIG*9qcRM_y`t#+KnOU?$?Qme8=W%ZZ6sauT509eP%c-^<7{a&;s(QV0V7P8JI z`rkOwpWKdL*<}xN`Yi4XfWyLhoYWsQYP@k|A3UEu-f*wYu)arp6K}J-&=|Z&EE#jI zRc=2doM*rl_Ml`JKI<1p8T#N7BV~IpwWezM{l$ni?99L4YOc|HSlFgX`J-=brscWl z5@FvdY4f5atMvYUYI(n=YTF@2+L}qHGHXHw7C77TFT|OzsY6%sFvtA$FfieV&@Xm| z?}faq-#>Ui_wp8mej@X+MjB76N@lG`Om+=>U?@tSxOClnHMSklI&J%qFZ=52v)ud7 zqD67rNTQSfVp-V4g|SFZ)b?MEjQ}^yjNuE1hrA|5XW|)!FJDP?4ZJXM!rTOS817Kx ziHA#WNAB7wX(CtN7Bd#Wl~}cm@zlyW?0eA%&98dz+|rd0v;9FGsgb%CtuO7f^GVO`b;eq{LBl)Z2bJgAYAU;%(_#HlX<4?Lc3j`E^;5$`=I~FOr$u| z!g*X|=V`;69mt$A3t*}7Cz>hWUu?>|Hf~lQj-|vK04PIhnHN??wT|qmZZg+ zJ&`@=Z}!9{n7vx{>NHbzcH{=#zrrhVQhziv{ibh0H&}K_**@Zil1F&vbvu{BJlGZI zU2~C7qmaT}WE7y(@A~Fu#fGL`D*CV){LcE>V+@xiZ|wYo{tP0D#D8}2_j1`j+Ntqi zn`RTj|8>~hf>7J+l+Q9OP=x|cXLT#Z{W*A0Y{#&fiK(pZbe-RP3fGmoTn6nCDq8~m z@3*0~c=IY@kh`(Y@kb!M>dxeJ$O)P}Rfejt7E+6cvDZub=|;bx zn#rZR{(;6d>l80=bET~VU4XG&jXb4BtS^y9PDmdR@HlPiW0p@5g8Bx&!=FLHX#vFt z7GtNiJ%|pUh9VeQhUP8jyE_@FcfH<@$q{&Z*3bclWi1_DOJ-Sb@rP};u^*1(vRl{_?}KY>wv+E4vnA@nr@E|apV%?szzOkv zxgvskAI+MlYmJcIAz40Wu}T+$JqD8%H&(p+y3-*y)``7VTx4g1YSiV-i1XfyA#tCV$a4J0PJ?iF-2$8|)qEw*?Jx($@4WNkO@XW@zU3cNa{X}AHOI)tOv?>yOvOf0jGY-tAO?8~YrK?EdzUVuo^kgfK{8nmzo$v4FuY?LESG&gbS8{H@Un z^KRT6=42_|w35-@qEklBYwZW?;s9Uls@j6}KN}_5BG!MZ{|44p?*|iMD9&j+HxLI| zjHWX9&YyQXGN~yA*=Vh8T^$xLa~l_5trx4*;x$j`5u3ub9eZX@$dgfB~zE^7NTp#6&WeiUTGxzdkKb?FwmE9=25tQZb+t$>v zGFvIkwPfffhfmvRtjABCgQ|6Kh%}a(rO2Z5b*So;_LbBrlHi8LKQ2qZ9F%Zd1-d{r~e4F@biMFSO<(A^uF149}w;^i+R(3?3!#4NEJ#utH_5xWpO&kN{6d5f$ z!&}Dzwn1`ouWr%(CBs57!78+Oh>LvkX~sSI-;e(}|6eI~VjiEnV$Z63R!3r1ld%m+ zzwPYi&f22Q!l7FL_b6X?l^#JXQkWQn=Oj))Ailp+s?Zr%n zx!J{gHA73h)F1}mp0K|H^Br;B&1QDe1<`rm73)f!PMD!Aec{ z<2t`(i}`OO?xU^8Iw{ZFkhwSVnW?kKtTkB^oU*w`WoWcT%3A3?z0?839STjXqZ(R{`Yb9?Ad#0zN0Nis{#PHd&>cNfC zMZjOm(VW)1-^kv=_e&(rJ7Kn{E}olZT>ekhqX?BK8fGZC1mW30QuECUZrWzeF@)7g zzvC*HckhG&=O<>DwYJp_*FE>p>H&tA^TTAr=W%~|6Q!=(x>Wa%>tfZVZ}F@5HJL`f zzcJmB^%u{Da9gZt>3;6*S#k-u9=;$H4zVR%b>KHL7PhSeaX2d+4+TT3|4^amXyOyP z#meim{-d(>nj`K)Nv%AXsdoE4q_Sk$UppGE1Y&#vRnoSF@aWFilTm|iGGt%4DbwS5 z)jU@Q`_Bc0{{u;_-VJc}>f?D%L=es!K4^Ovev>QQ&k(HH zQH=Z2QrnfV}zeJ-^LN^GqM zWd{%ZEO(7DIPWFvpb5h(PU-of%1^B^GNzNUKb0kkw*D=XFHzuD7a6t*@bAk^pSk4!> zYW-};{WIQ8G*YOY#@b|4P9KJX$-3Eg|16< z(b`jrcrq{OC5iLsbAox_?Dqs-wIB)4+bv83^K=n_rwwmM_j+;XQ%+TJK3@*^>9fL9 z0#gpvWm$vP6+YOL-s6gH9UbBYhqXUiro@#g+>;~Mc;m_v60hG9zR@{ zpqI^B#6f#gAD&zcaQ9ORF7m%F3s7Uj=;uqTSorfwf&Je0LQZ~S3e z_`h`Z-QjS2-~SOcdKW>6L~jw%JJF+rC}9!2gJ`R>dhfkN@10nES!K28J<1A#u&la* ztX_Vb_viEd{PCOD^UOTYy));YnYr`2_nbTTTt;+8DRmC}u0#H`lz8+!L)aaiZ11KUnW}2paVT&^045RVp!dCR6xE;91z+BD$C`7LnA5BodX;I&af$s%XsGk; z@}B>8X2xfet|`XTSB^DakRz9U9a&!)Q*0qfZ2S})n0sbEa^bf;>A#n-dHVI-2xf#S zFi(iCy?wS0c^) zd;#K;bM@mCZDKRvW7E|!W_&d{DWSWU4vkg}9MKZd!gW&QF}# z0Z5z6c7OvmJytkqPPN;~uC`VunYC>`gnM~h{%hf!HdWwPB@(s%AJK)brb38Y-K0B@ z^mDrX7C)8MYn%G~9SiaqZ?{{F`GG1wVme1X+$TxU8*cm`kEVraLXfD?*w=BnH{%hJ zC_i(quOz46OLZ5=IEXIT~7z`M7^Y0hOy%HS4unQ4GjFi#wu7aqLiJO0d}DuB3uF^2uJ4p1{(cr*I@wrnHf&Y>;aPMQj-FW|Fo*=5DL6Nh6#2zi zYLsXTmtZ>Lb6&VA2&=B%zo=8kESIs~yvm=_DEHvGqO2WH@10E2`XcVNmH9-x-Hi)8xFEofqhS>t4u@z=AYe9~FmMmEEO$#yX|>y;Q#sw4 z*LiNg*~~)M7pgMit(7v;EgapW;o6Jv6>DPI(pb|8)z&WSdHv@kW4oW)!K+c&EBRVeA=kXLOe+_fR zAL$E>qv>#CN-rU&1v_eBm3gb7=oKqOU@5$URK#{AddumVn%&RZbbN z#nh8HJ0ARL?)(;)=@I6Sm%pNcLNzO|*Mw*isr7cXu2`gNxG<$heBS*=+_Gh!K1Z=y zJFdrbk$>a<&<5Au2R-4&RrC-Y;`S`$_4q}0lFwIREY`pjhVH{3k@7x53q+QL30_f( zHtP>=C9M3N=S*Zl>#ZHC3k*aLRmsTD#Owjg@ zX2l*_uh8L~rcb{iG~WgaR9p05$X~oJp>>kyRIei3s?A0=^K!5A*^c-b{Tj;Mvs;pX zLy}gs29tYnLF0M{0sv?26b2|Ga<-R23rg|_O_5Q^^U>znc)}Mg&5G;}yjTJmAJi|7 z@VN@rKS@5o7fzq$tA~lYIe}ss>Wz&c)(M^Bd~x0JSqr?CC_YeBBjx#cWn?AQe=w(8 znzw$ywv@e&vou$v(t9@=cQ)4ZPVY1z%dejID5uZE6GT*X#K~U-uh^W8qR@)ACa$QE zpyk;KwLi^Pn3C|*#yE5ZC6G_zv~i+yU(5yhE=kA~ZL&Y6kDj``t9!Ahjt6HSVdxG_ z*ZGBTcDAzLd?1WK+d~C~!j78SbbCCKSA^r<_jt%aDS%Z9i=u9Dw$7T_Y5uR?+jq|C zES`W;e?i63Ho+5|gJn^V(x{F^DZrI?|4P;vjrbIE{K4y?K!V83E(BRXaHR$oFeRvr zsVJA{MmC*W>F`fz3Ey*oXc_~!J5{WgrX_K)vSYp7q1@qIM62@eJDGFb76E%pOkV}og;0T>Y= zh{S|vsb(Gb?BNnWa}4vf!89jdeCFH4g=f`rg~pPolk4T4QttDLPE=^Czl&lmf)gojZ9W|S`?T*8* zZYcsCI^t!Y1umLMYTVf(8x#i*4}*BTh96WpmlM7e*L70jUQ|QN5kkA>i=cVAZt}7t z!fCZ#$msVQ?_^j;R+ic;qE+v-#6+C!mc1e=)L3^fD$At95R|Tupg4yj%TAG4CX5_p zeUvj-+!`b3yy!JCk#`Jdagi;Pq zb3-%K)~zUh`b*LEG9VMf_f9upo>m@)Rn*wZ_}(Bc+{6_=Nqqf5tAF-{VaL>T2j^!Z zHNbG#RFa3c8EL6N@ipei7(b%^iY?0@5x6eoH(Pwj^&mYIVa>##M;7pr37;$X>8+f& zXIGuOlC7hroH(hUvbg7!pAVwe%z|quZF7;bCelISyhX$mG9<69l{l`KfJwIcbEtR} zD`Gg!Ry*JQQ`4}d>%wETAH{KR8vSeOcF0SI&<}Ldp-iS@(gruEWg3>nPj%{vQ^!lH6XLQ6*+BsSV<(^WT9V8v4C2nIUByFcKqxq`ui6T> zg<|71ZM7s&;u?M-xM)ZSdg$buH=3CrzvXV8^mvrwBCK5t_J>^z$6xc136`)^|Irr* zXR)s_JRXhn*Uw3cWrz0{XBN+J57Hx_(yC~Q(c)wkS{GWci(wDZv*0@M%BxUU(p!^G z1nI8d(dyB9PCf*pbi1#*f^(d$7|Ea$Qf|`MNH^(BK_XB6TkG+c1)_2%-+)Q)JLPrf z=PxY`2%AzLKldA(#fOV;DIb&(f$NZ94O&3MLplq(bFg?e7qck6UVsA$nS>40wg*N;8!A!!7^ zwv(329vHT*J0(fBIkDXT^2w<44U?Ag`q{C5Rn{3?&9+6#)l(g0pJ}5^*ndI}r_sIm zgpwF(FP3UEOqI0#iUMF87jsvS?XRN%taH4e>cf)Q9x}j;XBE44@O@=P5B{$gv?pn= zT@Cs}Bo*wxLCA6iZ|$oqD}oq6gq-e7v9xpR<YynF(N)L<>_bC zXbijy%RUUzXHb^*9CIaT2hT2zDZJ3e!aAV_#kdK9r*J_#KDFYQ6W{xuOAPBFxK60y zY|gbr@b>1;C4vP(r^yLtr}8eJRRmW;Noy-wKA%-Pxq=1Pwn8lOfPBZNM0`%~KQQe= zYDz2p7c|>=CT@0I+cZ7Gj%$y;LFp$anp?NoFqaPN}&S1m1odBhan3LdRFqMnRxFgv?pzL4b+4M?^b z=y;|5QNe);_<-m{XK0l0$( z8~)_A+aVS?5)^pM6)@o+Ppm5af@Ws*;@Qu{tp#_}+9~fYmT>keo6_ZZ?NhxwztBHR zOe2T>#;nJ+N1xL$JRs3^sKvqdpT3)%#9($s=et!-U|Q?67k56b)~(!sF)eOxUwBvc zKR8an{TI#ZyFU8%o`oFZE)zlMP-%OUH!(EJR*)fw#2-(aq^*6&&oU1i-X1K|R8L1C z@J|#?F0l5~nbR1$_gS#IWw+KYWirr&;PY)T0}TK;VX8s{5EwVLLAx_gxOjgEz=qx8 z@D$#?>GhacK?jHI8$_77PkglEV`MqwUq+uM7zhylw*y=Vc-)Wn)uM9eC1r%W zSHkVP9w5^fVIIh{_^aTnBFR&MYr45^g!!4k=q=HB^d$fJ=;ha++z%;qB*tJh0%uIU zXh!IWzMCwR~2D(NEinKRw#b4 zM<+(gdlBL@-I*MgXFV3yDzxRv*1v2SF8Y!D=J!_o?``X3;R*Q#GNG_GuaPRr$-C

}D zalBXxGndeubkRT|X-0W}tHfO5|6v_#rJ4ChL4#C}3>`6MiDqiwMkOvT*i3n$rhHC_ z2(Dwy!XkAECVs+xd|W_MVq8e0PKK$)IAs4_xv+o9N4%fNr3p*PAf{JE(tI$B(k}6C z%Q4A1l4x2wud)dPLd)k{=V4~kuQFEnHQV~=z?@pn;Y27PA-K!c@W7MMLInXvQUe0B zJ683brFp$ba`B!#&3IX8#RXMtzZiT4$lz?#8Zo`51;~z=Vq2>kjv4YUb8UpWbExO) zP}Rg}oL6dZLBD+Unlt4zIgV!}kQxqlgmWU~tSYfX19rXR6-Zy;5ArdJPpesJ)I9!p z+QVARwBuaJ^jJe@Mj597=l1DWyWcv?hj^|mzN~`g9-Ry9_$k;U!Q8lv@mQ2?%d6!L z9DVt!NIPm*7V#uTG5?ya7TkZ#GyN@gw9np%aVSWV*GAbX--K|)+$y!LZ+E_I#!Dh7 z`DdUt0nXWs{TYAoMwQgPaYUS=HGw^D!_Dz6d}e8BwGktfz?YRVIYOpqg5vXh+(o$K zL_UFGWxEJ#^J;H-mSEO9Gb^5PgUs5OCKfxP^s}6d@xN^2UM8>UkIg)e#8t5pdqOp) z0a z*>RZpQQV|t6Py1@p6bsiUryF8!&0-C?a}mVQ5RJ+Bm85VL84m`X(ZUIn*aXE&LkF^vlPVPy7Ro(_)Fb9x`bT31s#(Z<`$@| zUya*!y6N$8dlH1+b}r2SDj=(~x)%%VLS&)`eW>)N3ckOU{$L(Z*f~#UzBhu321@Ud zlziJiLY)F$KuWNNzDspe=$!C8CatQ%YAlae)G+KG8q;$ zRed-F$$LSLzwrRHo}0Ldy&=bl`R5w*idkd}!`Gi+aXiY4#vY0>WvXY^V6?1#3uTf0 zc7tj2F!}ClF8t%O6a427Fa3I6auKSZ+FyfV3`iIc5@s{fVImvfX0&U!IUIPCZ}{7v z`}|fP!^fIyZm#AC2Hn8}99^mB=N?D`ZvDOM{cM+lsm*)?A@EiH2O(4}XvKUXt_D`I z4O4oJvGendgitlmqY>fL+gIm#Mna#)bFkuFg#8=3AHj@%B$}(x+!ZA}d4YP(zyKT@ zIaK&{n9{e*7%p1+M8Q%-wDXyhH_z3448G_}A+b9XFmRs6MxzH!?c(uky+n09k&b~O zP==C|+*5u(Ca_H#TW)~~XcT$4a_q_URD}9&(e}6TUyEu-Nqt7d$Cp=p!&?>cthN5APu>#99#B;N$fgKI~sp}59!YA%VwzRsCA9*g^IZ0lIsbH{$2a{zSBg?Q9bU1J^KzlJ|;VD15Nv~a)A zab8suW=CQNJwS?Xf{Z4Cu1~uhe5Z`+v|31z*ka5&+q_FQ%~Q4o;j4e8DWz=MFv`|c zl(QAM$gu2uwPY~!E0J+?C17mhA3i4jD}1gAqfzQ97SoJG@)X9UCuAgY0Br33Fh!ep zt9d3WsW6-JZ*udF@5lt&rv7*A=7#}M4!#5Ydgf^g<4`|kndb!!-SpPfZDeKQpa&T^ zvS2ragvzBB)09g?M@<{Ev~5JOwCtuaw#X9Ihj)|>E+jm+ z5-T4qXdKb+1<(LcL{n|eaw2CFWo44N){&Hn(UYD;Umj0+x(TsNGgj)i4&mJg{jfhF zB*H=dq7bq&mLN;a)VzQETJX8GQ)fHO7y9=$PUwyQbRkg2r;2HsqwJqj8|km;e){Id zUd8gS%jO%V)TwN0= zt(7+kh2S}oEInx!wzZ#LD6H-EkRNnD44%cgYJuW?5TG?4&N`Od{`+8h0v=pr$&WQ) zq>{J#tUTYo2=@KPNXk447BeFGkJx`YMvjFN3ZP$#gppVE-Tz;qh)ybGp4d^Tfgmz3 zR~eC6(jRP$=3U!>pZ_=`3I2X9!;Z(BMg zxhcF-SG>wNoqqfCo_EP9ux?qdaJa5Dxvsepan&4wgg0feCbdxibGNBqgEcX{yt{P3G^&8+h_UTYBEEWUj( zfsg3s=La|oa3_8i5m_Z)fNqiueToph!lK$x$aeO) z)5P})yi|T-S-kM#wJ``LdGNC79f!E@dH#_k($8%wOHw|>z$6OQDkCEhRhh&0KEab0 zo}C_SkYH{>_YQlR9DQ?g35O}&YhY7yGM4t3lq5fSOsWO4a! zncKej3!gdv)bfrw*u`5&Q^?h7Q-f|QF^l+R-+r>axH^xvN>PlBP0TUvbL8gphJt&d z4ptJA=4>e*QBi@Sx?6|c++@k4Z=F`n{fnz6f+S|hXFwN`X-KXi+ekv26>h5Yfl~n0 zQvxLgPAZPixvc`QyYb+J5s2afvuf2C%@kpaV>qpE^cQ||bY_V@v@8D5ZLw@|G#*-P z+j!8;V66CFB|8+bK`q<_yqtK|o;HESSU~UqGa2zWIu2~KW&mQc4wblXtg|VWQ|o|y zRHuKDy^+N*j8mj;nnV}wa(X57_N{At)rz~_Oa}R}Zi`+BDLebF1lK>WeT<~N2YH+# zj3suc9Mw`hBBuF!61Z{Acq^oz6&5_!AP>=v^ZZ8#Kq|M%9=uG0001Zob8lbZ<{a_fZr|cKUnI^_K?&zmq6dLj3i76bdY3^mNAFM z8kY`8q^jM2pCMUWDQMfn_OuBsn{(k@J`SG_qHYfj_I+%yQKDM3gSt^wiK#IrV~XD& zRGwmHFih-g%B~rq29BXVDhc>j$S;`U_#^%d3HDOeYENXD$gypP!ukAV1^um0^BwQD z6&xXFh@7uo6tB6q*ey>xL8B3lTb&$UsiYS5gAUg28$23y8m~+T-;HIN4M?tVUfn6ltNX5M{D`L8O~|zo zkGxs92>gwIs`{HYm7)ROK-uUP9ifX<9cl?YQ#qhRR*a7b(u6Q8u?>HD=;pdrb9hv~6{bE6kmWz+WRLdd$({rq}>QUdBRrY|s0q=tEt3!dap^Q=W--ZdJj zpeC56Wiv_{kSvivCKE$A7PXmUIqpA<^yzmvcFaZI>iOVhRRX6;Jq(pyKxO}j^sf^w zps4DHzCF6e^H*P}47n_Eh%|_k2}$Y1rqTgmjxeP=F<6%UV#J>YxZe!OxU7nMeJ+3g QQ|PR6XaT+X4zaEf{4)U{iU0rr diff --git a/CPLD/MAXII/db/RAM4GS.ipinfo b/CPLD/MAXII/db/RAM4GS.ipinfo deleted file mode 100755 index 482f1be80d31b726a34a9972625dba2ccbb0bee1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 338 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR9tRo%0sUo`pZ)5;MA&O=Rgm|v`Za3@`)hUa zCre(m@A~;_>PCjIl2WfF3z#$A=L;-|*fW3sd~NFq(zB+|ogP2$INPL?nx48|DQuhm ze35mGa=6yTP{@1afYbsz?FskJ?0F=#A#TCV)|spdvJQy~CmF8x9ATLmJTHC%0npxlj8q&w_cK7Y2ohX9X+Bum4VpKlx9Dy&lw USiGXUypXArxi>ihA0U{!Mf&c&j diff --git a/CPLD/MAXII/db/RAM4GS.lpc.rdb b/CPLD/MAXII/db/RAM4GS.lpc.rdb deleted file mode 100755 index 2c939fb077d3e64edd85d7f28250c0d2765656db..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 508 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRu3-k60t9U!Q-NS1gktyuRy|OZzk9NX#RLU zDSVyo+f}TU*O#tcwzTf)YSx+C9vjO~6qLTK73aJ9hW4F^H7QI_jTcYJ)+n7V`%>)E zhVN6V_MB{Im|gtS%u{oM_wDtDvaKgYW=!L+X!*r1({f-p>o3N8@3n8d{&2g=xa8NZ zl)E8kZ^dms6}&Mk>}VbT)Wh>1$S^Sc|6jqFl$ektkdTnjarfhgseBWru{=@`U;abD zU0weAj|USJ{O!M2gv*D=hwm3yAi~^iDqw8G0G2 diff --git a/CPLD/MAXII/db/RAM4GS.map.cdb b/CPLD/MAXII/db/RAM4GS.map.cdb deleted file mode 100755 index ca0a9713524825154c60417626eb50d8c16ea6a7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15920 zcmeIZ_d6VK)IXjeN^~NK-Xer((OYyON|Ye%iXKr{XIT+7(R)M-qW9i=@7?Nc_0C$0 zwf5`%JkLMzy{^y9bQ|Y0?hL6w)U3Hftzr0YvkDF6G7Q#LVFRONLS`4onkT_#Yw5J8K0<0STn7!yb|TB=Q}c$UW-ah9gW(( zs+*ona>N&`-pJxJQzfj<(gX=i*cF^b;nBNw+{Dlw2uz&dHB;^7zk)?@)Km$QrmC*Q^`S7t>jf@cF(W#ex;vEFjt^C;HNvsTx~z# z|DF4Pe))fx`2Tx8z}({xtQT)?4h{}{oWP~JvNCN=P1!Vh+jWGq<(WS-35P$4T@xb$ z=n&UlW5{~M#cJi@m_eB5kY(bK0awG2C9LL-dl6MQwD9WR4%Trs=)-5g3LrDH2>E9U zu3uau?~r@^fuX|b{gC$FrJ+VV>Exp7yI&kN-`cBS*|q!;d?pJmgLj!y!a&aW;-Z(L zE^U85TIQ^3$#6rz7=gJc2j8$Iy4KIAI8FR&Ek|CBX58hZv)AgN4jo;n#J2kbv zh?E6RdX*eHwj|9<>{6BTdD`Wi*D#7Ul|qyHOrsrN3r)Vyv6EK)98H^UW)EO;T7K z8}c(L6~Ius(JK-K3$nSgQ~H6URo-u596on5xnuN(s9(m{3Z92flZ+5`;eq`1l`56; zt$3U9Noq)E^4o_I*7jYSPm;~UR#rPga95Jj)}XG6bA6f&fsZW&Z|V|byBWyUVUf_+ zb5@Z9>9*>yg++IC6JoAAxrDGADd3YB-G7$tI(CH+3eP))YWKNouHoK(TcqhPbeQ8T z!#Ey|^=bVKYbbhu&4q>@{5 zsI}b{ z1gDg~(azi!FtR^vaxb2fBn*fO+GqNz4taN%@G7I7J?2Gu7@5P%E?3VW=lU&j?91(5 z-If;to5UJ->yz7wG9tbeUJ5W`B*4b$tB-)J`)8v!rngNS3RBO=4!`+gYt`txFVwp~^{gLPckIK2u&!5H zCKrSaO5EN~>=meyI&3WJ{5j**@R1&3*>CZ^*D0|Np=WTO7nboDT|@XWs6fByy!iAR zNdtA`2FtDXH#O_b!lg@P+*fQp7e>R@e4;$^vN-0aQu&Rc_`W3)yS0+v22;+zjv9MGLSyCi#@@RD81oK`Vy_f16^6cn)C+Hnd^-@h5~?kS=D zv|{ct?`iRTuj=Rgb(pd&BdC|_aG!j+tbPVY{w`Ug=LBk-pwNT#Qc)gkvbYgb=(am~ zz*N=G1_iGMB63qPDq>q!=3YFNcBi0imk{Lxf;pmSeXR_JE8c`_Ut`z4>+R(kbO&pM460b8?b7>FLqzJ99a)rDK4`=77Q zV=Dg4(`{OG6BEYqx&8sGim4`@P#JdMr;=!7arPy@SI~${d_rEkOVs+|-*niX$e` zjHPgU(0#PE;*l?!mkrB2#@w3?bd|!^rTGm;jwr;B^pVPD?0@`AWv@A*c37R+rV+|U zL@l8W^K>ID46k!$vBEKw$@#l5OfJwpnKMz`R1u0#YWkd%H zD30J;OdMKwC8T7~Jf4w%D)3({53D1*@kU-$kL}tJM4QR(k_*v?t)(+um2wC8u=Wi9 zvXc%-6AP{yO2(r_lz@IW_j!T)g>eJ;NkYymp&Lll&%Y}9q)7a~!!I}WsCh`4$aq=< zhB>-;6colM9({8jyZp^4ha7QsS;}wW+aO09q_-Se6o!Ts7htcs7^I(%&M!}dfeNs} zk$;nEVdf71wBL^EP@CAXn9o;KxXvuq^(*m~2j#ewk3yplQk!o#kuMeco8@WQ_c z+}^CCAU*6MQn+jf)wa|+)|SblI9S{@-mIG9!Erkjcd^j}U>q7a z)-V@cTnKS^ajGrFf_2-JGwbJY@YB8w|W@hXYdc6zt z6XM1#Npl*$Vt41=VQ0X}nv;9_=S(Bxv9k&|+JSt$GA(5SSkYp4d#{DawOkZi1hsHp zoe~_0@}guzdqa(v#FIK0qs5em99C+9=biDBTAeY)8b_GEv+EA+RW|3kQer|jPNffT zWJWY)JxiiN8mJaIZ95`zkLu?H%Srh>nyYpN*wmIY*Sh15pJ&d^+V3j(6<|_x$r5Aa z0(1ssAUkNy1HEM6yF)f4b!^&mCP~RrvQk<>h$%`lE5DnHYqGqu&xWMrBUP#0s#Voa z)7kh#J~&m2$q@|+S2veXcC(8r^R`p3Ku6~GKSU1>&q}(8nny@#&W_M7K~bsHo3MfoCrN|f zd~Sdvmdy`Jji+`wPn3IX#8ng{s;}}_Lt3595LCE|D58E4%QkIf!sV`rEPI()?Y&PWuc$upkp!sTRLwx5!f2lt8>$%}{1121+|oq9c|n_GT#vdi zJ2xYiyI^}7BWq|L)52`{&KG|;B&9y23H0M1@7~8n81XxzwuqF?3x7FtAzhPgvm0iD zKJX({0`dE9sZL+|pV+GWV(bH4d9PIyp@-iaEV$@thQ>XGddIM>pYln*WR(#)s%SV< zRk0%_sE^AP#pn4A%8N*=`d@M&7q(TP*5YD=Rb9`!k+88|7CFxRhgwe>hucG`V7TIM zS4uh&A}_8H!g1OYfg@73AO3dL|6QULblYaGF{rD|H_c|=4YOFIZkLU~uZ~EPR(vEZ zh8y)BXQkU&Xw_v1MWMLGSN1hhpU;f*A0w-#uB8-IBPASni26w_QMk=FHX{Qbr24nl zY|%f@*uB$`xLP6U6Cpw4xW!*-W6yLGKDK3ne#F-{r+#L8 z`<7*6?gbPfuVzI>X9cR-F#jEcU3~3z{?k|F^$lf)Nn|-wTbBlRtwh27Mu@ zi4J3V{EU{OHt&lOQYrGNq<{6D--H4s;eu6TK>GsU;U>wnlw{ljr|%i6JpKf$@EznY-9rNCRf>G{#W*n zpam@V3}U!W|oT9H+hZnjgHrR9Y^s9J$;a_&%ZR2}(a-VS4C zq--zoJhi~R&@D_aX_NWU3t=r08LX|t?Bf_k_aSQuOTPlZ`e3_|Xy3i#*Zrf}dNs6ya_*dz`wT&Yz;<`}amDfZZf z^cNxT*oD3l)iiWe{+qG+?U$(|R*VVfe;!5*NM2g!eQD?@czZ!lQ0+0_9EAiS{10xf!2sr71PQP0>#KxPoRzV9AP@=WHX zy)HYC1ieRx1c#K_K(g8kH#jXNITM}7{9A8MM91e#BD^+8gCC8IM@T7`_ZX#~{zoUS zumWkD1@*7f8*np4{uibC5A`&VymqpoNtgpO`qDhW>D0+i@j8AJ8S340-Ytz)fW&8T z)Mea=M54OG=KF>BX`9&`s*58VE(5D2evhmzG-yDp!Sw{s5j z=>+}L9U%)ZV-aCdwcX402y~Qrz)7^3zoGB-w~AlSrMowIR_UPN0yrLLGaF{zQR;`E>4=G@OG>rUy%&qw`q%Fj0Z`DX{ql*J~XfQ8N9cSo$ z0~f8Q%b}?U>dE6pFct?H6O-ZR!-l8Zh+ayRjDW8>JtDzrzqBFiF@-cb<55>hcJGV# zhHgXjJ_{O9dm2N{g_s#FESwTH0%HmK5E`f7rJ7iWxO611dV67tMiJP$q@nzbVFUn7 zDdDPAhs#jNzf#Sv>~1sNifpGR1S1jkQg4q(Z{M%GmB5@U>?BmR&q{Rd^`#_vGJ_~6 ze^B$R6G*t{^aRZ;%TmjStS?S za*9eT6RA}-qJlg~!R9Lz3`_bpk8)C>$A9=jy>=3d?KyE&yoTzxH|3#aFX~AMHfCm8 z_gNlCbSBGn<$borNaKF7ZrRSVbrKn&9O3SX{LrPXrAn)5#D|SV6i!%9d@uby$N=B9 z_T7t&ch3J(IEFT#4RMDCfc+j;oUvQ^!y=q=GfYSt|I;P&x7&F$8?&=K8BHD zvrt99QvA*L*89K(%sA;c(;o8Wsn_>W?8Q?f1u50(o?b`dtQ8+(76K~3U@41vnwhAB zIUi540lP^dSBhVrDoqpQ-4H(oJ)R#s^UOL;%ifc@mpI&r6~7mP#{c*Su7*m6!%bd>(kz&x7{&22KsNeh++)h){cK}- z^m#arS`*}anhqO30pOXf6QVm;<0zv`^UdYl%vu=iM8o(>i`+M7aiRoAEw+M(mHnIZ zUmQCdsVkg=rl|_EbDWWb@l!Am=j&!z0F0h^7P42e>?oj7zq_>dBWhLx*h&8b%8ZT(+KngD*RPhy%=>YJmZ zu=5(s~mrk<XK# zQ*N!J%_w07S-#URuR=wLN03W=cYy8cV!2hYn({$ z=VZi^Mp(CSdKHMS6R=~x=W$hPJ-p>uz0cfJB>8>UM3PWp((9MMmdAXuOD?R;LJB*| zx6@VQV_AC-bZ#nG&Uqi&h%nN@@^S_*xUxc6L@}}J)WOQLsHZ~?3>|M55Twdn-4;%& zW)ra1POzdFt$am2>+rjHR*Fp(56mn4)ptS>UsPYcjy`(Mfkjb&`Iy)tG;g`$x5PWY zZHk;9Yks!gQ~e{K_?tSOWqF;_RiAmR(4~8!fVKXxkhbaX=TCDbAkPWf zaPR(nBH-1HhV1Ex(CrYH50MhEJ9%v0cjS2Z+8E0J+4mXBHDL@^>XoEtba960u}`RZHT_kwtPOYvk_W`v(>&+Y`n^E}A2C(?P4T$u z&=2=a`TKEdM4OaKR|&(FaZk=$)`09$-v&$Pi0ax$vjFxUv9lUds6HZn4}q@L4y$~Xr3ASe4*08BZ?2+EOH<0H7J0El--Sk4tTF(b`CZ#EI4+zyO{FR9*vBiSjaD2 z4c%BgJMo>=)C3OuWnZ1>SzfO~Q z0&%l*&{~|o;LukpyyzcG_N}~%y?K6fSDefP7aP1A3E94aI~tUfrPW%dAY(>^l6c^4 zaW`2U!@d=kj160^mrCh5k~~H`PsQS+EWBmv?<4O3AOadh<--@?d<3sott; zD-99bDtrkqjk{5CNFhYPSqFW;J&fJF(YxtBfuF=WW^&X?T5_zDpv%5LSsBFDCO|Jyi@CkLpg>bULAIfgbIA|b%x#DBN{3AGxyRw=PL2!elk}s(bY70Cug{AA zO^Ik|HaG1XQT+SURYQpr8w@B;j5uig{A9l&`{>xf|yr~ ztTFMxa{{eaohn91Ns_n>3KXBDBDZidPic8{Ppw&_OI3CxafhW`Dn|TY@W2HEt=eoW zMmUL*Zkjp;Y1OmiM&UO-b>m?6y_hk&h=ipv9Wx2?Kz7dOS4z#@~)jA-R-yKs{)g&4k*x@giu%RoL@L5dTJq#q%2* zCD7BKWo=3sIJe_!fPnisghQYRqh`a)jv8qCY7&72i%{p(M%>N2tjQhUeQf%1iVMiA zt;{FkKbQqktlEzPr9ReWPSp89Q>3omwW+Eef1;N>HXyy=`h<1E=+K1F3APv}aae+g z-b}eKEt2L9^02_4+G0L`S+(^aBkE-wfDU+|&)un_cu{{o(Hw>1T`F&YV*dd-|qXj0E7qbOcx-U&6#Uok*-XG%Wb1NZlQQ7$v-*fRhreCdQT`- z(FJS2B0ZtwP#=@&aaoFs%q2mN9!|>xtu=#H5&RofmSad*d|XUpd5pXsNv|W8ca1ia zBmAbM4vJRfNvE`wSTYqW#}2=uFG-i|5p|4iC@MwcIns+qdV)}q0aVgnpZ4;LSN5*3 zFF49FSDrs-?`f#J1lf{#KNST+_+V>V8;u0pOaqPqDL2-#! z%nHH_{YyOP=9r>FvfUacDLy@wSW;s@@SJcX6? z^D?u@iQoUN!_{%qqZr@eRV$G#=bQ<}qHt6F30XC$Gxa39z>}BpCv&l?7%>&wDUBbZ zMAMIP@}PJBLVzWp%M1^_4_{^VV$GVbo=#vW<))7h?#EcEliT)72vt8NE?U94ve1C3 zj0R;@W>4qCaczwqe_B8&cM%%y`NIRMg_+)XT)0$HIJx0(D#>gHYw8-y6(QKl2Ou`RgOJrmzuV~B4GA_JV z0Jm=KYH-2@Y*RKQI){CAjbAS2Hq4z^-e=Z)NNqHc)p8u3=_cOl6=YgU8l>Y3IRZi} zH8n>n?y2wD@r@3&hO%>(7AvJMJE|{l>y#w(tz_DG;f?YT--#DT6_<-I&l7kRgP+15 zBAC7iM_E{6S{KjZaEGl}&E{|sa4qlC;-b%z()hNFhS52)2|KAlaK@s+yK=65ZKYY# z-YEd~l&z`oh6c$)-Y){g3{o5Fub7Q$3LQ|4S)5q{f~Gt6q_vjy->SFDYXP#9uKhVL zMiUR&n&CrsOIn~s28n<>i@~>;Bjq+z!Dv!n54J`^_jLEqQR?g z@J98V#_Z@QEesWCwg6Py{+6?^8N(b>BUxE0&966EV%*Hwp=aQbIT~4$#$j7*nc;av@UznvhqdUHr@-Ag$z`?r@HfVMpM1KAWD{KUlv-LHS5UR z+AyeO`fZYFN(+h(>kHlOzS4zF9r%*GVPtAsy(*88Do@6A)}Ch!LtP+C7kU&Ne5Z`l zDKaD*uC8(M6TIV(jhlf^#Zy%OKnkc`oZyv&me8UYUbSiOiJ^cRv*|{kizBi%7qNH? zailKT7d-5I`G;n=TolFyxur5C!o^I zB`)E*Zm~S$?{V*ti83DJ`npU#6e zyiNdAHD%rBf&MjMDHvt8OBuUB4z{*$sRzezhfUu<*Q#n`^qTZ>?|Pm)l+j`At;u)q zq7E`gw(CN^v^XP|oW+5cvdMv78)%%HNj+eh|D6A@D{L<~?g`)3=&X|W#;rv=$HfoQ zFA5L$wis-=Tk8n-LwS4z((K~##!{Q_lL0f@EP+6JFL8_aF#I)1ub(X@Z)1}4m0I?E z*dc=iKCfkb)p|jFH*2`SBjbti+i;+tQ6rSXTaMA=GBQUt(#z;=TN;g*_i5Z+FdX&$ z;b9o!jqQ03cy@B-VFQX!eKXkWY=Yy${4h?&eWV`1LH`iTi?&=SQHSldt9y zq%(@1Z@GRuRr&OjrYYY?%v`}&~Z(V0w|i!9Kq z{x$X4deX0wEh>!Xq^-^x8P$R3>gZ(f)&lqh57&1LXnB)RrGS`y5|`5-|JsME7OQ;^ z(M898SiESzI+HS+>x#Nt1Xu2{Z+mU{#Mp(mg1t5*Hk^W_Yw@VpkiZ$zdmRbV$tw?s z`Ple=1UF)w$mwY7NLcoX`p}@z^2cA30I>|Kk516{;@)3;)outSOj*25P|Mk&Hhrh{ z!OgZE+efW(1^!OaQnls27#9zdSLvv)LiQv!h@{;ktJcbVw@fw={oxrE|nyYYy*1_Qgy49IK zEsZJ}rKxStj|TUxR&H1w{O*j}dBzupr3PANMM+nU(U>^rdQy+CZMLPSv%6}&2%#=E ztf+zHU;g>;=ZtHOFgjPP(Vki!a~{pi<#ugSx)&o(F1r@d%=zkkB8IKog@=C5P-4U8 zwc3xt_A+Mu@iXhLj%d3W3`rAp z>#BtKz|DsN1gd=S9IUqvE7ZN88s}glcXlGUaA_%M=~|V=_a@1A*NL3|Svu}S63UaQ zbC;!S%5HoUv?*;Ta3=0O;&k0bjs1qY+}`)hw?KV0M*$6#7P}3jS8u#GYJGqc(g5Qw zZ!q@fKttB1UR25H*$HO*ge^s8*uQr1?nebfyahJ$aFsRVRA_d|`53gA2{E0|aNYKb zTHH4XpZ3Y*Yb}RbLv=ST8)zg8dKC>{GbSGbDq=ao0zzn2*gA3s&zn9tUujk=Zt z43T!UXd-&vHAg2JugNOS8xY!zXSzz6z8L}3_zBL(PZgi&T^?$ZBv-Rly%sMw@3&(Q z7au$t*zYCTAsua|s&e(HfXu@8_Q6lZz4vzhOtc-wcK3Sx^HNUgy+sAzZ{K}CoKdk8 zY7}p+k4@{Y0TJVggeoAP#6g3x14%o=0hqj_m_NTzy=xRFpN1w=VJRpv6NAh1P*V`! zm?@K)dO{Hj&kq$OmQXGFEg4a~q{=xie%$7%nW3pz``$1GIF-W{JxJ7cHIif`|J!{8>TI@E+>z z=r`o^m3mMJEq?FiG|j&yp4NMV2A{Nh86EB31)pc}$&@aOTnC&)&th)LYs)KiWi|PG zw{Pn|inXoeW=a*r#CEZSi=Ccw0^1hvn%|cs3-7{%YVY6Q2l1*Qe2}SA#hy8@4_th_ z$Kc8R-)=-T9p9v);fLFYU8;+F<4D|va-}9M6D%?CqCD1B31jJ!-X%aAa!CHsW!j0 z)(S_ra_`=`C;ArLpWJCE$hwO4Rvd7|AvYM?f6!qhzlh6zaTve-b*;|i>XU-(tW-Yk zA}75*UMsr^YXhPCd~FX=%ibu*iBL_Qla@|XVtgiXNiio((P+iG4jc>OPt8fW%nJXp zUu^;tzwr%$2aL~4)q|?c0`0?fsi^rq|Lu^LA+#o~4+odgnmbQU$WLhP$Ij^wMBA}*+` zvD4dv8mZaY_N=<_3wTX{zW-sQpZeZ*+YIs_bSGySWw*DD{JWN)cGc(}HCQLLonKVk z#<1o4G7V;e@i0K+)vml=zgZI^Zq$!wy5N?;jqgD-58TKPxcYd;k2!@?)2TGxAj<>k zBQu*w!=rL+-t$2t{h;2vxPI2iY#&p;^q1i#yWk1G$pqPA+h8X%yed`kj7kIdX_QXm z&7M*h^X?9dX%Fycp%u$`+-*e>uO{MlhI%Md^p5*N*50q_=(gRC9}9>bhDuk7GVvU|TXn+KncqG1Tj~ixftCv~%@Wuizba zGtizV!@*^U{Ur>pFNqDZl!V}#e(b15$`f5zz2%%aaWpU}DR6l>|5vm>e81ZFBc>X4 ztO<0Xm)1ac)gM6sW&V7`9$#P0`R+RBjbA7oR~$NW^4a25p3*ot5u#_js!V}Zd0UBn zb_j+I#~03x@Vtx0HMx_n$|PY9F8Zn{O5BqVPH#>YQ6jR0g8iE*HqzGE-i~P&-3GPC z)YpfbH-EYSGu?2KEXKw?jr06XA4p()87ls4q;(z^$g{OQ=e~2Ae|Z`0N#pHtoA5mz z^I_gs{?wuN2H|?(`FbX=4*SlbhK0?BZ#;Nxvd$BaM7)Huoi`0&x^raZyPn@?Iz)1- zvb^3jH)mFOpOx(1({3vSbU8Fkg&y5^W*^QwsUivgA;q@OlB)vmS5$us)gIG6sKl*9 zz47+(4bcHQyA}q<+h9!{#};(XEyB*M5%@Tn&S`$cwWkc8w}XJc0<39ej&EJVT0o=U z#^YTfO3NMPM9CM_mx5XuuhRhKJI*G>5Z%-j1I>*J1ddsZXVn#lEXprgwCMhcM7xLd zZ^?F2QkNJdwFfcT+hDm`F2^7?mmeYAd@?vFB%ZW8M^_(T;+MXWx9&PJn6CB{Gn$-2agBA*x<*QC^GA(-Z!&z0C)4BdH*dkG_idge zo}Ol=l()a*RWN5@2x4T@Hi~-lgs%o6%~aFS44z0oWP*Ji@aty?hWU}%GjHFjL`a#y za^$veB(*PqufU?A4`nU*9JKb>XJZ2OVGOt#U^=|x{3T|=3J)=2jLCJ#{60ErEMl61 z;gxXmGrE=8x!k#40??drj?F3Uqxk0dn(qy3{7v;RN1vaPG~ePy`=L=4{K-S*N687) z$7_${RNA>g3K@rMwfdNk4oi5xj;l5+Jxx(JkG&hc10*taph9O^;AgK(x?>1|R*1ef zb%eVN5)ow*2izpPU(ToY-?&?KfA5nb+xBmC&Qlyrt0GGl)$o)w{Nk>NxnFE_G%$^_ zJ7)K`{sVm#Ba3nSf!82lmppSH>aNiCim$}f2!pr@03esW-upDZgh@xsw9@6CdjG<^ zZ5&-ZQ99;Wg&BaKNV@DoH=m7*u7f|eI+5JQC@s$2MGJ$ct9OJ%Ps2lpU@mtIFTF9j zaO?47cScTonGGa&@#^a%>;&E9X~HPGZ_VYurwLD(g237_&|nMVX^!Z7KlR_o%M$s; z{vw`VRFYS%HujjVSe@F>Any$@W0T-#^`~B>PrQ2q{hyyK^RK$V*B82QC3&^Rj0fm{ zzyKlXJ@6Yjr(d#`D~fc;QwN5m>SVE>?KE#Vw9rNC(T9TIq?KS)gR=-zuJh&i+><)x zQ3UA`8Sn#%p^jSluh;Pgfr4WEkWyR`s4SSo42s3oI?&uMW`@2f`7&O9xy%PSVHKW5 z)wXIhRAV_#x&!>$*vBDqpLaoBJCx{VRT+2iMh5leLEG6w&7*>x(Qg20oVmcWd0nTC z&%Gd|Br8oI$;d)3?=Ml$LR@{9nE4)>XQsoz)F&otjA-n7qlQ%E`dJ_*ztqlmqMZ~+ zyw9M_-^9sJY030*Pw6Fc;{$Mv1YEWltT0C|HD{-I2DIumO*b z21a*FEHEm5)0r0f^Kn(w-bGU!Lr0z^uCOq7$wM2<*ypu@?qY4C#-X|G<2|?EYr#40 zpjN5O1>5gHubtL2t9>h9vZdRj?fc>UT87s=P1M^d2zIEGpAVJfA!;w$I1YH(>hv8r z#)}NS|M~zB$GeIxY3_kxKc@&ppKgt`|9hW}V*tx@=T%Gp*2+^IY13O_r*9@tO<`Tv zC8_z&GcZ0+JqVP4%oWMdnUQt>-Z{7afm&$uo;4kIn?pm6+Da2W`&@J^}%h1YoR_i~_lXTW0~u39Z> z(;rY3Al@(@H6C)mB&;w7eCRd~An^y>#r0C2G9inV?e<@Yme2tv7#SwF)MfgyTdNyG z50jU44W#Bi?!c z6trUZp-!h$9(`#IY@v^m$n3jFo?lICcRs0r-Zq4_GauH*x!0vqyTFNBK)kND>mQ*s zgKH`hMq;ZR;BOtoy2b~WiMb%e3$D-)VVg*qj&gC=PSd30VO|FM|(!t_7^RCz;`N)hSn^sf|BYn$V zvB;s>0~}E;`~zU$nB-;>E14(FPSLIoASjUpj&Y4Z9AK!2bfQ321zU->p@Wju&hICaKkIy0C!8J-+@z;;KYiK^9F0u2-k z1y_3Va;a~`RF}Km&Zma^w-ZUM(Ox7-6&7Ol8T{|_8R-veLv6t-cv1J~tBbTtVcGSVN#EaO~21%>H;d0Sb@pkc%hv{U$8=HMx<%NxyP zKKdz`zX_(T8^Px;GXZYoACQtqT?+Oy*=5yV4d}ve0qmG4O*KBTYsuNj;b&3zpkt6> zMQyQv3)C&+Bqxw1cdM`=sot)Eb1fLl{gRqa?V6OG%Wv@y=Futhrwhi$ymMIBxzLkpjaC>Le|YcE1GEH> zXeQ<9WF(JHGSIhHE*G@7-L&hJX1aZ}qEeNyf5)AVEROS>eT?o787JCXBMxp%sj#1q zYc6}o2pTv7yls{NUuK6t~Z6riHN7Yt|B5S1+U9v{l84t%E6nLJ76mrwrz$qxG4WdC{BT=<~q;F8v4+7d&mlS%0L(`Q%;ob#4n5M zy89Y0w*1y%GqM@Uv&wsHp6PeEW*)9rJDY@eEX7WD=DWR`57hoYGEpZ=wz%Hcf|aC` z5c=8objA6PdK`wS7lFFMp5uUgU=^~#YZ}FK5#zTh^fv&3*mm=!gjO^`Fm4u)txMob zZ_jCuq_aILzK7DuacLf!IqvEci?>=^>QD!ZF{po*=VbEK7}Ius9%p;3>+v_*dt*hq z<-3JNsl$biIL+N@zNXVtBuc1xGTm)*=ydetJe6Xkic zD&7O@${jtXSCV_u80Ep!3Z&+I`eZnT%s*7lKam~C6&QdIKK@#N|Ih#XFMRSK)>;Xn zQAY}jv(B^CvAZ2nCa2XtGn$u=)-!JTf6}EX7*!oLHkKR`m~z~h#H836P}ahvSiIfk zaFClfRG~7Iul7Hv4)7{*Xyed3X-Oe7J-Fut1W8)7r?m*;ZFD&VzA(!OGno4EYMn&7KoovF$ix2iXb zQBVR+cTa%lq-br4xN7l_h174ymRO#sBQ2fUmtXbmRKJ}0)hfLX|Mx3f8+X=GeIvBP z<1alEFUCu==r0qYj#pkX@D<*h?TIX6pR=QO(>6u!19M#m%k|fiPjb9&s}Z1hA8N}D zf@6FubyM;vD6`GvF%!?^Ohgu0Vc{~p=%?51>+2?8y_aD|{|*B+f;3QYR1ZV3C&9#% z{ND=Fk2b&?0vT=YnkM;V-LK5T9`OO#o|;ZvAWk~b4$GkjAFYO`JwDIZf&NqjR$APH zJQ_@%7**IunwF94-(njpG7RkXWWrA{a~@$wvgY-6#b+47T=ll_d929*{g8s zdv6-9OTLvJg@&u1*;^csn$pkV{v`^aJ{ygGha1p(%FXx6{LzRd{DnW=lV%T04u9nL zIRWs_hHX?nlHFQfGhonQCLY&qS3iN>Gs2;{f=#n@SjOa24f`KkNRZZVf jv;wrnr`zSYfgtO~iyL8p`g~7?zl7Co*EQaMIQ{S0GGuPR2EU@ zKsrXB=|e2V;?UOyrJxwCh?e9xC^0w)X)oyd`|RsUb*a7eQmOT{`!W|&|KoMLf(yu9 zDwm^WyVdcum7AHF>4`0lu(!r>>O;IGJ&YuBN~wUuS+=Kv`?l+j+F&R89z0j2RsU!| zfmHqZH(~h{D&b?-Vb|T>^c7>j0i1>xSk$;;Ea3Q4tH(Wfj9A8T=`?1eC9l_F>F{{$ zp^|Ia8byUF%)`DkB`Zkmb~K^c@4|n*hi3Iha)T3p(bTylQ8gJD8-w)U1hTBwAZtP9 zDSr?4Qad`Sm=*D+e;z;4$SCG5_^qUDq6I6f|K&eSh|l2SX>&@QJ3S2fmxmd6TJrz} z{mbJ<9Gpq}!SlE5Qm~}X&OQAWB(-lYB?(Tptc?huBSAZ-jKiC-j)I&VaV{OUA~X^?I2 z#i*~dzDP7I%WR!jq*i*O6q1d^Hvbwae}1{4e70kgK;K&N5*S%%2q*!>z4BS-(?K# zOI6_L^nz{J&G|B^=Lx(jsi5X!377`GhKL<)Y#<9-Uy zt$IAwA!hm?7ReI1S<}EVG%Y^5Bw{kZa71;!xD2`NC3=%^oBq3@KsRH_C`9&|Ca-Y4 zv{ZRGF{4}Etwj1L-OM7<7K~P&+1tODHy|SgxSb<`YUQ*@O+34If8icIhO*atp!~aS zr+(qI)O6c_lq`AL`OgSFAog`ntFD$7D{{F^FV2~-1=3UNyT=Tgcw+ylr|IiFf|cE; z$~7Qyt(Sq*-RIF~K!uBSpsAJ_rWJQ?e+|AGpH|UwZrx9X()u31{G)*XzrcayUDSg3 z2I38c%?*)M$zGk8F)=hvy)U%?wwS9vqzk!1BX{wi-@v)>7{1f^c+U*JgggeAmEp*n zBPXj!S5y5h%nK2$ixxeSMIPB|kF_MDM_PN(s51ALyrVuP1o`fRr=?V>*Y~Rfs%#8 z*%$?-<%|d!+55rHXVuM>R;(q6boW>E{Nwco){Y~h6~);j?NW!%^Vv;)KY2!GnCl#K zR9o7PSdB{AhL-8=fWH!4kB=%&?cWCEjT>0Ey@>sNwPRAdbMl^K*B_796M

H%NYB zXAoO%GTYZ{z{=-$O%05lJx0x0eqG9gNw8|KDZC!qP#OIa`aO(F~LBJ zYqVI&a~E&3OJjsbt~a-kFFXQ^ugzSYTIQ9X7rl_umd%V-i@~uYOe+L6L3Us0K$#L) zK}9_dvefKhs+>;J6rg-C9<7(j+tJ6HU98`e_|dJm@{aRwzJ=%Gr^yoEE_UydB|G&N z0{$~bysvtU65^STOPkvhu3ohR`MW2!w-YDLFXrab4S5`!*}JEsw>X75GiG-WeUuh846&yS(fZD4ICREMZu|4bFw9H4B)dBg#_W7q;$8#pSqW#yheY zky+Q0C*h%w!h0>f!(SL;W<5M-*z#V6BKAxsLDqw`~*V z>p7J8k_yf0dr8=A8@0w`C(--1#T_1}Mt6IOMtSY+1q7A|xh>Z>^Qh@kPNU{|uZRC| zj>`Sk(PVJ2@m{MmgqG47Rtt1ergf)`@fOiH!vE_ISCIXTjVhLOt7Y9x=0+m$vH3>2 z{*tmmXWE={d@59b)la^~v@z5|&J2AKjTyLz*HxF=Ei>(P;Ekl8xFPA;W(-qIs!vz3|_b#Ku|T003v zNl^$Ea6^$IaP95c$@$~Cy&_BMwN;zHr1JFXY>ZtVnv>n2emU8%?Fsg#{GiF(lw2(# z`H^;vaar(Ya%G6p4-YYkSQToh@uanRJtdQbGe?nU0^Bb;j$yi6uvo zZpfT2RUXy@>Fjpmg_2cPP-GAKHB6n-_joQjPp?}*jU$no;4J=lG-5@5O}R-zk$<9i zX`{*4I3!f-qv$$6wuzNR=!V97fjRQl(S&`4oEgs2V#N0nsaqB;>a$Sn@y|u>Ev4X= z-P33i(&J(uhIeY8JlxAG)Dt`6?Wl5U*MnA%hF|?v4#utZ7tqqT-TO3!1+uLGn({lC zfGT^D8%;~quj9{Piyg-go#xIrK^jcK8xa^jzn79n`F3w9S!HBpj)HUG!<%~`o;;vX zXWXq+kx%5IlaTMD>6PT?F(rSsY9^RQ1jI$&M6`Hr*A>J$f3pxDEvqbO4TaDa&CWk| zwfNY4_X3C)(@%==q(r3!^ikNb?X103uhJI%S_w&01)h2le6hsx?`bKSDAoInf7hXM zq7fBK5c-%IxM^MQ8J;Nh?U{2z+-Lg8zA1a~tnTIbau7Ry55yq}-hZdTuRh&Ler;K% zH?Nktk%4`fF&kF)bL^=-ih3yZuJ|9G=6c^u==fRql*?I;#s^qFUX)f))8d?`c!;Uf z$iMVmJ|exBpCLK;&dd2$%BHZ{|=0tde-`_`4p8H)j(1IdTbNR`T2 zARGD>-}rH@zY5dmICFL-Z%9y&!=4j~$tU>5k{aIGgTyviCOAzLW*evXBoiH-T*$(H z$le6f2n^%4Jc#;O^NufBx`U*`QKhZKs9o_pG1%ND2HpyFCCV+oy$$0e8h!bz7z>q@ zc`A!mTUV(y*%{nD+90S#?sm9xqC%r=FA#wvqzKV3LQP|9t|u?r3oAJb`}tU#2n(Hi zg5!|kBpM15`yjxce9rEeuP`ggJ`@ZE=-mr*Lz5ok;cnaMHXAygwP;;rpEu7>|MXvk z_#%p$@u~G(TA#|I>uaqf|MOeU_fCTm4+vrqklqqv>{ zCz(X#G6bO0V>t1u>anMK)>1W~KyfkyiPuGwa;DMfLw%VBM_iD<_o9c8)mjsyC_vyT z)3%!!j}gBVpzOAdz&(tK5KWs`*3c)lB1ex#pv}Ukp+DDZ?p|kSkk7}#r$?Z(Keu9v zA-Q1hOryo?;c(icZ0R)lcMV^YJo1F3dpLMj9x zu~0M^@f6#}dgP_mH$}Jj7bQ5r@s&z(Y~xm6LP^WoFljI?6*asc}0^ z(ayHi535)&5&>hN!#E|85{gdbnJ2b=)3oB8Y<)5yy6wbAKZIlKNL=Qs(yoWcD$U_) zq+4pMUx6MnAA%Idf`6HD15T#87V5)8heLfiQ%_ryzLG6>>GW$5RsEuK7wUj6oRDEk z(CsqtZW1rFWL%OFG=h?lf(t)z8l+oSI__}6zHz%f#8#}1gYwtex{s&j$ z!dtt8{Wg2S3-Mew)i80r4mN^nz}mP`Gvphu64;|xC&am(~h>>Tu!Q$I_nBo5y9?7K8Y)Q&8t0bd7`Qpr~okbG?%uZk6xd z;K>dgaA$^&xUnF_U72I!@654m?#yxgJ(2lQ6U2a{qTkKJ1gZYU16A|rj;M2Sh1<5i z!D-)I>$Gbr5B%%kYZ`X+)3B%%%{{yJ8?E2y{l>^QX1=k4*0ytn`+|z<{ve{|uP$Fi zm(8t!&{Prnri~%Y{3|P4v>pSmTxZsIt41FJZ^iH%|9RbSXn#W!;^K-rq`mI6;vbiS ze6~+Oaq&GGT&zh<;+mG#uDV@v6Zz3@o1@3K&_fxlh=oE@3X?JPAiyNr6Fc@3ofS1PR0pe2 zQ=YiQFntn&l(RmARx%I5PFy73$Nph>LS4VTncY-G$?vPgB`B$K#af5JxZ4MvyT!#u zhj~r2pyzYGkG8T^3_~#CGxp}fip^%V#pa_U-BO{*?k@_=69Jc$yepnNe+9~OAQp!g z`FrN%kK=lXaMWayqGFe7_UeIX>5qCR)s49zDC0Lzq7yLb882L z{00yl9yN0AOjS|#Yny_Mn?klM-zpy{$?s$o5|d0NIz-7$P56FJdiCqv&q18ops@Dle)uny>;PjX;Qn8dbd1fw+?jH z(arIFeLo5jfbs70;FiJ!v#A^V_!nXO(Svt!Vmppf)6(>V%S2wSj2MGzw8%|>$UKBA z3+2>OtgQ3bpIp=6V|L=E{9k{zO@n``!QNaTTM!Rt<9a+z2aFyGlaD;RElJW2ep7;x1F!w=c^Fv2i3}xRsxKo>ts%=~+=lM_Mr5TNY04}xH zm*{?#RdCFU64O{G_;h%+{AeVq^Pu8X5j_b_7JbEN?iO4 zZ)2Hc`mJ%#H2NA&^t#q?-zOmCZx&B=o*Iyk!Y9Ln`_gN3H|z_=OPP-a95Xql5OF;p zT<_!$m>%M3{8lhU$Tl!Vx&|*)&nUX-k|M;5&xg(pVx1)H^QG=j)-G)@pm?{0P|Sf? z-S$~*C7oAUfEUhR-SqmF;kgHt#Bn4Z)43Nt_uC7ik6R>n) zZpE-$)XA&$m~u(Dr)OQ~@PN}s70{JMxhoP~QN-S`i?g+PpMkI57W8b@vMGu0Z`63$4}2#TzEH4S8S2=40616=Zjb~9Jr3huQqs^go~9l*+a zm4phVcsll(89cYzjkuH5irr@V+ZgkN0#AFNRHk$@@h^NWaY5PF-9Fl-(a4#5GQ%B- zr$h(JwBE5s+Wcj}R9-x>Qgz!=m>Pc>rSE*emn+cn-3rGn=g)4I4B+@MxqzeQ@sZIP z<23s#O}L8a7|tb9SzT|{tg=R-x+WNpDM+%uX+krVdVY@Ybq5J1H0f!#Az}LRF(UTy z(U(SK!Qg>X>G>=8+M*z*%k+3+?+b?u*Exfjb75Ld*G%gQ@$D-8)@40_s~(@NOgbU` zq(S>tYX!-fdg&A@<^gQd+;Ik9D0U{ zv*;pme&J%XwzJxte^i^=%W%FV^Ayx-G~L$||EgcbnivBx-6mQeI;w8OQA+nGa+>xiHx7;Fg*EDOT{g*mS4*;vwjTa0u@h6 zJ4zU4;D4#n?bVGi$CtS$=9Bcbl~0matrvjRaFUjJBnnkAZOv<59u4EN^O2PC+fQUr z{~jFI99UXxrUSa^WPqmKd88dMIP%%2iC%tcy9!HmkIm()wPi$YIbQFQ+9b~S4+u2n z!ivh6l=x2$l3tXX;3I=ljdC0!SE>!X!)}%`u8q+Rw686>c4?R0QPRGD;XF&Ful-^( z)-HkloFpqltwC-6CIMW?fyO3_D2UDzu7bdTnV97Mr5{B$yf((oT+QuP`wqpr9MKh5 zeMU}tM|aRomRmE~86CO4n{wd5gOm}sm!EWq6?#ve;D?kMh+}QW!P6%(sppA`nEK3# zThzEl;QD(ThPSr)MSbI$5r-df8xA1S4i)c82G$9|`A&0Vcbq9Fz^`K6^lHQOlLl;EF%L z(VfuKP|{z}T!@gx4S_d`SaksJ;q@1+M_w+|YZ#%n*v!IZ;72#-Inm)7n>ZNFvp(nw zIQB)y)V_Y){Yt_y_4?GHy5Oa4gqu&X3Z`bA3$p597o_?Y5JK4;m%>mP*(OeYj(1HoB5UH%;>W z;GiCKBc81|dV=ps!@uyLE&zyvC(Qdv@dCX&lGyG=S1r$+jVhl$ZCU0edhvz|ui3+y zV!c}nMcWyVcliI$+EMaNg>`IWV#Fo+qFYho7W-{~SHbu&pj50vQ`1qv(Vj z=CWZa1;dxmm9a(+vvdU|b@$ZmhS5_er>4q^A7}*A(-1tfx^ysaMs=}%Og-@s*IB!N z2UDwyy2%9_Q!l?fH0ipozGIO(VP!n^s#-er6;w{iSJe&;H3B(dYLI6J_;j=$-3ehy zgcT=K0G@Ej%~C@=65+xoJdzXx1sur@c{p;ey>>gi38y)yFMI@=`g6}f*|4Ed9 zU@k>|X@hUuI5r+h;L0%b{eq&$_D*~f!qq`{G6D)EvzzzQLVE7|f#*bN(d3qV!b5eY zIk$WX_*@%1dECY1v3zA^@?r;c>r^?z7@s)STk0zZC^;P9p8%GbhQ z-#x?SKk)R@IICE?@IQA!g~Xrb;EDO{69xrnljk$ z0i*%Ffa3yxc5C%Rcd%C?)OVn|%LG5li~NBBfh~B9Puxc^s3ac8pL+U6-?-KEqyrm{ zSV#NO(`$W>yvx}ys24PwT%Tx@oM7SC4Wxu)J`zkuTz>1j-o8G240AG8)}f&YoSGl< z-qT@VizDw8^Wj>AzyNswJs*8kFL-YRf2Gkcs2R(@GYw1D0f@FDbH_+T$1yK4;q8?#e1qZ6yF*`b z_PEgNoZ(+s;`H;a#K*%>z+#7@FYJ*|iB2bq2``Y5Klx5*d+vipH_vRbFj=MWBTOg! zP%(Ew*1PtF&yusfmi4s)(blMH#1Cfq)uQj@;ha0ZAUBZVy>ZXX9BhD(uy7CdN8Z7_ z;eaB+MLM1>C2_0beJo{X66@jD6K`ztCqgT#4oB z{u^7|5n_3M+~{Y1lwOD%to@y#8ypFzNo9H$BFm8fl!Vn7{Aa}Ry)STVeF}Y~TdANn@(x$ArMIyWk&{VL9)&s8&a|(kMd!)a-~GT&RS| z00P6^O2;pD_(ds)+xCbk4SDZKOeC07u03ec)MqR9gPjZ;&2B@-jb#t*m1on9w&1NHl0>yK5@>no9_;i@XHnH69<6vsMX2}gLYL{hoXa@X?$vxo#HH)q~ z{YE;5zio)&*wM}F$UO08cTr$A^RV#(Vdv)>K|8z>vvSqhfq3Nj{ipu!W@)cz-j%^| zr#7Cu9w1KMfY{?HlQx6>>a2cKqHP=fj#tKx#4Nsqj%OBBz_T&BBFFez`L3nvcI)k0 z?AQdbV)o$kDjle_akvZJa+_$gnM%$-FfLXYP;vz)&_- z-6`A8cwBLtl7bbomPw)6CP03>HWSe5b0cys~j##MDO z5w6;lI1BH@Lte-ZXG~A=PuU4Sovkxt*lOlAj|ljvX|&DcOMO+3RAZv3+Nrj`7F^QZ zUmu>2;J8x}JTHvgt*3t09%0@oiB-xs4F}=DdFt)ztem1%^VN601#9A@vj8D;rmRFT1sRww_3GPdcA&ENaF#EZmP=zFhX}18nSv z?`gf$WMIJS2blS+ZknL9e9Kq;7!S;^En<6bKl{@Vyt{_qG?%s~FZVv2EIy)Xqp-Kh zH+fws?80n(-Oh>S-!s*M?0{APuS zV!%yU?uooU7Axr`cl(uBmeC(R2(|mHR?;atsvcgbwH1hseH%%qpE7kOZ0qK1&T20m zmNM!$rUTO_CyQ4+)EVx`t(OE;mjwMbUiwphc8Wc8>3VOhH4FD=!uR+hJzJHpUG;Z0 zzpC|@a@`w!9iF^yAFNW%-fs)$J8<+~Jo~Tj?Qm4Ke-144HeX*?tUrd&do`Q-4DVdL zY-^UyYm%C|A6>a-IXF97;Po3v_h!@9FvjqwsobBPQ5}x^*f?Kq@htcn7yB~y90QXg zKUo}czVE+zw|%6lB&&O~M{l!py%@(Ae~&y>7ax^A_Eu1SmE1NSe8qpB+$w*iY!K9bmH7LGnGN;c zJj%BE9^EnndmTSIJAMD$3P0H0nD{7d_uPE$VRHYMa_GQTmCye9o0Qv9ZC|I`Kc8s( zVKw}{m+$dkxhL-@k5^_Le)F#mEfmuPl)c{G@@WLvc{i`6R$s>gA3NGyekG3>dE_R* z9mlVb({KIJQs3zlCMHkC&*Q^X+qdIY__6i5fxo{tRCNl8fC`V`&*R$0OXC*5&}YWQ zZE2;S+XexZU&s5&D>+Ht`iLFk68aZ6pyzLAQbv@A(Cz@wP;hP_4)H(T1u2wnf-@pm z2p^Mjk$)e0n2L4xO7_1JJ4RPJ(1Zko^F)73Acu4WBTxhMY@D%&&jHG;5wpF9 zB|OO%cq1C3FoM}K9by^+R7_~D5)Y-y<57c5gX5B=si!FkN6ExREs_t#jxdIP37Byw zc|t+y09c|%q4*Axq1+7iNo|^d@dB6Rf5<3GDW+=+wnFHU2x#VY4Qgj)0HKO`8ZRn9 ziEw(BdWHTCWIbr{VvqR;)YgK?KWkF_m0ucVFz+f{LA`XPzXT#@XQYG8jqzSw z&}&BD1KEU$hzga^SSNB(MfytLEg6IZDOg+uMZUa8F-{h-aq+?qVU#wClF@FVeL>R+ z1<@mI0s)^C6icKhx98=cB72tDj~c7@{rjh^d;_S`-6_(@Ax84hR7*tM*`{rGH|6D} z$BTq>e<|+Lf#+ipPcK3`FQb#ykP52PEBEg z+zjGg&`mQ2tXJz@So-_V&ao{JP4Y+P(t>ZLxHiyD^2g@2KQ2meFJxx<5(*K59&d1M zAe!`#%-;eY-D5+q)GEjwo6G_pf5(Q-)K-)_Hu(s6afxTat*gYcL9uunu^v=nn?J9Z z{#r-(=3*BgnDJk{#k~-lMI4{Qhq&XcBg6(hqdi zh;=0}4+EO_f?R~-UI6p)G73N7n+hG9@&a9*V?+H=N`15MPVV-4JDr-01-LoM-*`vA zNp68)f65vTKl#C}w{x!V_`CYmL<=hngLAI;<@2T&;z6tnbFK&E^JW+FVm668Hh~Lx zv50RFG>tem0sUKu%8Ix|K`s_?FFNzPNyOjeXXPg5dcd)d zYku2y^~?Q+5uEX+D-hV_?>3MSflcG|A?)hA3GCT9LLU@{yHf$|wvb0X8|2!v`R40Z zA2f7H$miA()gBD<6d0_cc_e@p2t1qWLDXWI$c`8Rw6%Suxs^HDQjuY)&(5j|&;}zs zz(iamkl!oVgoP*opMxM&$dCz+{o>inJ2xcT(6mj`f9kXBXL0c2_9Dx^xPL2g>S7=_ z1_$EVxjCCB*t`Ax8k=NOK`Xg(cJ4|&`bjecMs4NUXUu+x0*MFNuPIlb7qa&5m${|{ zOXL+fa_KBK0M;p-n2uQKVvaHi!QCq9*H+z&G(s!1zpzb~(!r&LP@I$g>`eA<2qnC( z!2HK~<)3*=9@}y;?9*1G()rfQ9wYTZ@$71dPk2NZUS|oV-;{Jl3f+Uut;L^%P-^v6 zL|E<@A1^!LN=lG&qSZkCvM>zcdA&ri_V~vmL92sH~T@NQEIW8B+Rf72y%Izw|UjhqrguLclT>Z@{a_l)u zru|Co+j1kZ_BK!WgHv*5>dujfb^O29J{}!~Lg#h7q>%SqPh1bjUCw#&H@s*NS|_%Z z)65c!t6k?71eyGJlGa;P)2uf_Yg?=5;vZIP)seU~)VH&fljK$iyyEyDlXH2{+{pL1 z;mr0lHgagmy)e&tj$9`PbJW@}LqAYjSail~PK+Ba_Ma?P=NX93>+53wv)8OtJlOsC za8Lbp#nNklZ$*&7)`0ebOI(igM9ld-Gc_xs1>ww`(3#vkABs1LhiyOF-&9<9l>i7K zov<*k&qoTBA~ zhX5B@>TwSPnA=V3eYooaj8It zem;!^MU&@$8gr~53}rI~VKEtL(PBw(GImP5QYEUArJB(AI_rFz1G-{na| zJY^Ll=rp3jSUy?3v(VYa>@|3Gbk*i!n!?XT^yD=5R>Vz(`%$D>L0$!pHhjJrAhq&N zafT@p^XEmeM<4lU2~v@E5zRzhJXsen#V5sy(yXMcX8qUEYYl~pnNCE?@I=%4ucg%- z#jQ$Wsqa<~cqt1NZ9S+Ys^?|r>;+Uc!YZzGimEWEl|RKW+88QOFvVQ5w#$w+vBDxN zja6DTv6dp?3Oi)w7iF0ErPSR_;3SR3U23E%MU+jH?|ud+$%=QjR=;fF)r^o$YjwdV zAhsGAs&%gMxRLEi7_>b*E}CaMalsqT70Tm3Ti;`lQj zs*H!5q`E40>gop0#!~Q<{^PvcEY&(5={}N@`KVFsy^h?7-&lNYwai^?20l@cCsGg# zYbgz+AEw0aWiXvMLsAc0?aox-T3y;^%v|7@){vCEf7!pCJcN)ujIcDAuoO&5_fM1} zr9Z4PnU-ij8Ob=HU%ZPIe(i@uGo^ZPiVmKNqm9Oxiy-N{him?@d@x~{VOz?ghTweY zKmc^I6BpooEh-Jkj9z8BxN)FROp>#C9Smu3?pEyEI95X?rYd`(0Z3C^$6w4f#x4v; zdsEX6u-;-EgN@JFgTnp51BnSWl`Sn)j^(^kp4~uVK^&Z`_~zL+m-*Qmtj*i(j40TH zC}>ONp9f9Krf!O-l)?{0yfvC9rz{v*y<=6ga&@~nLgTfUU$T{s-2rlp^iA=5^(ly~ zN!}=cKVvWM2JT;m@98LROg4sIa$pdC&A(|+!_N-O4e`1SM}-8WI@*<2CkCYSKu7nG zHTn2fm)W<~2y_~}+iJJj4!eUt$RKjlU}-AjXv?2sqTyrcECygVDS49KX(b#U82RSd zxn|V~Mj3J@sfitG!D^}wI4WL2_N2!rUWZ4*)ZklUke0(<8}8DA^dMOLjFwmUQGPtJ z7kTERECnHy54VQnlo9tR;p&U?frPse@L6wyVCV7Jg2coTvilF4B?|L`>&q@b=?fPM zqg95Akq-~Q<>bao#)dY>gb+S3{N{nr06Elfox5qNKSG;Gm2W~=3{$h;r7e%6C3uJ} zKQdgR1}V>#QUjZt<#BK=1Ou{AU1y3xPTQ#LHY0P)yL+1_Bj%MMb;B#K4(6|{^=`F| zR)|e`>K1}k;;>uF7MfIr2pp`0z!74kkI=&k)BPywc(a8kVhf4NfTvo+R%@VzU&E&X zG694pGA3wCdTaU8GZwNZG5%gJ7JxNTcs~4~>ZK5~~3W`Le;dJ464YsTwy^W4*<(?rO5dWEI_W?e;K1%6HKw6!l#d ztCKc-6lus8zjmVBIaE#!{PTjy9y)p`;J@`>$cT!6v5wK`}c2q1M~Jb z>VGoI{J3iO(17R4f3R_(DLg-**-`B`*faOU{~rU4AD=O_r&~q&E|tQf?y7Tf|CrMlMUX(7wZ;GfCI(j zswNiPf9XcwA`WVw1rWI*>{DnnAwH6Z@Q6f`M&aKAxG_0|kxryMO6tLJv=>A@N-(V85e|Fb_ePk08{W5dH*f z%y{wXlwUX5&zC1SVg@KL!qREA_aIt#NPCvd}#HL-Wr};-0T>ElTP$3S7B6*1b8_Z6(9u4Z|U&Kt=ZqoMb-nb*tHt)bBhaL}V4E8=+Sn z0R|_GD_qeLKEl5}E%B(mk+B~jd+D@1Y>I&Ox?b@ZW=_1r0E)Q)Ok9vX(mi%@cKI4N zx@`bwq#Rge+7O0&shPX=7}b5|c_9O22|_8z#EI?De88S69vr4CjPOY8{0>-MQ)2Aj zN`FcVrW7F+P9n&~=cpNh8I9kvCl*ggVZN0m3w~GJ$W117xO?s<4xIhvGpGO|UmU8H z{pSlAzelc{U7bKZv*fcxqGVsGHVuQ&0lDvkqjjO1kw>ah~mr57)>3RYMT zGqmbQF#DSZ*+b0Zn?8{iT7))Egf?b`HbF!u2*)Lhf&83)1RQaS4Tu~P7*FqXQ+852 z1(=fq#1DcDN*oy~+S5eGU}kO|OOz9&T_ua8bt4qrHT4#})n!274)Si@Q(D6o(R?25 z8JLRJq{(+i(4~R*4GAwRh!0_?iN0#BrhH>gdMW zPr3_p_EVaOf14vb!NIHV%@>|%8&br4-mewuVDXvvh?JAnmjF3H`t(7NwD(H!d(@D4 zkVjULASc=xs}2cxkW0tE{snL>`}Tu7RCSMmJ2ZJS!M~8hm1}hQp~Rm?y{YpaakzYD zLhY;k)BkQQv~w$v9oT~ay>dJdMExVIQ8~2mU$4JW&T-BjGIQnAl}DuGwHp{JHpxzF zdOwk7apdK#p_0S})N*#D>+7G8JV*?DNI9D8a$Bz;JqSlz$$rA%yxc!adlboA{yLwD2#O0u>*w@T*JV z#zEmgC=~4gX!pnU{6zMUlL%e&YW9f#WKHep$}v9(?Z3vIMF|R z_#v;s1jS0~n}_0@%tsdghy!dJz`g%fxD%S2Av?$-->3ne;~joO^G6-p*{jFIyz0OD zwJrhucHch@A_$;~_$*OAKVITAgTF{K9< zayzm1h<#nKZdnXWXphq0%Xd%DQz%M1XkVn8z#Z-p~v)MzIypUU~0FwJ;2u9zUQ#g+R>i#LM0_q;r()iX+ILAkv zYcZS7({)Iu&)#Kh4@0uEN*W&?cpD={yVjRtH#TL!L_ia>kfRqD-*Aslo(v{Eqx-AKRm>^7 z9FDvLKcf1U$G1v1DA{nw!^PTsM=>Z<*joYQw%~KZ;Hr&IJXQ*~z>WNtPpXDpZ%2TM zB{7M|&9d{}Q*!g~f5X0y(-g_KSX?hsO7voku;*s4kG;#_SJmPcsNb5@8(>EWdj=EC zpX`&+*2}XEK8?NnTLj(;d0^rs<;HgFixKo?$w_)e)~v-oxxCI=r!|+J^uCu<`nC(b zy}JRYyROo>U-_XwfUEBa2+7S5OzuQ~NPc?V-6)mP?Cs%;tXvHL7)M)MZJS7qf-9ku zK4g$RdpFB&PzQN?8eKrT4>KUm1^FP(uWjb49dQ3!m!9CyWU24vX-S^j(6G7QYusa& ziTN59Kde*J6PwNx`{2R3&Hi^lW6!3;Xe%6ZJ%a5^)<8}i`?eVgFohKrQDRi((1g!@ zb?wWPgz0Jh&TA!3!x#KE2Y)u8ebeE4E>6+;lZt=PZ?GPz=b*Z?zky-Fwdf1AZ3hHz z#cr$Q9JAMzakM+UMuj_kq!` zFL%%)bt`LhQQW+gFxn&4?HMg(>JTExgn6it?(Xih)Qv4;HzW$i8mWLlNzi%})MVs9 z2xBmcL1cnH2x&AHMk=WS2sCtwa8LpQ7H@!J5R!_~J`_0C$Jb|PjvS^YAlhBftMgI=+o^dSw3!4`mZlHNb9ut!fAP0?DcN3@F zG)r@1p0?J_0XUp)Wjj&TQIpUz$>+d_dr;LuT3S);y(9_z`_D^Ovzt6?`V5@V`|vc= zY*p<_D=l(>Wa%|(+VynM+)|@wbCiU2N%FPCvfN^;FS{*l9oj(vWq-KU$*(|8rr<~0 zc-D*N3_DFEb5xf_`WpPyj~QYk(2r|&`MnvG`(Y|+Rv5El>m3;=ZUfJr(fK!l18S&i z)==y^XhhhqDqB()X=Z24q<0m@r(aHnhi%~Fzy;#_z~o=`my%p&@Ndp!@fzQfh9v`!1hc`iCFPsgAx-x?^u z&r*#NKpQAC49E#tc{k|@RjdzNM^F7dd>Xp|>mzB}+UMH!H*mJ4vA&X1WPE-2XP^)h zPC_%|xO@zj_{U#icJ6CRT5&~lcUfx`vAyDO;-;6i2Q-&ah6LFBIt!aPL^R_r{Y-ljD==?FUwuz`+^RU~dew^D3)y3g2q-&5V z(#T$dz0orEhY8FlHvIaKJ_A9*MCUnUr|jCggp=I>hR)J@TGGA zqVd0-3vk4NU%W*u(sdHas1-Y7ANIC<=+@Fs&PXSlnwx~Ukpt7MxR4sAIyA0~eJLhX z#=Y*w^RWReL_Px^aoBwvT|Xj*?mw@kWSIwP|NNK|+7UUzzr06tZHPDyG9$t9^?tZv z)*z=XFx5N+BDx838B0W>%aauSGh&R~zQXNt#N#M8-9)FVRM+@I zU67s`V(?ZA3dozo-D2lk`}E-SkyE>Wf0vZ zEMy)?EOEXu=qO-2E9MwnCY0JzblzFYg>$ARMi#jHi$brIVCZ6qexHvBDX~U68CFqh zA*8cbU2(*9;PZt!MFb`%2D=~vOi0&OJ1#vudKU76{kLi@D2VTmYeXx0zkAT%X%F`xT65(ZRUS};o`?9p#%B}Uyv_x^$9Czgj}5W_o8&e-2p;0 z6kL^So*&fzP?yH-Z!}`t5Ur@+<9G7pyk;bR?IA!IJsfnWpzZj<=O+_)U5TjTj@}qS zc2^F@H4?&2n?19OP*LJ@cHhyfm@DGuxeyb{$nD-!alH1kdXJrE$5HGQy3g^-ICjf3 zKiu%Hi$+U$K}CjY6bC%m(D^#TG0cB?_u0EF&0)WjdiJq(w zi^9_FPv1IHg}Y8EYFR9#nDu5CK59gSD* zLKw5(qu!}EL0C;#nanjWqOPtjcdqT+z?)2eAN{nwb#tVHozJl{9BQgN#Mf>9KLMTq zVgI=6eeM&b#9cjOUjZURp57*%Yj!GM*4Z7JL5LtiC-SO2&$bkz`t-cYRcx-p=YBi5 z3hU+Za;rU*ENA4F{gqPzjn!1mwmj9a!c@le(%${hc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G_f00000007Pd00000 z006K700000007(s00000004La>{d&U+(Zzb0ThHmC<>1Q;!u|)yVC5g?THeS6O&ao zN|cEPW)t9qdOU4!lXkb&k4?-4en5T=KY^db4MDQ`+U+_T zv$M13cn1A%I2({frJk7>5k&YWihuUDm zm=jthKWJ~rTTZ%s&GBVROAJ$`$Z0L@h@`GWL8Vtku(p&iwIq#+Gh}t?9y7x!sCsx1 zg|jr7&Sumw;}n3=N3wP@>MWRQNqg6LN2QS5QfYLJ6KG4q1ypLoD;=gK*%7Lcei`H? zKLr!i7+o1w*FmKbi>STq5{%AvH+Lk=T3ra{_>z{o@HJO1B4H;KZmHuoq{5#xhFdFJ zvVQRsFB~OKchP{z+IE2#S}jFnqmQ(wc@|@$dk9d0;biU?wlJazV475h^KkiS#rqVc zMQJ!4_4IBmx4?)p^39q?ih?a9C#>BKY~ypy(1?=9!kvIxz-ke59U%wis9hBwuS+D} zC1y1oHXa=knipJ*mI!-a;I=#ZY{WGlsWYF(hEH(k#yxnn}w{bV@!6w`359fl(Y$z{jx=B4^u z7?<#kiKs@X&Dm4DU4wTe@?H#`)etIC{v9MJGi_ zKxX6pbU&k;UV!|3oKt%BAj=QB(Vx#KqxoI>jxXp|?AK@*K11JPh=MD+&+7iI@_tZH zrdMvyBkXf5$GX{*{FF<&rJQi>zrcg}5vzslzrSHWoqUhzqr1;KcW`<1bbdh#n00030|9Ao7W?*FC zU|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDcW`+wu(k>=YlMzTu0x?KYaHy}ZV^Cy# zh@+E_YrMacm#Z^G3oB3o8^azT*_)g28>B@Ds>R(g)ZH~0s+N(R!2l?3wsF^fAjKeo zs>a1J#4+C0$JN)>4`C`Rg8)!f?2d=8KqkT*0&zfaNRX$WJBk*NksJ&>K(gj!NjZ>$ zI|QNyYCIz+!x^Al7@MUpNR1#6gZzi=FGd!I13+OuN5{z^|G<>^xVk&~z`Vl+R&w;) zGe@8butUM7xJHD)R4_4|0LmEqxJ4reikrWWi)#=}4L8FMpqfcHkAj>Hj}S=cG6Dbq G0RR6813}>c diff --git a/CPLD/MAXII/db/RAM4GS.pre_map.hdb b/CPLD/MAXII/db/RAM4GS.pre_map.hdb deleted file mode 100755 index 6f71689195714e0de406df47a0a989834312892b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15572 zcmZv@1yChD&@PO-v%uo+?y|Tq?(WXw?(VYK;x3CjoO5u8#oZ6i;)}a;dB3mfulnoW zntA$3CFxEolj>wTGnfz%5VgpVpVIB~68~f^OIJ5rCr2`NP8K#6b~14fTYC#K4o(g- zHXc@X4pt5}c1|*NOIJ@@b4xN6Q*%2qb~1H2GHpvUGARpN_fO5B+yBf#KuGia4?)zS z|1U2H{x5q&o(3R#6U(HX1AigvLs^(2VqmP2LAwCJ97(_HOYQV^;@qg$5aGh@M;o#9 zLDV_n%u~n%{)ht&1Ae{3ou-jl4-K z4rB6>KwhLplcG_>TQV^jfQxS7?*Vh@f^Xa8+uqI=&z!q8(7I+5F*5ZckfW+L8)L#W ziRixuDAznMR+|F6&4ve8oX5+)N5np{p`EAs$IZy{`%m~9>|do(>NcRzSzeeYp~)y? zRdQp_gp|YNV&rH0vgsFPS@pKsjp)a#z3pSx?!Cfdn5Wsy|40Ts#T~(GInba0=klD}_H2&w(0PeBjho9#Sa4!*l-Wf0-AkuzfrZVdK z=ru|xHe(w~dLx`UXGv5boJe(`vt}Xw33xD=>shdLe3}=xM^7lSq+?dmD+GwzhRi{g z!pb41;?gm*Z86RCR0OH+j|@wk%_>^|RCd@D00l_apgJ42^h+HZ|2+o^$`MZRl4d#y zH7>OL|F!==b5UR@ZSA^00uT>wrV%D)7B4Fl=6*!Hfrd@y*JchKITWU-SbY}3GiQ2(1A888{I1Z}FF8QkNds54!RA#MTmj`p8X`o04(30W=Cv_=H5sj6g z;FvMa)BN}b8cTEOeGH3ZguGL5l+c)qK`1Rr8w?aybM@ro7)OAdjxvS9HC-JUxh|{> z9$uOq`*J2>%5dc4B@o>J}MXjpXm;#r+SE5IT52? z=^u;p)J&FB>|i;4=dZjbh;}Et>QT4s(EgkT!o=O1J_QCy7u*8A8Cz!wb@f+eT>o{^ zi*LwxaGL;tX$EK|-BiNxCvIwx>${Mb(XD1Sn`a}l6_QbcpnS)S*oQ~%@ps03+@KV# z0z0NjJBZFb*wz9tx3p6o><%Ihi1iSl5=&It&~efz0S; zgbb{|oId!|#=Xek2S!5TFti!4ceUSP;s;zL)l#e>FEnwD$6w^jutvy)bNB=B+9v|c zP{{!0{09LyVjk$a_Ui|}qWQ|qe)fU+CfE-7EYoFiM)|t%ISNIwOss=3#yi&5J}0)< zt79FD0nHTGJ8{`-${Ae@cBeNkHZK*9c&Ti4sJ zPhe@kvJVsBne_A?aU8p+KZw;wVgI`Gr9B|+wX-(`{`iSwh&w!=B$1vEvmFLk*o0+z zem*(s^z_=^|Aomn6rEh#%F)QAUV?>`!}X@{a8u9_s#dVs*znFo*w|k=quV<1kn&it zs0lM5Wf}oP-O_8?sYwnwy%e1F35`obmXT?b z2hIE3RdI?3eN)IxpgJ9}ko&J)p611AE9L5ZaT^yys}jK+1^o?cfy99K1r?ipSVF9C zmK9YCH-sdn_e0@n;zq1jmMiWdKbDM_da3pPInW;5juFEM)zEAo6dwe_;T{(Phk?EYGhCcVa8T@h}z70<1% zxH(Z?$IYtu$G*$i*_&dO$s|y3;m1jpUW(*2rjzG4&sw~Li~7-V+rBMR6y+;0pG$l3C?Ew#e{vSd*(Xn2+*7hl;uI{Ap9MN7w2<91ao?N?& z4DV%da13=wAcd~VNJC6jqpLbZZ;`M{b3?USAHXkeKcVTup#ZB0N6oDkIq*9H>2q)h z3F^_%Ijyt$J6*WgL%w5Bn(yvfP&Dacg;oBFfU76{-Iz~Ogc~eAbZg4>8^-j@$J|}K zR3;y%0xV4ktV{N0uZbEUYI+yrH}2{?VP3keqi-K$*T7$F^$y0gzk~jU)joxDNFVkw zyzd($mj}daUc1JL3Zf$vZu_i28$2Y%8k&?Z;kR-T5$uZJ;uRb>JzcH^m`p+*hm^4d z1rjEY>Ct6F_{Ryda>4CVN7a&}d=XajPGHVE0@Be1A3*d}$uC%UxUcd8!oCfWC7ay8 zm&|`rs+$WJulji^INXP*A&U;vNc+ziB(Lzd{p}x3KI^_5-S`%uf1o3~CG2|q_{jF5 zI#uTIjmJ2izHLog32KY_?=SNKnwy3oJ3&tE$ol)~k+kjAFQ;v*i!1I$^O4&)A&kmp z_$-75vqBAyBUW;whWwOq0VYExwcXwA#VuNA=XJ|)@c)3CXg;IDK}Yb>tLL}f(3(Y# zg4F@dBT4vZn%&U)A+u3`V=RMhQXDHgV+88IX0`H>U@56jTW!YFG&{2~2ACXf8Cg_d zRD`o+x~1wk-zJ@i1P{e{UO|&ni%w}{z1A3%Ub_+5MtnhCRxLNnm9RnxY}RDsfF@#q zVJP}B@qKQrFMQ=M%t658&$e#YQ9sCg&<;f$C|T$6qPP2hG%ae z-p{-^!h9QDjY@o2^fE|UVC1?ecZ)cQC^CW!{;wC{L=OdDF`a*2Veed5PDfwhcSTEa zcxypL`I={5dt3ET3JiEF{S2#`S> zJH$iVXG7Z#L)*1O+ss4TlWop=GC*3?(H&xBZ=cA8olb_LC!tv)1~{R{PPvDbUVF<@ zAk3jY6?CrC8H#hD{B;C`;m)+qVwJT!a5 zJaGDa@+UZbKlu}!elXK5er4ou(lxTa@0mkqg;koXtZXhoE(Qoy*4+huNn;*$|qfc(3jOu=zja;Pv{DM@+WkKLJ!ySgp4B) z97$!>onJ(&2KHI3*BUZI4_g@8trmFsVrnw_Q%l1V<(5M+bMWoLbP+h4t2e))xBb6Jx0cXPYVy z$rCYzfTOIhTN^*4MueG?IgAo7btda@MJy>sYa?!LZp7wg+Mfk$#Y_J!;?^S}^)2>& zXJu|}SOxZ1TT++sy#b-l-%zZwHBWP7NS$nFU62K&5?hjr^n6T z&ONV;R4h9}AQki)F_Z4tOPEv}ZL3_uvDVt6J6CE-LHztY`eC*}7Nh%P5Mgqg4T^NGiqtLLI;;>vj4x}M8k?F*;a z;;=V7BPQ-_=Fz5}a~C#d9}O6-=wR2oL>=6p^Pm232a9`wO@(UBaRy^CI*K#gRP*Z2 zN`NuRife!T2{avR2r|K^%V&Gs4Z@)6gAR0Bo}OjVVXSsNxCeW%aJS#XN`*4@h}s#; zAQt03ci^CNJtldiACMpl2A~&W7sWPruR0;!nInb^l@9!c=j&il>?B%y?yG%4P+%2( zxgliKvG%y=GQzslXj;{?}+dZ171p!OpxfY)|oq1W=_8=a_y^;y`=pe!M=s^ z{e6@UVZADQr2cR%z_wFBC{Nwns>sm8jL3m`DMY_ZfUO=<)3~SHw(730_HW=C7yVj? za)ik=nv_)g8_v#<8PwWASG}P6dek6bi~o8o@S%RpB_F3In(_cr2pUQui@#KRI8sp<4$SNy~8 zA-_d;V!!V&>pM6Y+xgw7$h*0H?rL3>kXLc7){f9Pd@yk79l%$}h1sb8uu z%tax6m_GGjP72gY=(J)A^asZrCl@ zfg&cec|MA9ImW%wr0|}2xMB@qIZwm!<;S#uH&7cLip4o|A6Mu@G9f>JE{-vU1I8b- zvEuY8;e*RQ?CiGky&D&_^C}g&%|LbFXDI(RZYQ+PNB6cp^W4Y%)y-SmgYkf1R_&|u z=I=;C(A-x9l;4;n5pAB=p|YHG&+3)zwjpZ9xg+2BAPvqx$`( zmw^WxRY)P-h-|vB>)|rwS#CgO)KJmGr*syF(tNk#zd*#XI^foCY1^DYX&tBPhD^1f*<%(F(n$j)8v2b?o=S%O zjHVikN(rD}c-gIih|+#1-grTFU-hsJlU1ahS2oc4g#=L+#ny}Nvk6@Rrdgz*8Pk|_ z`S?AVe^6Nbh_*4vI)PKdhI$#1^4Scgyo%Fumxh2C3K-ToSes*Keu~hud7mEyEV@U- z9yWD5W+oRLAFzH`Mu^qCL(p!mW1ZqM3#gji; zm(=j4IWs!5|B)1#_*Iz! z6?N3B?VMDQ@IXVvDwBOUVLic~C_zk$a+w>DWyv;aPCa#1CgxsukGxVAquIqi2`=Qc(C#Lh<3}HPCFPXou4s1Vo3qJX&ZcA9a|5pDXRe|2m|Vh@Vx>M`(4u(2btg{tJ90p zkZ9j$AuyfJ>!r-c3d&%JABm&Gs@D>B78R)WOIZ9@Woy~@r& zlxo)wY1*1aKr2nj+(*r#OG(wEDQ-!uS-%io^fDl~sj!D(gD8_{I-*=Wj>)#jcI(3cPVruDsO7Hq-BPCLUAXqaE}DH;)Zh@` zyT2j#tjW?Gy~zlv9@3%x*lKqXl~loP&+T#o+QwYp58ufbsI$nY=(2!gXcK9k=@3B7 zUxGWXC`+>U*FYjSl5#2rv^pu1Svb{g=))$+?SVhEOJcw#&H^t`bcAXc`ll1dHYj!F zDsElf>V!59EFi)!SP=qR{BXY+O`}vf;|$qN#t|^`-eo%mU}$SC%q@)SB+R|C3#PWE9wcsW9vv*f_vl}ieb(qWnXUpj4WVE<5 zUDy-j8-h97-EG&dF*OHUxC2^odD@SebT=k*SPWf{?;U8h??uj4>yN)=$fvG!W*X-I zq^_>*gVK9B6d%mULTS1onWk{PKBtyf+HAxX#?2V!ZT1ntzWesWCC~?5B)fpOxjh`j z!g3=bN!;|*|NK@ylwWQEk{EfAN8TEx7bZyd7^xn1ztJ5KTm^L=r~ez6PID#M`KpO% z!-o%b-Tdx_{5%ZKJ8+TzTQBj`#~Rk(Xa9H zI7(H8btwbq!aVU$M%aPiVXH;Wf^0vQOCEX6lA+W|?S2i$=uq)MS>W+wsjuhTkn+a$ zbHDp_KTnXl9O>9=%vTxXf=c<3VQa(hgmY+(iAE{AiU-Z9R3Gjqa}@#hfm;<5DfK#Q zbF4Pas}1@q-Av@icEXlm|ABP8C5d%zcqW}lGF>Y@TD?gcUZR(D6@y+<{F=WMmIptx z<}aLvRU(2#IBXsmc}TZ~yt1b~4N-3L(?;>YFQ5hQzfIPZ?|-x?jY;Ymg9HRt!L#WZ z<%}M-sTae$&q*79ct}CVX5%@iSsyGXY=94ml~~2$6tPF=%;6=b8@Y~f!fm+%x|gt1 zWHW>9BkgC&W9%p}ZGW4p=QplwJfpa{ag;;sp(ldH=DnOP5a=n3d3x!br(61_|H&Fu z>)`Ve;Y*gC$I-XtW6^xneepta_7AGR{xs%v)eIspQ? z+gx|&>-MN*;8ebU?;s)&YJRyjm>XJ3wD$!+T2VUjxHpb6-Ne3}+XG(gM^M&4)NUw< z#79SYTmOtVw1?N@=Z77~g4V!J zg0;Ho)wgd4PE1>iB5(W3+8@LT&pn)Oukm;CBFe?mEFW%*LG4eCfj?J=OM{q1I^L{v zg4(YQpEI6*1XzTX1o=}n2epE&yAP*9L9M5pYo8|Gi=ce+{O|-amFORz+oOSfxOcD9Wt5P|pSyzm)IxyyzDDSeH?St3PTBJU z6W#Yl+HvGCIvoyxX#BiCcUB;B4Rd5AY39jR<_v={4hR+ zWEG3!kXm#`B!@(wo&bx~ao8tyWEZl zga)|^;-BO)voa<(DEv4&@d~gsjAmOjnP+ksJw>$ki-&lur4~XJOEQU_MFfSoV!tT12uK-_K8MZP()qwv%6T?Daq{=)gJ^njTN3ng`%>* zL@+6+Z=+}^7FXzTuLOuzD2i&!P_EQ|C8z*@>g3xs<(gut4e^Mo+(*Z1a_H!g$$wFW zlsx%y0?K6I(Ug;vRjf0j0mfm__hSJ3Te=&il)7D2<;>1!ZP4SjfvS&>m| z)WM?Gx6|0Xh*lE->cc?&g#oAlmau!xnRZmLwrDjzm%kUcj_pi0445J8UTWq&I;*qp z2K&-7>jf1&Tex98lK^>!4tEw)__kRngb46M1^*K37%n7624A3nT?&DO0zvQsUS9!D zVW5S=4HPg(m?ySP9^9GqOgbUJDde6a%oEy%mB(fa=8PKd%y(w$GYN540_Q+%Q;iD7 z6Rjo$RErUE*^t854i^TH05S*w(;=Wgg#ow#qp*AYnP(Jmx!6Bmn*u0@-as2WFe)uK>syBb%9bQ~)O`7+p;N zvlawc7w*hh%q=r!79_}GCS;^WQ+s4#i6vWUl13Br~ z1`S4B7GDz*-O~U)r`E&P={H47Y=0DH+-R-8(_5w-eFU6B-v36uRxrJS?AJtAFrD5s z&pbQ_%~>BG>enzypH_eJmSTQX*!td_kZwr-q+C>m#gr3YNsS`}M4i9NIHQP1+k;mN zRScz9Rr;fo6|MV8DodxcFnpaYxK7H43>bisY>Y#jww{T)$E=lqlxizcvV4hh%M7+l zCt~G^m3S2S>pEO3OPO1ex447yj5W}tW9m?8<&dRdT80EMed&9KwGV9o7W4wU1$@Vf zZ9ee76QA9G!*-2mE9j!OT^?=%pW2A5|Xk) z-{rYeAJ4n$hEbb%5%|TkUt_`R?ptl2jk;sD^iFYTYgl@ zfWg&XR_iyHEnOSz;jtonexN6LZlnle6zHxi6kZ|qSvTLH*#Uwo=$XK#j%#LAN2o8E%1v{kq^kP6c9Wnjb+!sq-$)cr=Z}+E5Ut(n&r7`KX z=qZK-q<-sEMt`xxNj3vgsHxR=|L9kdL{w8_6_Y!mmi^uZLtmLeMtz6%`?pbOYLT{e ze>fCSkisQg_rHTPekjsE3)Kzmf6}0-=1@g#zPCftyX;e`$6_pNQtW2Si5nM8z&Q-k&c)5n82RYzN|a1&Ve2cEOW*?Y6&K-Ct49PrZFz36Wy z9!zmdQH!Y}n*U#1WgB6*EPTd8=0DhR9Qd4`8wejybfyaLjtYKDvn z8zK(|jt((wt%HNVXOtNUiRl0H8Ol6}IEU47N85S?;P*16kGa|ppY+{JXKh|&9n+!8 zLKTpXgs2f!j8zIxyja)GN0~Yy0c6(X=h1aAq6RVFqyX2EOO5E8e{5~;QdW1Z!Y?iz zgq>VgnMY-4u60=eY5m2IM|7Odv{?OsKBGS#nj|~4zj=BCRidA2tSxzJuk><_JR(nD9LzcBW+K9%m|21@E4}wK_ zXJ}+8OX6*d$VD+EKFQyW%sq+BJ&4Rji|!J~D~W_CjZ^@{BCRElgjV9+xk&`D9P^SjQ`?>DhFDDrK&NydowkaFeENF{xC_RRBYQNqQP_%(pwSD z=Bhal-1a1CBl_Dnxe7+VLEm-%a8h3u-2&%to~S6lBe=5iHGvK0{|WQ%iHV+Cy<=mX z4z~TmI}rGyyBC>DMcxHX2q2(JnT8wW<`aScx)~JisnB3YtLPY@1PK$AX2Vj|7`J!q zh@a;;;wpN2AC#;=5(mkTCRa;X!>tLQa{|=-=UaVOmcK5)BHw!n$TW2bFwyJ+FE7vc zR3UOYH`4v-4@3UDPdg;B3TCUk&CV=MK131v^9EfKRqfrl0lZO=>sQ6%&m0 zjv0dw{#h^9k@L1zCmo$r6x&5e)-#10X-Z-W6J7EeE0f>KBNr`y5r2R>yNnkW_5N6# z-{Rz2pBLd`TPgJsr&zs6=h=>2*hmuNwLnP1J}wSZg#H(~R7d#Zr0$`{dRSkRRA;$h zt$e9Xsvdq&IMp7ac!tj2oV$qP_v+ZS(?{wDJ{>UF~e*!IC z(szn~bXCy}$hwsB;4qtWevLK81BH&y>a)5^u)qzTcdz9}pPif0v!qPbs6IyeiW_{DA=20l-IfsOkwNI% zLU^suyB8>Wn&8sQ9F9eV;|oQ0`ejlP+W>h4Wmq=eA^9MNB$Kvn(Wi;fwT95Olki$W zbS35=@Q2fMVJWuAIP*U$-n~`F5x4au8s5D&$B|LT5qigwO2-jf$B|>lk(>3T!wzz5 zh)|Jnq#e1IBu&Q=U(wdFgr_q87hCWQC!pO{qPD%vmKir<$^cJDjoohp4WX|IqzPxKK$qZzB9rSBA3xY&GthXyXef35bN+NBt8z`O-v+VAQTfK{kd0A8a}qV>$wpjNst!94F`!7a}p6X4ytfvO|9? zyM39VcUV5h@fyLz6m)h?*OD~6homZBmI&L=9Tb=ge{e;TSt7(X>}`^N6ylQte=tgt zX&!QQRrFWTW!P_5>2gpAmhW{{@VIiMeC@ywOQhi+#e$kJh`S$ldAh0e!O$c~i)|aoD9@0Eod+Juo@>CX(uRrpsYTQm< zpH?;a0$~~=>D?tcm@|yE%I6b??UJUS%-TV2>><&85b3{xx_TICfE?ZBWpIdljmo>{ z%e$B3ID#6y0(?u?A5jdx2eJQ~&fcfg)gxXim zKQ6GryyxRMf(%jgM*^?r?0BpIEB{!-Iz|$3aDFZ@9%BR7Z<=PBvbyz>r zg`V`w1W&kS*e#*Xx3+#sMB|Kj%1@FAf@$DogTS8*zW5C3Q4WntWk`d;7tuoa@ZuGg3G0 z2d6-P9?K@ll~;B}lj0@L996j0O5Wosksy6mk?;VhSdsemBi9Nh#hPWM^c@|Zw*i{%5ZiP z65e!Kc1NcykG zw1Q(EVKC5g&fFyGyd(mlqPzM$!+mJD;Qnp?K&m`BG<7|I=Iftbnpl?r@ zg5fukL^{aP+sG54%vyw5sn8srBK1HRK&3_m1f)iLSz{Cg>AKszk`$M4War;ZRDa2H zQm(#=u2?%HDw1Td!sSvB{enic5T}Iwpk!G$QOwaUI~TNJ220N|7?!}ePW2@tA8*}H7Bf#>bGW0aB!Wn7xZk+^(s*` z&AyxC$RYJ0htCHb8UFnD+FU}nvDp)UH#EuWnHD!PJ+ZCN8HIpwc+dsB18(jm6;IwGb}a&=If~jJEi8T0^rH;6)U;>^Z3QN zI5%LUtHC`UaZh4|M;grMA48aAb!J`&;LGO~am(oV{nLT$=NsSj`fort zPuETXNzCe?|2XbB@nJ51!`y=D-|^vXCEs$DaCDb&{FI&&-KfzUj>EY zB!no-dS@h`68(`h$B~Zpq#$PrVKmt(s0^MJ!?TFzaJ-XWMiq9QDh1c#0bu02EPoA* zoZaRpx-;;z|6}xkB8!Bug)R@wnFj@n7eyURC_4U&@1-8qRv$6R(e&2shbKMjN65`f z9(7=EOsh1-_41(zHRe-}MK=OWWccg1p?@x>+hFM+)cVAw>n-UQ*6q{D%PpnB`rPQX z;NU-?4aAlXaxcE|w)vRW@t8*-wo4246(Q|zi_+jlZnWR$ofp0J4Kv7@;vBkvw*BE- z#OrK~cW;dMOzlWPt~iM+1&JpGi3B^`+IGu|ZwN6pkZI#r? ze)|WLv8IEAkLvgw9uUaOeW&@)usSFEoAJs5m?-EBHA(VlNVm=(wGG~u1tMy?KB^_& zi7;obi7|~#xP$n6g28A^z2{jgbXJY0^?6+ZbL+P&-Ilk67nXxk$C1FJU7Jc)K5Og4 zLLxu?Yehhbi-up+Qtc_>Iq6aT4ilPKX)(!%%ZK2)43{4Yf;!TTX)X=&DIE38X|8nU zmYy7?H~pdnL5MF+zXI7N`i(HY+Dp}8+)qKne#ta8&_*g=?FA$h$DC$ap<|hs@j3p@JDg-z=GLNuNF0P|YAJc+ z_QUb66QJ>6?+P=Js=3-{J~?{f6u`=9)q?xwj3OCa z;Z6Pg+^l7Wo6<`i9ON@Rm~4-AHfJ|iXTcyq8xY9(ytIo-_aRb><_~P`0CksF^K>?W zm*@TZvgiz$R!UpMpvFS7{i@)W(C%*UI#Cp$?@X^?68*UpPo8CPK_W)v^~P-Lz;^IF zX~t|1OV?sLiU#u5)_IlZvcK+N^I#FOuU+aC^5ocw2^V-*1Ew^nwx_)quh?%H1TX`Qi7r)sxgOfyI*zH#kC4sti&#^?IJCi5Dz~GH&3UZ|I62+ zb-{jaE6gu(B-m`i?A`hk5+h>xJKeL?Yr`!^Drr{KEr||JA#9SAPZQ(fkne}GGpKWiF+WGY_>a90B)0A zr+%#bD9UH(nK3`693OkhjyS;?_5IgfG&d(BAW!-o{8>oF;q)GmV<;{L9n#Xc`Rtq-ne^vIs~E?hyrC_WPjW?}cI6=T|6j1tsL& z?hIzzWb{f`TeALOIvP9-3{IjZ0|erNQr2cxsc>W z-lp-@`R(-@ptC0BfO;7)fE`*J-MM7#?7Bz(}FIo#ld z!Pg7IGiW_$U&#ZSG)QQMi-|hPFAVc{?|v50jt|{ZslvepxFqnlQ$EXw49WBNqXD9H z|NPhsLcB#)qv@`~az?2)@s}cUj@P=9kmamK;dFuo$nP^Yuy!l<^HjZxmhr=Ok=hzO zgkF$Ykqi$}^WAn{P$cS4UOh{RijW!2Dy1K8K_8&}?lXRZn2TqhbfEi_11X+BRMN&T z>{55seKlQ5((R3R4#H3O3auf1AsVY|9rM~3DLjT>yNYOmU{$9AC*1mlyvWb6FJn5$ z^^m>Y6Ft|v9qD>M&$R>xkz34XoQq|@-w65y*6!za7DxwTH{5pUC!-~mZOu;04&)#s zf*T7PQEUmp>;k_7O5B8|72NyzPRX;6-ldq20rM_G4fF%EcyD+LP;=(I zuJ@`M)1C};Mh1@EeG=yzdafcBGOXqQJzv31M_O(+Q^Wc9^$FLBuflgsG<4AqU-?x+xQQMr>!$=lS4wXF)>tFA3yV!7j=sPzm9OPrbL85_$ zl9W{uN5DdfDJ*0c4n@SpT6h%yo@x>bg~-1BH!6~p>W7LLHO)JlRLNoP#_C#E1Sni_ zYHEXjE0_Nwt0R2U?Cq;LVc^CyHA)Qu-7kd^K*R3_*D?i5pLQ``;^U|FX&)|KV4K6= z>_vyf7L6L)wT0;MqsoNu+3;?L)H@1lOx*6O+EK+Fzj(jV)W4@+3w}F15ig6kXAPf< zK{Q5$cx!p}?7d{D;GmY=e&c&Ji*n?pRCr%ZYp zAsU}d>%P@cn_rthh!&z{$J)MTYC;*}{KP?-v(T24O4H)4*E(Vz5FFVEO<>O3op*zc zVh@GU&+kA(Y)pW=mjye^r9g|kzMVCEFo53Xf)#)k##`6aipa-kDbGoK8hlD{(EB1f zj|_il_XWd@ouBNA$do#wl(1idM(F1IJ-(Y5nn)BB`R3(qJe!Y#tH?cuvvK?Fi-{xy zb87}@SFbP+SOc}$gW4&nSqd027ure-q;T4Kv&Y!3-|+4rhT|cKe#IJ<_D^{sYO{w` zAyvCunebKM(n1PHwudjF$v`5uUWFz5Neri5VEE0bxAzY;IR;~U^>WDQitS7gid}@U z(`lVRVq9#9jp`p~fa`TXprD68swO1~X91u!TxEK{63>HuaAW0;Sg)mQr2LlQhZbV~ z8ITz<8E{J1rQC6C(G^%983u+3-d@H!IPJ!g?;r`;{fjGgJQVW5TZ8ZP=vWGZllU^N zBm`AH`kUe&;nlY^V2U-sR3jZmpclscErh0F|1$96y6g=>?THu&i@z5G3Z8_$5A_)M z2q6b^(>E+wPI36x#w$Wk4fYs|M4W5j?{J%6hw~>Q)}orK2Y>!TQWpd-oraixmJQom zL^Y#mDDp%BF$`6NtY}ITt`EUXePn$0hHg61UMVnFn5+p=bus#u3H=n`$h?6gtYu*B z0k1AWFSO+g(^o~;8GrUH7D9tPQn*{7u)=DT(_juUQGuamYle@FW(>Vb;T_~%S_hk0 z=1K-&LNVRE!|=#z_%+#!p1-sA*a#e+F3cLETqjS1b`adz-I4IFnO9BKGqTr}+bzzOsPL4A@;*&zWjsH#2dNq1Kt-tL@gaOX- zJToZd;O=MZlWt(xp7wpVztjAh=5Q46FDgz~j$-!0lSER%8~gJXL3QZ2!_&e={?Tbo z4nIB&%rwM3!z-N~s~_&);vYrMF+9Jn%e}qe^R@SQ*F}O(Ll{gO8W*W_Fbi3njNM^*v z#fi_TqRx%TcQ+9lQ7{-Dwk6qW0N`ql{z4w4UjR2mz6Wvs2dS@UU-!A89Z|_mHbqpcFSY*pLeb;c9OU^P&rz=RhE^@_d~hIbZ~Dhw|4Hnpkn-#VqS?{I!=!1xkZn?5P92pFOgf#_KWK zHsbjSew)r-jyB?VE-fviUIYg9BVjy{N-9TmZPY{6b;-9z0}Yh6f7e#+FXWM56nv47$`1tBReJTiFM>qg8q)_wOaMs&f4D;pWpXGY`c3s%_zYQmPeWa aZ!FMB17F2RhKi+AF`eR92HyW?HTplrr+&Kt diff --git a/CPLD/MAXII/db/RAM4GS.qns b/CPLD/MAXII/db/RAM4GS.qns deleted file mode 100755 index ef67c3e..0000000 --- a/CPLD/MAXII/db/RAM4GS.qns +++ /dev/null @@ -1 +0,0 @@ -RAM4GS/done diff --git a/CPLD/MAXII/db/RAM4GS.root_partition.map.reg_db.cdb b/CPLD/MAXII/db/RAM4GS.root_partition.map.reg_db.cdb deleted file mode 100755 index a7aa640b6beaa11f005433eb7699157a0a9b9069..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 209 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRx&aLVfoTwm!5T_mgwhp^zyAOKU(cqI z&}_Kjg2GM(_juv&2`MM}64}@U*no=u|F2+7N=ZoZNK8nu(204(w?yJec4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G7&00000000dI00000 z000#M00000007Me00000004La?3vGN6G0Tmn~UJVgQ6Y;G5QC{{Mg+jH=(@$f!vy&PBMU(PR$D7n?P=l55CSa zf>%VacEJz(UowF6D+2hecEO_G)Q|U*+5Yj~bTSF)@Z3|+pYC(J(xOmT+%wRc(9NJ- z)VQ{gHPzy2AcLnZqBUc7Im~KD+sx5<5m@c->S#|I&_ZLE-K<53--=irn!(K$yrunrY3pa|%(pNF*hC=$0k4L?;G3iQK8SjEGNaxCUDeu8ZN2@_R z*$rhfma;n8jEeYOh+#)A9;FzN3X=aXvJ{`30d@YX+~-VTZmNYnsQq{c^CO2$1-0Tsm@kO zqy1!u`Z^hmkTzLUP|LK?Q!4GRAQe_dQbnapk76kx3BDk~2+6!u6)1+bOb`Pk_=3dB z5CfwfHd3QtGWddAk?sp(RH_k7hRJLLG$CUY>Vt#@i%LoxMoDS|$tY8)z?Y;3EL6bC zBo#U{knD}gfzpLqhPO5sS{Qjbd~xfRA9jBQfX&E(1B4}47^KlG;rwc3M`@wnSq-}Y}0fz zg{5c|HFp}Edp?StChSY2=*}7&UYB?Di%Q?z0w7?4bKcSax28yw%ngRKW)Y3~ zT!+bMFj)7~3hJ!~qyk?gbCej!d>t`R7O@nBL^5Z=)hgLxU$qhIk+xfC#wa8ztm51u zM%rZSJ_^5LyQMaQPr?c54B8{X&0v(=eZ@HzQHIRGLci|a7tWDz1cy_X8@!{}gCiVv z;WjPbJc>W(o5#4@R7Yak)PupLPKl9JSCho~B#t_9RRJ>Jr^I0eB-7?bzCspdW!DQ7 zUUTS~8yvVp3|$#wkkO4r%8Jw!h>`D8V)sU6XQD{nc;cD^@1|W8ql~h3yifTD00960 zcmZN(U}WH6U|@(cv`hw44BS8*3%5#FOZf5Vvr&a zSH}=v$AI_{M<*ZGcz-7^S7(S8exL#t1_2-$yW`=jeLxdnS|Cb;LxMd0+~Zw+Tzy^r zAX*Ls6|pk#0JYSdEGY+43_?H*vIU|As+N(B;S5kbjLp&)q(%@$4a5bEObjQ0!p1&s n(TqSEW{jJ^kBe&%)Rm0v3_E~wlWrab*$DSF)Bpeg00960C2gT7 diff --git a/CPLD/MAXII/db/RAM4GS.rtlv.hdb b/CPLD/MAXII/db/RAM4GS.rtlv.hdb deleted file mode 100755 index 802d93c0162f7b2b2f4ae8589269d828c6f18658..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15323 zcmZX*1yChDw1A1bGq}4A?(XjH4uiuC?#|%u?(T9g?l8E!ySqCq|9e}nYHO?W<(#BW z(w**3s*;nSf`EWj!-IauPT!Zvx709qbh2`=CuU}4U}9h<7ICq%H6vzWWg%wbU}R=t zWMN`vB~~?ebhR=yCsr~xwIOCER+S;vG&dm@H?wm7E?Iu{Uv(fLhV1_%KthrKSFZ8? zuXG1J_kndMkW9V=B*N-~nHj^PC}|7};p^L__>=ood)d(~?&?Xq27;34I#vy&*o{yc zM|v2iF&bmLx+6cQLGuwhbb$oaF~X*yh@fE`8DSeOqy4qDg(WAC8}$7$+*r`p-_Te0 zp;$>+cqn&J&wZULS1nag*VNQ(e!SZ1_9Kcx>qF%_P3{7!2T77XP?vci$#4-48FLYW zUSWh0JyLjy|NN=9oPQ1d*wlxN|FKQ7?f%>B6>ql*T-#(kT%xucY(&}8-JB?K=yfE1 zrn=qLVUvTU)yL7hsN;`J0jWS#kQZ1Ng=Gzj|6KM|2}pHV#nf58esTeXpfTKdWepnW3tiYUD0L-=0B7+xVw;zM)#Qg1F=65R&#$db#ZddHx-@S*cun}m4%ddnUOtB8kmfCfp8XtL0kO zxRoAZb0qV6bTRzsA$Kb41Bb z+%hf|(3<5)A~g;l45i_yJj}-%HYjCwfQFif53N&y8fmVH z_$U5wiKXhw9skx0N31A6nrrrzOJ=!mh+Qz`3#*%5?kp9-#pIIqp#o#~X~?@}7A9Gh z=^8$JAyE1Tb_Rdm2=fmAtk33Z_aR8T=}E6CpH!9ngD5tqL2{gV)<@#maWP9MK7Hd7 zeuyE|li=RfuY2)J1yS&396H@#Puy{%3&7(t_|xJ;gVBNwLI4ijhaR;aRAZRAAv0PonMXwqGC)+wTP@FMT;wBL~(m!*A;8G4k-G7)|h?e)-fdZ*7QC z@g44~Q%p|g*h86UD13O0&gjG=heXi~)`Ny+kloDHJY&>`&7oF!O2XVTkh$|RdX(*? zJf-xhlCJ;Epdq@zt8E`wn2kvKu-s*OO!e-)=^4YfB&GDoBXHV(Lhgd9B8x#4P-*}T zxNOUDQVEqf+a%7_aRc}kJ6*#T`%lxGcu*<~@Xf#ehU#1XIa#-9IJN+a*^eB@8;#lpWUog z(jspZwhPqd#HPH1r6#33uZNe)@83NajuyMA^!zcwqxB{##zfHMMbwsxD*( z9=LM2P(&l?ZFG5QMu_s!SnmGA4^$BE_24JnA3H%KF;vKfd2!?sA5NX46Y0vbN4-6` zkBqAY9XhVGB!e`JW=zhBAseGnYXcc0iiHKRsxV_thXuiOLE(#Cvu_gz)`CK#dLwd$ zZQJw+Ll}Z1kv`+&lLJ0@F31#U4O6qPKo1+ zxO3Hf7E(&;nB3*cU2eC?f8UsOL%$@r-&AUHBsm$pQe*~wRX)NyJ8#RBYflQGCnYxy zLFjS6$@U~SHvgx{d@H5@Dbn9c>TjT)A?lO6pMcJyx5jhckRhNm#HqThrNt39GsvyH zQTg%2^C=@^Rk%DJm+v9iD!1HCo`KSM;?%>Z5^wi(Vo>~dXGH^q>bZj1w6!*LL%}w4 z`Ra15$Yu&`3qCGoag{`ykBcbTMps*YyuIPZv{ZAgy=BpwCMTD(my5Ohn4+tjCX~9O zQDMb@t$b`fc^4MMV%liH0Xv{gci810?$49;Ov!pRNR{ zP+;(`@Hn-ml)g_a-FI{j_Gj4KJrq=82fsH`Xx>hd`%VLdXnKp=u`30KXdv zUJJ_I7A$iPoiGl>DTSSfz0JO4B_%iGc*p1 zJ4-&?AwC5fzCh6{qtTxNy>}rg7??vkW?zjOwO8(#B9Vl@k)7(ylO(DT-6Pc4>4Zo) zeCcuQhvZfVMsnxOV0Q-Zzs}AwLU|z-!|we0nsmGsJKQts)I2$3%G`_iRD!lgf`5pH zZYMEnAp3#dybVV{m>1hYKF{5xx%;`?b1Lkb*8@$o+^VDpM+0C{Yx?VxzNTl7DMco% zqc)zpGJ6ypUw3wW9xhS`9&RVz(&h54jmuJ@^AK|6h96A{_6)X{UiI??<=^pmMgo=- ztw!W4t7}Wu-?E2y#sKyUhadG04BZeVD<^eX%C!75rQ+R$iQ6!_j-l5`J%t=FTn#%H zFWYu6+tn}I^e@{7-q(k6T-D@jiGTO6V<0#po$TQZwXAR9zu^MKrEAd{2x8&o3dhyHROH|2Wd@hlnZ2)d z?VbX5ISzj52sW3yLcOwCdrC56Z$~|2AN@`BHxu7ne1k|cvqC@e6-ezBB}i(v7E8}q zpEO=iiC5i>ICHs3xw^3`)~=gr>1Km|VQ*K^SUvHTN=HB3o9zVKk5ZMv6x^=O7u=ry z&B`}8{NL$s()oie*7z6V?8!8!`Mt(>U6ocU&au*3aB(sG>#+Wuo)@GYE5*`nU6D{Qt1v#pUIS9_jHwkpRD2kR>LoTRFsCw*7-> z3v7ns(A5Rcw5tx7NLlQ$cP;6U*pRYMLE5ngezW+^<2RVdJN6vkXdv&bxLQo5k_2Z$ z&qA#8#Z_Dzr8Zh=$@MhqV(7D;XX1;0bPh4}QSj&jt+B#gov_1Q@BWkD++lu8%<##_ z^Gv&+qS%=X_M8GPzjB1WE4Y`941Hk z#IfJ_HhjeqoTq*LHm;FAsyu3W0vl41Rmj`>Sjr_=27v!i55lA zm0|Zr?`h1kDP-qN((th^)$lOK0Qb*&=oJXkmi!|sx8~TAU$;|Wo9!}^F4jxE>r6un zNY&lM-Rsi^fF4ISSh~`3AC8Vjr@P}jbPE@gJ?S8umT8VSNS5;BdZk%BKOoW1X|Vy$ z?e+PX5WF_Rb&;4^Q#T8eoa`b^iG*r8!>-D~C1lHxvc0tp#ot%XI~#N>I3dO*%kB2smHAqbYfm#c%H+ka+tE6TJ|V> zF}5BqLS7MHSyUm{P^Ca%ZE>|n+4}l=w6xiez*~Nm=i2M*!3X~JxAL<=zhpOrV@Ek3 zxLJ<}9-M@}`gITn{h~3}a)e6nW zlNiSs&hp6|Vgk2sQk+Z7Pu?}nmrG+BtP+X$t*4ByY4INT8K9334*9>_|K`{zV=i5N zTLL;e8nAdiRqGHra;aY5h;92{WKlZk;bblNuUuCAMvr~j^TqxFZo30;{Fwpfn7BxCI7|s^^>;KVE)JHOArbj*5ULX zj@11#Sr8R^6duouEing;f1^82hdE2gvg#}a<6+^btxnKLTO20?F=h0aB8&T#vWqV5 zNLVM`d}7+MR_UzJ{n_YMY z@A*v{NX=?1EFdoxO2T+C0L|@eoRc`|q|RWqPm)qUzPI}~iOj=1r|3x-UEn?bK7HUS z5I=_B)>3_Pkjsz%*augCuNz?Q z$_;Tp*@e;I^#(W17vZ`En+oGKllv}b1Z1?U=o&k@8}Nb``GV4p(sqytLh*e!)aNSU zLKUA>tYzX!+QU<7sN>)8b-ZZVrmS-LTF~qDr(TI*#r&V3s%H|+!U&UFcB0CM+B>c0 z7t30a(*G8W4znS-sOWESgSP*Cj@gW;{)w9mdXnj&GJl1^*Sj*$a6`sYOr5&IMg zeB&6A)kXp2Zn2Zd6DEzl2}7N_5E9yNMzAFi#%K{woiM{GN~vSf?1CItJS~stJwWlK zzgZZjy8q=WG+U(QXX31L0*TXI9^Tu^*z@&3Hnf~R`lC{?zwJX~zm4j_@Ox7POrXFg z3s;Sd_s%0VNDF)6Ellb~a5I@jk|YAJTYl|k;=Ft&d_;e4tYnBITZ;!_K>(bZ&?^4} zaws-V0se+Tmq9fvEc33oub@Ib(;u6@Il37DaBE5$qu-mz)wPd%um#hYhbuiO!zL)XC)KjJ2mtO?6A+bcu;=c8&qs zo$73^Hh44+Y~J?s3c#SlY!x^E5jH;>K!TB|-Ihm)ZOYR~MWoj->2ZBFu5bH9Ysie_ z7Xa3`7WocWVlW9W=k0 zu-}{#|A^7b(BJHj{dF`^!_ZZIH};-hCd!j**lmb=?%`<7`|RD#a-E&DU5Kh3TID&; zmdie#U^kj+)ynYx72%ECb&jjnXR{aypRoBzQe*A$y6S0F>^7f4(aqWv*kEMNHfh8b}W)=D}t`|g^q zaEzDwp%I|zvG<~i`RNC|zLL3CZLaEKO62RF*h-rYW%;<^tCe3EJlb=LZ9%jO9hPL2 zzW#ToEtBO(>0&i6K-uk}_NzCw*6swWX+>{&!oe^F<%vC&MZU{;^zMx0GJ_uzAA-@~ zmkO261o;xtTZ(elP%bg{kof30E~~}nR!jlh_rv9_*QR;M(&8#I=4N_|6irXSr0z6=8Y}4LhI;L zV=y3g`h5v?8;9x6cOCxHdKVlc3mc;Bfep3wQNiVMEeq^SMbEC~&+vVZ zh8g>nmk~fB*H=)uOPssFQ)1@+mc!1`81f%qV9A!N=AYDXNgxRYkP^qNb^Plnl<=aFhhZI2Vzba?&?mQ>t(xyhh{*Mr)i za>24ES~Y4I69ndim9tbsMOBYG8V80``vmUXQ(x+1lZFTHQP!2!`BHh0yK>(y29qfu z6*S?%U}xga=Ex@SDT(QJ(#Gr6_k+CtZ$WeW$dQj&AIC}a zJj-v(u`5+L9J=P$XLN|(C&0%wOXH)L3(h!etgXddML^^fr}4JzlZV$^HA_D7{Uv@ zgVMEJ(^kP8PO5*Xw~{X$pZD(}bDv)ljUMl?fpvyOe!3Mt1@HH-Q}ezYzPc~UU+*ZD z2aqo4RzQcOuZX{|4gh;ZK}G z0x?cnKD>?wx-MTQntV^*8!ft>U!dE4fwy)KjJF5xqBt3&hr7eV=+ zMUmmH2O4|BOK$Zi>qP9m&A0%^2ieQXh2YXVYqG;!_i*YN*%|%EUd8;Uu}yABj^NU# zapRO;s-Wr%w634-3+qH~xsUC8yzl!jm65$}gT61w^?vjhD`kc_Px3Gl>_13>TI}o% z5L@Vx!IUt&qXnZqS5mJ_I=&cC(r|;)DqEb?I*QWglB&5n%?Iy4TtzB}4bqO&t z@t#a`HYg;Uy_AB%usH8hETU3zlt0AcG2Vm2R;EQY{3wgBbNxoPMq&6k1XbVj9;@aVX&? z7Z9}PkUEjny&@G<4S~53CX~U&ZA$fnSOfSX3ye>m0eq+wW^t$CRohenQYbL@U`=62 zOr*>ZV^>&|_b{-#5u$*dS&;|1B?V$F(cV4?QSdMbSq|fJLn$>G@aijrR$G3g1_a~d zo*$%e?EJ!d~(Bmh91guWUW(*jH19b&F@ZAXW{TGBsU%!MeyDyCrzhn%>w} zmGeHd3;9u-1g)B=0$gh)yjU!sESn02-wGvop_(}4kFJD{T%#ZDrg_*_>GSB9EIES~ z%V+Gts$~m(;G3|loA89a;w9cFEg2UrIsIKzB;KGbiRLW(^Qspu4I!#)W@@19lnQ;o znh2vGA*Ux7EOVjlq9xw&Ejw{98uK2knx_00Yi9%ySN$d0p_%}=7pi&Lr85GstKqm8 znt9n(Grpj!zoH)nrUlApCc!UMaqZ|Wmn@q=rw#IEKEaz<*;bK-bxUS^K~~doFSdkj z7A?1+tE*}t`!4|? zKVJ2+Re&urApPkf22u$_BJv4c9~UR&Eo320j1TKZSM9e#wv3u#nJQ+=X_Ti)hw0^? zVXT6tp`Zpiz(tZjBuLEy5xGIoRo2Ls_gWl*a1(2bmO*)%P`CWxd4R@(7K~J^)pGm^ zX;%M4+qsitD)6OP9DU8)lt!f!E%+5U0%0?LzimggVI}c?xu+{=E75Vl30O))jqThP z?EWR8_t2PWuRtnLX6ZS0O0=O6ExMo#i3%6El_ZPT6ngp|`UgP-#%^^bPf1;3MyW3> zU*4jhFuZtb1;x|IjP11aMUS@rFB8?^X0cl=_X%V8KZUAtgcDEvv=Y7j;_+}<0vQf@ zu9{BA@M8M*_^sC8*@kLJ60o(1L(rITu&$BZr1L7D!L?B{mNzPD178P4oB>Q|`+lKt zA*)2%%ei!sZ`|tjZrhZsr6%DsEXMUvn3CvcZLs;_bV|FMY&&P~Ph$0>J{cnOr(X#0 zRm=nJfuE>4{P{zmT4BMhYOzT4m z%RBWk74(rPc)OUodV~Xhc=Z3>5o`OH$&k;3<5J<= z4Rds$-kC{Pp++C3bSD-eA9~_nc1ow_>V@&ryuoO|4Rh-txRIdmiL{5rI{2L9W7{(QuT*8?VD$a# zJkb#o9~orJCeG!HKLLOrtq;*X5)XB;Dv#=1?Sx-xI#|Zw2=HI1d>Aw)Uo>LIp?ygA z0lU<;O3y+&;33FA1pY^%B#J|~HTjjmk<6UPSFVbR_3chq(h>snY^8k8&Cc%Vo#C&! zA0FR_B+AO1HU#UfwcIMRbaHRMlR&lYO05zzDWcL~YK5?2Wwww2zCC8XqIYBNxMazO zP!Ys4sFmo6ASX%WB@_Wx@=B{{Nskc4WWw5nDrGJ%ZREjjDBF+{x!*L?0g(J==r2ce5(A1<74?dt3wHO5cSdxuXxj&6cSITP>L6b}a zRC?(YkzE_hb%NoU>a8e}Zh!b}|qb#rzivbW|lnKB^)cU`Z zjNeK0(OM%HrO4^Zvpli3S+Ivoc6Na@Fwxr8&& z3}Z~9JHB8YzwcO2B?*-raOy}~H&%|?p0!>wSMJfau4M1x?3l?=s&VeY}#0QH2rkRJuB=Rrd46m z;E>Zs?|hybWWX`aNM9I>@NpcRV$cbWg2a@(lMhNG5$m=(_zq6|OefxUEV5~d<%s4C zDD!F{aEu^utRQg2B|e1HkHQ5@!e*JFWw8|{MI^mLRa_%!2Vt&sEY}~lsTN^qhHssv z4X(Ahy(7#;fa3W$y0iHlV(sAk^3x(Lo&|-mS@biMz_FF! z7D{M5x$7STMDDvBAX0_IJAk9$WVl2rCQ z4joMDs>ib!s(!x_v>tJC3iR(2Q5S*f`KWZFer_UYuOUrVB<(q=M~1#cDZwmc*vcnk z6TAm1@sLpYPOpxtd0n}IF8jJ}Ip>3!L7xG_-%@b|n=|mr@4Zo7IiKqCX#r>K$ww9e zk&Usv`7(Y0m0FoL!rY56UTCh!JvERWs6#+ssR3PPD4e8`_|TJ8^?UF0HC`B5pl=Y>B$ui?#T%eF7~+w zA4$r2vn*bn=&9>)9svu)@Qw3KZ%M#TKA>Mze!+8!$F)QKAzh2wn>~OOxDuJ(1eXqkUoj6o2d3P~5ZlhB%Yw<9mfkjuOHWjSGIRFX_z!a```9T}88ctFw1yb`!fG2r zYF$V2Kqod)AgR_px#$$C_x%~3t#Y}|2_Dsb9{!L$47jgszlhsfA@Qpdy@Vb($9}sI zq3%`5rvm9ym|15V0r=1VR`X}3pXHkYy(4euQ3Q&{dCf1IZ(l;MSgZ-|##Rd4+@sAo@u}tcQ70sqXc6fwRa|{sXH$4;rL5w-y&lO(YB)d>{nCtw2LC z>eHd36#xC`sXH8CDhiYe=3r={h-oSA$v>XG9Y;fUN^<7<{VZE`r+PyCuCJu^xO#x< zN)kKrgEUo+2tL^E^PLNNe2;)hlE)~Hdl9@Qv#*>v^07SYH73-Q}q^NFC3L=IRm14IgpBm}v56$jx;wVe}KV%T@)-M_ks(}b=Ndjl~J&~mr)595d(Z1{7_ zL$@o_PHI>$TGJ@nWgY50fwW>1>P>*+bk(xSu#e|DjOaSdc@c*P%53vS-yW9}{LvJ|uVB1jBx->+l_D{y$Nys*97! z9JCx`bqj5O%aQdBmlBK_gy2C20u2&}MtBb|5UWXpcrQj`svZV!``9D=jRzgWYQM5s z-h_v+WpFN-t45paPlT}B^Vd~`FsewJTwoMz;zQv$7k52_$QJ_%paINM4lXeeHah8mPjm`cBT z9$}NnvZ8=njZ9xt;iSf1whlfD_{>6L|WS$Ol*s{?lS%Z5mt!PA1(or}o8QHu15;nkY-|^GBQBwM+ibR8C{Bq{s%l^% z$e*{sK;dYA&?>G1?K4R>sEiY6kMrd<>p|)jL}<41${`5!>$d~ofBLv(4ADFe34Q=i z8YYpn9q5m|>2|^Bb}3xNIUwRTcgE8%WkHRfh7S8re{%v4=1+YhgiWMLI_ykU>qKqhFgn>#P&?1)LdKre1Hw3@r}s3WNgFgH4Nb*679-sCdHN!o zT7Ulco*aOrpDPjWvOFR;xy@k4?Rs4kpm&e=2}!u!=wVH=6$Rt%vmw+H9&Ue8Aa4nI z_i1goi5lTw%%H@|Z%bK-BY?RR{?r;TdQf8Vw;sIv@Sp=s9$!$zG;`9$S(z-O%BSA4 z-=@>eti&?HTg{@rFfd1lod6fW=5t(r*_~;gR;jrK?W}3zQ|?;iDn=LVd9M%J&>LD9<1&V1~m1aZyid z;2^FC3PHs3_^=I->I&jzna=Gz`LYY>IES9RYD{_drQ6K_iSHEnI{A*J4`qdnq=vgx zJ3z6ZYV7Wpu3s(b-|U(U!7c3negR2+O~u!dAlNuA6k7U`TIX~o^)&`IGzR|b%-X2k z&7_}3;_ovNEkhA4OA*hB7&jJly8^^NtG&9_hYhR3pufXK#QOs6J_+5fG2JdI-L5k6 zPYzx@8_&aHR_m6h-N6ZJqp&qXpk#l|1JXUpMW216REBy=QYCIQhr)WRjo5U;hs|{CVpeF6e zkl(r>@)PO=A?SP3fHy?x4OnHKdqj33%!jV5Gz4R@wG^q+lvWzzz?;z~m8iAhfp>j; zsaK1^&?JpEQe`<5eAZ;?Ulc-H^x2{_jD{W;yua7Z8!L1RMgeDr1r0q;rwUK1qSQVb zKND4P2yz?~YdO8)#2n6lgoz4!7OKc}IGtRisl;r$(x5=A-*Z^YMTiRX?1RpyFCs9q zAcFVB8#>@?i@{9eae=ldzOm?n#p#ZWDT!Ya+uGP%+Bz5-Tw2;)Xl-^?t#2-DF0O3O zuFkB_&f@sm+(;xbO6qm#=v7yrozz5ERoy?_8~lcX!-97mv5WIhi1w<0T10xdf9Qaa z1Ajohfr#~Hliz)n!~_Z&kklG7&33lU&JiWg2xhHY%R*IzTsgRDRH9V`WIu1GBWG8zbRj%&Voqzjnbibe-S0qwsYO1<7H%FE#7j>~p zdbV}|FY!le9d5zn69RuvwQz2atep}VjXpX-re}&7fWnW$#LQhUJ1#mbjPuzwWB%=Z zJo}x~n6UP*IXT<_Y)$q?yn~BA?vU&p<39edPa3mS^%%gxEzO*8yr@%jL`h{uBat9} zilGCLSD4k|3jb9t?rH6q0Q|Nr*R)*tw)EN|&j4Val#fXBz)@)z8RV<;PDlruCzxzj ztXS9Q;CdIO0U0z(r%&y!<4qR-FR$tU@+SY^JTs3!hK*XC-+eDA))`X(t$D$OpY>Tv zbB8Q)fo}If;SSYaWnG}t%FNSbZTSAVMjt&9YgWGnwrpMck&V(mYl9i^(N{iWw?l~4 zMWk_w0$Ec3`|fO!z`xsCvW0^3ed@sqp@!{Jc@HZ|Kd7s8;veVM4Z|zJr&Lq@Dx^ar z?43GyEEiLmJG8spr}u#5+Wu|nvSyvB=gMR_flNYXkLx92_A0>3)5PQ-)$u?v^wZVW z-H!n|)5x?Z1d54H;e<*4S(b^e2{xoy-lw!pXzukSs=2CqIVX^KD|@6QtItZR^h0}& zbO8#fy>(uU6T07eU*Bgg_;M$L9+E?o=%(gO!bi~8_J_SQ6+ zS{+Gb=R#h`=2a4(sltXt!vmV?d@(x|Q4?YOF*7W_Fjl`Xj34R!U1{{;-*(r?Z3}0S z1KCRRp2(ula-IkV#`juU5JEGa^!NW%avOACA43nwu1fd5Jo$4ISY4-X# zz@DD+Ol!zilYE6=32^SWeofC^-xoW8et`{_Fm42~eH^v+zAPag?SCNLX8IRzZTxKt zW&4QnmB8K93!907iZ+J;<`eXj)j7D=9G+OkD3lc9J`Z@%P_`;KI=7bGWyjf! zGT#2$I&?BteN{-&;|8+i!ozEGB@?div7I|~kf;F>T3~)F~Uw!`q2Lbu|atAHaw6Xo6{-djZECsB%BNsqS8bS&gKMNhQva+&4!;5V3 zD*$b;OpQA22MijCFf54_6J=oFzh@XqEh!~awInfEO6oWiZ~D6qCJL-R>e`HFWcYaN=s+0Q-Y+;$-zX#EcV& zoZ46JSgJ~nW;>nsl8gG32!Nd;n+cAf6C62xUh8E7Q{sFoPKJRd^bG$t#uT`V{MI$_iEb6UJ~=YrdSiEM!II zq_D|0C$FcXK=u}NKv;aKO_#B5r>;H;Qi8@AL(7^KN?~}NcWb=7bwwtE+=9wn8+^{O zB%8>&W^l{rMMthBN@5s@rblDX4S0V6Un6zK-xITNJb|akkbAOawh%$dX}rpx3*=eJ z4dAQd{*_6`j38-ZX+s=9hc}3 zd-%J@wJ;jK`%uTJz%R(^hUoN6h7<&Db|ZETt5X1mP5HMF-^DijR!m@aY7P8Kv!R$% z!amT(pajZaXGGpH&0z}AH41I^q1YX8ZRLJb{WuvnCzw?aV=2N4r@ zd*WdZ;Szt1MX$a;o7hl4sw+zi!!k#ZOdmH$ySd}E=%o$UGM1+U6lDC0owR1P5^#`c zzNU3Kf0*}cJp#p-3`V35LhR-q#)Z{%$pn{Vzx`8ffz03JxTdSc{8K02+|JG_Z^c7# zljIT2;iCpIcLrssQ8l)QEmMu0u*9$yIQ3R67(JVy1f79mLaZE=rg$ZOCixkJlTIj2 zz>dHFvtM&`0AW||^DR2@IuQY|6jc?LfRkQ^`q`K0hXzyqLk?|a*65!Ta1;3L(i#9@!t-+*3bN>(9|=XJbP}`pOGf$ z%{sxXQp+R1c0;G6T;HEpO-t()JjGnJ4wUc|-TqWC5khkPojW+QTcnhePTo!F zCI}892<{Qeo+|JP(w2&baoIx*lRL_pEGe_;&^JGfEhSDf)mJxI2vBnjdNFpofY8$@ z+A4D@Tc__Yk;W$}N&I+X@~pp|*E=Sr+vGg1gN+}p!VdUHazL`d-Ay{b!F(!3#qC`l zfih<@;3zV@UZ~-qW!1VAg$-^;PHA<7=A0+)STcm2%rt8Qr4LQoqtCp}pFk=vv#e%; zYC=g?Ls)y-!%aRmsH}gg*L6t?%h6c9N4JdjG-`RHHQ7S8s4+m&EtuWZ70*&IM-*^8 z-5F6q1!*3N~1&8RWmF7dt4(k4VjE)uN9mctFWQ*^de));;;5jIlTzNO`P zA7}R-xm>4#_Zh|7fKGh#Nf0MmE7b3ax_7g*L@~k0zObY5a)xJO`Sfn_YCE+~H!9C@ zFrfH3qowXah?-P#ElzKpAIBnQoA-2jOUA3r!1A}^tYX5u9Q?0h^$)K@v$I#f0|Y+s zKQbA`$aE`ps8zjUMy(aeNN=RSSff_-wHr|kr3;|FN5SZ?8|DS@>WJ+V)REr!2sVGZ zw8fWqZTgQeVV@A^dwQCRe=_>_M%OwHr7Xn7wEa2>r)*Pz%8+rZSlh@i7xGi{HCaqn)GqYiC zQ=F>gqZreFK2HX(tTP~F**JzsQkG7Q%RNpTjYJ6Naw*Yw^? VD6R}{{)0o}zV7S$0Pfe<{{xd9H-!KI diff --git a/CPLD/MAXII/db/RAM4GS.rtlv_sg.cdb b/CPLD/MAXII/db/RAM4GS.rtlv_sg.cdb deleted file mode 100755 index 30b67caf2197484c3ac989699f94bcf1d2b97828..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17681 zcmX6?2Uru$(-%>Y-UOs0h)9tty@Voyq9907TIjv^noyJ?y(=vuNEITz6OdjEAV>s+ z&_gc?C4rE9y#H_Sxt-a$+qr#qZ)Scw%W&hyjT$PF>)P-7(zvE34!(XaUY@*?(qa-~ zlDrxLF7EccQqoeq60+iwQsPn)lG40y9DD;^>>PMs+t|7BO7gyW!E5SZ%d2JY;(y(9 zo%ZInnj1Gh{HN`@)ZhAl%AocCG?-*Bg1l2eE1OU_^yN-`1=Y>3DGv+`*rXo1G8+6& zx+AiD^l)YP)~6eHM7{a}x)M%CMlV0Hs2f-#BCBhCEQ#MKzdm3dh$neX|G5QeYFvKd zzgQL4;T4P#`xgoWSw>vUn4$_4ncLgj53tu|CYEZKA-6A+oj+drmLjx#SzfIDVeOU z0}8-C?-PYFm1f}+$>BfswEk78>wPTG(VhV;1QV%-)9AxnAv`o;q|;UaN}tlE<75Wy zHIFrC0JQ_JYPj2;gFNC?@Yu9yL&xUG>SL|TiC6FRiRY>zPr%~ysgtWJTta8fN$p2w zOhS^V-`&LCDc=3`P&`|Ei{jucYd54Sw{Vi1s-xva9Gb^Y#cnQMycBMjBWibiXc0kg zmCSTGXx~_7h0{XwGp1??4Gvb9|x>Og#?W4ucfmHSWWB;xr}@vbhKRt1<5 zw1v&Ez+KsCP;K(H1$s$(+F8%Nm2{g;3c3HP&}8CU(SnVu>|YUDKyhE-$u~ zyz>pOMdjBbgwQurcweP7m+uBl@Y7!%c%g#kN61JO3n0QMBk1*o5`S9&K1HfLO*Mc# zq8@6kIby|1tS5YC4mRxPl8q=?yBCIG{M{BvKss@=zeyW)NiD8a+TcOKm8n^hf=}ut*cNH~|k(4PLF&*Exzt`tvliQwo ze@0#Pu&p6g6>r^dSs@(aEmI0gc;Lx-`we;(k(T7-JCO3?BGDTnoziT+aNh4%E+b)T z_+D5eEkB&Z5wg^?x5)9SE0nas$;+Tk_LG3R z)-w+uhwQAql)XG7tA@I)^#J(GAy=bw>!OLly1On3KI2hw0G=WIHxU!)ii+UWVypSN zcjY3u{V$t{X`#kO<*}X7uTA+cAIs)HJwXe|$5XjDtvxu?nz_v=zafe+=Q9+5m?)dY zE=CTy?L0v>HkFJ8dPz`m{MEZ62^ov3C^TypnpOC0ra&3@__;R8fnvUK`&aVuG4F~Z=beaLrwL*7m-oU(R4E@j9&v=Kj5*D&v|CNyVKn>m zT#-Q>>cF~VCRH{RISTA_J?Vipc?230UlKKc>aP^{;=tUp1tWG~Vh za<)&J5ob-mFnQs=g*Tt~Lkgcgh^DGxm-4OaP8CzKJNZ+6{vk;EuFJl|7~6x{_lBpB zZhT~uAzh7?-HwF=#0*pJ&WX;k^SxB5sw-k38ZLYFgHsXZ(Am zisMY+p<1Y3hTxUj_X)oaMx6_Fu1FgO+Qc6gRH_GyW%EOI`EF;(aFsg38D~LhHZp=jQq6hE5sk*|okFl*wP2GOh-;^}||*917RR!wu*Q=Megf$yYx~c8Z0vkUG)=nbKYdbeUa%8hQ*u&pY4#(qDLofqsOOKO`9{kit4R_iBW4WtQv#^(UF7xg)cXyCY;tb@nl_#Va?cADo9ridp z^V=#3@I|z7ppWj;{M2l<@>Rk|)tcbBXx~a-k3U;pGEF8_Q5`j7-0?|i1(EqZv3N^ylKf2< zKQ44}U}hx0CS1ScQ~T%h*2MIOChm|1C0t(nJz7)$VS$GbX^YCl%1q@0+nv%^0g~>z zJTWc)UjZV9f5c)5xw|Ib)yJZoPX^X}M0r&FwtwUH6j(&f+m5nUBbEUxWwg8Ab+wHS zj2yA@X|nsF*zwgvm2byY9(%2zgQUK5Mdu~ITye|apI9(-P@d;3_juMWdbBeJH7)I^ z3Szg%$p?9;cc0F^-ib}7$yE0A-5`9E|EpH}SK?6rvrI?q%D=~n%jxo0@S26cHQ7sF z))e0da*x|kKu+?k$Y_9ltPt%1JD1uwUv1u^}V^GbqZC zB09%6&-i(1Rg?axBH@M=jcHz~MM0OP&EyM6&|C9)Fc~qxMTK!Kv{jAnF-PN1Ilh1# zgzu1)td7WLU?WTQSlzAMYDQah(tCgML9zOeq-b>oko@ftv6l||BX)ydwF+iWqV9G{ zn5}mHTCIH({SPpaz051Nx%)e6RxN}blcqQOdU8JVfzO|b*W&Mo`#{|fb4W`SGy_J|Td{7V6Uaf5L!`u93O&Wi#nE40#s!!Sy^&8xLz5m?(wAjyL|6wB2-NxvV4#8Gy3a9ro`n%$f zwU2-6`9xW{nfBBD(>%NK^q+<=%DG*1Hc{n>TQ)czf2AFKGE?+O+A%2RXJwt|Y4L*u zGfLMx`@-#?N|hYGj0K;^I@El=^{{xP-Spw1fblLj_*=2X`P-`gfDZ8Ac&`0nX|;p# zC+IRKxqbycam62b5KBoLG5+EqHgx;&kKaMhbyhUb*-WsmgL)n_SiSU;2mU_@7_-HX z-~DV`s)L^-oYrq7nu)%uiC)#J6EV`)d#qn`^QYsji}l&F+35Hj?CcNW7US5vBY^>2 z2lpE@-|xF7{`B$Unve44{P%)I@++m+ptN46U3u5@D15 zh+D0BE7rIAfjNNV7lPPHTcc+1Axv`J38`6-1N^wF!Y41B-u)DAW))~_A!~dh7e#`1PsM!|mR*tOawQuj-iqW{H@u@8Ns$*ab)VuLbLYh9zs$q&hIAN&d{&=vQy z75fgd*qE9K-@5PavPbnm>D?q=L_|dzV#IacUGZn;y-C{}#3SD|zVw9QUu=wrJ{N^^ z6y2d*&gTctzUNB{${xck6l|3=rN-MQRgRTOGw{u;Ulp*&nZI@RbC-b0GqXEazjXG0 zE+La;e!!R3p)3DdON-1moNQT6X$y(D#L*zqDAuspeHbU9v;aApksvpYe;iyHV5 zvm@LjVNt)me~XjZ_Sx-FhTUw9{yHc(UZ3Hv0`@IHDvy552HkR)vxd0~uJ}jzs0n<< z>bujizeQtG{xCxAi=1+g_Ka*|CpLOVnJo#^5;0Lo_QLPf8uBSLYT#siEaeu~{-0%N zM;P03-jcJ?IP#!iRx7l_&75_6KgGwgw58)uK>E@_*3ij6U$?Hjufubx4nfK(wh?f` zH%3uaPkV|)@^$#r>0Q5m={;?dFry1>>T?Tc0jb6}x*vV{OhYb5Eh_Su>VBjA|2!3i z%8w`fO)zegFQ#w%N$%FJ*i2|SjWJqDu8YZ{)!^H;y8e=M3nMaFn?iP0O^ zO!}$J-2K!?x9n5IWwyk>?2K2+S)Y!pyQl z(eUObXxXBdg|mWitwNaZeQkTD6vppy+Gug~5bVtj zGn6);5vq!x)Jq93^xa-020mJd^5~n-6wsh(f5*7{n>BH};NSqPL=~^S-qPg}*RxTs z$x&|-;Muop-RHb)SWf@$>0?Djx<9qNaoHKM8Cq2_mO^o~AJ_#36x=sDyf%2wXiw7u zSP@3e?A7R)qfDriU_3!mxv)4v}FTu8};Z!!qYfOaDqSnZ4&o+vF?~3=`o|U+V2;W@4)5) zPC;TXih)*hi6K;;-2-gemnyv6R`ec}J~5NqL)7}W>fLts^fR7pkZM5dqfO34O6UA8 zzrRUm0Db+2WN+J1wi#@|@L$a&9O^`4UPWHLWmws2&Q}Nt0mzh?A3cX}%=)fTJ$_a$ zqpK)(rBXAP9Sp5vh26&tih}&#N>QM!HUYWgut&8J2 zN1Md-PU^ zd@1u=vwTr|D4UBhS+*u`khpM>EW6baPY~ znc3@gG`->KvNjD3TiRP=YIzMiZ945?Pg->#Z@SRap}|VKRnIOp@%$JC_i27y!=`9{ zk_W{suZb%&4We`0p18$(yT|)2eT2R+^BH`Q9`ySA9l(=c-SRXuG{*Vc4u(4p zB6>?p0*hj*TqapX8>q=0q1K{d#jNJlbq`jTfte#_rS*GL4p|HmvSn#Zx{NPaHeQAL zt;ns1(l-w&cvG4>e@<}Hp87;`$3nF7W8Y5~o$fbT{$H!Gmk<;~b_-)MNC`~^ZRCK$2o?mem+PivMJZ;ZC z;NT(aW=LADp&FI{67%B?&xXBUOKMa+k{PpIYEVy?U3hOovp!Tx#V z6mqVM?queCJQYmVtKA9IM@1t~sh8A660@it*y^$>+!os>{nbui@{V=J-!O)UB3L1} z^_0GL(<0s&{=tJ{LEuHM(kL7qvU>^#l^_5OQegzVkEG z;@RnK3$pGt(3^bT1tdWAOmRFyPu=XYYh_EAIDKjh7dq0|+y&Edq>~v0|9$#e2=A&i zu*NXCH(@PJ(f#V)TJZrkd~5ye%dPQpEb}>IK}XX?w(g#OrrgRLver>gKzTm=+vU3; z6E<@P0iMY#@x=zjvdxDQzY1U-oujqX(i_+bZH7lejNw`NXz#Q>7Yli*Cc z`zY~Pu)B zseuK{8W#RZ&;>HU9f=RR2|h8Pg**-wcE%%=B~;If*zv%pf~}Jn%(oCqqJ@6BG9X5I zS#n(ymcEWa|6sTaDtrFnKCHoMRJOEm&1;g7>-kT@o$2}f!t~m7R8{9m*P;C=mA!dN zKLX!|O#?WwX%Fejaa7Iwl|CfGWpDvk=;!7|vG~WonC5X+8%lF~BR>3^#<*`h&{6R# zBV!P4AzKw132f+69PTw8jN_Cw%0_9uC}Ns|@YUUq9Ac2qaHqd{oc`o!>9`_2!Z)aK%a;|c_P3ynJ<=*<4;q||cBg!~k%O)>cP!g^UP^9q?r|bs)Wf;e zM%;85Q>pYSRz4quF+W^B6OxpL62BkaZ|fDHqj*I-J|{*t>c>*_(dOA2G{kjO^Tsf2 z@fnR@6S#hb+FIDXY@O)k0I?bo4=hm@e~+JVhTO zozw%OrL&O2pI0D#uMD1spqyuf{C}cJ15iGIQ`HR*oR3~CgT4TW8AQT{P89f!8nNX8 zUH()H0i}GFeo6z4a7N3CuGL53ApO#3OUJw**h#+m@F=x83V&XaZXgyV2F;ag z5qu?US++-fF1PFI`SOlNxZ@Fosey3^t1rLISgwwoPY7W?m` z%00s+9=wM(_mI))+Oq#yGMMM$Uo0ze15<)G%SpCeT{pnvw`t@f;`Z`+1Br&GqSxkaKETCl9UvqGpD)57SDGnT zu|9+T$a%>|38p>+3r=Lb=!;Qh3-e=oJ1{~-V)v0a4PHpPweMX3Z6V-ql{HgAf*x(}9 zYAA?o=1VuoB_nyQZG=ob5jG0B7)kXam1^14*{@!WMwV>q()Ht4 zln+mgH#xjWppXV8zeI)gb|1)3R0DQRr5hs7MVDmo9!(^}gJ`?0N{ivwb+2DgxQZ+62hE5Nd$_cYtLI6@vXa}ZJ6Ot+)=1GXGrbo?0`8qSQ&byI-= zKLE$phC-kHFm$>KH`oYyx@LOJ^BBw=zOC&H5k`-()~y4oG{R~(pubhIp-z|6!uWt= z2QHZn*eyZ>y;Ba8dp31YNLiyV@!`*64nvBa!+B{@4LC;B21Vqw?(k=l<6gynUK(^&m8is z6MYiLVN9&>_`25KZB&^$Uu2AJQmH<23p>l_0cOS#v=*KYonnttmxJ6Bg8 zQ1yJ~oM#Q>zQ`=Ylj976CqdIXq>FPub*~`Z4Z7aIi9URf%LKLs4bGjtLgbd)xHoZc ze}Z(9J6VteXzS2Da)Y%PY@YT|-Cz&ds5JS76*3l>dzjcuJY~mU#t=DVu;(>B;AnBy zQJBacMhKSqe%4^92`@endI`b+w>Q#5%N=Yi#{BNC<6=+~$+=I6Og+R)_6yZIQUYMM z2g!&#@GeaW+o?;-YpalzSz0pAV5o z)LurC1Z14QzxIu6UvSPe&u~FeQi5U#{JdHal^*OTc-8Ex22Cd_lX2-&V86 zcz-3Lwnk<>9i+U8IQxzcmt2P)K(2lW?!?oakb7n%QLR1NCgxqkg#msJe_VInLjYRp z#%4MVJFGThdEVpn4>mIj$TB^7_O|1X2|;1WcO5J?Ki}|={ff*zpV|y5Vu5rUe(Qig z;=KU=FuTTsx06$4?e`p!1i#+b!p@*t@};R%@*`1+r4-_}D}iOt19x2O>aGhwKEe%# zTtiSyWW%@n`m{u4h&Yb;7q9ZA=Y#uuHPGMA9F;hHZ_<6=&eW-*{JtP;qRfL4q!4iWH?`8p&xiAk;oZ^>P(rMmS zxRBzi$60+|-PJS(b-wu#g(rT;_h#nrVN^EgylR)}+Cl29Q|g>Nb2%|$;wr%P1=Pck zC#w6TMA@e&J+H7AVIC?=M_31&8Rq>pRBSSzoR)wl+EfTCEl+KqY zI;^`lj7;Oc6}q6?1VbN;V%%hiYY@47Gy_Zkc7}TRO#hQR9-YXPMWh_J)H-u9)Epy{ zbxx=t7MQj()iG;MFK>b~*q&N;d)*@1rb684Ho7P_z$#e%bG$-$$l*)E<7G%L?tGEY zsesmPJ(^e$bvBAvd3|UL>b*~Z^iDW+e$oae0@I0_I}uOyfXPJVECd5LaGovs7gpf~ zp)yT-35LSnkE6-b293^UW|UXq^Y_*>Fsz1#YU5ryL01=(w7&xX?y;C|YzYSSq=NU) z>ln?`X-#?7?msCKq`KoQUqZ9msIJ`| zutjssGce1ZUnCgt;KBgdNh4}?N$yh9`mT5I8j7pC!9P0=+Wb+Wm^RoblFUC5o z&nxCwHn5gkVVPj}`zd%~24w!N0~Yzi7+LOYcnH^*mOrdW@Y5wj?aTOh{#x#=c?kF5jys(4G$e!zdBe$*4-~%z zf3t%=x0@t%-T{GRf9N1UI-H{yKGrVahmiO8$ame`^U*O}T;#jA!Hc^Oloz;GmdbSu z`vMbK|MH8R%&iO7+_93J1gsr)HFXLyIY_Uk&es=B>x+%C97)E~p*54#khBy0S!3jw zSSjF_M7#z)s2EU#f5~(-5_cR!vmR063O%54)Fj@#B#IOTnAmugANzQ>TR-?Iy{Y=( zUcym$i@5gFUp74`IkDXNkj}KI`#^@@*nz9o@IEdkTiMm4O2j2Eamm1tC+7XdT^s(NU^x7h5a?CfDbDXO}e5ES>9?bLm zm)1`S6ohvDlb=N!;eMx*-U1soA%!<1yuAGyqu3bSz)Oh!gf9&iTY^5_Spq9el8^`a z)}c-t8ihH*mWQ!Re9G`|#|e`o6PmUA<4GM7ro1W;m7;G4nddU{XUCTYFS?#(NSIvt zopg=>iyj|oj)(Unrp5b!WlJ~h-`9(7_@EQ2xcAwuCsl*-+0N5m7*E}TE1!Zx%;Z|F zUu47M3(mc^D0C3+Cj4$%&7ft#t`<9R!+2Cq8&Wlx`H}ogczxw8+*g0WN%cgT{WN{| znJ4<9xgO)drUbdgnNqpvS2tG4rv0Eto?6~18sgHZjGrZF46k|@MCAEUb}i9ysXKxjp zovn1<^1;$R9c#EHUykVcuXS_)eeWmb4gVh17ecYR$FkDNC~tB3?hm{mmzQ>drv_oT zT=0f84#U__@{9sy+@rwS7__3G*TTVblelo46nuNDeQ`M~C}+oZT$1KRM=?aRjVyq* zk#ypxU;C`?&JxuZNa%uB0eJ@zhnoCa6`^r`Ith@qfB80DwZjknV zG~ws25^z>plXJs~{h*8OiqKWXgY4f8Q=Pq{{R&9*_@|KdNocz7Pujst$>)2^cqYEN zz=W@hoWGziRKC$+y6INl+1F1&19!o~V>n3n{#LG)h*aREJ2`HLa7;sKdY-DUrGyDf zB3F$MbbNgg5hB*G|6pE+;5btZdX+4e>sI_GMGV>RM(C0NeR6J>xAK>rYO>IyY(J|` z&IY=|+V7q)Et`kyKZyqgkl;T)L*7*FZ=icN4;`uwjCr!xTZOwxq>Je4FmB3RAIdZ1 ze;N93IBcQV3!GSJCp<`c?r@~VZM*F&^n-)OQVhRzYIo1=h~t;%=xtcOyFa%o_Y`j- z6@%sQbLu70<6WOMZEr7b_Yu@yB=_-Q)h3PAhp>M!fo=t-!QwiR@!aK$ca(rPmKZqM z<8~kYpsRrJcq>ew;jIopoc+@nW_DmIhY;Nv*YQL`N}_xoaQ6dpu;DL^Bz5rf%@6_w zjz9;$=n}k2gN69U4N&U{gl8kt4w57r`_%sSHpS8ZISRqJ91)?kSO2mta4;cYZ1w%c z&$ep&ud(1q2F%A-@rz78$3LtdjlHj+sPnbg2|D|<9{30k!9cL^9;gCGir?sg1-E`x zSY~HFOfQB&nlBlP{>8XQS8N;L{DXLW%5p^mG1HC z>&%Sl%Z<=(uF zGr31p5mvJnOu_bGV#VGHobZ z5H*%QrMO{qIm5N95%)7pXL;Zn7H9$DlVOa&dPwWHj_{EQLJF2Y2mXm>Gvu3_H(wf& zRi3-CKGX0T;E`GQiH4C-0!|}H(PB_-&rjQwzzHW%-!zfcFEEtb7YISQe;=B}q@fS; zq_%P6hoPJYS9_)9PaVv6aD83rMPY!BQ{f!M&LtOQvpQY*G6oq5DX3G<5*Wla58({o zW5Tn&k~G7HtG20-?gnhS`#WpEsuiM68Cj~VnmpKRAIgX# zndH&Pak``(m_;2UxRbO;QEDRUQgF^tk_EKeS9xp+4PzscqB8!Z7$ ze}jPjQ)D7uQpzR_GEf)EzvqNcDk@V~JbT#BymoMhb6n z$i9$#wywBvy;cpPed0*dXD;Ib4g{YiK~TSwIC*x;IgEaBd*J*NAZbVT)E2-Ok+7ww zxFDj^%*eU4*6X$>XiW-LVDU5kMvQ8CYJ4xV5Kc&u8l4;CIAJvJKN${;0xsma@LSNW zopohUDP#iA%Qc4P4l=*hdiW3Xi2kmL;6B^$lU~v6HB;3fP7#F9pYx0yxm`?I+w;P{ z3!Wo#QosV?6Y#KSYt9z{BaX6dGrd<6E8Yd8N%x+>YFqMBTI;6`~VF#x`%myC-o_ z`W^rsIR3Ed#Bs@8b9DY16T6{AzacW9bYQraUOdE)_(YWyBbe3u~&-J;6UAs$#!En?O=~#uDC+n z!LLmuh1lkKIwp?|{}Mbt`|<}DC4fhLPV^O48bXzG z`(hF@U~?4`JtnfQI*$0vSFgD;(Q7Dci13=0{}yrfTCh7c=7>ba6?qay9s(H-Ejv%w zG}BBXk22o4^jxKnaa%hH6H$8~1PKZGlt~jM2SS!2ERA|sI2u z{Im%Ety8@Wxy`t{$vdgFuU*CXwyy^tGSRg_+rb+PlK#-wX46dtiuO=iN-$uN!r?o*wtqu&{dV< z1}@b39;NBmy%-Jd&~PcHlk%0TsqDXFLXGH;xi{L0T~;gFYlb6hoLXBEm~~dKnf3FCus!+Wml$ZhdtqFkQA91$URBYxpJ-*b1PC{uM8H>3T78cnN35 zodSCk%0;ILaVdzfpv2R4zAS+xiktenDq%wI3rw^y!*aCkSKh68Bb8UZQ^UHTr$v<7 zhe1KyTj$o`F%fcquqiU*Pt z_8&nF_N3o|d32`!U8y1n!{;QI`D_YdWHc{z-lZ6oDuw5a3Gxz)e@s*eldH`iep0;^ zqBlA@HrI}FSRL>OvGyoH6UWZom0DR&s&Mt;fF%AwaiHc+)}*KZ+GSL2|52O=8E&u3Vr^@ zK}C5w6X3~%?Sh3%zrO9{wd@}Kr(YUPD^ITM>HEaHnQXrW5f<)#=|cTFL9og&n1-=JFx{^qSvC zG4g+n$Uo@0i(?9fIjfB=xOk`lEHe}RHmU?{M%c<>Q_pMd?6DggD4%{V>eUN0B@`66 z6jbh<^IV^ZJ{kt4J@)1YrF*p~utImIPFuAJg%aI=PA}N?Q6Oq|C;rq1a`cou`O1aa zzr+Q$7VZIptSMuhA0<1=+5-GI3ezTwc4uAjhBY4wdaD-p&h_sOoI9HpX-4&3+}jPP z8CnSnn^$f8b}QNMj)Xj_69Xz^+Rtbw4wV?sASAUfiRz(i)Ll@S#!J)WMr}Y&q*vsZM@=g&ID^9ak_9Cz24f zK!@RvCp0SntSg^4FI&s^HNg)DS6a(`vw}at-d9_2tPu;|CIbb zBVjA5ns0G~>Pa6mzk>~?9ual1Nd9FGv@fcx3Gv!KMgM_m<13RE3AZ{dlRL}5j_)kg zOyubQlgfD(jwG2+UZR32&wgcjh{`Jm+%=T_opCDFB4c+<&5 zH!d<`sE2guqReurN9NQng0vQqDHVF<*mF9sSc32(w}94MH{rW7(am=B3z{l`l7+s+ zFB39b9W1sMa`B;swHLBAh=EDBw1tpbFWpmM0hq(SYu5fG^eS?9ARxIC9oiSU+az3q z;JDT`Efw13(sQaPQ-a7Rw-B$j)B6K$p>Dn2?1gp(^qiU%P3?MIkDxxu%8SRlOP(&j z3TPn+7+y;@bvOw{UF&&Lg6JT#fSNj@x);VVIFc+&ak)B$;Eto{9 zP)o(-(Ci@ttq>nhncHjmKdVSyp(}ED%<_6%dcP@sAEg zt1QkFqnR3pQ;O}dE$pLKhEcC}(!kc1^Q;No1+$4rwk4!payeNl02Y@wap{rWim z;N)w!cz-eWfh%kprR3WsCxY9KL#=Wk+$7JK9Sd;#4GQv;erVP+BNv7Me19v=#!s16 zrMY?`EXrYz7;tpFC~^MS;FC!aaiD9FUvaG91f}Jl!@BKxm%ZSZ+z7b3eNpmyXQSTD8K#0j zt|`|o4K4F85$8ZAy$U1*aLk1HT2e)4^>QZ<&KDLs9Dl`ljmBC|KV14u5kiTBsI{f2 zt#aAqGa4*QFgTun$<)T^&zr{+ZarfP$Ci=h`xv81P{Nw`zI!QK zf8b^CmT^*Ubj!lUShLV;i~b+s@6K%%5fRKM*4vM+S|22CX{2D>k~IMeH{zD02l(ey z)JdyS(}6818{QDa?MsHe8)rp_Qzw@YO0=9$H?q;6(5%hYmwt~1d zx@HLqh_xS_{#_-WH1e! zpZnG7Uwqg+UkIuX7Zx6qMmb?77WWrzb4-YEaZAeJF1(achA!HgGZt6`5d<3lP|d3+*m@afy$ihWqJ;-cES=uE?kD zNx|ClkrGr))o`Cv?%k9kFUsF)gMQ&{Z|FTBxnKXy$terhV@@)x_&|NuzklakrVj(x zIBypmKJY#pUJjpK`$2Fi*pKQ9O0H85bM#Ij7r#ma75kX1P4?{Py+ND@s z8X?4c@|>tN;M({N_?ap&xi4bHk%YgQV+bO|2@fmxc9ve10D8!sT_S8j?nSVn%R954 zkfg1~g{7XAJjNjwLjK|EQjWaNRN$rlXu|Oysibd>-S-9f%#f^MfRzXjx!!m07~)`y z>eQ-AE}T1RiZMB;XTu|Hald?kW0;rA-l=wIH%>H$jel??nfR`JWbAy0J&wSOH7nwz zg=k|BKD-UJibgP=1n2uvXds&=|8}yR09LN#!E_p9{MVao&d-qhmTLv%1z)?^Q68JN zVrZ!gH{BuF*11pgU@V4Ps36g8_50Xz{Ea$gr~%eYT-jf6qwV$o1!V-9`|$_qL6hf1 z;%l69GB|H8;RGbZ^b)imo|?gVOHG`W9_KvN$=m#T59Dt29D`oh&2jSKc)nYPdQdD6 zDe4eq#TZ+HkqFQF`&0Huq{%Zm;|Rw75C7732)CQ~!yOZ1i0JQ6uTW|9{Mgf=*9_R; z60|3SGC!vEy2IO(dTYI=L9ao6|9*Q$ucr^VUbkiR;=0B`F0WfNdhO`-S~fuM4R!sJ zeXw2qD%z!YL9bIWRyx48nY?R*@n?Ig+8%x#Z833FS{(Gx)$skopo_^@1-xX-R-aX)YX*+7Y-ZS8OaUDMV!UnC^dk0)E zc?P0lTCYocte3M~_-{hYMta|F|rKrcRQc*dF`(7(MbC*v{#WfC<}0{qqW z+;kIU4=8lXS<*{+X0DQg(GmIvKKEkvI|OxsLbM|Tu8a*ja-S~Z$e1We-n!~%a+KPXHxQ}(Ty za#j_cv$p7`t1zyuOeu(lpnrj)l`g{8yZZJWzqT6`26GGOMc{g(o5o-;e*B6+(BL+Z zD!AU(pSb}3}M@_nFEWHe6RlcR(u5q{s#a6|Nnx}qn-c& diff --git a/CPLD/MAXII/db/RAM4GS.rtlv_sg_swap.cdb b/CPLD/MAXII/db/RAM4GS.rtlv_sg_swap.cdb deleted file mode 100755 index e318de4f43e30efb5d1bb9153b68e730cf247ef1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 802 zcmV+-1Ks=)000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Efw00000002V*00000 z003zL00000001Hb00000004La)Kkk&!!Qs`d9}Q*d;#LZ367k4;>M8+mndygsA!YQ zNe`U(A^r`C_uI_Gc5tG&6x2$v($w|rdUtm1NGaul=XqPe2mW6*)VhSdu_gj;;Clx) zK_VnKYzs3S9n5F%d5&1qJaZEd`ZQzh_*d}626*OL(YOOgjn(*Pa0In20;@m^xCO_$ zG&}zj_K(0iVyMIJi*fhxz3DUdxoTb0mzjy_i*;$$_}})$xv?+yL~w4bUCqy)?7nz! zws9`(>pQRyqt&d;vkRO6?DzQa=m7BhahiDzDk1xV>^}vLm8^*!Uz<(NLOC_=15_Oh zvT<+d$4MOddmWK?QsMk=G91AlXG+K{+v9@{M0aq`)Ynp8suK8Bp0FR2f#NpT+&Gp% zq-VWcPsz0mS7)4Fs6fxdx1cgITWIQ(jwp|-PAI};toycPP(oNQf%zg4q!BfgD)BKT zX_q{$@G%+qC=N6zFj0J$??t+wGAQ65bMraHUhbm+R3bQkS6!vgy+XE>E5gm<>`5 zaW+s#P$WnJgBlQXF!%t;jn_QDK1Ox8pTCPMBP)XkP{EGb7hZ4xX^`U)hWdxN2KfR- g7#KK#n1w+BNcKBe?iT{ms46|-DnU*J00030{|a(i)&Kwi diff --git a/CPLD/MAXII/db/RAM4GS.sgdiff.cdb b/CPLD/MAXII/db/RAM4GS.sgdiff.cdb deleted file mode 100755 index 2d31b44062d08a29451c53ff23f0a58df98dfef8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15068 zcmeIZ^;gti@IOunNVf}A}k14G>CMk)KZetu?s7? z#IpI}^?rZS68s;P-@-hMZa`VBR4^!0P`^5l__ z5_>Er!J{7F;_kpBDJ9ACSXNv@Qe5(}gcQ#!N8dmfdq@n|}@ z_}{c#OD4JLhKMLv?tci89r^##zl#4$gNgrHQ*U@`G!Z7(f466h7H%7anG-D zul>zn5qpreV}uu=%=>G#Ij?CG5^Jfu#>bb=l4+XoqM7gI*}r??S677vGGT|;PGABq zf^htAdu&_*Q@G?dUuEt#Q6-bE5=K}#3=C7R{1ZAcT+8Qaz_Y_zuEn62zbS#m`Sbm< zaB{((WMPtYkXtIX;0=qcbM+qDH?p_x3SyZ{%p)i@a^9GnoHYi9v7jZ4^G@W*k~G^l zjB^3v;Uah&EzU0Kp$opV-8SO0u1E|_<)1)H-g$sfM76GM*^FuBxv@}0g|2PsOkSll zf>qTYdvBv-(XZWFgkSouUV1l;OKGp!iL}0hgF;`3__hAngU4Kr^ga68%}>V9e3NLj zdDBrTOKUGbTt#c-m$M^nkUWk*>;#3ceZ?ghRhBa!iPwh&Dp8-&-t#}K5a06 znT_UuJOoYip^O;%tUZ(Yb0C&cTkN~#K~AtNobz3oJAbKQ$WDSwAK8%EMoJuBvSfO~ zBra{^tKE108u2bR<)q&fwPfL#BszbQmH@j=(Kt>dpCZHG?il(n?9??=dV?>Y2j_+j z-7bSY`ObV|Nb(A-LB8$Gz%Ti(fBvvV{jMOZ&&H2vG8bEA@||e4TKD(9->N?;SzE@< zG*NYtpLe;9lTjlQ15V?o4x&pNTb~-|tpNL&yP0~7$qe74{SnrsI#(R@i6<7#+BrX5 zcUZb#|Efv(I!LB)HGRXUoUSpbB#cg#>MBUs=!tjhl>B&;vhY#cbfIEnjj`C8+||rD z)k@5@ztDe+xg+gkG$Qyxl*Qc6yIZWeAl=)|6J~Hfn-elj8p4Z=Z|*$2CC+(B`#4- zZhwESbJ$N>a7N8oRm+iu`tc9$ee;{3v#LS8WNR2#I&Ez6tJk?^fTn*TQEmFE$8p7Q z=f3+4t#^1B-ZyE!i@JGaPZqFfH(}6h?a>`^>1xpDLFZ*g`%_z@z5pH13Z$l^?Vtq_ zDlStV_ZozR2)RC(o-cgRd)G!NXD7mVKQd1bYFB-j^6+D}!7izGqGHS)OOs!M3Yj*u zdCk+e0PYk|EMUB=UR+$vftq-O8>ltCtS>H7Sbdjkv5Czm~iz zm$&}LasIumi0oo9*~(Q7qx_SWx#?<7SjVl&`$;pebZ_kZQ+IygI_N=NkG12(FVE4q zjG)B2u!-42Spfkiv`2Fgb=1=i!H1Hwq;A#oX5*_W@AlTR-{?N+lnh!ZDX`R#e>Tu5 zc~z+Nh}eDF0t>YuzC5QH+_eozD7y3i9gKCK)-SHq*VMyV8D$ zr!@O3HU-pSvoWso0skxaWS%IJ=Mf0E8p!Cvz3wOFeCjJkP<%bUVba({E^FF@FOD;E zZ{t`*b3s~yo7I5n7m+G)#_2O&T=bM&GV>u>)n3o+J_U#f_-|3NZG>h$`3c%h+}FuX z;kA3#20|q6!xc?H+LaesKjN?>4qAo|`CV41O@pdzPcn@-k)H_L(U-=oR<~N{byMqa zuTqgs`tsJIx>%z6RXi@`DRGxw5wVd6j&yULohfSzJ5)T6w%Y6q+?(B$MyI}ypzj&d z4H-4Cna1^VaBK82Z--p@iu^G#cotpe9SAd*iTA#;z$OjMRa6BOfT(C06Wt}ebe5my zRy4j@+}umGF|T)M&ZR%qD^2C~XDEvJ9_`ATl_09D<(&8Vb#^&>^0IWwe*K5`73!jF zaZM`XF>97EgAeUHBvAanXB5U=Z(VvBPn zuK?>F)8!^5?_BPu0IsQ9r^!<-waQs#f0oNPYZ&eZ_dx*OL#uA4D9<_8cfK=9+xf<> z{Oi+*J}p9zz4Wgyv7Vx1LzJ5)E#FNwt0MyudT;e|s|KJy+gNFu>UkJ3cE)UcgUgbh z47pX-8Ao+BzUt|3`2J=X#(Iu!j*;B?z7X9d)v_G=JyRe8^TS8ek_uRL_~ITKQrkN* zG+2JtkM(s>Q-T}^7~Bzdbvn+Bcj+L7J6vok~a zI{abI4^NDZ0=dTAKsb7i+a!SE9cU{Mu}7(UarrXisYdX>q~7rkUBfPtfV_OEt(x@; zeV0e?56sFba>44OFPVXW3=(;wur?+=nd;`)9KG|(NS*WtKaw>IaP#$VlU%QzWJkUQ z3AfRvItcumMmniVL%1#4S;d;9y$;eUqipBzwnur+`v*^lchZiOYCZfc3s=m7_C-7R z>6jf_R^}Y{5-(lmz5n(8&%A|f^toHmtM`hE*kO|VeYbYRd}e={z?lpe@+jJKqTm6w zRa|nG4&3y|Hg)6s^SLEbOm$gI-Q!gGNzQpied!LLYDV$vbCuosvF;d6fpK|&>1u0B z4)d08?RI^jI;!UDV0TUtbMM5U0&nx1vsme{rr0_z9-;v+uBl(PX8A(PS;~(Zekx*| zy(Qx^ReD&XsaCZVMklV$MA}T%)hMSt1Gx|0^d|Ib5TzJpw3Ex$ZSbMkV~Z-admd<+ zP5(7ocMQrrDz`r?!~7GqkO2=F*fvtU{8MTflkz4uBkGW3Ycnvp1?BzwTkX6^yi5my;D#ovo9XhdBgwb-nsV-@kHE zQU0wDjj5{F-hb%)ogbTnP~7GE;o#sr>?}hy-lEdZDzf>(^N9@GYwIenU8~4nl<`#2 zxXFnik^H0(m+FKhhgBaY!>ae*!VjHd^6y%IReGbg_J#Xt^U)>BddgmpF-Y*5DP87H z0_lD6Vxk%bS74^dzA>cM>Cb6#OP$oCUmClBs~k*NXdwOGNGR3x#CqN6cRq@3h&|nk z$M5?sF=(`2LpLd`|Q@Lb!6{Qeo_ z@pqetuA58!AM<7RuaRa=@z$L49MH3aOU4hhyj7~o<>Gt^Iq~}kt#>(jlykNwG{9Wr ziAQV#Ii+Qpz|^;&e=}Nh`SJpN&srAV+69;uT@o21El0!Rt0{mTy?xCNYO7Sb3YOk_ z=Uq0TK98_OT0X1Eh|5y%_fa`5w*j$@LX5N`Z37ex7j`=p5&hs6AE}IgUlvZDG0BcB zWtIjC-~A?a+67twH%{y?5@9B3-t96)#e*aZd=D0ZJhD|CtpPOO=7*M%Nti ze!3W$>k@is+o05UuJRGzy`3__(-i-C`2Zw+X!UVcU(k4SmyAsUb(FJDXD`;rGMx#dZ@N z{TMsNk;(SA5&hz@qV-r<1J6slrj>^8KMgv09>WfOw~Tj$WN0I2n6ktrlmMMB;l%EX zt^G-+f*DsfCDmqyF*AX`>Asy-9aecr82D4TWsx4-_bZ(}*bM>3c%V?^n1hGGPbbaP z0E3O)ZaemSJn0%+-nxc*A;`c|d9e?|avC{iY z3kQV~R9lGSI7y6CfT)3E3dbLx0TaXlw;AqZO}Uo|Z1DUoL*w^4@V#d}E3n7HGjHYv zA}TDaFpQQMG12;miRZ|v$YN}oBUuF(2VRuipw@DMDtJdsb;+cXNAO(5(CRMcK@=HO zO^)k8x!UlHgr4}j3E~kR#lHI!);X%=tOr^LU&Ko8j7R7KEy#^LRo#B)r&S2En$yAT zrKMI~=Pll?t{<-iDD~CNxlSC&Iw=Iy4)Wwtv$^Np=LwF2Kemzk?#UX_5RD0ww=X;{Sk9X2=Ubk>^9a8VUKKeXY5eVp;03w$ zslzS@dO-bcfm=&q=WxAfdjraV3f>5%m-l#Tz||_~4H}F%Rw;c0XI=#lX}3_6xgN6bl7TH z8(zNpnw?nHgsSOOfC#Kkj}qNTKaT`Ucn5vjSg!nusE(b&+R|Y~mGOyv)6Y`D?D%t* zGa6(id@Li<*U8+ji~lTn|^cJhLm0BLL*hkT-$7oarYB88G~i5nJ& zV}_`o3znT4Ms~oirU0r-6!`m4a1s9GKFW4+o4VUa#Q)K;zm;EFRClw$6D+6CwW`r~ z!)eab+JQLJyhZIlu=BBd9$%JCVI41~yNFf-1tL;yb0<;8AAL@KtG3su1`zh2FYWey zasQ?V2xebL@UV7HpT{&M(gtACFM)!%!N&z`e=5Y=9Rf~w55Kgb3PZ^eTcptVHk95Y zf&y*Vb#1LYLBD-%v$;6`eXQyet*FEJs!?ilDw95e=uv9amLbt&VmNb!@%Mxz;BfV6 z3B!f1?H{gpBHOP@9P$0Bhw(f!s&3cM8DjxkND_|P(Tvk}gm%}3btyDWVLfrdpA4j} zG}4iY0(_sg`6`J1nE$gZULrz8Qh7X5E(S>J77U$TL@E!wR&am)_ak4D^OF*!dftVk zw;rmk>bb^qN-d_4Aq16Z{YL0ds{(kPSv?ZA5PsRK*Iv?kGSIYLr;ZFnl5#&*zD zo*|l0@n(|tqhCISUTzkF*{B;Zb zlRUzFI1|F`Iz@>441Ph2b`!>J+Xwuk?zr!N4pCykMYvAc4!`0fz;3>8|J-d!i$(~c z*K5@XvYOx(j`LjwiECKgLGUy96m&>+c$>;*H}p^)O3$EoZltxbGhenN`6FfxgMzd5AB5 z-_=9<7Lu`G7N^>ywfWlEe!o#aw)TBn`6s;xV$VfCR$2iZuN@fVPvu^ro;eUnbrpJ< z?mGh@Y7u=w?0?UgFo$(lMfpij!V6D;#<+yzqA-^2u|;3^ygBU7y0Ro+vOse=P4)nfh`uTg1E^zGDdq-RbzG^D{o@Gf# zb1y_BE?*=RM`T48s*-Ffs-5YUNPJlVIr+t(f#=U(Iog3WbHzqb&UulZ*1kYKGwd!) zJB;WYxYG1^ifsi@9cef}TXv^G(}#gIL)6M*x_J0yk&5f?xA}2wD@EsoQGK?Q`*)cE z&_+eLuu9HJfO*k8<}f=Kq|Bu`nVS^>a=1Ibt3@pH(Z={A%2|Dl9|uyp$fojDPe#q= zf`0tdwCTH#e^tkp#$JxtH$6x|4P-xQ9dfljH;%!vjJof~Xi-FzCM<3|HJYL?6#ml% z7N^SRQ)szdrM}1#+a0uu4min5BllKh0Mo(3^f~&~OwS4iz}X*x{=)srTieQ(Q-)mO z|8m(kPC2A9K((mjZ@l90;)B5`j(Rc$T=@qz2&j+US?f6EKOCX+L)myFE4w7c%wu<75>_cL^KkHvr@x2TtpE z8266KK)N>nqg;+!Q_*h)eEWOIM!Sw9V;l4Cn4osxb*{ut?uF8+E&bWS#n|hVAjk`> z8~%RvAsd+3&i}3Wuw*pD;F+e+C4_n|7@dd^_{;I)c}D7yK^FufgAhyjy1I>0bVSRvfA|nH`@=@1RphQ@pLhv>bbZtY@boUxV)?0ZGElhnmIr zgnpM?+0$zglz(rVF6CXC=0)O}iy`-Yh;})rcPM^&IU(_H2Uy`7fM8h$i1T-+D-`!% zMi(r559BKamMsd{JzU$c9utwe<1C!g-6C_#c5FYruUJ1s=%Tf{^<@^ME5bh@wsD{8rHFgP~eE3`B18RJt=Xj?d@SS zRQqG-5+eMm>I2~iUXV2tRJ%QRDKnHT*hMna%@o0WsjQpf+@Z0?`5K&m;2<+l>$+|z z!5nq&(MJfz(xI^q#o7`gjnQ7>a9J1e_ArO1UvwthuIql~0l(vqFZRo#X9ZQCnNL$_ z`MACRJ9*984H^jF5u-@GLEK^PHpN~2fc$ZVYd=5927KCo zaNHq8dg9K5*BLsVdR2>FGTKKLPvTu7Qfr>7ZfN6b*Ot0oMm+K@Ix_{6;|Tta7Vnhe z>CmAOhcEtm72*DbNtk^4x9=B0;dF!ikAbT3VH$T~rr&XYI1BcpGjG|K&^r*qsYWum zFMgXP)L&+YaAQ_fMk-zWiXmB7Ur2tlJj>%4Kt@9zg*3;G2X{FlPPds1Suxo`O+F*-Dm#P7 z4Wl!eZ!59PH9sNvG4DzDB3tz@*Z-Mt1 zrVIND5zsqN&}sA#wFPze?Mc{1gH(?qzGZg27GY&#ThHMdT;Hlgk))4}z`~M%(Qdr8 z;PeF}{WU#4eD%o$=s9yX?bVqL(`nL}ik(v+1Kk6wXq4CR1zor5`vxV?q;6$YCXRU| zizc-DD!d8wmhg;q3R-AJ;e9h5Jt~7VQ!&|R0Fc-FvL!guT8QE$K1>Gk{eTd>_n=oN zTH>00AUKHm#)<)r$usmvDvJ-cY_|l?*~{X>w$^?u3p+fe#C06=j{={*+ceppE@o}{O^Mjs8LKVDKopu}}VXt^o2(iQ&d79X%J zLU{4R@%<%yPa`=9YW=TM!)cmyzxy^WS+x?rUWQ(|0R*H0%n+#IGoG$a7--Bja=7b+ z4pl;#7Zu#zNnp$B5Q=%7e>y8P1A_w7@quw(;$F6&yIuslK$s%XQhB_<=Wra<{z=#m z#H?L9*P$?|_&j8d{5<#>7`_2h`vaaQJ*-qAa3$W4=Bd4vp^72Y6hj#ER!{YS&?ngA z=*uJflUf)(Y;I%SDCqnu@Mmhz%X$$0W?@>R`%bs6O;k3xs?`p2@J$`lZ-dKSsM!4) zQ%8~VdVztd?HYQ5*XipzbIM0 zin`%Pw=;r3Bbf8Zm8;$xR(Cri4-Y`6+iF z)yk;RZmrWLdiVB&+I7n&Y!6rhHL`kg^~tx8;YyMQOueKR z*BMuTGEBReIFPf|bbE{hHW}3PvEQb7q?(Zp({fe@O6(87DMl>s5_R^;=;Z!9Vp#L9 zIcdK#P@JrA{|P?AR>~zsG6&T;Sqn1J0D>T+2ieC?DjSnIiKYH<=Pc)BYzOlhesVjn zRrILjxn2xPn1rz6h$hO50db>`schjn^~q4$EPR(P!5H#+w^Y0R< zpX)7jfBn4n*GN1y2+J4o(?FYGB&~i;ls=c zFO%gq)M~$_H!gi0#%lE;Pw!0%*-)@apRL*a#bG}EreJ^3!v^lq*?whurS_7w6OTBf zeM2VZ;+g$!l6!u)`F(ZuTj9>THd|n_U}Ghd-v}r)iORid1$SGH@GlZU!eI+snLZK1 zdY)9PMMnAawTbb&YNt;sE3hqY{dI^&;O~f$u^T@6!lZTHA5D~}ctu~6h*zoMC z1E)lx-!wkV!#26Sc$Gi*&da@q(ECyMCem*^e_(A=;DRedWF7BHZ%1c7Wm$-ZBI_#i zLRGufYrrv9sO)=XD}6TcMm5wzJIm~nhslUpctSs)X_&>d4Sxp0tEELi6mjRA7#Z=v z3;rPT^cp9X*quP_z3*KCB57f%zieS1{X~JydktK`xyQdCPKy_}`MU^n&CVc5??E@r z|C?_*^u(LBm}KL#?TB9Ob@wK>3*$bz5p{DT&tz87){+wm%7)G*5fX-VQT}vi-XuS9 zo@9#Yn-=8PWJ->$HeQ#)6FRN3uYDC<4r{L^94u(pW%2su5QC_0X8(R4H3W?MecC+q zLrFi?id^mR!tO^slSII?d)D7n@v4bw{;LCeIk-oS$1$E}f zg)^Wa&)~4+|9aTdr~#Y`=*sDT1gO`@X#g?W=(k`c`BI36&^a=bcLAD^Yo)8b_i{vr z1b2^N-MMjkTJ$!=4a?tmHX?(udy`_T^tO`Iqim z5TAJ$;cd>R-|j;!YSxWMnrIx*67HT{JM?8%>82x1EIW4HPGEpmE8dECfiEM$*|4Qn zLBuyHEJmu)`MtN8(_A&%U}w|6;L~iPptVF)FbvEBE7gJ}4cVrNi+^5MO*fl`g)ioc z;Xay*+E6-OsXTNF7jm>hPj4#?vG7~WXI&az}E5JO$wSVx$>mwZ#w!5%r^Zvm~Ha5=xQ2$%usPYESwrTn=nX#2gd5hD=yw@ zyV)YWe{@Z|x>QGByP}Cu>sRP51>K2JmF_s)jJ%LAq^7kefO=S_wmQ7->yswSzFiHt z47j?LdOc+WAuqC1+%Kjmdaq0&AjA2OJ&WZNRD-%@J6rO|ZU2`=A*KW16%js43&0kt4-Dq7&G2{oS4 z7P+RWrsdy{f*exLuD_=Gtl0I$z-iE#PS1yaO|_VLZzyv3r%K;6J-ftR3F`dW43yvp;dq$H|0o~-BP6OMNwZ%;*o+QIW56pu-$3g{uz*<+L z=&o4JRduKrbEg)DMN>`O9^5#0uemXQ^OYFlWe!5pN<1$@K)7@p0bvN3Oh1VbEi_{&oCdK+ZC~L+8%!J2y)*$(MU&6^bzr$LTY) zEfS*A=2uvHOrOC$KsV4gfR_DSTO(F{g`Qwd=S6C$8-O*N)#g9%bbxeA< zLM-q31_IXoG7Tl)u8g)55cPX2Fg_!IUvq?L`#Mqd#Ce8&)XT&hMa~W%rvCgjY|N6b zhUwYF?hspf8*BA1Jv97mfI5u5i)YygCtt!~8%0GdyW4Ib2tYa~Ee9fAuC4YAuY13R z*4{sE_J(>%G^kGy1S6S;j^VEIlY!}L#ETyvm2LWkhR^?L@2L7szG8?!y1vKw?W<_B zG4f|lhZXeTau>AzeeI46fk2EZ%}74ZaFO5LYWJ_Q>|Z*VH zcteyTn93jPvHywakdCClK9V0zT+C=S1ZV!Q6zt}ny!JlgQQi;PkIF#koglrU-1{W~ z86hkyhks+v$lEnmx&}otLy+oQwSY}8+PhFA22&`Z znN0kp4dR+(ql-NRLRR#4x^eC6>QD8WN?x`r$Uc37rr0WYl48B^&3MQNMshvy{EyRv zp6gDN)h@~5ogfQ;Obr=V2bnaSEkTpsLTqwam9l_4?w*C1`EduJfi`{JuX;pwr`bv@ z$_f~hKI{9|Ksr?9EKmbOmu%IxZbP#sxa%-7v`DeyRS>w&7)@?f=Y6oPy8>P9NW2nI zr$57K%SaYNL(nxX{o2b%{U;rWv>!Gz?7bDRftTXL4iGxV(`&l9XB!VLD_4jnk*37# zlv{qFFvai}|C*c0RH6KXC;~M$n+df-41xLCg%?+<%0_jooP^j6ZpJX*I@7=b7*AHj zI3G`Dhk!JQqQQ)3bW|wbg@3oh33Ne3S*lRCqrx88lD_IX{fG_&1bMy6j8Z-xbzY$B z-XM@{9214dn4wv{X`ndYD!#5u`t_f|v1i!w5tQcQ90PNz%jJ3qnJ=W$k_U@r{&A1#1beIxuvb`?w1 zYnVH&Y_*j|4j|9?!#vH+~nx)+^feugp&1G_oa=MY($&0wEWFO zCH;971yw{f)7F}zH5lEFIf?O7)Z%akuv?EfMTbiD3FL2{D%DA@_dYJFF!Fdk_bWK_ z&DHvjR{w>vT0fKor>&B1j3HfIxRJJ-5aC7hkBtw#;1{54D}!%K;k0M&ak!rE-@w6p z3D0RW`mBwAwd4qK})ZuYJbG7J5L7J`etzlKHB(x}!ANb4 zUrm5cLT}S&gAe|-n{H*;R5F-I8bKlY9A$x0p2(h%(?Qu?9RrAOBxW$alawn(C*SJ)*Ux+pWhsIr5nTJbrphoB;mrwCwc$_-u>KUd$G_yH5j*LTpF@V8CUE`TH zHaDJ`aNN^LdEWfQV%NM|+ZwAg%~#Yk8vJsA!PN7$yQf-6noD?>koQb0hxhgK${xnT z^zCKg5iLG3$gI#5BILW25CV6mk9F_tw_KE#33?AWZBi-9m0~}RY&XjF z3KR``A0~FyeUHwjL&~#R0V32-$R@9QM(@yx+}iTxZs6V0pe~45z>rHhX(O9iGF%qmDl?CnKfL~9F&g3S-<8coUz6XnmtY`6l^8YYw z{I8p`prK3T%UA>KxgiBG><3<7k@NKl^KX9Ed_<4HUhm~~{H0gAuphPPq3)O%p#Qi!-a1L30Y?$6iK{V;b&_(J?GbX=MYyv0V$`9l1 z51EQ9wpF2TIcI&h&ibOQlzYf8%@eGtci;%#M!$y!2lhYkK0_H=<)I~|VgSqhj&@Tp zv;zn@I4|nzjifpYD-cb{p$@Ei1K4U;v4AQE{p8%p|7Hkn247VG76g&3TaVR$|4LguDDbk#_mQS-1F~Ix%ldnI!pk>o|Ui05*9EA&ex$Kp06;-uioA4{yngO z{w-*e6-8L}D#i?UeKv}|{y0NExR)N5@N+gy zMDs1Yj&53P1+l6l$ z0C@b6YyP(ax@fBC5(ricvx-*U$idRDoT}~=xs6FIkZ;>_{wV?<(OHz2jUGt%#92{k z3-DtzjH8>svWB9RgJI1e@U4(iVaoX9g{fNK)C3F7=?9A2>|o%R<$%jwtEM^JXv@4d zkZ=pd zy%v*LVCWOYXo@NeZx6Nv^%{OA-w7h|@Gm~(HK(IZrUKoJkeE!ppFM%=)V@C9LHKI8 zz&Pff!+YEsg1835xuAc(G!|ugSli;Iq0nk`_YSz?Mo3xtxmKLx_uw_r!hC-ycRcdR z=*7H_7@Fef0ZpaVd0dX$(G8aXp{uw08&qKxepGtsrg+45*RHh%rrip<`+E?#sTpr3 zhISsk=+qIbQTV`Z*D4PwwQ|<>Jq_O(ZK3P4ay7$tj7#0@`fEI7PdB!*U|VGWIF_=p zduoxJ=MXEtan{EFq?bPA}j)6@C@A5A1-E;d*7|EoH-Hl~8 z*+{!INbnEgaMn5IZMGA2`g1+9n~)!dCF>Z6?ZK7uRziZ+oI~bX**Bu1^%hkul&ho@ zK69(kml7bH3*3*&V4ZR?Uc~|Jbmv1-Ky1STHH_@NKoNOMhTbOJAr{cU*D_1Gk_TIo zmmOZ`cjVR^Q%=4~aBP@nsec5}dU{}(#CG$wf~|XhbHcJMx3?6v5ZNJrnPFl5cHMo1 zf)S;qzG{878XOUDwa;joO!&AsvVG+jg^~(Oo8ZY3gc3YZGeH%eW;+%aU>;>?Wg~%; z>A~0};m3cetTyK!LDgd-EUsWHC2VLbybApE(z#36&!Ip7+Wf5|LyyPk-e$tz+ehbG z1)e8u3RR!M+?6O^RoF_DYvygtH?on7!_FuI$LQfpG3S*XuSmZ&VMiT^vr5|FAZ+X= zp}JYld7sY9)eogel@mCD(4*qk7QroAu#h{eUgiS(Ytf+I{3z^6gWN8lzf%L`kLEl(wCKvJy7b>gcX+7Hu-)Vu69Fuqsht`kl6=dCLu?`4(G7kpFk? zf7;u4@1D5F_Vbu$^hhw9O~VAqh$ZPy`)*yumnM+e_B+0+sT~j0=v8f_muSwg6_5B+ zIMm)K7vsh!R6J%fLj~EozXE~51#B)+q=W91AUu4G$ZG>T<(0uk{yh(CMGOkwT=F+{;=Nrz;A{#KKlg8K45bItjt}zlq-9vx%e9Mn9ED{pXSq=S%FS~;6 zDiKNbWFJ}`2`mrODM)dFvS9X7aKX9vf$9*s4rhq-c+WX)4@)%oRNkpxbb*=Xqx)M_KFNuo(27&twT@N?t zEm}&HP@|D!jrs=8&w3!wHpFkEfXpWf#1OMz4=j%>H~dto#ZjLC*s55Vd9r!1<=uvL zk8Nb4S82*Gr7lx3o#i8Wxejva4^Nc2+nJY*+()l&ij3fv<_e@%KSZR{^*Aq*sPpI=}9_Mjm zEUKFW-4;zz;`Sz*YhCZz`hL?xC;q)p-p-0^A^8B%7dz!v{D2 zyVjhtg){2PxeSDPL8j{REaVW7cHRMu2|ollsQwgl;MO7#nNKXYfHo7V~#4zvv&lD;^##T^j;Joi?D=Rd-1(uZa_rHl*&FSX2NQy1}I&I;a&;mVnLn_jh!uqs^h-D{gPWcwK}YV${=*f zz;YD{Z<_Lm!vu*k|k0>%<_RfcUpprd#-r z1HG}+m z$QG0aG;o~RwRW6!%Hd~;U@J&xxXgR1O_y?fPU00|m45%kXZ#OVmDrZbyNh(&eWe)8z&~lBOFnZ>X+`(F}o7lHymBIrTJbuL!IFr5zjR3kn+19T>Q zb@C8HC{-W-OKuP!{gwGEwJOi)A}hk^QgdnVV^eF@@z1VC@zJhFD_YC44K^SD=-nvT z91Gp%_Zqrp(UV<|FrVEyT~yxY#OPpRpP2$)K4xL) zeVk79w_x9lvR8}CsQ_gC4HSc*l;|_J^5*}MO|`>&_`&2SwcNwR>=i0Gf^)?kkRVZv z9QBXiqhjU%%q0IFMXBD}C4exr7jF!8c{!S6`vV@j@5%q2yCwJM_Gnh+^LX@L!=o=s zxgsmiYGNy7ciUcZBr3b1)vErI`|f^}Eg1pr8dnwZn2<4PX8e$K4&=zEXW70uJq}9T zo#!7f*lmv9jIGSl5#CV~EIzC_6|*y9ZS>`jayM6zrG2w~dPe%Ah%;_5_V>M_6}rtG zp;$~}z6Tu>onl5jm-Q_>r^n;!eJQbvJR$Wm-7%xVtH+4_qvy}fC_i&LwrPh}Cj0JZ zSAr4QT2Y_A4!UjfQkC5K?Uz?WM(k*@vLRJGWFs2Rn`RmP+PhG)?Dcq)2xsPkm? z;X~5*0`A;~@A#b3?vB#sYxSJkD_2)OxnufbGB3yW zi&eUUF5@RH{3@z~_`r}o>noIBm+WcgAKO-mry<53jP@2k8m!eHdL<_dy_ZsneQhk5 zeRf${X$^aElx8exUFGsbFzVH#d^DOjq&T2gzykU(&v1>BtZ3 zR!rBdco^#PwC)x8KCy8()yGqR1ZsMrf8`fn2#3N8ox`uwLc!9P6moDizCsQu%}6&C z!w^mB0mxwT$4WC%kh|d7#rb83m#b9li%$2q9%=fmGPl1Q-Fu+)B@AMcoPwK0pBs|Q zH0!C>8^y1yJ`-uRIA!ijR8>_lT;RB`UZHJ!3oHA|)d58j*c>R$QzAy@ODa?6x|W=( ajx8(+0@s6_B0W7T<+hW=7i|9rM*k0X1MDdP diff --git a/CPLD/MAXII/db/RAM4GS.sgdiff.hdb b/CPLD/MAXII/db/RAM4GS.sgdiff.hdb deleted file mode 100755 index 18597e609dd4c805c37abf6bf8fa239c99b783f7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15980 zcmZv@b981s)bL$RZQHipUbUw-r?%~O+D@<9wr$(Cr?%ax`SyOE@2~HD*U2wCCo9QW z$;mk@d+!7V1O%iC4)i;A{=P)MrMjt;v!%TqF$)_bGb0PJh^wWI2{9`hD={-C6ALR7 zD>Dllv5Kjao29WSv7(`|H8BgZiVU%asS&ZbiKWYT&EKE@H3tH6#q~b|Boyg?rH$bK zN)ONrUlPEXm=7y2s)v&RujwhQ{ zPL>W|H?Mr#1^Ez}7iPq(Bp=yXDNI33fkrpgOK5d^G^^FOUkCeh31U`1AzXH7Ku3m3 ziV5k}EsoNduW;{@^Oqew#prmxdgU@n=gR;LMeKya6;<{HkLME0k&$-TB#(xBM!g%C ziUQBiTmdvOIV}aG#XY|tv3xu_fBM~b=BJIk^i#JbVv(xsE-4)=vECQ-uSWAe3LO~N zq`WcCpQ}Gd9 zmagOMeYnEKI`@z1SP}hLh`bc%oJz?gUB$TU9adtq)w=!vs{jAn+i`g8g|gN#A_#cf zs1;%6YqRwVtFw*8+hg47{wHFKmrH7h(AP|$80zrvS9_LX5<64O`bnx?-~12Lf0+|F z$9n_h$((zY&&w=-e|M#D8wp`e5Tg>o)V?$Y7xjq#1f$5Kl(NA=*U{8+Vw;uRBC;P; zl+x}heAJ3kxRSe%Ynuo;B_2`KBEcih(f$r=I%v3X*c&UkfAz;!gS&> zORvL*ua((%>&vJQK-Yb!F=(u9e?{-bF14a7>YL_mVyD}2HN6OBx|Z|wlT#-dFsM)Y zx6ps`Rk!`*A*|q zo6&f=|EAl(FBsD``jVLpzdg)yO?y0ptaqr5)4=oM%wV3gXfoO1^OLh7>3xvIl1WY~ zViu5TZbh@w{U@o-DJo@ET%$V5H$wRfeAjw$4Y8R(@BAbTDktEn&v^nU`|hZ-BlX>h)Ii?_E2p<~R4o1z`3PZH1~^{9XV3 z*A^Y?-MnBlnvz@6em9p>V&E5Rg`6V-O?8b{TJ@{qA5~oxpTI+B@FkqmwE5@cWrfEqOp`yuk?pgQ%8ND2=0Y*wuyW*-yaLeYRv#MmdMK0y?pWd*bzYKk&TQGMyn%PG*DrZ<}M(54_KqWJMYhCO8ez<(h55Ly@ zYtO&tgqyzvoGbmSZwFQwr;9$0wZHm~a;)EnW)RY^d!W`ohx7pk=U&YF?$r;XA3Uc$ z;_x?9BRSWvJ9Gwq$Y=ftXOSP6)+OC+`n|d?G8h(;cS8!w@a)V={MdyS^7e1I1$eE# z{M8Q9w$hV7mE}bsjQ)(TV*IeN@il~%cMfI~f1e+NG04bK$Y2QX2>eXbqQ#;*!JD~h zJQy12j<5=X7Y~z7??m z=xq)fJOx=t>y0%j_+e`X@?5 zdyFyKcyr_dtVQbY_Vm-o54?>3M+Dy9CD>GaZ2tCoX6!{?tMq_=N;Ns68x^m6f!09B zm3S_dUoN5bLtHJYo3hf1-BfMBc+w|J4fg*HeAy(A|q^$q1@T$g)G2xx2#9d0XDBfu0hi)2aKnj3{=kNs`ipEg_F zwosoB%k;tYEE=Cq5pH#}lM9OR`KkI9W#iA2&g%yhY;0)nEBYDDcH2HAluBs^sc{s0 zSP6T4pf3^TZt@DLlV~b@CAH-7U6pXlQa<~#V;-b;L#&*as}J+YFbcIYf4i-nfgrDI z`TZ|^KH32q@L(bM))Og5ItKo*dZu_wBob{^hZLCPV{7t*nyr<-IuR_ zk{J+6{g`zG^nm^dDP@G=Vuj=AWs&tF2o*Sddl~okVCO9Z8p1wf6Tlb^Vm|_M{j!~k zKh68yOe#pazB`SmqDmn{P@Lie0RKF+fX| z3t7uQEQ~o}jVfv&J{gw9EwVPx=es#3K^*$ya#QbsLP*0x2*>T7MMO?x8)iGTh z2(nJr0b+B_@#B|w3_VCgOG2hJ$_#9;fN5`+@ULMuydlDmc0yQ!-G}O|gv! z;cxJyIKcO{!=ZVkj(jum&CE9o->iJIj@G()9TE+XSBHA~$P%G5tX5HP@N4hPLKP%l zf3VCsvfJhO{-ug!psKu=5KRVOG|M4BXh_~kG<<`D(Y334T5bRkJJ{l zQ^QaB9YaEe9Yeuy^c8m8dd^8QdN;u>r{k^yRYNDTf~yT#pjujJB~A}8lL{<4dzi#p zMb=Hv{gh5>1HSS2#^D=_Z+#=rin2-eJ~| zbx19t;GUdAwRv(5Jn#1^OtiUH>HQ6f`nr-NYFh_sa;(PWfolfhd^P!XP4rS`#mBM}L1KnSdY3N-G+ z``uU!XpJi`d}l=q1;aQgd3f{q-9z|;1yH9Qg=%ZAs17?2l>N2-@_hg;w27WY1psg;cy&Q1chM1j^@h^oneK_el@$< zClIO^C9HEM&)2-bqb^)2Wl0VB18eDYos;8RDX=_ukt!IUf-C6Y40Yx6M6i)FV6Sy{ z(on=Gdkcc(U7lUxY&KtLPTTA#DG`YzmhI|6mn~PFeU9r7k_bj8T(rVRowcH2fb$)x{ zqYC=Xwp5}EWruXu+X=ZSx}ROXh-Zv7X|qaznvh7aQDWrqD>R?kT9h$W(`tZ#*} zIX?9@>7aL!B9%6u;8D~v}{^=O~8!jPZgie1LwNjNK2z|f`7p{LxwWy z^>+NDnedXaBpbqXjL4?+h8*EEljtlBrn>p*LOS*I!o$oS2R|w!d2A*V876c8+V5%O z*u{^bo1nib^A=GXV{OLm!n0kT@arhvVBCDq)0e^Enn=%k%1IR;!{OV|dz@n81t(qM zKXG*W(6q*w`+~c$Y%-A&Mng3GmYBzvZmAL#A#3CE19 zsQf~dZBX@>i5-qW{B5L&RTiT?Oqwfe4 z=+$+&HB_a=wXDOe;0dh-yx!;tZH!^MpKV9Y@-DHy zL=H;rQR=(&tFZ~rk^sfzSiPq8ToCH#9wmk~cvstXjVxBXf%_)!m6=KsB9coFKg)Up(y)6~|v4KMQz7 z|60_C+1=r(b!+WvaP6#WYC@VJpC><$k=pey8v(qmPvoGcd)@Z@B?529RUr!U(dt6P z{1kjnYfj_bT?z5F43(P`AH}C9sPL*dN9|`S-y@C&f7JLqSiNXK63IE-E0+5i74t^F z-`D;2czq~~m1q;~YUcg-UK^~-LxEUj5Ne*%zTw!TOk(%hmFWKPLAV$w8#=Yjq9K@J zFbni-}#I~?mm?Y|-Lp1^}KGLTLyy=oieH|0i$!U1G#zeG> z4}#ho3tUs-sf5EDSsE};nK&XzvyNrk8rTo0|_fQsy*hQu2 zW$-Z(#}HhzWA<07NLa~}4KTOeFvN%}hLFst@y-PNnNWWP zB}jGz4jbtd6?*eep#vNN@h!g_(mTrJtNI%@QX{l57MK?VIJANi~sl@kKSE zu?Hk#(K)C3+z!|s`~hX%4sz(i10KnLPnX@ZaeA4ocN0_4FLLL zFu@O%bv^dJ5ckzR2|#!u8hytZ{Zc&234f0<`E)sO^{r06cNXfAIO+-EACZGBtxp+& zyKRHNHw>yazJI582%w@7xq8U`K#`nQaiuq>p#3l~xo0)V#u_6O-Ga?*5TJs8g$lR? zyVT;=XPVHzDvMcI&+6qLh&5d}ujqBc{owW)eZ%*;`4-y}=LWga9_k*ZyL=dRe)a$tjD1p9#&~ zPMis@c@9Ajv-hcEGU)WB3MG#73&U1>fqIQwQBT@>1F83>iF}P}3|#?F`f{HdjvBwA zjQ+|Sv;5s;!i)C^21OdeL&G`muskzp9U zZ?-?P+}xO3Yxp+o>#W~hHBSjFEL;jCWMz1#xHsCb=GSuh9k+ZzF(N;aMRGEl=(0C} z|E|;Sm1YHqOLL_lFsKXl{yE-nOdu-G5p{!$UQo%{eB|7d~w?}IpRqf{dGB+%lrRVxO?#sJ+hOqBlQt&Z7 z)1;6pmv4pAV}iQEt^vTHw~|MJ#x)o(RJFdv&R_{e~%yIVN@=* zoy_$1_l8gdK2s#PZSRJIZaE*n=&lU4UPy=d)b;o$;Mx0p+E8x&wK{0HeH}l}PpBZ! zGv(APlWp(;ps$4Pak>rF%3^7(ij2Mo)*AY3Z$6_yfy+{&3^?NGG|*POqt-O3vM4=3 ztzr$f_RiIWhtX0Zy2%YX7woxNG}Cuuep?D>sK)P_YAm{K zAG|plY>|rUx!vp!7dAwB1&swF)(!I}?s>o^ldcOA{;7O-pkVvr@TDn%{wu4^HP(iw z1*7#~`)c<%2C@GA{?|p#lVggsSb*G`2gaKg#*SuE*kkp(GCmsk+oWIYFb7lVZ$(k>T z$*}GEuwsl!XM_*0pHoFQ}^~`jFa9ihqjrAAz|M6Dy(0X%j2ef|s;>i5C&BC~v7`95*|fn|wT1S?{*q)(B+R zB$qSX)kGA_ij!{cLq7OSTvHXk)f^vYZ{gkgrfVxUS{Er)SZjnS7&BCRtaU#DSZI!E z&sD&pNmm3w^NoI$R?e+0qPTZPS)goj1QR3ORtDiyfeNhc%@a$vJMs32k$<(*-~$F? zw~@gJE0(*~hF?L5UzqPlm`Kl{4;y0lUg}fJHm#)ZOPB4vAAJJAtggx15pRg|pvG}A zlhwGbW@2^J>2CPlb5-qS<71#3G0YU%Z#L`j@;tE@pePgj9zo1q={NU6PqIpxu=g35DbIkW67izqkwZ8R<*h+m=CyM)IzwwyYo;1nfyEiup(PI| z6*jTYz3vXLK5&n+1{W034U){=Lo5jM{LHZ;9sGwXo+#-k6?|lJP(6AEXd>6%wvpy^wxq{Vx zG5;E`wFJ~XLD(AfG6nLICikN^Hw$zP31Lk|_$^MDkD!qVuW>=>ElijXqcI1!QAOx2 zO_&d-F$b?PLFg?+m=C2938(Qm@X;`c6L#$q@^Uvd5)QHoaZL@90qoK$$PLkAhTWx`kQXqKs|U6A z-9S_Brsb$N^}(j$Y&4i{kFXBdnjYjONv`3A%>_%SUF*8tDw~@zNj_Qep7j7@0IA2gqNT;P^m%S(aU+st2Yn zBt8-6%XsSxO8k4)DPwm<6ge5x{a_kmGZ-EL{em%M`cH@F!m;__vlI)~L4eGdWqJd} zahptxJ6Z?(R9_vAfFXXej|l|wtOGuqA*dEEej#>mQh z3)z$*XRPIaQ03)(c!@qo8GNThXTiw|R=F9ll-oFhf0RACn>}g9etvggBYnKJY$VWf ze4sP=2TU1Myu!Cxk&CgV@zDKl9n<~>Zht57V9lZPUQy)6n;toD|!zncE)*kx!dJYzCG{7_;db0l38f2!*IDEO#asLgvpxANaM znrY-4bjZok7X(}-&R|l#Ke_(QsUrwXdvOj&NqkJfgv+4yPccS(C*QA!Mgp3;K;Y5rWM@XvpchD2+5K5)1Uy}X_D8JSjUVu`re5+|uv**tA9v}<3z11i@#M+Dos9lBEKDfSEAHul5$ zFaESn?BRMAIEoSJDXv&0X8lhe4{KYDVDFZiNQra&rEMp;w#-x@0wktJ5k?}9L9iCc zUESJw8u8rHUR>q^%UBu!$h>ImzI(l^5HuAj#HJ6Zg_Zih6PILT>%Cx<1N8-PuwYf~MfRW@+#Y^)z(NQ{ zS}yJyN7B7Xn_vgbCL@3=EANx5M8~etqLdZI$F9-9lqG!&YM8Q+Z$Sl9<|^`n!49QP zuCxh4v6Mt;abJbA6NH0{pMzA@X22^1ed)h-VM%qq~X=v5Cq2th~c3KKHT*<8Pe!tn^l(rX-|8=H$H``?V zZFGG*f?I!H#$mnOY8L%y1~r#%rypCgx)#aat#xxS$){UY9V=%HWra-@cBP?J@|su5 zI*Vcxk;W@8&`D}FafMj=TgNY@ZjUVUQ8-!VYpw&VoL)v&m6U`oryCuvCa!UV96OsF z44#jZ>}pyMdS5~zuV5QR%M!l)i?Jx8C(O{uFSUF}thFh;#)Nbxx+&akKzOipD9o^k zQK+F4t8Y84dB{BxM?4)X^5x#oTc^0v$ zQ3jh`VrgxF17r&7Ap}j8R}k$5g4N(wZtW?IRrVIMYHF0Q;R4=bc-ObUV2^i!8as1o zjYcWr>ysIO^#)0cPi2(={RUdkFgjAHroslyVXEOaP z5W1oAlF{70IZ5r$EMUDm=}&KH4GR1SnH#~n8gLoXl)tHvq(NNR3i+cuaE zU~7;+UDl0nyZlDyPSWinVnFjtv!VM-lpSK*e_PFY1W3?`l6#1igk;lwO| z?;rY&R)9LD}4ocKfWP{u`tCrW!xKWX2%rVs>nF`U z@@zJVDK_$!KSDHov;ur|WK8sfWnAo7^mEZ}*FZNcp5JIH5i8>8E&Nzw?!|2%3a?Km ziwEVO+FQ_yhOavQ2{ElEebr>c`cCDH@#Q)k_`@1FtbmCH9fONdU1%VmPm34I`uozP zSIEU3-0EfM#qIJxRAQfnvz`RgMpRk_y)ixBYFzM0Bq*gA;wgffL~1Appn|a%bmjal${tN71dz(Dx3aJEDM_ zx{Dj3uN~eGtogL!&kloGf`FYF6j=Aykc}VESf~!`oU_<<+cVn;_)T`!wmAA#pPMQY z#@QR-=+y#`=OVn2B91f*dwW3DH81+=9-n zs;6UDbt@bg9}R-&-{$H^%dh|#9gl31Rg2t@^CLlOhE;cSHH7kUY~=DK?>?kxb_4$VoT(lWB&>=(>P(@8LefNH3X0cb+x>3S?R66 zW+*8(_&=eUqZ<>v-R#|W?f$KJ@K;+%im2+m)mN)bc`d%6fjV{ENee07#?2)s1&M-( z&+%u2V7oXW^p$v>^G-1xxBw1L0~Ggf|1Bdvu9gIwdo5{EqFgR->Y00@R0(;!&tGEP znf1iBNJ&-rieC`~WPOkVMc zuL9nESVSt0==setTc{tLbs(XVOdR?71R@*)ANc3`n~6fM<5_PV8l0C>^TUl3v-Kd- z6#7ngEGE`opU>(r`L}HP24?$qT?ZKUt>28T!X>Z%SrYK|T{eGEqdU9jc-e(B@?^&q zXW58vp?N9<&<*LK`~fDbaBRsvx+_kQoy7Q!UVp@f4)B)m`|W)i_2^N_NH%L7D^Pi& zq=4RPsx~!mwG{uLYx01c9@*7Y!m}`0H*j92Jb=@75Qkljw25PDy2+{+%2yr#F?zSh z;X4+6$>**hSGUD(S#Ku9P|o;c#VoF*5{^@0$6b!^(LqDiupL@8rZNS*pUPxIu-3}L z<;P=ABtm4&eqP~{sXYk3p{9-!5ngW|a~IO0@hwgTQiLtc!199|_kBNzc8g9cXa*U+?YfE+AmkYHfjF zBiCe}fcszTqdefi4sbvSIPeqN*g6gwEMV}@Q>PcJQlSU^ErO3Xp91zfj?VwM)P#Q& zid#fo`6l+~msa2<^-QOa=s-;B~16V+L&jW&qTgH+JE+?EIPD4&B zQ5b;xz4tCG5<@wuTHV|IB*AFy@~QhnUk@@IC0#=ulZ@S49d!5K`mZ|lDSPVx>bvmb z@mP(~T5(|UCGbc75}Q?^h4S(%$;>}d+<<3(E=W1yLCf~YH#+U>P@9`&=V~m+Y^+g%->U~Hc_Qy%Ch(2Or00-iJqvXV$t0U}X zg7fCvVvRJ&Ej-9v7{Cm~!7L(dngAQ_sn$~6oOTTbzGYitiC6>+VyqoRWg**ndBx|u}2F1!66}s zyI*Ses5GP>RW({;vPD4o2BEATx$xQ=%$|)1j}WZeaU-$9#?mE5hVQ{z!|Me4fB$Yz z#5-Z2w{ySD@FXGzTF_Stwca_2`BN6@x?es@Mej56iNcLjSux}J%59&4ww1D(o0Gp$IMI_G5 zKtiY_!mdZe0f2;aGVsYJWT$m~Eh;Dd`NARYmcN4w8~YhwpgQ}LQx3N5L%H0zIpL_s zKo;m3QMly7MtoKLo+S=cpJ51ip8QM@k1U?Z0Uz9el?&`nXY~jDJ$1SD?ATGo_%o*? z;{3Nw(z6lejG`+!qv{V>Iv8$22p}m5u1OWq9Y5e;z;9HNK9*YT2rU2|yRfO(UnjVb z)hg#sR1h4RD8>f+g2+I?P>xs5+jl|$iwEH4-V<&XuB-G~za?3Vhj1kwK2h$#m{vabUe|Faf-NDlL;_sj6 z)=_BZ2+|7(aqD4RQfk+skxOdZLLXYAK{U#g zeEfQRyx)&rC@G#OHQ>LIa6+MO8>BuV-HluZyHCHSl(Rnq|M7KkbWcP)*NXjJIR=oT zJpN?O0Rt2L{ULv=t&82-%)%Ow6NC8IKk56P!jneBt^E(gSxTrdI z*B@liAN=o2_dxN#C;dDSe_xGgU5aR(ig=E|y47IXvlsHvesZgc?eRu&_ds!f#b}$L zJ0N7*(`VWfWZLs1<`?9{v-Y~GZiCbd&+4o)*0P@XyLQ2r;(Iy_Sv`DLQhsSWV{`6j zCTT7^;m|eL(q7bbjKgqQb-KOQDlqB{%I)VTP-kj(e$QCdsva@luuVD< zN5^-&R87ED5c_S_aR0FB+YEEv#H6_9~*%c`hWl#Tdr0Ag-Xrn15IGW$ERN>*Xtgu{$tpQp(t-5Cy1 zIup-mVgj<#*olDd{arDC7I$CUjK~zW+al~dRs3;J1KFPM6MGLiNkrQ%W1;L` znFF!Tr}fLIkT!hKlA!dJ-T&ldqn`fTON$>*z4@KtGk25T{{y+94vrWUBP~jXW_8-M z*;rV>Y#l=gOqFH5l!xX!KbG$oTUVN{NjfAsST-3_082=)zjJ4kI`4lkB`|0dJ!uHejIyuB|{(J=TL8ul@gvN#i)C#OephBT?kc#JqgFSvr3bs?ae~`hg69Gu;Xd*S)kDj%$^4q z`xMQM2sE;d7cO4e!pX|&_`X^X4Qod3vRi0%9S=^zb#wz_$@7!hr`TQoDn0wJK!a_% zq8;HbZi7^CYFI$pYTBj|p$OtQ@F|LMowH#m_+O@kUB=e3#69Y>eppR(XbDufQE=C9 zmU--aRcz@iR(7cHZcYOf&Xkt^tD?x2=BV3p>u=kRfD&E_>KOm8Nv7B>8Q35>H^wt7Bu z5O5uo;;^*RH_9WMD!?c!o+br7)3s|-mkYlNPskx6(}VDsn} zkXpMdMLHzxJ?dppxlMg5{2X20Pm>of@K|>MSAW|+-m?5~Gsg59D7METn&PephYqBnv$wYn+J z1|UJvNCD;tMB&da8M-g{Z?JB1OdS?xp~;7owGoeUR~udCE0dF%3bSF>?pNDAPb}Q5 zF$_j?XpQ_&kTkqcQWgAfod2H1Hrhts1xI&_`IAb9XlFph4%>JX4_|7sRkJ%*RX@?w zMm$|;?`j5))BoFf^Wx)YQS(aOcGh`P%4`}f$Wy*Sr7}|1$-Cy^d&nt>mvwi~y4@Cy zFg7E;eU3~vAUpr4T95e(WXO|-XK>LAO@IHMPBUMIWxs+e~u>ks+TcV;M z-%t9R9jci)URvL&{f4G?RUAV2Fs~c9mz`I1`;%K2Ev90sG+ySD2L9q!@tbm zThaX0-g6p`CO9KQrq3s)29h`}>HEi6DEk2(;(XHC{@|%>u~7b(dZYhI?C6MXV(BZ8sr*59tALwAeUKKJ)NonRsrleOsk^#E_& zlhkt{r>So2rw-i56Q&nRcHKS2P7dg5WRXss`FkegOpRM+!czgYJ!rDylk5T60gihl z+x*Q$2>hkRtY+vv!bhr4p?`vN*W{$L5ATmoD_v#DXobuaqj)^>k;HS}9%v`ML$%fS zHy;w8@xOIrYQ}UEZMDA!PF*}>7F;@S|H!2rkDtHZLe7Mkt|m}G`pgA>>ISwWxn!yN zLUm^ypZ)v#K7{x6kb`HdkPN-Y!%au1_v1nty8kob&W# z)}>7zgJHb0`EmAjw)fUk_0*MV5VmoK-|FL!PE7_y%A|V^!`J>v96#QPCd#E+-<5s+ z>7uc8hRckVDqH_bj=JiPFf(0=nT^!K5atyX)ak-OCp`=V1nPD!&n6bnD6XVqZ5~Ms zKkb=E(UZ>9*&<&UHHLv^IYTes3O)d3+ir1u+xc0#<-P2YFH4#$SK`=G;|u{qD5@`$ zeZ-bedoB?ei$t}ez$WWkGjAVap!zuzFW=74Fxc)Lh8Jg%6V)N!#zDG6>YxJO z=8LvN$&{`7^rj-M1Kk*nV6gr1yu!+5tdx4&v-iEneup^!W$rcT`jn^Y{L4*zCrgfZ zOLOITP_HHgF12LrjLR~o$%D*TYZIB?qg%>7!3aWg!QA?K@hT0bZ-a^qR+sPXMUWaf z72wC5-PBjZ^|+WhB3>laNL{c#j(*oanbR#8T3H{9vDm0QV`cdG_reYQ2+r&tx@KNT zU*Rjo8!>P{&;fk(*XG2VmcXe4)%ChA(_m8^|NU(FrTtzw$}TL2b=ic^60OG_;xcF_ zLI;;0Yz=kxrWb^Kf;7d2;q!yc@p}$bzm7KQ2?$yw0;H7@+%Mfu!+QWX*#a3w?Vpd# zGH;n588DeN{yl!DLb- z!pOpy+0zr^yxpUaL68OMa8bY_6g%MB=yAvnq&2Kn5QXNZ16@31IA@_Er9#j9h@9$z z2Rp%$=?_FRRg9H zlBk1-91%8pZ7v?@vys7Dk+IOwr38aBB(jn9JM>D`oH`IdgSv|cxC@7~(^v{;g#fjJ zTfOp&;bus*7&+Z@ojv=N;!VuFS5how7wHCu_Nn)y)7P01Lq2v3AMP6ovp=zcfsHLr zdmS;z)DO_txJp=(;FPsV%*%B|NBNAUXp|yARn>H!u5*Qp*-FxBS2E$zOsPXPr-L-> zXNnHz7W#W)gWyoPvnLul^yx za;{Dvp=CHO5MI@K>3d}Y6RQWPD1cxS&EA5{v{rAQU8cb=M+6d!Qq7fjDKsY;J)Qa{ zRhX2)<-9%YSm`pwq~KlVVXMaJJgvx!T2z*lC!acQ!pq{Unr%B$^QPJfAgX`uIjq0Q z732DSCTxaogH6mz{;7D$);xWgBSFEhw&2+;w{<#y@nn)@07*g5OQN+xPpa~dm8>g8 SDH_2ed#wHXJ`w))^?v}ywr<-1 diff --git a/CPLD/MAXII/db/RAM4GS.sta.qmsg b/CPLD/MAXII/db/RAM4GS.sta.qmsg deleted file mode 100755 index e020392..0000000 --- a/CPLD/MAXII/db/RAM4GS.sta.qmsg +++ /dev/null @@ -1,23 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595485258541 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595485258557 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:20:57 2020 " "Processing started: Thu Jul 23 02:20:57 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595485258557 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1595485258557 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM4GS -c RAM4GS " "Command: quartus_sta RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1595485258573 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1595485258791 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1595485259791 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1595485260010 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1595485260010 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1595485260260 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1595485260838 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1595485261042 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261057 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1595485261120 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1595485261260 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.292 -92.804 PHI2 " " -9.292 -92.804 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.365 -253.063 RCLK " " -8.365 -253.063 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.490 -0.577 nCRAS " " -0.490 -0.577 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485261292 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.306 " "Worst-case hold slack is -16.306" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.306 -16.306 DRCLK " " -16.306 -16.306 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.272 -16.272 ARCLK " " -16.272 -16.272 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.874 -0.874 RCLK " " -0.874 -0.874 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.396 -0.396 PHI2 " " -0.396 -0.396 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.125 -0.125 nCRAS " " -0.125 -0.125 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485261323 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595485261339 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595485261354 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485261370 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1595485261854 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1595485261995 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1595485261995 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 1 Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "288 " "Peak virtual memory: 288 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595485262245 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:21:02 2020 " "Processing ended: Thu Jul 23 02:21:02 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595485262245 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595485262245 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595485262245 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595485262245 ""} diff --git a/CPLD/MAXII/db/RAM4GS.sta.rdb b/CPLD/MAXII/db/RAM4GS.sta.rdb deleted file mode 100755 index 25f87ad46f585031adca3e6de0e2e346472acfde..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18289 zcmX`S19W81^9LGivf0?SZQHhuiEV3RO|Z$v=Ek-$F*desYr~iC|M%WIbI$Fqx>ePE zYd+Ptx@H;$3=FIa4*cut`t=e0YBkMWT&*1)h*{VenHgD#Mcu9K%!papSc#cAnOInv zSeaSah}FzpJgiO4iIq)EZHZZk)ntja%mKs_X4Ytc8UaU46M4sv3EI0z*6^9>B1ld>N?zz=!nI>LEbMEG0tfCutnJd&NA9Z8N4 zkgbB2twJ$(&Y-2k$MaCp9hA-Et(ZMARyk2wSvlP1dNh^I)W5a3xcIv5c(&eF)zTNJ z^)h_&-QvV7qgNx)>vtBB$M=?Q4g%Bk^Q-mDohdA^(W9L!*XyT0Jb{4_PuEDfBqA>j zSD!5FpF*COethb?bl?YSCn}x^`~n$|zSb#}{YQd#8C6x;Gow|`pT{rk-bYgdGR1Rc zc3R`fx-J3C3d0*_mh6H!u^n?CR?oc=QVq_mnMI?=%ttqTl%pcNCqxKICsyof{E6_A zQtyT?+<1@I7c#fPo?Z#SlBGFkRvZFFM~`9a;1+r3&T}QI(SLHOOjJ}GH*PRc9C6>V zN6AiOFRwLOGZd68=Jsoaq^U-CyxicGwl7T}z9kOKa0}q(uM>G$1}@`GAYQ)^cY!BL zD-IPFIzMT1jKiGH3gsZ6hv2RZi0%blnz5cfbj;lo^I$(%44*ia%k}%dlPL2n64xho zyRj-#CG}OAv35MpG;%2rrp2k-3nvVtY20jDc}*|PGIMYMx+~9q^Fv|a2Zs_ZXBuSQ z551$&XJrZD{-Hq)4BZd-k%5^(slbSPGnT1oQd*qi`Lu5~edS(*F#GL83%xx!iQ-=R zws-R5o;MORn&63}CLRoF!`dYVw+Cq#8bp0K3WJ<8AuQIY%5NN_$(5b*kDTWc(K2FV z(~T2a$FM`f&$tj0)BOyb4DL6>v4|%$2hLLuhWS>m{P4SN!-*9?4aMkz$SPFu-aRrq zXyh3@M#4ft8{b*i%q!CqXqV0>?@w0g>l$i@NpQ-=*HqE@#-6 zS|KRNKokVOK+=N`cz&VpoJ|i>#*xohm|*+mq}oq4!4=~h&YsND-;Ilgsr;)3%7qV! z_POm8H2X^E!rVU_oZ381sM=tf;e7bgS05xW(K>@%_@8v2BSOo*Wpsg)_5}yR^bZNO zio+VgeqO;#c41LE_4RzK9-?V&I0;Y^kZ)FMA8@M`Iw$-S^;>K5nUdO0&G6wxU%W`( zI zO7eRAar6y~DitT#6tGK%K{-zMT?%44o=Rv7SwR=~toUvSQxGcdIoE5*1M++sb=xi0#hJc&?bN%Iq!Zq9RqoMIZFXlk0wXYk@ zZx-3Cd#YkytF(*qM?r$Gc`HvO~4rp8oso&;%nWt#}<|tZH z>5Tt8(z^-~IuXe43(Xz92MdY2i1Jx_9Amm#IYtjWUQhLeCy@oq&zgd&`g8{BxR8cE zF&^FUcAwT-k#*p4ciu^E1F+Eb+bZxGnHY~yFT}booiZfKTLqplg`e(6o*5#K8h2CG zYeOy{5z2Xo3-OpUM$0Cla#xRA*pi)c@Vdu^+3_}o3Pui$caiVp3Hu<#CIY&x~NePyTIy;+f^v;$(b&y`%d_1EF=H$E7iM zx;~C)1lloncAo20l2}BZTpL5KNPb1gW<>cNUdFmsFTeS{LT%chm~EoW~}E^jyUgIgAIR9r_;Hbsd&EaTYzwqmZ=jf4TzaeQ_H*1 zGGg5wQqC2318hvP!=ekVw22U+9d)WN|McA^gE=mK9%=nF`}48YjeK{mnn#NWW9k;_2mN>M@bj?aX`8Qm-?y(aAf3L zVxq3oHl~okdJ{FwL(xDmNX55tOyT7UWNvkM3oEx@??i+qPnP3%{d6Qs3Ps8}r#CL% zfNx`}BWNfad!n}Eb8u+t<6_77qrs>~0dG;|g#%ki+z@BA1uE1oe(n0ZY5V3V32t{E zN1`=A5E+kUGKRP-Wh6@{)`BPKAckSUThQulfcum2=s!?i39 z0lotxw%>$HFm~Y5ilL^n31mq7s5*05urU~^QBzptot{>!$);#K$vfCh@yJl;809Z_ zu6G&IJ?OY>&%}a#d7iW7TGGK)YbJ(9gYQ)_JTA4_dLQlE&>7{>J{$&kb0Qi>3TXaG zC*&l-NdJ~*WS^82T=3BON56AFfto$^)uJjEW%$jfZX0!p9F`&gs|NEJrUQYQpD#i`a-VQ3iGtHGWW$9!JFCyG$A7b9|)p`L$Yl&kQM6N+Ni9EP5>k;?p z?qjA=Ky&`%T&UtqfQ62(oe+0U#kTlJr)%K{Ba9v7p%jjZ(b?P|8IwJOpq{Xj!h-rq z+^v^GJQl0JI0wiF#!q=FM3*G~FlYdJx5HndixJcj)Zf>XB>lNhh(tALX7UPqjZD@36}%8VsctfzGmtrgd?FVJ$lQ1iBXUEAl;-!W%Vf@%T%KYo8IPVV&U@Uy5pj^% zh|YiWsKI0Xe!4LK)Bdaid>seq!$dx(aywz?!heAlZIutWh`7CICYpJGpZ@SKJdv zE3yl>`RKH$i-i7^(kmD{q$gUGxxFm4#GwmZxPje$oj?(Bj)h6-oyO~a*@^e^Pmgj)OV=BTLjiOaAqWG-9LvjL2Uo4Nrn0FCg z&pjqfIr0XXue@J=F119)XF4}-2s=%_gX>A^rxnrF6%jJWH53+FoMU%ni#uxMTB{1+NjLCs7D4#j3;=FdXfA z=Y6M9oi-obl%Q_tb*$%;hqj7XgC*o0!p+7(hx@U^H;a;~$4sCpPGAsPkkA0ud1S0i^kiAQ@j5v-zC5i4~)~p$^z7w)grv z&XA~Ns?I$w(qAa9*eBnw?W_8+X^dCl?}^k;nZQlZnB^A)l%szAdgg=ycm%Vlj?WEpH%0oo&Dz!;6jgvqWA;nhGB$IaMD3XfD0J4zD zO_$QnR~JD?-NagHA{j?d)mb_mO{k7ZgjHMVbjca22aWbze>&Oqf32q*z%3TVPT_jkWiFvtY#Hp2Z0fpgDiU|X*b-mfFV=CJ~TE$ z7AAYDw`jFT7N#yMi+-|`a~1OchM{?P)HA=IjZ6J-z)opnOIov0O|>Ar+){pNPcPFZ z&&HNHlJ^*D8;CF&vu|*XxMCYi=kq+qcB+ehQGk6(ZijvN!KtRZU7%7Bc2|t}*OHcs zK)`KgEcG}atMcjw=9fS;h}vg?`o({9lh(&de=h*(FRmogmyCYkAIa#a*_V#vd~5$A zon;i$<5$NhH(;XKF) zi|3HQ1K4CzBs%>6+i#0})jHrOh{Y}_9U7RD`+*j= zBEzoe?IqRggl{|GddoO4m9D%--_X|>*2jJySHGbK(-`mm*9bq4 z6ef+o$9x1P#B=9fLcA_Lk04k8`lZQmwQi(@J4XcU1^7}v4mm!JP6fL%^x9~Y4 zs3u!l6a8@Cq6FEYcB!p|#17A$RuW}@L7H-)We{HA4mL?NlbDR%&Be8{`>?B{?jK?Q z>8hH}$%w8UL&z470QWdUG7cWRF1^C#GKrdBdnZ?PH8~UZnqsv-S~)tkvS13Bx`oVvAJilI4>3XLN`Z-zyf&W!nB# z%RU$^xD=56>jV?yr%9t~a}v$6NFor2!s_93P$g0MG}Qj)ga|FKs$o?8BJn=ISbIX5kVQpf{# ziJFzp#(eZUkY>GmnaJY?Ui{fQ6q%g7JfS;EWSbD5z+p>Fs$(s;=qk9{B#+N01Vx3P z?|kzoK5KxGsB-UcgU^W>#P^#M-;ukyhCSO8?E#~4W)P&BKO+^)N$Xn2CJ`=wO%SVw z0e;7n+(xQ;INm83RVLB#cg=Ly7sNL@E$W;*u36_N>M%0@lVYZzj%3xgN~2!ZP`xpF zkvB}Qla(I@VTJbTI1lXB2(IUmK1;UEQ>Pk5 zR5{YLm%iC(<$lVe2>xCekJ=?^V~{uChLoovv?~ec<2!n~yh%~zZm?OutOOF$Z0&BZ`^0b}ZA}T${{D=xL>GoVEK#;L(tg@!b2b;Lh{f`~bF|sqoD9XNW_z&{UhmX_`MCPlftgv4@=D z-;Ri@!tMGi(|2XKXh9;iqWpNNa{v@M>ig>$a6eO>GF%mVbB4)zaf!`WfW7?_>Zi!OY4c z&zwaz>r%GJ(!^4XGnJ}bY$I+H4cS=|S`V+qhwY_}YNhQHmOK{iwgbn*uO+wOA+@I^ zuk94aU-f5YR#$X7`G{9Sm((St#GUgwRIIW&y&n}kn7U{zKjudPT zHvBBvvuqNpC0gnp*nsq0`6dmTUF%ddkQF(d})DV2plCl<`bWz<{+S+3RNw z1TRD(x2eyS_0#*D)tJR2um?sJ2=(9H&Dfs-|3g;hQxqT{hA_3sW0g%d+a4 z@V6$IVa|PEg~u%0i}Cs}5*5%3FZs~fB%XiN9hU{v{-~GXT0YaHc$6DO1RC5k?u%8f za$;6;+otlO!@iNDbzlOu!$_G(C21e`q-c~gI7?lFGNIZ3HLKi8 zl`Eyz3RrxvDZ=GQkovMe@R0gJA0)PWABRz4TJKO*Vs}drJAt<&SRVNV_ z9%UlVF;0(u%z#M`@VY<{EUF?NTvQZixNUG`Y9i8Pf-c#}lC@N$wSF8O6}5zP6bTn4qm4}KV3!ITC8I=8Ei{f=k)R#c;N+oc z-(e!sW)Db2dnC?VhbAt^-#q>Frp2N3$373K;`wg|@_j1`&$ zIR&+SwC!PH=?X?$4eFfz^NSGN5LWIUF-c*mUOgsAuF!zhHbWh4inb`ps8tKbjRj;UXf z6u~8!vk)533d2JmKJ$q!_0z-nsm*lzTWzi?S^<~ zm3~|&_LdYi#E&`+8xJE1i^E>?0(SBhh*iZ+d#0*N~lKxaX#s;W|?Gm@lK^Y z*-SjEr4TZ(!DgeWfi)ID-oA<;1?;!qt+W8WxK8Ml+KE(rgEMp=#Hh0|Gc<@Y$PB4$e;5?)p3aN zY**Uhxi`!g$b<%tfkdIo@{p&leC3?lR*R0IcDMLo6>3dfpK(@A;W#wFk{(i|zTK zqz(KVzE`>1ULm>COFC3&49X}%E}1-K*P${L!nahgl&#PyPjdXtFU?)(VBtrGmNdnd z6>Ju@K)pw1k7=|217O<*t6G)jqz`?aAFQs;ywp4=GpQO@P(@_EfOJ%CqiEAlN-0S| zoBb`zJTjR^kNBj>>9YLP3=TH|g5CZZHRdL%57@pNx`hx~EkFHYO^Hd{wnCp=W~oUC zBBktR$x^Y5YOUe3{L|Bx~UR^!Rlu~5sp1!aVBFYyP*+Yk2hgl`V38?&4^P3aSd>%o&%pA!Tj~SIil3_-pRAqv{&Sro* z5(p5*afh@>*N37>(iRcAJRVZbOqws|BXuRg&&8;z9rzQg+F%~ff3~eMv3<=Z506E7 znj5njmV#Chg0j{|7qd*n%A$YLW&-$HBb>lb!=v5>(FQ1LGf6G_LxoHLxqEai$@(J| zlhhEJk_h&aWw5&zws2T>Xa5=Ti{!{GX;25*BP`>#IVp~>cFBtDz^TSqCFZFC) zQ#O0~n<7Z&G-of!B4jwd`xG=`#IboO$pSTw*o zNFdxb`omJ_V4|x6;ww{|ICmoFw?M4UI#SZj)cM5p{;bW)C)jJf!_!+Tf~o?|rSF6- zH+WnURZy`G^Z&LElm}pS^?)gCS_~Z2vWpWMSwTt_>iJz5pQ)qo92O1+F3U_S0*pnE zBicse;sLQgYLu#FaNrl*gyZO;xiXHoGEYc{`IU;Pn^gbU`?yIUByu%M?j-2Euta2R z=Q_3xPUUHoYi;Z}Bi0dZVAmaXs>*WL1vF?76o>Ac%vr^n;5@%T#IS?$SZbn-reB_T zrjG<3Rd8(la0}qzV~4b1-%RY=c=~SJTF$mvAK5hm|K1ggVmrMXhy&rzk6#y_?#w(i zWW5|XkdQ)BTpF1Jt`Mas;Z>a|3=easevmM)q-C52vE4=IWBOfBhh5w$6}sdB}ww(&1rK7zC*v((9)RC{467 zt02$)1rMzLXiJFaOrdG8SOv1J&ssQ;npbfa7O|of#%2yd{LKC7jLCG$JYVkdS*5Wj zDsNA4JZ4)PR(oF%q$k8>@_vU4 z$chQ@PLgrx07haKJ&6Riq@O1)6=AqnqOhQq3UH8>(_K&cvf~YgO`h*^Fg8!~ zapFFUJPdb?-meVjccbyyB>kd_E5`le_r|nj+}NR2RQ_<>OHt@h$t?K^CrVIvl((C= zGYQcYt|B2^$)k0b6wp|@ z(c{?0&XHMI!+ItGew^5Kypy*mEV?mFeWtAv2uzdZNg=NEBGv94rBxrbKA&{dn*F>$|gNsaOt>4KY5D~@`$tBT~R^C zB1t^Tv@{vT$ovh&k~oeG^KsOqsBfOh{knh&WZPMkkX&;FlcB{4IuYI}9Mt}LOxfF> zZ0pXXnsrSVk{V9e#5ZB!toy7*gA-_^Rz8!1=I`AGy`*PmpRWDkzPIrP+F+c}6bMvq z(Dwyt?24%zXky8(6UsM@MriOKUMx+Y!6C&l!A9`giC&qmWNlRU5 zkT$1xPCRo|u1P(k57sS-X1)oZv!s;SNa)=7P9%?%S#LkL%LFStdQf|3YXX)f`Uc+A zDf`-MjW&?A=H{>M@aJfUsndj-s2*I#^GCH~15D zF3%GAYs$(TR*yB$S#LDVZ?#fh>N*jd2Kv{!ekqQNbYns}9)Lr){)4||MTsrx>v!Y* zL7XajVgSRQ$Oi|SFFQWVm=+3)3#dNxBi#wa8rLdG=NJ&j^NP09Dh|#LRH35aF;Zk-rp@$S`1C4qSFcwHJWFX1&q$lhb}2)>WZJA)he=O9d*v z(B9CMy|5|~HI&6`fsr(|%&C;RtRrm1(*??ZuO%{`ljQr=&;;%S6fwNt7m^X27V~LP zCUs&g#MA1kT5gLgkQnz12?%(xQ?1e$G!qK}s7Bjo&(D;0yRkL!jD zIbD(F@ENJ*a;uTv`f5PrN99Aqm^!idpdvSj*@C9gaLmar_|R`gZDU8G&pt!JY{b_R zd-h^6Q~#2x>JzQp>b_Qc2HAcbUHiS3fV8$1^8t@97E%>0LP;%itqcwK%KE7I(qb&` z;CeXb!F@3roOFeSCXMO{xCW~-!RB4qglG5g1{AOlj;H!jILg5=4NJx+`iI*ciCH34FNDq&x+$*#1%s^ zfrT{rhx`pOqX*V)fkd3anMv$WQ++o&c4H!V1yY6yZ@i$Usg(*geF#aEi@u`%$QJ_a zS(h458%1RAl^@gEmJLQ?FztWNHsZ1;KgCx1yX*iwNr-eAR;{h9eqAM_3k&O3=Q=85 zk^N!VGzR?7g)})kF^WHa+rP@&Ts+sB=T3*(Sf9&LzbP_jJE|!TTuT^nyg*SlW5r=I z{9J0xXbg;yu@Adb>D*YHCe6ljBuP2634z-=O9yv>4o&ycI$CSAz5j`m^sC|P!BXae z=^OA&TdYk-dJZ&wewVd>%`ieUEG5Kym1Z2p@Gf+D!< z%NXLtM2co&Z0!H0$dJp*TBPx{U!?s#1!?4wLdbbEW8=Kyh?;Sm8FT%+vOJ)T(J6= z*btc^`+2EV`Nd+|Pl(KLqBT1H=S5An#^X1bnSVbUt>QJpQ;v6_t<%?2@%5?k+y93u zUXI=Iw4eCPc!^AV)bI?e>l9Q^&_xoK^;WtVt3q!Ow(a_@Uy?*Pi`3H`jWBQAXMV!E zX3Aed12s}ASGoFymCok^k%W8+1K|FI*lhjKp{6J0-q)W5S&O*H#B5m?Pp4`*?wN>< z9?dExQl%=rsjrjsB~O*{M17z z51Qa@e_tj@{FQgJ*K=5wb1=rit$JL+`u`&g4;sOUeKCpS2Hbs<;OvG4efCf2ocD)t z>Q2mJ^hoqG()T2jIYT;^mTDSKx&j+Baz~5Dz)XcX4Wdx9F0(jRk&)v^-h=X{F1U6v z-_EL0ff04AnK7fT1LTn3$pl>~CW8?-Ha&yqBihC&EJQ=NjrwqKTE;y|Iyk?W`CN9Q zC&OH<%nP8k+W~Xs{bi&>qftyrX)9k)6>Q{nP%_N_DN!$*{|vz;Ng0U&nLsJ?LDZ63 z$>z-CoPXd~rsQ!Pji=iyjQPu5ZgZtoer-M9 zB2_HPFs*{f)?X(>*3pp9(Hz6W}Wp;gS#a<8<$h*-=dXTo_)qx2M+ zcji%QQYo8JMSa8S`U+PZiN8PHxi3d#o0fLeF_U)DbrXTk|1Hi8fFv& z{+ocrxFI399}0tvb2NDCvVj$WZen@Ds+mrxWSD^iAw)xx^hc64b@^LYlK#j0EfvE zK%RxY){GP$A2dUf(yvpS1HUi%UB+h0#!Wiw-mDM(TjUHUniz1XObwBzo9;JuP?~@k z!jaUk4hUTf`wa1|ue}`dBFCJPh47hNW(JF*G-hn@5{w{jEe1$7w=dnv&7<--;{3`} z$C(6)Eo9D+T6jL|#w6P%ZN;#aa!+779D6b-IvvXO#aOFxK^)C?hLn&8kvvU7FQV5P zU_WLSc^GKP&BAGAWfnQ3Ulhr*Ed68tZw?T|CNmKQaXWdx;gr>M9)~NKFHAQQx}59; z%Azn62BM1>KZZVXuiylh;I6?dLgxLNQ>tx&wVEdwYqkB#b|I-F%D=h3JR5+! zB1e))ibW&bGfzu5T46I``UW1%WQiEZV-nZ)qZaQ^sK*1WIBa7fKsu#hPTbu^IJc)_+Ne0 z97YzLcO(kls4RJttb#5f#hsTm_ms`!H|Yw{-n3E!c1W1ZHIDw;S&MAeYHB)vx{w>x zk*FcSZq`el4h9X4#SnpNh!o%=t<78r9ZS6}A1>e5o(5mX8A{H;z;Qzi9(5xPu~vyN zz#%3t$n{d^WWQJ^#e&JQLiT<$iI&9l^W(p2<#K7DlPRd2U5|;7Ja6erIY5O@Iu@}N z1<)7XmUq?|qW$IQO;VggXmZVb3UnL*-UwK5H5PJG4sRM6BPC@Vw>CVh641qMH!_4m zH3+-d+C7uQD!H+>LMgPqfpfxLS1#&$jfv8hPULjqYm-xH-!WfgssNe_ez3ey&7N)4 z1WrKQ2A2TN!Teif{P4@3?lo2b2s0q|Dy?ytmgoS;~XW;H)kVf)ItW{N6e z0#?46p`VhI6*{aS5ErzYmIR2L6p{MN8@qUrw2@+!kat*=Z8Xk%CfuF}_{ZNtR)2t{ z0ll2IYB`t~U!^;HOl9C#UHA?jGoVFI0tA|ZurUqSP8LOV27&XODXW*S0i%9cg1~!&)cveKh5V zUn;-h9x`T59dVlShTSWBhh!>}G#NqK*q&UA&e4#^{HIuVf<8Nl^d}FM$gbZFwv4oe zN8LzZPGrV$fG6PsQBy&S@oo}-UBw}1_b_6-@P>WmLQx?wm~xkq03+ld(e;GpcDa9^ z<{_Q6xwesoXvQvPHkC&mAM-Hur&hD#1%yBphRp@3Zg1+p?C3>nY&4oNp9wV-J}6Vs znB@Y)Ikm_NmPf`Ck0I<3V$d6@DRpFr5r%1u2%8QgcXJxSGmdHar=t1a3hzO-lIU5H zz=T0BTACbDj4;fkRsEPBEcnuATR5h6cjeTgThZKJ)^Ws8{ZRI) z=m=Th{rxI#&9YbDZgquIJoq;5-+Sm^(3qClhNO!&O#TF(nbz`Urc=od&6W2_#8&WI zb5yphqnjVYHEX@O*xAEKH73IQ?)yyn==+ZIRZ83eq0t<9CeyST1sz19AMc0C)#Y^eC(GuLIADU zusZd9zABSMt&kwRZ97&&i6KcQIOr^3z|8(0$@ggL8FCj{j;(RScrrXyN$UU@?l(a3 z&RW5Y0dCkD&Sd3*F9@27TqD*N1zG~@*!XCiEpT4rEjiHa5I-TVK|Jua7Pw6~F_xNk# zyh=)8TAR1@+4u#%2x0AZIEC~%7MH=K?w2|2mVhzhtBy6 z#Jw%vGI{DAbr>b*<|(_D8M<%VLR8KWn31{UjIX4m2uyngZGGx;foE>4~Un4r#h<73Q;LYCJt$a#n%1chEkfKfUiRIRxX_Rg@ zfN_Wd2%C7;HnrH3lu~POmJ$gvydM04=*suWhBccS6PcVgO}H)_WU&}W8RRVX)DkO!Xl6q@n`?Q64D?q$aGY{50Pr$shZeqs(Q=$WXtfnxUX~%+f z&e(0J3jX&>#e4Pv@ce(}%+ZCxufZz#Wb0VK-N>D8W4FSnnT}B$3CZzeJem#l`9B+C zhFr~7b}HKHpdD@XjjYc33Va+1JONwI7ys$7eMPuQ{}FCKEH){uzXTm$2P)9saM?T@ z^z@9t1NlN!uAt6x`2OxyKmEFmC%`X;4O?w2c0MnOIN>IEoPd0jzYqJkCfmC1WQ;7` zulURBxLK{UmB5&a4XOvkUS^JH2S<*>A!}LPs?M2A!#SIS@45_^v4j{#R(x?+85pS4 zOd-0EmoSN|oA5#KJ|xvl!ur-)>$rGd!lvN6K9eF7d04xL5THsUoQpfr^)ZP`!Ei%H zjnk4b9WRvA1I$@k4c$YyBW-gy@lIBe=!E5zh%k1!*!p5j%;T`uWl`K>i$Y2plOV&$ z7=|L9a?Qzb9rQ3L9}>pq1dB3<@#U}4vGk-1)hjgYRha)zgPucMf-ah&2)ts7;mIP% zkYlO^xsuelT+hcYXdKyyx2G1)I=P%-Tt|DG6l8>`A&Y*vD_CS$&R)6LAS^U7NU6${ zjHj8+FpYFaif7%tR^U8|8WNBe6AMDE)a1eJAUXulStpOV(_Q|bxWz2B`>Ok|aHtm( z#^xlSG7S&65Ror4;i^ncXDO)-y%K3RE7!ZO@zxe7iBrOvMqP(#L?Pp~u!Qt9e?Ey9 zWy?0|8Ydw%RzAp+Us@e4Jkf5V%y8|;A2E_qL&j&?Wg6jEJqD{7f?}pEI;<-5Grf&jxsLkMZJZ&wTxyjJV$1UDfzSyRrazf;2Kht_IvXR_PAso+A4<7Cn` zbDo_>`DZnOpi~z;7>ZF`fM~(Y32)F!6_^?(P{)KU+otZQo!tiIv+H313%5SsDCuvC z`3SmvK_7|()Q4t)3pjT$hB>P#@KyJeqnt-=JkaW#Cj(E|zPj%8I_D3-Zsz~E^o)

eJ@SK1j|&xx z-0%~TyO& zOqk|l=!;Yvve5R`f82{^Ig+Rkm+)bhp`3^DZb`zQ`V4xV(w~R<jJ-6)WBT~M^L7b|{a8-`-H zHSyiRe}@spsL)(pgg~jg-%Zy#YQLGdLoOXJrX6U$a}2#Ge)i`P+1$#ul~!O-se!)wF0wqO`De|ROkWYs@vjJf zemm(LM+;6r+DCtyhbUZeJ>ss*i_?d=XNk+YT!hNX;^NR1QnUW=@`V0yJV?7UKI+YY#Mt4s!WT zvi0r0yNn}bxZo{W4u8bH1`4JxsJ3deiS_B5L~TXp>b4o=!*%-x5iZuIGzl33hq5R3 z1JPYE zn_@`Ay;78MIYYKo``Ryi!xOEPO(CVMo?pm;S!;~ZBHW*Ot>5V+IBTs@eR?F_glJ;F z&-F~-LAN1?7~$(?(&yA*XG+$n?-8JSc2$wgGu;1FzMGH8bz!XgCwuv~S7XY**)eT^ zKR__H0l~CU$0Oq*4Kj~xhUM>U-WT{2%2F9IqtT5@|nic2i9%UN^Q5N{_l(e)wLGWiQ?A%ba1c4 z0XE>fHY;Pw1wVfnhI_S+quF`~m(DB9ioyl=Nm$+BxW{*AbPfq=hWC$ z%;y2oQkr@ttlZ5nij8-H?iU%2zsVpO`=cd1nVgKG^Dz8NhpvP*S7ht8=&@O_Ea<$EXoho#x5niUix1&42J@zZSe-ViEsC6BJ|4{YgC4#g>o|%h^^_{T_K076bUsObiErLUMmy?=Ma(u@{s8lHxrM_+?p0kcg zspOwkNwzxk)ep`S>=#PF%oLbv|fE;=B%}*IYqGl_y1FwYAw(N z{J$#Z8Ds4BqhEJmZ*T9{>XR!Oymv~NnUJ_fQaMM{eAqhCpDqho?=T6)}|;$k!}o=1b<6PW6KDoSK&F*}jH@ju0sz=Y6MRcydH22bhLRS1js1{R=U zmK8muc6K$YUCil3 z2CNwIlbbR<^}QS+W)FBP@_&%@GNh^M#C z!!w^w!zehw4DUwA{mI(YPJ_A8-LE5JeUtw==IVAWQR?;o>Eqm^nNYw0K94)hr(E&aOqCCV)=0W77 z36AEbOyX>)++4}17zFPcuxW!+#vh%NcF~O=4A3-*L!>W(FoDj{Mtu{2i?ot=_bfqW z)b9>>k(;GA&&4f&*1T|Znp%c-dEOxBOyFB`03eb3$pjg?<#8?VZqOw;+&%z>$%}sE7(wE#l zsAWqLmD(YsZj$w+DE|=K${B>A_t%+uDUSSdnHW_Hi}BnA&r@uischG+w+X}KyIlnt zbd5nU(9ue5#EZv6HC!Za?V(>&Nxy580Q!z>8GMyy){h|2;6Mb;DuSiU!`4IK=}YFm z4?>ObCn(8yt<$#!VD@}P>tWS`3NQ^bp+xG&XQoOjj4FjvA|FyJ5|)J;be`&gGgW5c zXsWig3$LNxdJNTNT{Fk>Uy(jHzl=f%8=VCcbU6Yd~3&(o&eiM%U6~m~= zEO`be`>qtTqHSw4{HB`r1bYV+c&40Pl;>&%*yabDbb!-l+-G_5*EHY0DXOAK4lr3y z2<@%+g9y+4#40?JMv7M>#tZF_uFuPTAy5lo*4LKagf18Ors=TpvK3 zCsGR(YBp6x`8k*>ajWO5KSrapUmR`w2}rxAo{1c%%Nm64Er+2|AA9m zot?5K%2aX=nV$2tW zSCR&ba)0=XP?Zv|zHK2^-Z)YG5r<9g!W!tCzDG698ZO3`6I^C&@7)`H{bA$F!7*a( zy^hQzHs!3G=ZEgq`ag29rXt=n;vd_!L2*a#pEFVXH z9%t&MO0|)eqcdJb#KL{)`sewlbdQ8I%LA))MhqeI<#*>Yx&iR<)7e7BGQnORI9~nR zI~|Yv9EJ7dBUOH4S8I3m$hpU*f!ZW;!md`s#FU^_nIQNL+V6Zoe_@)o*bo2^i_@iq z(V-EYgMEF8n`=d$V~&B4k^*9_5MP@m#OpE66BoZRx`_;Y?f@7(ElKf-+eSTTh3ihB7LbrOuQIX) z@a|0~7!pDV4kd_*4P1gcpyl~?=yRo0nn0ie#I7#;QV$!gPw5V=I%y)Bz%9VYO;)n( zOX_WwsUw4gpggbKbBb?DVcrgDok#6~229MarXFK?5V&i9gK`QIfg03i5}`F$_dZ<7 zcLeWBWkJIuPhbI%H)oS;DQyo%p1v5D)7-ZjYJ8~I?yT$=wN*!s8C!KXTH`R-G}mg_ oK4BcD!C6ibeM(AF%5PqXekN5}lPp3$oLP%0VyS=sh9egL3mF;$+yDRo diff --git a/CPLD/MAXII/db/RAM4GS.sta_cmp.5_slow.tdb b/CPLD/MAXII/db/RAM4GS.sta_cmp.5_slow.tdb deleted file mode 100755 index 8b39503079d547fed3eccdf174a91a6c6fb7e8ad..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 36337 zcmd41iCa?5`v?5AxSN(s?pj$|no^QGLc8@?nz@y!JZ5IOlM9##nOo&nS(>@fZfP!M z<_-!fl?IiWJ8Oz%3W&-<5MKIy-|PJw-plJcbB>3(=QDHWzVFXxW;Xrv&p)+lYvk7i z`QJf#=^uV2;c{H;mc3xxJ+^zd989_#ePN3o*lx=n2hd(SklmiW;4MDkSCTJ>g>N|( z8Wy!>?-rkbw*-Wr-*V)_b6I%C&P7u#m>>q|d=E z2Gf2ozWV*pbaZsowJEUH;i!j4|CmR;gG_ZcuWIZ{RGkA?GC5pPl`0J1d}e>lzXb1d zfzr)IF0&eevgh_$%R7I(ka~?T9x|J}=n`kWKe|zJrlvc?%a!#m404CFLIb@w2Q7Oo znS)mHQGd+%OeP!DcfY_g#(i~!ihyg@!W&!0mOhD&nT`oc=yaB31y0d>??u73O-hfu zHe-7b?x~)zzWaTp@1Mp(3VtTa@>l7A$SdFSHwq%FNoq5>A}Zj&kX%Gmxlla#o4)2E z4tE-x&LQxJA;X}2%VEkd`@xf9_YlvB!y<1`K~*UQHkAs4SFMmD$(U9|`{eM<5n98d z`}cXwx1?(Jau8%Mlq0%&$;G^_;t7tKZ$8!A@+72(&4;k|hLF?paY2Mjg{y-d`*H`x zVwYjiZps_ z{4Rns<_V*1qb+6pGUnyVhfOAC-h?-1f=h?Rj=8BQ{&yK~*(juPRud$HeU6$Y7)a?0 zGTbt_YiQfeQ}jVIKU1p9%KBAXg} z%%UZOz94>a@rHHS=-!`__FmCP06<7>(_wXd%Wo@J`Sz0Y6U~7byz6WKu-C9lo1TZ) zTET2=z%{Em@e2)g9G?`ir@oq`ET8^ZsrKq)P5yV&AMd}coMT7%_3es2Z38!&mpuM; z!a^F*XBJ@c1^2S)dANV=O`lhBt%qglVB7PO3BYKj+b2fA}`n2Xb`c%55}RL90Uy$=ZBE9t{)B0DBCg^od?o7p>Lf|v6m%jUdM&X7@` zY}?GhE^*XN%9lR-5}@8thM1ZVOaue{*`UY&sCLK!Bbb#`jjcGsP4RWHUuPu8e)z;;J9T9`)3w)q^GVongnc~A4i~v3`*k2_&yHcn-}nYs%Zi@ zqaNd0w?&%PN1zC{MDMT>>f=K{kPL}&U`f{=nqLe&-R-OCw=1icadah?;cA`4e4#}M}yLz^4}Qcw2%FNVoO5}!PRpFTH)=w&BiHKb{7 z#N;t&bNKVt5)>&;l|2au-ei;craL>lu7hdjnT|$W@4iB|o3F%NtKxCKa1US8QEC|4 zk)sA1;Ej_|g_|(fnASOb(;brkNL)4coVjE_iH$1M#bf35eX`{B@qg=kOFFgx*5A$- z+DSc`sH9swH+9&#HGnj=m{*|=x62bIGTRNMhxNIoM5F_xKp4$*wQyp0o%FCzF$HvAtD7rx^jC{8noqc}7S4q^tZG^@Z#yt>d1M>Y63 z4@pAB>Ed575gpBHaJOy)?kX{@t0w0rq0QWx22^ z(QZQU&vzw+jsKG3+P+2cP_4kTkw>bo$$dIh+DEVjQY)s?YCe}e6H$B zk;gr@3Aws=t?Xo|kD=^U7w#~+uqt;&C*>4`d&9MrPakUQSvnhR%#m$eBCgq0^IfNauE9UF@|8u0ASXU%fbf80*QJJ#3aCNp9m#>_9T#{lZ5O|Fq1w+T` zWX->y>etm3o6ViuhB8&mk_h!mV3D?w{U^4{B3=nRr-cg}IWMba;S!sJFNcC`#h?8z*Q@>Arp5Y z@r546O~?D(6Hj+$hMmM9t2vxUAu3%=Y*RJ6%|vR`U>UfoXK@kZQD%t6`kjrh7GChZ zzO{9oOY-#Z<&fM$q39RCcn|pj8wAYYU)1u_oCFV!F8gWlOjOz}AXOimnqEMC`pKgY zMrUurLYW|aQx0`45!cU9Q^8vF+Siq3id&|OZ$E5v3#zGjjc^N>4C@4>_rH5!<#i#E zqT!ODXaYS4yC`wIPRV?@p5DKAzJ)xy;_+(X2A?xL#;>e~TtJn>`c=76r~%RfkxOM* zt8qeXFrbCGmn;7gxqH#$4JHAbFabv+l9yix_JEWFEqo~`PG3t+Tb?mFlK;}si33U z5IMGdkd)-$J@qf!T>`Ox4@M7n(N6$V*|=A&2XMvyX`2Ij$*E1~`=}I5$9JIY#wo_{ zZ|CmkZYUg2i(=|sjdZWBk;^?dU*}!`o4FbV zVj2?|zM{)RNd@tR5$NHsQcP9fmFs*ZrHa)anC;M=>2#u4-;_NOumNA#UBA<>T&V_e zk8dt*G0Zihboxi%JLKm~jGym!p;iA|!%VH72R2n3Kl}(%_3~{Fb122VxF%C* zhqiEzmtL;^a}jtL=!(G=9I>8h$=E6Qzz6$|_5uS2WV4OfR(09#P04o9AP%lZ75Di| zecyOB7xA5!l2w1bJ|*c{%eWy970fy4_uc_A%{kBP1Ov~Btr#M=eyC&U%}IV#+vYa* z2mhIV?#Nn4Fs1|4-TAaJx?^H+ClCmK^~s_S4jO14?4=I@?3`6rB;V0N9_0!XDLU8) zw%0~r_!i+Ypa1c+&fT4+OE=*4eJcjioh(_!C?Qq{JE<<)%#1P!i2;e)P6CG6WC?v2 zdPrUNUlP=cEsW)hAmx432#&7L>W?eqB1lSz@v3#5qp2P;g$;U{baVFVAxwoVkpZqR zwwFGklzczLTHJ>=;HYt{u41jgutT);-M2qTc~*fVh0 zlflPI#aH=|Vbi)HnjWEu?|8SHE!uzIK%FCwBohL{50cpYWpn1BfoUNXr^2qj;bn#`vW zybXyM0)@i8)ObpII^37-j*fN~A4w+~cqnqT&nU5FbxmwE`E&m>CK+|qk6o`0&F0ZJ z6tWFHfO-{N$4QF`fx|Hqo(HXkKu$8kL}7%E-VaZqb!MJuTbs8(UMj(tNl?(`w$94po-m_K?O-f>i9>z2rxh- z;wX$vdOE$f0$(bx=4FX&C~1|bqctgevwj^a|8Q~9|ox< zp4(8!N7rt#Xc7^!oE9G&KVEsOyY{lZ*QP2!IeNf!vg!0+suKt9(uY)Bo`%)1W;I*9=T41qO; z0~Rb}_$7{*yvBN7IM}7)Ie5=g!EL||?6R6E{4fKtLVr}3X7YN~>la?5Av@4_)MaOx zY8L^j8V*LndIKE>l5plE7&u3autyUcfz9i9Wrb|XvVH7aJa+Wsp zyzM0L%)%I_Adbuytxif^FY#hNHK>d1H9L7+uU=pr}^LA`5#7U|f@n?A8EkUyqH+5E#0pOKLDf|pm#8Z7kGY|^!wcb+8B35PU*vVt1;bl$^<$)_ zv4<>rq{IP%POY_(TqLE0eB>u((I3p1cmCC?0ZrdVkL5?%NkWMApBSB4f)2Zfl8fZk zSSyJtDP{8q{^u-s@z>GQzz&1*h-aWrjBz#Ca6Bf8z4CuN%`1aGmx(L+I(h(bHb4h3 zAxKIKt7Gywa5kGP5Ze-|A7=hoNZzUAb9g>>fLxk>Vn|;6$yCSVcx*dh4YBZ}{FyPM zj=8}z2Lqu*O)5jKKX+%C?ULM9$GqcZobK?WcdP0J8ON?zP*7Ow$IfdF-LLOz; z0Wv`^zM?bVtPpIOONcni8F-`()6>=zW|e9}NWTR6sUO;(xv+w)&}t-(-?&Kb&R=-} z*D0;UX6j5i2tBS7XM=6DX!&q13EkKc6C*)Yww-b51iJ*NQF{C!?XHtsj4#DB7XlWoflf=lcfiIE{Jgc}Tk(!osH>ic6H z2c{`@V!nq^z)x#O&K%%gMVJ)SIC@0Hu(_}Er^aXfnNfzAVlwDvPNr}lB&43U6>U#y zrLtU*D)8QTp~rTiGJIF>xugQEc{Z!P<{e4ozv)%tfO2HnQV;1$I z6MZ$sbVZF@{PnUn^eJ;F>D8j5GB?tRkegJIPIQe(q-j%xw!Z`Eg}zugs!Cn@iuY3pQ zS%Kzj2-E4C9IE-PeG)82qA#H!1xfPdvkaL9`UFW-n-f*aD{c6((& z9v1PX)Ll|y=c1-ikr+fZf)zv^;Nw2e>^4nIKE`4q)$;g~jnwlj*qyIuU1t8=n}I~4 zD@*RZ+UeHAE&0lHw5!AgYgH)aQw8_y8p{C*M$sD=@H6H9BQ|wOluQ@~Ajn@X*11^Z z(TLBF*u%kf2|0YWAT#O)_S}<0(NSuI(Rf%$dcC!zPaT`a9F~*1EO;5Kw(ZB}`4qMz zqqil|O^`J$8tUUXszGTddkEinUTg941+NVOO=H~mzHBWLJ1wI&6Mn&Itd5Y+Q?mn- ziBxb~P`;^cLTW3b_0LAmX1XPNyW$Y%*KaO)Rv7Obbpi-JfBm zs{BwjOiZv<%hWMuj$Dbs0)YdsJZmsG>j^gQ#A=vCNgsx>b@G&~su%KcThL~tFo~rK z-FnFyR;5fQ@$_NT0YQ1^PMaalfuR%&tTl-(y>gRh170anp+hp@ThW8+n7@&M4AgJJ z-(l|clsXU<4JzbppyX?-H_&Dv{Y)HoK&$5uaz54)hxb9IMaj&Xh()drKJut6mT7Hd z%Eq1o?6L!J5mT~Irbk4g=_b6dBK8EJWgwAjk#OD`V!L5Tn#O&4RVL^>ssp=}$IG&F zp{l^QWDvHX@#@mMyc9dYn#iFrj=t zhAEnD?+8?3%hQBwi3J~L(6-Wh1{I(z zugb5Yqri4KLtAlWYKH?bW!#nw*>-e?y0nppumkFeqTrn=FAF%;@=TwnF0JBywFBrx z_m2#dduFEd`{k1s#Iy`waH!@H?0^}fY!9T*`2~+|2aFK09~o>Fj*xm8qOC03O2Qoj zkOpWiCjA2MVfxSGGpY5kh;5^E-T7; zK(!^(KQa=Ov6DPh$HODQxO^%My(ZE>%?#K|^3|bLykR?Ff+%WNzg#X*#_r0XT1v9i z@z;19JK!J@_mPpSjJ3)D*-8+kFsR7Lu<#-vUrGi;D!o!wu^-}Vt7o5tX9-Ux1tw|e z%nw@*(Y3!U-A`^EbL-=9Q6he%E}v@`6raW2DTyYrlNgai?jA^pb;dJWQH#2J)nQ@1kza%@|)rm@lx&pu-Opr3gC+ zJXV4Js~L<_gZ0EyNIyATIeUJZX%_8d%=7Mr`7f<%R}gys`cJm?XTM7MQt^IbiElrG zDD>cYKf`wL(l(F_$qCnaY3ey_e)*FX)0-On@}1~7QW(TiO`agNsjrAguXvX8Ngy_x zO=dd>19jOXK8oU>Mn0T_qHM(HGWS+CW^(WGZgPy7uG^jX<<{s_5?^l3675Q2q9A&& z@P1DYK{#skA3S}koSJ(AJqd4kG!;)si8B3LyaWX)QKb{(olL3stK;s@Y@~)09UUQk z30b_8+E9Mbj*fuq+SDPUqk}Qblxk0Ov@F! z?|8WN??#*a#W1lO9rG7Ma}EBg97D4*ykCwXhB$mojv6?v(_N8+6**rQ8X>Qy)aP8a`(8DB0d|E;F)3FE*(Xbg$zBvTmT zfXgwIzd9nDgphhvQ^TBA%VlqQ^M4<$tEn-6AFFw-e{l@^;s*cXNMa`F%W=fLgtq_1 zAy*h+IS%^iH2=Riq{McEzi(@xxqsjO!wlXauZ#5Mo|l_~okB(YZ8vx*!r^bbUcd=? zLzuGPU_&`70;r=%4ia{3so-xH%#?B6@-E0uE&bXc?*dEssg2HyENs(*c~E0=Y*Ni!*^1~$QubH^P|ujZx*sIzO0vOpE~-q zPP3!~g&=%RL}6}3B%g-Ts}>CUb7uj88(QOulZKv=5NBTCR<({27tQc|-kWqL0aQw) zPu+A9`gd1-WjVEOE9@~MsBMKtY8O9p4*%m{USBslw}6kY zRQXo~R$(;iJil7(k+j$<^ty>#efWz+?fod&2g&FXIOr4>aS5#)CRT5Pf41jiet!am zKJmf{N3H4U;Rr|9dO~*J170fzk>9jx=;MOBcNTz?HC!iE-xX-X1m#@9Hk??kHKm|3 z>L~QVcGZ0OYG4Z&3Hmgjb~XWWFbrd}Nl0P~ROmvo!aD3Dr)c456T}Dz{yt6XGYa&r zT^#j<$o7~&x+RX$rbv5TSiq+_I0kkd;vJNec0{Xb_}%VJ@zSH$;_Au1Na=PK@CNm& z%bhM*KE?_ zPH_2KugHP%8X?Y>Cp_$NVYAOkG>7~y4uT#q+1 zGSjl~nG!m)cdNZ*tqdrYMy55r){Q^UN5#IuUstVTzYA8{T|(9SUV^S$f3{atNlm>_ z2z-kCxgbjKZLqkYJN)Zq+li)gP0>2M2h^z7pw;uxR0XJbnd^BH!#fM zja{=c_0k+VQakxNTyTwy4zVZ`Fbj@BM~6Rf6a#nGu|+@Q>W`H3e=dCF-@zLl@<&vh z^(%Rm+*CZb)>M#Ex%3=9z|lzOivHOADen@ZLw4s$=Sx!dr>X7NC6{X24cQL8_2>$N zQXBA#8Lrzz9bV{#)(sVY6ZIUy+EH_SsbMR6rS-qm4_0+x-0z;my5h9IcCSZM3Plqj zo*S-R&DB<3RH+udT1YKIBrEtL(xx*`Gz~Tx|Lxn;TF@#(j@Q}$Hnnr+H4Ed0v;1g^ zSh(NMVY9v>;c~kq=VLF%_<;rLr~%H_A0?Q*ICmvHM{p`sNkLvHhb|%s0s5T({$IQW z->wA7@d_LNhxg~1!%)qt##`bgw5dgEkI>h=#0zFtp?tPCNrHaYoam`0w;KD_88$7* z>mmdg7vS74kM7`&Vt=JJ?5VXPVAP#WPxUU9YCid~JaolVZW(#|vnbwuJMItlr$EO> zXPA$k-7bB#&}e#6=N^1Q~r(rj)Rvr4!z*`d)NH1p!NQrP28tPZE->4SLq)(WBxA=vMq)x zNKJ}}kecv{FC}MMLdH(@+oWTkkNp(1VhYE2>(0OuRAMQPc~1uujTv`8eQ+&wEKCR(9J)lAiO3rWjF6lBiFlF z867|UZ|E27{enFU?;jW#$7xeKt1RFBcSW*x$e>J*d^XjjZ1}9llP@TTZUepW1Ec0R zL^`a#7cu=;onRR?V~HVeK;2mH+}ZdO7vWcf`a7y!F)zlV#l5wKY#@9*6%q9AHGCP@ z{o;Tb2mZ8;UVpF4yvg?=`{ zYh_wZA2~rk$gA_u9Yp9rwO+mh^JhY+%_f3heh~B*C(YdKgjQCcQu@02lm`crx&31T zo;OhlIZ?uM)5L8@<2ZxzOTd$Wy$QA#gShLeQIHrS_UpIf9zEQEFynu$DOh*N??MYy zK+_wW(wVN_X?Ymd+%w-??cPy#$I=15?~Tw=D*Ta*cCfP2wm{FHOP9Ue@jD^zx*diX z0lkK;-Lc@NS!5x><;y&X!qrQEGO3-qi&!;@ZWbbL%FQNBQJNN+0J}}MuLK(>D-OI(tMT;a*xL6E+r<*O+oO?urCeA+blsrGi0)gyXD03tt#0%C zSJBw*D>cgOv3#WVsi3NpP8?G#Je^(cy4}0SDSJPeuAQ78?W24HOF~=>{j(1KaxgKS z@VFr=oIR;z_Ho)}ScSA)y2sBtZP_(?Q2(nahUoZZ;W*K3%Y3);%RGy>g0GbxBO`02 zCnOl_aS-vS9N`9wO)@VVtddkM5^FwwDX?ul6k{=TFvXJBP1xMLX9hN=Grg5?zx?Yz z5rPg4V(;kK^Xm`!>KdEF7D2}h%4=?sm{FC&F7_> z$0S;60_-mSuJ=}~3sTr!o-`#Hqc*Z21toasGacY@7zi; z`JO#^D7n@O4;R#5SX)PO&}&LA+~==51B|{P+`8tPA@yV>OvVS;*{-BL?aiHSs6343 z*$>5+3s#WCV%_+tFS36}4!>Q}eV@!2ES%l`>2ta!CF!(0aJ<`9k#%QwYD0X-NvGlC zLQ8%EZ5uA6oVuc<)Kmbh|JMEPPS*|D1xeIhCo&jQpGn=DamA0wd9Vh5nIW^v6YAAk z?v_qtqeDj|^7DS0h9f`rb|LEcEuPb>rsq@>%bVb4A$NP&!#U@6pb5~9oy>$kB|-hu z>9DpvS0>Mmgr`$Q8ByyoCBF&w&p~{k&ke-uc71>sobj@FoslOovAI=yYxT_wsnk@9 zYLQ&e_WHvSKW(M{MS`6USDI59gPKOqSIP{l*C7fN3c2Ns zpaFZza-gUGWZUN9y+Q2qs5Ikn;mev8 z+CGg_q+PF9$L91$&F6<>l@z3U3(l{`B*D2)*J1zt^`Ai4+(O|H8%!dvvC*;{`9hSj z#TUenk)1h8Y4Md?ck(h}8yO?z!-}@wI z(Jh!rZT>jSE8LgSK7l}M{M8=g*)F{ z(Mt343BAYzUpOrd9jK0?@Ulm+x;@dU+gD~n1+10VO1YYtoAP-t*c9IXsBqPt4KL=2 zAfBTTrXa;wax0U%q!m7xiOu1I{sp4$Ef&{mNn`p57R>r^NxqiUrjPvE_6Re9;!@2Q z9^F~cd^5GE7^pP(lbgFI?RSUMpilR@$9~sBNbKBy zoCG{>S-$*o1KpM|`KPz$b!)65;m`)M*dt@F@#}E=QIm**Fjp&n?j#2mNQsye$V~0G zQ6yEbbr#DNB3t9GSarL7%moWBVt6n9uN6FNQ5 z?qJeu9Dt&9D*if`{cg7*P?L*n9ur?qap8$yeQt8*PMme(mH3xMC15oRA0A0LGEyDM zt24O8j#)^piuQ=S=`>91fKze<{@(;%{RMSA|Av?|lk(G?C3Rl8-z7U_h@qqAyCTZ| zBXOeA3oGO5o#nBL>%$;y0)AfE9ZFRxbK`y7F-%oceyX{;%j?zWB`_EN*okIgJ4}^A zjmeJQz4bVAGu!f#{26r1NSh@_wEOPhCVR1)GMZo}uIvfMHJQmGRM`qbHlbP3a6@>(uMrAmO)$(3QV2wQu=F17a=iOvC45 zpjXoYG+$zmxGt+Z+S7_VVPHNJ#=+PDxO6PuX;5tz6#cG?)5y$W3Ggon>tyRf!8fR` zSC6Vl3WyE)yG{&QRn89eIqXNvqmE$h@OZGcRJsj7{0SJUz!so-K2qF@e;06Hsv?q) zCD!?sIiFyx2?PGcDH{M^q*lFAMFQG54(S^dlzUX3B4PJo$wnk&Q&? z7Z*FUA3lh>Qdr8z;G*3rgP6)&F0xmsf<_dokmZ`u>|Z86sd@PoZSQV)L``RBbdXUm zQkVN|x%KVjo4NG121a#A;AKDhJ25q?bGtzMUEO@ zU{K6aK{XGn9gwY0`m;^SC2m$m&*y6B;8Gt_6{PKvVS?0$^UZ~eZ<2}><-8Fwnj`iw zXX)Mg@4F&iy!vYYtjjzSa@od|_0x2%1MTx7>V`n)7rR7gZ({&dWOiCYxzShm@sw1` zjyurU-_3bnFBRn@4=$;p_g%dAnXWy>o}zsfzqPS~{x!~DeYQ_N5Rov?qvaU0b!QMJ zKL-C*LS=2_d?!M6`#KlI2g`A<^7B)ttQDmDBE#5|r}>kqmrm?3!oslkyT}~QTmF1? z`*o=$@rB%a#1z8x83eu6i2LM;+~MYR0wt@y1%k7fN4&eioW?ZgA1n5m0y$BU7!ncZ z$?vbSN=AemWy!txSpDH=tb{*|-~h*L1m8qKScZ}ft)x@a*n>TAzmcVMSrb6Nfvsw2 zT8EoBVFl&xYH{X${5;GONbB;~;kY+N8lvN)^%|0oS)u2Aua>RH#D$I&YbA#>;M@Lq zj7rkZM{W)Wh5Eegc5Ri1-Qz;F(b)S(d*U{AQ@NX}%-9PozaM#O=$eh3>~EHy1+98n zPW9TFM&zKC!zR%o+wkcCV_YHakE6b*;}LaF%*(8yq!G?b!Mx2acDd1;in5<;Qs+BV zq&dA$BcC@`^pRSNkU8E#gp)x~P|)3(1kLXH_HEd6zZghio(3j`eCj9NrXndbW9|`j zz9gy+tS)>*N`bFb9KPzgq;`;YQ71c}n<(7i5~W6Z-`c=lhfDcpVZ`+shw&7e2YRqp zWJTzgRF4f*J$>HoE?Y-$(kwN zcF#)KPF@%G+{kVgVGAiVoqkgPy-0_9C*3Pgp2OGUFAXK>I6Tz}yE-U2T5ddG`|X)> zwG*Kvk#>77>ax4!?51Mt2UA#z>_AY#Qio3=3&^aF$G3R8SY`sPrYv-KyI@&`FEDW- z2hpmKrx~*CQ2E_da{!|dggNPeCE&zUZ7DkTs1mbY{!L%eIR_dS8TbTN9o@l>Yd$Ok z@l&{TgLEn)bswMeZ9xy9qG5A$tvQRocyYrBpPRSM#Sv0V)tB zjrP6W`|_;LkA$m-lIq`LPNI(q*QcT1#s%+=e*UP?Yq^$2oL569wh3^bRMRyV-~NJa z1R7P!0{T3%Z1jLQl~nKdHkoeva-qO(oZJ>ztRji{K7FQdH4t5M_TG`9i>Z^_H^`Ip zC$({FJXup5u}#_ZeEZYS52z;-zf6lO=5#&2TY6TOu!2#W$RMhRNX$RH>yut}yzFx> zd*B?UtYh#t%V}7*9E_qe!Ec@M*Y{A69l7z3Z{x&S2t0c=ld1rHgS?-Q6xeJ8dZ7Cq zXv4yT4474(fGr|Ut4U0Wi}hDEwZ>P&3Gvx09WC`t>6-(T}Fy^d>T`$B_+3!Z(W>#)dBW6f2!d{fS8qLV2U zBoBn{eoT}TVINInWdKo-gH$WqF(Y5NVlzJxX>!$O;B0zYuGEBmM~iCZ8tv)34y!+) zCh>OufK9aTsK&jc=f2RrN9b?UcBC6*$lE@8C&sS#S>$tU(bzxa9Vw?CR9|vm9Y0Zh=XG{nom=ZmrtLm--wh&_@r5z;8e5an}Q5JYZvJi6@*_zN1 z|9d#lA&mc~>{{H7q8!QXh-l5IJFU0KOUi=rM`59Q!^rq@$74zJ-6q=zckAbSLxmkD z4JFq>QBbV%3Pbbq;}f@esfK1okbm7pvsFtzn;*!@@$#3qH})}{J)vgaWfP~2g$RBr zr+H)H&)hfH3Jq9%>OFL}$ej1lO`lx$b)6X;m;G z%neY0X`h-ZWayzI*o6i_P+_IbxYjnwW&r#$f9}l*$Vdn3{*qFkiQ`NL((W7Mk!BC) zaAKbqAZ_K6Ghr3Iut*{&L~BZ}$~vuhH?^TS_nmYLG_>%Vmmv`J6hWN|QjjDuu)Ea9 zmycum{EUpaiqA*BLQs)E2< zo!B~L@wL3dmiN`Cai^IA)G5J)q^?5etSG7AR_iu?!T^lNC-8+o z!q3}mn|qhnK7E<$%@D8N9?GCQ_i{oMxzF%!Kw2<*J_|^#4$esxOlXRX59pFpkLQTD zN@^N;~_SyxpKpS2yyX(FIIqe)#Hu>vdT z$VgMVtX99m^>IKxld)3|MC8HHQb zFVj>~Qy8+X@~n|Wd@rYY`H0=~JN|s@isHOH*#_#YO7J0&1Mt1!(`npKHZahXJFC%cehZ< zU%0Ov`0subD%Rl=9z8tb4CXm2t+*Y@n{URk!m!5eGu~v8X~8OA@7J8Ee4~XV{otDH zwP9sK%ybQ*wYNtw5@<}Q%5bx#5|m>yUsV1goZDrInJ+r!sg7oGD*uzOm>j*Fa!Oyg zzS*IRAMR(cdps*}S#t%g=Uf>*V`8)z@Ez6aE~$~2=>rf!uiJUr^KnaF%5*OEz7!KI z>`y6WENkIdPMmND-tliv`)0rv^N3j6KQ|sxA4I+lws>vN!|L>L@Qa58&=>-b4aG8psXAom`H#K= zAw-W%+Z@)yZQD5Anwon7V(mB(FIGgz&KZSi6(API3cc> zsuPmBNQ_r33+Xl0vbE9zQ_7jQUMMXA6kI)^<$|0&3nxZ*MZ4qOeU2%}%DXt2cUdEE z4>255O)o0(`Ac|b1c4*peE6};xxgkO#p&sB)8yj|4W)?+x`;|W*3UB(d!6w1jh3M( z^^p$G-IX~vGIsSGgt6RoETOiPp5u_tA}5hXNz_%jgcHB{4!6r-d%Ze%LhvAtT+$%n zX`H{shQd}mdkVNa2}ffXU|YG)L7x|}j2nVXTk1Vb>!>}4On-PSG?ZmtNnxO7@VvRC zf}Ec9$?a$-iipAv3WgeU&{elpr4{K^{;;4Sl2K@m7xv~1e_g)Xv%^P}k7|?*#E4p( zj$?kDSZJ)uT(8Tgo3J9ViwVCzZN@$TVDosJ6?P%<%}Pir&e2(FfZSoiix@m*|a!n+mnE6=)DdN+hIymTlHlK(hR2ez`f(ShUE#bycsaG)?0DwNo4}m~UiDjc_>IH+<#I`d ze-jI~5h$cpPHvO-H+AR$pj-79`ze9bDiTqTr4-!Mp(Up>+okK8226m)T(9V~p5f|S zf&_l|s%bfyx~l3OHIb2OJ|o+LKVef6S?V_9bz$Y)_LV2T;)iX%n9-_mtMe(YGw{e2 zk55pKFPxN33xb!$$hER${!nyiYO;#NzDK^VRaJ~!hZAfPJsvOyK2zL-YVHO`Xs8?wlcP&65P#z7G3>JEYH#v-m^m!uo9aR`rYUYaKTEm$odH2-T3>ltYfn zI%x#JZg@pYX{g^im2N_pn0mp)gA+%jFwK>pIlwL-#Z~^01%?M&2Q#&_)I=}4vvOof zjD%p02)B>t`ovnPL-3G=xD|4VAr?QM}$T_49K{YabADM!BcDJPU$-L2-+miAu9z(RYd?Za5j6%RFY42=x+XTY{? zyiEHjjbaFIL-~TrWyD&UX1e@GV^|GzrO`Cz27xfiMh6>CXflK6bjTdvid2IRLfZ95 zRAkUZ|0Bu9#a4?c{087~Iu-LNVfQ`mTHNZrFjZiCy6ie&?1SQn9n)br6uNM*RKv=& z>e0bNr<-XF)uicUeT1=Z`Cg z7@#K(_~miCHOjtiIFXgos+t?%#AnnJ{c;qnMt%a73c z!xLQQNvrt!jfHeh59ag@GWU*h^_(^^0o$5A81A%{cckXZwglaXa;jLNqA400x9v~M zqlB8C@ao`Qf39@}G`83t5kKg%G=2W^L-zp{_~$3a6+HVR*&hU2TFgeF>5pC02K1^K zSdTFWx*Kosm%n-R7GUN6_{&fT8#oadbPd7ty#MHR~jG32p!ipTk^v?cld zSRBV6r{h`4lcHNTSgJ(`+{|4QE%9A$+RxZ1VjhCeKhMh-eWx6MA7$0>LnOn)y`<&NPl$xM zrq=S8NuR*)6DBbPE5CH8Tt3ZyutCYwI9+_%eNI2mVe(QI{QXj2%P;Yky~j?E13oJF z2!5n;i!?&Fo4$T(jXct{8`|n?B7hf;7MfJ}GkTO5sJU;;;@L&pngi-gK5W=!Xj+~8 zKw60IeiXcND_MaXc-q>wNL*m7xK@?^-y&duwk-!_6-zVi9QM&aNc_KO;V-XGxgcz0 zn|WwMOlZn(bGk%qa~lG{`tsLA%HbB`Q%tR;eqiT}((-d`ZR%a8&PKQXS#^rY9O-J% zaP6awkrsl?z*0?gO$_G4I{P)ycou(lPDbLJPCr5;rzQ$4DAVDRcA7||2#g)DsI8uVU4`;}|=&95te7LTwf%}f6Kd^m*!=>Eru{`-ofYkdBeiXFFdm4nl*L*kgrXRLTJ zMJFZ?SYC){9}_>Q-v6bQeXhA8x2))?usON=)SR-8z|w_!S{4-w_|KI}sq>o<4g=}0 zJx=3t%Z_qj_z#A-zbzB!Q-sR<(&%OxQ{wUY7P#;Z-bl5+bN9=(tVci2g8V@maJy;C z=BBf<+?B}87=+(nN@n8-FGh)sIUc;5t8xa6{nHAuj@+RZPo6I>RU*t!GC=B_&d<~r z`aGEJH-vj$ZZ^h3P{nID;F8Q^2X@knW7gs}ZHGH#`WWjM8d6Rup#%*j~;&LBkKV&5* zslG_wJn=nS!|NkTp=4wD<)r~-4dP9Mo4WU$rcQ~sWo@*;O~(HITk~;X>W$Gu2x4rJ zrz*Dqs0--Qm+|zrxB0(FrMvNS3DWD#UsyA?%qft|Ru<4w_~PDzQHcU25_|bEd@Ts) zej2$^6u@f`+Ej|@Nr!>^gHAt5(V)X$!WC4jN(J5I5MVqfKjYsFs&2t~v4s1+rxC4v z6ES7#(BDYb+GnF#l3~cTQb{%URn9`stZ~+G2WHcoEU;9yP5QR zLi&SDNh(3#)>`=Oi**6jyrA&|Qxb8HG4H=^)?^r9F9>^aHm){!xx# z_|BOKFMY_(9#K4nbM0TMId6!6$l`bnJUd(Z*+uCJ;1L4W)8(qZT|tAt;3l6wyDCK^ ztp1ito;DuR8p{m9q|VLOIFXJd)kgd1*$TD)vp%Pvs+`Ocy3aur+P@~-4IaaC=|^aX z);(l7^P1400x8+^60i<=VlX<>CywrU1oF<>)>%gSw%uQ!IG+M~m+ZS2WLHa$1Ifp%sH1_f^h0LY&uG7gC)ik=pOr!Ki zhk5_oqkxRF zX$i`!WqSQnHwLmLOg3Cr+`fb}QSB^g>Gkbv($$BFf6N;&Ba zy?MJj)+r|F;oqdCgHI=0ES}du{TxADy{(JAAERM=B^pfSerf#|A^z>9qR~;)s_ia+y`nOJzNf}7ePOum$lwPp|LXAFfz^(Qj3XT(FO|}@_J%}@i^x?Jb zHtGyHuiu#9gB<$_V55`PY%Al9uAad!1*YBLGQ29T5am+}+I{GH^g&$QOZ}?2u zxCy7r-g)l=&h1f!VVEM`y<$Cj>h*yoe+y-{YsS{zw0%5Amj}41N2gt%rPTL-0GjmZ zUDsz3BX4kzc+jk#m)%a#zh;6<4kCyLr4Cm)R#M4YUSu0CjGIiaF}$E&%cys3#--qd)m@=03*9kt8W3aU zXD(A{S>EV?EU5^jU2sW$4iXf~wqN_i?WK;<5J7b0V*rNHZu?TL1-(>f=~VP{OQy5D zVPjd7AY91zz2v2rHdCI3H8LPuxJ)l&0rjlf5p_ZR0$b;e5Q;T)6S(XQ3a5B$SE|Wf z*SQ`J9>Su2a)wsP)r^)t!)58NnO9|*tl=xOY}S-2vzkUNc}v#=j06xopSI**?{&Et z<=;%;YrIWdlWROnbiGy_-FBi@bhf7Fhsa+P)_gktiD6N~E8#rW-IiSF7Uj0K09fCW z;(zeHs=^z?5>11W78|PDr+id%0?6+26*n7QCvz%RIn4Zpd^SX?oCX-~#q}yodjRZmW_Jkm?|RhRc-M9Cc&xT_ap#{7$m zddnD&H}&<$p^FGa_H)h{$|YZ#?nnF&*~hD~>Eya;RKU!a@Xxp1;s>&fbTi9Ds?@Dq z=k&q%^`8#m=v%^eR@Pm_RnEpYDbOv-ZW2{+qafL0i9$YAkIaV82V=31)m?s2elLyu zDSvfyqU%|J;f6!iW|2bUWmU1~p%aa*V9uW(2cuESQd|YS?&U#Efmf@PVcxofr5ana z4w7c-NgrQhM!B5H8*8rdQad5U^6Vx*dm*5JO1GrQUo0*po=MnbpNl2FZ;Sl+nlH>M zj3(~a$M3f^fPE>^JZ0i)=P=cL#=TkWhd@#SR>v)C`1WH5>1yIlOTuTxQc8WxbGP}_ zD&~wewd?rgeQJy;;lWy06-?8$vvZ+v!NV54J~do+1L>IBu%==W42%@N+Gm0}&I@x0i_WS^^ zz5T{bH_gR2lfeXAX5h5@d`7^eE~}{Wv6iccjqAws8EQ4n>4bJv`Qn7~)n0jqPj~+F zDJKz1@@l@{goL;|m!9W~1K*m_J*&OcDp!Li|FxxCBWV;JJAaYUMlPS&7dACP7|)7$ zv~a?sZ}n=u=i9th-O!JJ=_7h8oUOOK***gJ+%vH9tUInHdKYC}bXW+p1V-~|-~5Aj zkKxat)#hCDn;YX>eC1VMy68?W&tFSGOr-gDD>EJxgrPF(_;(dFco$L1PE8AeEv1?) z)dz%1WZ`dNE!VSB*aCgH%x12| zcr$#i?K^1x9F7Nz*UY7AoP(`%jjH?Ke7w5`vwVar+4%EwaU$H$Nh;qZz$6K+GRqP? z^Snet#aS(TYtzzy$UDAFv4WCbo5bgV!HEV-P&l-~i(x|v8+y#kQfif3J|`zkjvzI< zmPQwSLweKOToas3IKY`E2t?OJ}8bY40V+!?gE$-9EN8%&3#dY05E9Vv$TJ1Y4?V^x>fn2qSB9q7&4fn*!FB4nl%bomp=Z1>^GP(`ODyIFVW)f zldDsJQxCN{!;(^UlT1Ex`e#^G8SHYbLd}v@RhG5iOCQm_zkNlYd}`LMT=Y(xx|(HD z6YDP9_JkDta^Ltde~k4U8FP#zvxfOml^`e5rlb2pM~_jvc{-dqK~;s}*^{h*NhaKm zKCsy5Ybo_OAp)^IC~S)bKLBJTc#rO#6@yE6T@ zfh^Cq1X+Z-bV3)A`t!#$JKlj&vUalsQ8%tImGhyHoHQwI`SNNFT6;cwCNM@Pa;)v!|Lkg<x!tSk8=PG}7tb-(Fs_4uq=@Ve-bd+nnqcBKg+Ma$ZlI3s*Rk=0m{ zVdwZSi`5F9xJ&g@0S0|#duUA|qp!CS>RQ)Z2h*kluNpk7TtDa#`>m^XUb(0*)i&-t zzaZ*z(?c%|wIJ0H*Sb-rnZ59!F}q`7y*zxQjlEEJ%->`cw#v5gp{u@KY8@D*@ov@( zFBwmBq(9QuB?@0;nqZw` zvyCc@MEMmmdUE_QaaI+w^-ZI9P_>f!hy;a6q1wYp!eE!;O2p#ZJ-2EwF*UH4@zCOS zm~kIBQ&SB)c2>bB{dscT;oW%KrIrdSxD?<$*_WPo*m6olhPVUiWvuJ;3FnKWt+LnS ztH-^LLXY(f-!(nkX6P~(b0sM-1|8Sk`e;?~Gii(F8hbT=PEa_5<|w&S-1dj|651s@ zoF3doBe4R8eYt{F9#v00WKg7RaN3C!%ZlQAerpzUna!=IPY`Mgv-lJ8go|rdjk;|7 zw7C!KUaXLHq6WtcRHPppP>A=V|Kut{s_82WtnRU~FP+x&BC;Lu?zI~c`|}#7HC8Ek z1B%}U7QgGluY)V}a8H$C`Pqww$V7$seL)o!$J_E+Z*)!7E|!%Z7$ZJfokUgKAF9zL z0)|f8Y@Zd>vj=Xp=*RUmQ6d7q#4pN9m= z;mg#36Yo?U18~`K)l-AlBzjEClzO9PY3h~ei)YjO+Uxu;xSDpj`#*YGlc>C2d=H0_ zL;gfoJOaKhzSUVyR62xp>gnYh(O9mfW}+J)vOj5X{Xs{C za#N$7ZNlvp!k$lZt1=RP+Uq28xY^jl+2v|nMx57Tyv!atuKYdhM#jmN>_p{Yqamkf z<=1<&v>lam23>DXFp>`+qdr)^GCe;uzO@i`8lTR6Z?i)|`(Z-HRfP&Kr&5oL-@juM z3OthTdN+SdIAVpW=W&`=@lupqv;Tyg=IC}*O!L*#Hudx(m2Eb72u%n)F*O&O#pDpF8a2El+A{60Sbn+Di$ZvXw@xcR~{T^G@jMVw&4c=L3?F^6b6*8qCG#uv=3Njo2 z!y&_0FzHuvJ?51puj&Ug*>dt)b0DJ8sz|3t@JFSHv?Tuc=sRc%{YxVzg)P8LPd*03 z7nw#Tp=!|Axd&JSq@6;AXnk6Y89Qx-AMajxI$))=%f_UdQX4-{(I`Kl!?*fQSJ&i zTl?_$87^nq-h6K{@sFb{#79TDn|+=CK5t(T^yB+>@0fCFilTS55wAiCsZjL^QF-)T z2VK#5E@1c`VmP5@~z&^hs3K-RIH6;qkM+MGJ>;yYks|r>l%?{53CxuC>OHGpFL{h zBE$M@95}g9Md(V!QkHVX@SQN9JL7gjqXjzp-|sHIEFcI>$Tth|YvTjt8pmrBtuxK2%27KDL&P%J9@%O@9*X5Odta zBH&5iiuri|x{))tLSJhN@TBpIm0B+>`2w+mpG{Uezyl?~Z24$++J^>9yQ(h&q&fvkp1d99m3CKC$R$hPclE zHO=i}nnTJHxzaV|q9q=&Tq*abb7b3s;iWsd_eu8;Vx~gB_C@8MycF=7zE#Od(+8%~Ze{M{ zPwFdwKTJqMrcDb(_8-lyRlNjP^XZ{_iOLegwZXk6PN zrXdtLG@n+mZY3M#uz5cWO}%)mwgg+1JT)g{_C8~*&Xmo#8x~+X@#L6*OHpi6?ja02 z$1QtLpI5;u4;{DP=Sj<@)sp1HIga(Il4B_;QZ)+XE&GKKH2k5|?kh&X@kwU#2|ex1 zp-YsH4p$m-2XWD1$j8=JRfgk+-GPS!)V~bhS%^Ng_$65kdPZS9a$T?Le)7f776f!b zrP+gO4%AwrZ(+^Zw6Z67g*7KZ)`)x`eRWasW8!Wlb1&Dr+gsAv>v=fvH~4UOpgJ z!xNkXVzmFBs;Vh56!5597yU46QfQl$?^Si{%Sl^RsrQGt4_}Vdm*jdBa)&F>=TiQ4 zh>ZT1OIy597;@*YTav(akw@WU4-5Vhj(*E*H9;F>G&dc6|5%Us`xRl?F7n1xnIjhb zU1?sI>K?hz*N;7c7H?>Ah8Cy!`WtT0vWb{`&3Ts3&(ZH3GSsM0lOssY+_MqSY`mSn zT_}Ct=b88SqT8^!w_$P-Z|?4%)D)IH=My5R@~PAK@k=q==OS?vZ?wG~p5Jf!uXbza zTI5jjZwX#OZu1=dPx{X1n$H;Jn;tNzYa_083^hr0=yBDce^B(lNhIHN-cIDn<@w6x zbxTLe!*X>9dSu4SqS(>qhM^NzwpCl=Yam#;f`LdV{-3)5-t7+wO@a((#$9PB}78!D{Ju9eWj$csJ|Z)0@UpT zn~Ws_1K;1-II#Nue#XjyyE>#_6DnUP?mo7Zy|t@9TzbDPzVKy>&ebE0$IC;`s|y~{ zi~3=u6Wx5M!#?lv@m4%c6?)roO<#PKz?k_OANahNG zVLk09-rql6RW5p(*Gb}X$Z+?@0g2O95(>ei)*Lw*?B(7!Z|J$S)={1XvkmrAeUHs zTKn(IX2-K)zZ|d*jQ-dh82RF2Oi0oJYUjZd$8)dCUkf>JrnVJe?3l*-t$APT=~S() zoFw1lz#h{ZBI50pm7fXK~#UVX1+rRBfef9t&&(Jto&z;}BOY!-fH5)*I|KijR( z8>K%MyddFxO{?PRgZbjOrp9lMpT9GBOEUWH`S+L8liysw=g4~^qq`|H9UzP-FM0K$ zMt#sV9(W#-8n&+ihuWQS-KfG_25q2rm1(eqT(e5PrHf0_D^tbieATQJ8ul*~EijL` z=(YvkfNkHUqXs47d<%_Y1SnXe-*r^k&ZwOyW3geXTlwF+rIRn;D}L&15+V|2sbH@) zsu*e+cGtilu}pd7$VTL8EvEEWskCP)p0UEj_1K=2mH=F)E_aHbh;QVeJr&^?B4nBe>P?X zI^5rA<>c^M4#)ja*P4j`^W|dh9p2bqg9F<7i4vnvr$Y@)WiMQJ>uQU?z4;}i-SR8% z2DB&o>uR*5m1}u)iY=HgtN_nG9eaPtMe=^!p@(0yP+rYXD>+9BI_}2uHyp9mt#9vg zBTmKN))(vY2)>}NCH?$CmT5?_U80nPE8^Yxxu;{pjW>>EUMZPNd-1zCM@{2xXU|}R z8mj7^;K_#_9*;OMimo4jB)l{AxVZl2qFAO)WO}W!3dN_+?aRra*NStBvJJ{bZwuvv zy8p@lElR^sOZdX|oMZEoz7Zl}2S!8UgL9(&0BJZgG3504=_0pMrao`3eO>Hm(?pqk zypa}>agBH5r+0hx+oF&8@i9rtHMwQ4jX?4B_hyQ{K@p4q#x*Y^$=*;oEKVmoT} z&P!#7hK^Fs3405%p}61+gqz91MXm`#@2q|6P+nuhGjID24DMdjmy&F_o$32KvS!xk zz3-Bbs(9<MsM>u~MbEXbNo zeXnCO6#sNgzfWgg$5+w^Eep>aaoouk*Gr!?GJ; z$b*9owFt%L*iNIs(*K+&tdcy*>qmP{C^BIk^_A0DgXB*?{vMtvi~4caVP(%Y+0Hif za$ch+*Ep6%l5;s)ELa#BJwSIo%Ug$-8sWoq7+ppD$`-$xA*q>d%Fsm>_>c5 z)vM{?yL8OAx|o<{zXwgQ!b82`o3P~=O)bQcnw480uAby&EA%5zpu7r}Ng;sSzdfa$ zS1eR@Z}1HPeODC}9TYZf_phwxboPsj@XJP2gTW((zo!tpTl+O|G8{Lepc;XBw|$;b z6sIv4FBsb2cgHSBZ7w_FVmi;e2~QWV>$A`9gFq-|CJq}|u#5-?+(%{1(y*$gyK2dP zL9(Tfe?R^i(TPsY0Tu7`&n|apQYJqWt)w}ntvgJA$&EH$vb<8SN%y?+rz<5EOG<2N zQor5k9>&g}6S^nox76yV!eUCZCN&o@;|$t!)*s4bJGO#KXc{1h(n&q^#E4Fe_HAwfptiMfSsmyl3kH8aI{l7z32v^rlc$qJ6D1?kgOD{1N zMJEvNcGb?zA9O+A*atfI(1k-jz$8Jd@Zc-B#fH1xL$H(`y6XF`@Jk|UzklvtV5l8q z2NtZ?a|T+yM)|ik!X%mKc;M_1aASgY?VjHilU=ow%<8tr(8M841%MD*!iDgtP4j&h zA?l7)Ku^k|vD&z{TqIXAsA0skc5U!kl^YQPq^_ zkJ*t6Cr^GLugx+%2>t`EsCDL5_Lkvz&Cy+v2-Ml8HcbbD?znbZ^J5E}>Km%vqm4TD zg!nO<;`&At%z#^a=FP1sr812D&5ZpVkV6@F<8Nb)=e;86+<0MUOJ?JWtrrcTziAo%>vR_v@srC|5g31P| znT|9%?&wOW8>`{m-H7-ADFSmm-7_EaT-6%?lfQy11Zl@HJNYep1spJ|5Cj8=3yXwp=q*6TTz6W>e z|NA#)L256|`@zRM1W0MH{s6qg0M)X3*F7H9_otC&_ispq>w~= zImjd=oQ_a4-F1!Nu-?z^=?^N{t!WhZ@U;u(y3+?8Q^3@50hK4oPJyH-47C{t8J6aF zzBL3&6%dc~mmV%CD#aPK?>?Ib7o;Mt(6lVukQ^r>`l5 zt~Ka&6R1!lE^)RdMBJ-BL+r!GM;F9AWsK&m6@fUtawct3R7G>$X!a5sc-+n^Z@^8%%9I4;RX%xxv2osRD27F~tTXc8n zy(Qh7r#vsbwiVyppcaXN^M|^yy0h7bDiI;OYNi{hxzpQ~xB_T=`gWI!$iZDNHYtIZ z>txyp9P}#Ml--Uh(RwuhUfa`M>B%j@cz9r%E4T+E7`!uR!SEt2he~11;93+2`TfX# zwnr4Zu?-Cq>+oP`>Wkqt`f146(=Z*gjzut+nm;!ItSetxHJ@*HDspdtLv0ZaN}?Id{5ta4koGXZsY=rmzP*2LGPR%NG8K!MjE4c18ecra3EP)5|cFom4Bb~~%Yg2EmWM|;8 zT8N2HW3qWAOVjFhAKw=s5dZy{?AE4(EC?k$eJ&z8$ z+`a%9R|DkmM+h+f6}&=yBJ{>=b~KWyVID_UnBFZHF$I!0fX@0cjLEta?VhSBxt@2o zKAJjE^({fwl#Pu?lb!^B?4v_`19T&SMN~FH4VXk2p~F%BP(Ul(!(0MT0=wzaC{NS1U&o{`1K$3fKyB~Vy9j@$b?HeVoREkj#mna)N--)I~ zy5cE=%`nyc4cmJ(gAI&YxW7##NNWStOevGXEI@df^k$~%&QhM?G*(cX<=)J4W={P> z*5Ic?$PtQ}Z!^$&W;86J4DnJ9KMN~lhlocC&G={xP;R@RhJ@;Ev{|(fv`8}hUIW3S zHU9lvTq7*?BjET!hCwz2GbE${CgukMnZ=~Q3h5298;ubFO$&rAPGE+Chy1L3X`(AP zqAXw5pgw?-ycP|4+rXG%o#SP=^RYDqapl8E61T?WFAO1pY`@u4{mm9017x zJ_~=`uyj9nNlz9zm%UTNHEbYr*ODF*GD(T!ut7`-Rf)|_C`|DtZ^ z2*c(223((6I@lNy4cs1eN}VG#kUb%Yt=+}FLpL^_X6W7Id(K{-_K)AxDO$p!wLp#^ zz;ZV=0wTbabWHU*_0l`~Tt4pbDxj8sAz8c8^KG9dFJ^D8(~K}jup$zm&UnebSo%xw zpCiCJ>0RJMwO_z9-CZ5E?p?;U$+aL~)H7UZK?0Da#RV%|i#bTgZ}wyMH$+IP10mC{ zx52aj^nv2sVW;C{o@S8^J3!T)HaU!H8*4WE9quTbwwss3mK*^#VoCAV*fG=-E;FX? zC)%jUK;WHX+^#K4xQQ+gIq^=y%0shP=zY-T0NO&MztX5oO&OYONCkaaUpRNyBG{1e z!;eohmoe}iQuZq7)Nd||?!ExI=DcSgor11`v9s8Bb~0Z4l-#Ak|1$P2@75saZw@qF znt7PAwx_|yByFf%QbXpgQ}&~t#U;i6GJqVU_U#YjeN5?J|5$P%{nM_2hc?h4fP~K- zhCIpr0#MGm^FSXwA$}B%!EUjB3y~g>f z1@#IW3{sET(sHs3bC&HlN0a4%0=SP9HcteX_C411KSlzJ7yBg8-u$Y?aPCw)CkrdtbIIzVu#1pt@EMVksM|CqURm zWWvO%+#Mv<3BP}ig)Dg-D(|I1+>0C}M$tJu4}Zggx;hC)y#I3)eE|r9keZFy&(^m^ ze+z?B9iR|gWjxq0Xb8guF+B#*A1ra;eUR_eyyP`ZPyr(!x&dJpnMoaa%4*kC0G|e7 zY}7D0^EFDXG*NBeJUGlz1;HM|z>Nnf5qrqNffRbVGMRXIE+WE(?F#sa0w@uPR7T4v zL82D2Ci;LpI<_#+WzBIBhX=HOC^ThI=&`@Dau*X>XDN)8`pMa|ASx+hD59aeGGuPzY15GU5pqYoP(&uHm)eUK828P z-J*_#T-)psC|+Fp9vmk%I?AC>wsNVN0_Le?B`(H&$e0*NAu#A5h}aK83=llUz@3)e ztg$ns!UxQKo!BpUC}oh@2*%=E`VAsxG(|zH?$RYW1Xl|bUKlm0^RU~HZ$Yt><-1ki zF@-GcVf#WqkoGiUIsw}n50hk1uKi$sLez6@KyJ9Nk||1bQh^L@71SOh`A-nuLY$55 z**7P(0wlk~7B`s9_yi140`CKV+`EDGAK`*ZIGol%359)CF5{!r-hDf&xD z@&vjpKcn-1oj6=8&Vz8_K|tnS+WKSsEtwJ|n^vh8x392kw7`b9;IVlceP(^ zP!3J%2^t7aD+WEIfTbL;bD7X&y$0&M$Q+Ism}A_HOXw4Tmk#01?)+oLW;n2eN;fCw zbF(x5u%|7j2-Iu%-7ZCRoUKj8d6GHnBl_i|!CfP=EsSh?PJJqh{x>_CE7uF??dN(m zqsa@;IRSRW8=@YotMqkrqEgyq(nSrD?o7OD* z%K$VU7=Bh)OqbEmhiuFdW@9g#chU*U>_FaSeR~wsPy%iS5^PacVbqPuZ=U~#?C5lG zNrI#|YChWqJk*NfVqnyuOuh;uf~K9p*PN(rq#CZ^Zj$?gJWY4hCGtSew?T*<@FWAW zGQtdIM*y!QRTc^XRhKhPkO(o=EvT{i;;8JOaA#%oIwX&HYfl>QPvlD-sOeH$7WFBM z`X&K7LFE)pk{ev)4=n_7r>yHwgC6GrC@n<_GTH6Gl;e5@^aH1iCJtz^Ou@;Em_gu` zC31qYa9Z*gy6&0y^cT9pAP4yb2cTpJzYPhY7Xrpwx9KTA?rv6x!i*eqJr9U_=V|QNQ^RxmRI&Jb zpeviUy-WZirkbqVkiP8V5|WrKKyzdT|Dr~M$1F#FkCWuF(!8Q6GG ztOcan01vzCQE8yGBS87L9jG{fOAld1oP*xhBZb?>Znq=i$U&Eh_@;L$$Q8_0qCZC~ zNs=*ZTe4uz%vIreV(=8>eVus*ikY=MlvROUrHqPRs$mO=eME5AW+EW|;)3>UV{E!l zl-`EI+McEw0)KXhdaH5G9p3hP$O3ywgzNjRfuv_7>z>ZV2~UIiP-lXsD8|eS#l!(1 zDD-La65Cu)@^fsS`vtbxnA8fr9z=isj7<5ofa)s(-*YtA0o_m(ohAC?V!XnY? zJ1QfFie;eOFsE_h<)stxV9k%1rAtsHgJf2Mtdm1CAvS7?uhA5&arlHh*$}Zxi>rB# znu=XxmRl2o3PwF;cyL|ixcc`u7PF(__O;TO*Guvl+ri-x5Xd_A?>Yy=a!_0&z)Q7O zf8J$6_>86&c%13VGe&U!1;j^40{A;%Og|<7B1h3+?laJI84ew@fZ;F0EpF&)G0pCy zq3_;+g90}1v1cxD<$=11IN@z5S`grM(#tsbKR3q7voRcuRS143;GuxQ9blk+HroX` zjWyd)y40xm1n{^eyk*$JMP)8ALT+y>Dsu~;^_D@fk6h5&}M&bOamyI0F+u&!G{b&GC<3N3LJnH%OFtQG_Dj@Nys28ye2Q$ z-b;{SB3E1!Ns>_Ma)oBLZWXgPFmn;AUG)WYBW%(!>zIDkZa<7Fo?%84U$&eBEGAV>dnI9tUI!&TE#`YztKlJJ2p9}ZRY7;HED8Du&Xg=>Tswn%yHv$J_YVn+OD zj@yP(gmww{E5OCz~Dqc&x!poj+z-!h%uPODs1)PA$F=;he=*WTCFP`X9m!ZP_zfVcIu(3&SejJ!r!KLk21dtPNw3Q;KaPbTl}s6zbjE^ zZ&XQQU_JStHnAgg8>`=kGVhcwRmpd~EsG}jOw8`bB@&MWge&A$yrQnkzw@guJjWv2 zT)NXPUmz%B6IlaQ>tIkmBe#%%}I(q2dl+j@CRJq_&ZE4<8ZbZz9rpR+Cf}S$RdIayL{1Tl@ zul?ZlmFQ%v>E%csB_6ovz0eK=bI?2kT7} zgT=afPjkNg_&~0Rb%=7kkmM-;M5LWtHb$2Z5hJfF$?4i^(bi$_WVDu2t8s0Tzt-*O zkvYjoY@T;Va8KFpMvE14vf|EH`OznqYue_Y?OWtDtG-Ml%(4P{eo3O?`=tjaq|ki* zVGieO`$Qkg7iX@0cKfJU56E>{`x?QyuclP5ogySgT8W0}4jaav>weKS`jbm`H2t0C z(-)Zdh*!EP7aS%66Kx`@R+}=ON8POc@~N=ITZKO!dc#$%`>_*krip?m@N?s>gmH!5QLN4Qq&Me&j9mN)5@BU*n9btl4fT`zO&<0N3oz*FPpZ$hpvXrSqCNoo8zZQFIa9Tn1**Ov?5FrCGQan3jAW;I`#h{ ze7pbd_Pf>~BL`l7D|_qPp{3b*oEB|tQFMxO-wm4=4~L(J^*Hw4d@OG#V&Xk`hFiRk z)$MtC#_f;A&+NArs`C6!CBti9&ZqjS`b=NnLA2-HHH{4zMSgyZyB&#hJNLAtf@=7g zn_K;C_u3^loo>4<;l2lJj;$1|XL)P~v8PqbyKnBm8Y#Ur_1Av`eCYM724#m*1=dQZ z!PD>b{!)3qofJCI^wMtYJM^}$`o>Ou^|lxdM}e$$l!!1j6n_W?o5GDZ|VTJjxb(finU*o4WEVgV;4UU{>FFO2O_tuv6uW$N>)QP{bsS@J@@~0N-&QS_p zc?_=Ry!PAo+jz!RqqX^5g@)n6;w!4{Z;KDos%t!^0VF*Xj}ngd0=)03$6X%7 z`f-9E%(!+c+zl7#pTfNM*;Kshiv8sFz>rUIC-|2G^H%RxGPWW#WAb2Up}?(%|6C6B z%Y9<18jeb9IHrEeR(b6RKYq3le%H}6`pfp;Pj29+2G{*J)lR(KIF^b~$fpTqTaX^6rv{O_h;LSLP7Ht#azw-;~!p8$0TiuXH=MsK412ITEe z`gj_uLmg}A5AyzxdN!sv04;AL(!Y^z1XiK`jnK{`|8CMZkRDIEQAqz5=|{-Fp8PGT z|2=e1fepdOC~HBzL12fl{&31dcObW*;3+;0!?HP~jaM&t-A%pF2d!Gc1U{iJ7nqA$ zz3ZrV5B1It<+gfH^Ep1`_fA;PbZ^euI;Gw-lpPn=dpE3i4fXD&-dQR2o~0}t@&)gO z^?Fjz-u`uFO1fKMhRw?x?iX0Qx`yi}$74=3^?~IgsFHm-LSntEI z-j&pQfO;)c>b*!=IDiZ6jYg)IE2#G%^-fQzw>9VAay|*H8|DwC{<*aAE4VRebM`9A z_5t4q7n6S<{CdETWWjOd*XH~g{AV)dQ#n5jd=0D#z6u@=THT#UuONLS_!3wO{0Te? zd=Wevd;vThd=)gBA$;tu+joHHgM-2L;6&=wqmI3CrUqzlj;R*X96|aO(yxF=gn5vy z^)UrLwct|=oC3ZH9t2JXYp3yllvYkcK5Og|J|~kdjefof2C`+ZKI~1Yr-if+lRhD959R~1$&bID5PIXx?GsPoBIDr zq5Yh)9Vq((3?rYhEciFFzl-c=5s&T-ZN9o7{MwxIA1L1%{1&tz-#4tck~-m}R!H&? zsnck;GWF+DzZCcr*crM3(0xGrdn3oiocBp58Pk0=EtGs9ta|=QBC41kM91gLA|3T}Xc#rVk+fR+wG@-9yNF88TG>7a+6ovf~pz zqsY57ly^7M3n~AF{7sOp9DJ6Be5}45`%&i|>g)}Ekish{r4P_7f#xT$R7m?P>5WO3 z2N!{Lz?Z>>ppC)qwEsH{Hl)qt$*V`+CFG4K-6-Vo8|52OUYEQ{VP4ecn&9^E{=$)4 z@u*0<^TT$JCH)-fy}?}}d_K&t&-t^CY&+5Bim=Ucq?eK|11=Bq=EK9*1s8{QP_iVI z*F%PhjtoXqo;DVRZSF$)(=eUHZx;Nj7Q@e@+XKEIr}2Fc9{a-MY{tet^zj|e`|%kb z_V<1mwZgXdfoFoo_hCM#k{$(~0&W4{mGG?y&Ii9Ef2*+Wy`;|y(!D}8vKbd9ilDrs9)e+t^%Yj36d27aYe_}S&e z;nZ0OnjX4P=UeJ*ol@sJ&bLZAr)t6XB(J4IyGdAHgYwgz@`!J7xFz&$;U8WE6<4h=e|PGC66&%ra}5%QLO(5}uSRI^0k~k- zmGK?OrxUbqfHU}X2>o{e>CWU&3-iwp^ZRptA^Fq8{PtmfKhC?5Z#?XCUYLI?>5Isp z8RnlG=J(~iEBUj+{By$mTR87V{+nTbyD+~G=NFSdJIuGYJCZDTiO-SbPXcYXVF|c1 zxD+f0E(6Pg%fT|>3UDXzGjK=nb8rXn3vhezOVBQizXG=fzXrDfzX3~w8{^x*()Nbn z@8G83&)`V%|oR7>y62m8LOOh3=Reukm<@R0&g`7|{&UZ3c8FT3orvn@=i2y2L%fYQX#;;! zMJ8`u9cE4B_@S|BCv=i30!1~v8%22Z;>p9X^z()=69m=`v^#D6a7u3xHBk$Lx3B*g zfT*t^I^!FoqUwsB1oY9HJC~s7Ehr*oQ#xb$z<;WgzNZKGmY?d z>1oXZ-{=5uJ5r_FX6;Jzaq^+j{CvP)a2R5B7H@J@0IrNna_f9}ttmHOuryR7hn12Ttfg-pH z7)8V@SuH}0-dMke$Kn|9u+8w)*}ySwN@Z=JlR{(Kx`cZ5>E%)lxl_NOF3u=A6Zqk@>J{Ey`i-xqD(}bm-NMg~e1BHSBYBR) zQ|FXtJ;ylW8<#$rq(2{DKCk2plT?MT75>Hh`DO6Uyi3i4fzER=_Ye;Qd3Q(GU@1h9-uu9M%Li}%22SfY+p=|^MEeQfDf*++sl aFrL}D$H)eMr--94!!XIe^Ire}0RR7_{b6GO diff --git a/CPLD/MAXII/db/RAM4GS.syn_hier_info b/CPLD/MAXII/db/RAM4GS.syn_hier_info deleted file mode 100755 index e69de29..0000000 diff --git a/CPLD/MAXII/db/RAM4GS.vpr.ammdb b/CPLD/MAXII/db/RAM4GS.vpr.ammdb deleted file mode 100755 index 2acc82bd10a54ceedbe5e34dbd4c4d063ed8895e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 613 zcmV-r0-F61000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I&800000001`v00000 z001li00000003nI00000004La#8o{@13?hoL=htjR#rl+Ec7>MVJDJG1v>*;Y8OZu zu=Jx$VQD8ALD0g^(!$=-!vA2RU~b)gyEg-m9E&-@z%4gwTBvGu}l0ZYjvO1^sO~ z4;SDUe_t9U^Wps75KrfY_kRVr_X_>z`s_fBHT)FE5oezh+XQY? zxXs`;huZ>fOSrAzwuajVZdkAd%^7u zw-4MXxP9UFgWDhO0JsC;4uU%v?hv>`;SPg49PS9XBjJvMI~wj7xMSgtgF7DX1h^C7 zPJ%lb?i9FF;ZB1)9qtUcGvUsHI~(pCxO3sogF7GY0=Ns|E`qxl?h?35;Vy%_9PSFZ zE8(t!yBh8qxNG6AgBuNZJ=_g&H^SWncQf2AaAV+Zg}V*zcDS){UI2ku_D`{3?}djM`C+=Flr!95H&3GNZNN8uiWdmQcwxF_MBf_ob78MtTRo`ZWH z?gh9P;a-A!8SWLhSK(fRdmZi#xHsY6f_oe89k_Sl-h+D|?gO|F;XZ=<8156ePvJg; z`yB2IxG&+pg8Lfo8@O-bzJvQ7?gzLZ;eLYq8SWRjU*Ud(`yK8NxIf|kg8LipAGm+v H{)77;sPJsB diff --git a/CPLD/MAXII/db/prev_cmp_RAM4GS.qmsg b/CPLD/MAXII/db/prev_cmp_RAM4GS.qmsg deleted file mode 100755 index 715eafe..0000000 --- a/CPLD/MAXII/db/prev_cmp_RAM4GS.qmsg +++ /dev/null @@ -1,106 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595484987367 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595484987383 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:16:27 2020 " "Processing started: Thu Jul 23 02:16:27 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595484987383 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1595484987383 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM4GS -c RAM4GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1595484987383 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1595484989226 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM4GS.v(52) " "Verilog HDL warning at RAM4GS.v(52): extended using \"x\" or \"z\"" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1595484989445 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram4gs.v 1 1 " "Found 1 design units, including 1 entities, in source file ram4gs.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM4GS " "Found entity 1: RAM4GS" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1595484989476 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1595484989476 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1595484989617 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1595484989633 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_1br " "Found entity 1: UFM_altufm_none_1br" { } { { "UFM.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1595484989633 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1595484989633 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1595484989633 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM4GS " "Elaborating entity \"RAM4GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1595484989805 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM4GS.v(154) " "Verilog HDL assignment warning at RAM4GS.v(154): truncated value with size 32 to match size of target (2)" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 154 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1595484989836 "|RAM4GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM4GS.v(159) " "Verilog HDL assignment warning at RAM4GS.v(159): truncated value with size 32 to match size of target (18)" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 159 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1595484989836 "|RAM4GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM4GS.v(286) " "Verilog HDL assignment warning at RAM4GS.v(286): truncated value with size 32 to match size of target (4)" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 286 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1595484989836 "|RAM4GS"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM4GS.v" "UFM_inst" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 83 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1595484989883 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_1br UFM:UFM_inst\|UFM_altufm_none_1br:UFM_altufm_none_1br_component " "Elaborating entity \"UFM_altufm_none_1br\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_1br:UFM_altufm_none_1br_component\"" { } { { "UFM.v" "UFM_altufm_none_1br_component" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1595484990008 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Warning" "WFTM_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 21 -1 0 } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 52 -1 0 } } } 0 18029 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Quartus II" 0 -1 1595484991508 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "241 " "Implemented 241 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1595484991726 ""} { "Info" "ICUT_CUT_TM_OPINS" "29 " "Implemented 29 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1595484991726 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1595484991726 ""} { "Info" "ICUT_CUT_TM_LCELLS" "178 " "Implemented 178 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1595484991726 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1595484991726 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1595484991726 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/Repos/RAM4GS/cpld/output_files/RAM4GS.map.smsg " "Generated suppressed messages file /Repos/RAM4GS/cpld/output_files/RAM4GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1595484992133 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 11 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 11 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "303 " "Peak virtual memory: 303 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595484992398 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:16:32 2020 " "Processing ended: Thu Jul 23 02:16:32 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595484992398 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595484992398 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595484992398 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595484992398 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595484995336 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595484995351 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:16:33 2020 " "Processing started: Thu Jul 23 02:16:33 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595484995351 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1595484995351 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS " "Command: quartus_fit --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1595484995367 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1595484995523 ""} -{ "Info" "0" "" "Project = RAM4GS" { } { } 0 0 "Project = RAM4GS" 0 0 "Fitter" 0 0 1595484995523 ""} -{ "Info" "0" "" "Revision = RAM4GS" { } { } 0 0 "Revision = RAM4GS" 0 0 "Fitter" 0 0 1595484995523 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1595484996148 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM4GS EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM4GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1595484996164 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1595484996367 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1595484996367 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1595484996648 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1595484996679 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595484996992 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595484996992 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595484996992 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595484996992 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595484996992 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1595484996992 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1595484997164 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1595484997179 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1595484997210 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1595484997210 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1595484997210 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1595484997210 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1595484997210 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1595484997226 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1595484997226 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 34 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1595484997257 ""} } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI2 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 7 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/" { { 0 { 0 ""} 0 328 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 14 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1595484997257 ""} } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nCRAS } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nCRAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/" { { 0 { 0 ""} 0 330 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1595484997257 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~2 " "Destination \"comb~2\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1595484997257 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 15 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1595484997257 ""} } { { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nCCAS } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "RAM4GS.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nCCAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/" { { 0 { 0 ""} 0 329 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1595484997257 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1595484997273 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1595484997320 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1595484997320 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1595484997382 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1595484997398 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1595484997414 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1595484997414 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595484997445 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1595484997742 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595484998117 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1595484998132 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1595484999460 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595484999460 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1595484999507 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "20 " "Router estimated average interconnect usage is 20% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "//vmware-host/Shared Folders/Repos/RAM4GS/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1595484999976 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1595484999976 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595485000632 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.50 " "Total time spent on timing analysis during the Fitter is 0.50 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1595485000663 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595485000679 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1595485000742 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/Repos/RAM4GS/cpld/output_files/RAM4GS.fit.smsg " "Generated suppressed messages file /Repos/RAM4GS/cpld/output_files/RAM4GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1595485001117 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "374 " "Peak virtual memory: 374 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595485001429 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:16:41 2020 " "Processing ended: Thu Jul 23 02:16:41 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595485001429 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595485001429 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595485001429 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1595485001429 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1595485004085 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595485004101 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:16:43 2020 " "Processing started: Thu Jul 23 02:16:43 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595485004101 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1595485004101 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1595485004101 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1595485005116 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1595485005148 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "296 " "Peak virtual memory: 296 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595485005632 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:16:45 2020 " "Processing ended: Thu Jul 23 02:16:45 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595485005632 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595485005632 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595485005632 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1595485005632 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1595485006413 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1595485008366 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595485008398 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jul 23 02:16:47 2020 " "Processing started: Thu Jul 23 02:16:47 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595485008398 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1595485008398 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM4GS -c RAM4GS " "Command: quartus_sta RAM4GS -c RAM4GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1595485008413 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1595485008601 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1595485009444 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1595485009663 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1595485009663 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1595485009898 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1595485010507 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1595485010726 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010757 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1595485010773 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1595485010851 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.292 -92.804 PHI2 " " -9.292 -92.804 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.365 -253.063 RCLK " " -8.365 -253.063 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.490 -0.577 nCRAS " " -0.490 -0.577 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485010882 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.306 " "Worst-case hold slack is -16.306" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.306 -16.306 DRCLK " " -16.306 -16.306 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.272 -16.272 ARCLK " " -16.272 -16.272 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.874 -0.874 RCLK " " -0.874 -0.874 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.396 -0.396 PHI2 " " -0.396 -0.396 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.125 -0.125 nCRAS " " -0.125 -0.125 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485010898 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595485010913 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595485010929 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595485010944 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1595485011241 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1595485011366 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1595485011366 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 1 Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595485011538 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jul 23 02:16:51 2020 " "Processing ended: Thu Jul 23 02:16:51 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595485011538 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595485011538 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595485011538 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595485011538 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 14 s " "Quartus II Full Compilation was successful. 0 errors, 14 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595485012647 ""} diff --git a/CPLD/MAXII/greybox_tmp/cbx_args.txt b/CPLD/MAXII/greybox_tmp/cbx_args.txt deleted file mode 100755 index b32fb07..0000000 --- a/CPLD/MAXII/greybox_tmp/cbx_args.txt +++ /dev/null @@ -1,26 +0,0 @@ -ERASE_TIME=500000000 -INTENDED_DEVICE_FAMILY="MAX II" -LPM_FILE=RAM4GS.mif -LPM_HINT=UNUSED -LPM_TYPE=altufm_none -OSC_FREQUENCY=180000 -PORT_ARCLKENA=PORT_UNUSED -PORT_DRCLKENA=PORT_UNUSED -PROGRAM_TIME=1600000 -WIDTH_UFM_ADDRESS=9 -DEVICE_FAMILY="MAX II" -CBX_AUTO_BLACKBOX=ALL -CBX_AUTO_BLACKBOX=ALL -arclk -ardin -arshft -busy -drclk -drdin -drdout -drshft -erase -osc -oscena -program -rtpbusy diff --git a/CPLD/MAXII/incremental_db/compiled_partitions/RAM4GS.root_partition.map.kpt b/CPLD/MAXII/incremental_db/compiled_partitions/RAM4GS.root_partition.map.kpt deleted file mode 100755 index 4a043357362f3cf47d7c9a48c600e0c2e0708232..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2613 zcmV-53d;4Y4*>uG0001Zob6p*Z`(!?eDALy^xlX|N~8!l0sNKLZPTU_+7t*1L$;Vy zuuZuXoi;$x-(FI3Y{gbNH*5Az2lOow#nowVXK#0BDDPcdSEsYHpqO6e&wJzjSA(x6 z&#tD|r)Ouqpt<%TFPHO0@w_L`q!;{tGcBu|<^8Kau3rdFPJ%f4@@!rOKd&zZ5YwY|`*-(mwHE<im{GNx9U0Fy5#Z-GI<+v77=WIdim;gxp-eruPCz!JoWwv zWe{Pf7{58D3?k&z*?gZ%=Lk7X2yy!zA?O^955N1CvIv25uurC-2tj9`UZeqXNJk>z z9A3@pNIx#8%bc={;IluT{5F4AQ8p2F4rOw4b~Uf6d`8(t5URb(Ww;1osCMZO%k$&! zsR9NbKuv9sQVWd{j%uivltBceqF&|U;&PH-Qf7^+w*mLvA(-AAbKf0di9Lg2gVIg7 z`XDwb-ncXu8&z-Q-XH+g=Q+2^0YcAemE8Ue5PF(}jRWKW;pb?4bW%_jji5t*aRi+@ zzm4M$OK1ZQ20A=p#g}5i_paAAR4-82>p6BZo+1dn z{`w@M4))Y+s_?pePYusfgrg?jIcTOv#}IdDqz3Z@;fjS6jhO^hQPDR!jvmBgq!iNY(3Kl*z znQf3XJQPXrJUmoMD2aFzr3A;s8%ZTthawcwC!;{E1Wii|6id*&v_PSRl9(1Km{2g& z0!0%_YFeOng65_LY9}=0v|#-N&rSK9Jq`(ErDKs3oKtY9q1Q)2O;8}2inhKHz7pSP9d2qp!3ieonf(o7q7bvDsQsDvx z70qmgM?Tb4&=k8sO@)GE7bvVykn94r6*`t(pu|E!vkMei&^)`C=qpH~U7*53!L$pM zSnyQ4K#2v-wF{J2sK|DK3Jbd4feH&HH!e_T!IR?xbru?kLZwCX_!OT`P2?6z&l=EO zWKM;W3zj7psJWm=*#hMjG*2#2ZlNQ}1&S_srd*)tLP3>_iQt0d$^}X;6lA$T*#*s( z3)Ee#v^G5Qq548W!wb}2&^)|A?FCK5i;?t#U8Yg%L=NGg_(H+O3)ElGWV}H6g=z*1 zB^WdvFHnQA)&cTpf=UdYmlvqS;E8#GN(`Qv7pTS1Q1b%C80$yv_^mS1WRTSvlw}~+ ze~U?jf)D5YtvY}^%75C32i9VMEzJMtZ@c^Oi|egF0PyMh?K`Szl?U@;mjC&@7j9f! zU49^-y)GAje(b%d*LuBSrT@vy-6!|gAH97gn>q%1EgLP1YB78LZO;17J(%p02Qv>n zczg8XC9V7NX;QLvc0;@KRM?jIcE?k#g|!_|C2eKO4tYwuq1|~ZZ7WB1 z$5XNUJasSA+i6dw?mdPMsFL1S;|w|C0L#%xR0sOkIFN1 zKTF8`=#WS5JvH)Uk{7x6R4awCJDyU9eupvTN1hV*eP5>T`zQ|TF$49l{L|+|(If6@ z8}sz~r5Cs~>~6>?!wB7xjZ9ma7E!-HdviVcAp89ToafB&eTi z?l7UNkG|FG|4V$IFvfMyV5*;2w>(I4Um}Knw6ba?a(A9mKiO}&@Nw;^%#Vl~xj&;? zf8%p^Jf(hGrbAEp(ek(cRb_9%^r5>DNn{o?uWSQU)8Xj(cM!h zYG%;#5Z8T1kNxnJde+>Xr^JscLH(;!9mbR&XP^6{PfelzKSp|T>UBSERg(yo3m^B# zdFqFDM14YZcu09N!grs0)siU7#k6xrg?{vB>V~_+y2p=%-uf4dEP>CDPFCFzB5aXLhwAIyNdmg%sZ@*}3)4@W{j(vw`!Q1MAIjuB X_3)M3zX{shRGrSwUVQNn8ae0x*}M>! diff --git a/CPLD/MAXII/output_files/RAM4GS.cdf b/CPLD/MAXII/output_files/RAM4GS.cdf deleted file mode 100755 index 43f46dc..0000000 --- a/CPLD/MAXII/output_files/RAM4GS.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(EPM240T100) Path("Z:/Repos/RAM4GS/cpld/output_files/") File("RAM4GS.pof") MfrSpec(OpMask(3) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/CPLD/MAXII/output_files/RAM4GS.done b/CPLD/MAXII/output_files/RAM4GS.done deleted file mode 100755 index d7b20f4..0000000 --- a/CPLD/MAXII/output_files/RAM4GS.done +++ /dev/null @@ -1 +0,0 @@ -Thu Jul 23 02:21:03 2020 diff --git a/CPLD/MAXII/output_files/RAM4GS.fit.summary b/CPLD/MAXII/output_files/RAM4GS.fit.summary deleted file mode 100755 index 530787c..0000000 --- a/CPLD/MAXII/output_files/RAM4GS.fit.summary +++ /dev/null @@ -1,11 +0,0 @@ -Fitter Status : Successful - Thu Jul 23 02:20:50 2020 -Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : RAM4GS -Top-level Entity Name : RAM4GS -Family : MAX II -Device : EPM240T100C5 -Timing Models : Final -Total logic elements : 170 / 240 ( 71 % ) -Total pins : 62 / 80 ( 78 % ) -Total virtual pins : 0 -UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM4GS.jdi b/CPLD/MAXII/output_files/RAM4GS.jdi deleted file mode 100755 index 85a8d49..0000000 --- a/CPLD/MAXII/output_files/RAM4GS.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/CPLD/MAXII/output_files/RAM4GS.map.summary b/CPLD/MAXII/output_files/RAM4GS.map.summary deleted file mode 100755 index 56e671c..0000000 --- a/CPLD/MAXII/output_files/RAM4GS.map.summary +++ /dev/null @@ -1,9 +0,0 @@ -Analysis & Synthesis Status : Successful - Thu Jul 23 02:20:40 2020 -Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : RAM4GS -Top-level Entity Name : RAM4GS -Family : MAX II -Total logic elements : 178 -Total pins : 62 -Total virtual pins : 0 -UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM4GS.pof b/CPLD/MAXII/output_files/RAM4GS.pof deleted file mode 100755 index a168b2e3e672f633bf11687bfd8c7421e95d4c97..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7879 zcmeHMZE#fAd0rrbW-R37N2b&>0;NAX)0tWZwn?YKptRIv;t;^ihktZr83#lt2DKm% zSiXDkOsJiy)6a=xg$mU(#Yx){nz08|duR7xV{ntKB)1-5T>0MXk9yT0?Y&TS@7>+2 zbKXAhId=urX{P`BBZgTWo%iE;KhFEU=ib$h?OQUL%#zFs^Rov(A2_`8VE^Gm9bf!n zM|S<)kL>O5*m1CL&%vGh_wPQ~@%7yY5AE$c(6KJtxwdm%$L}8A`{=HY_1X0uYd?F> zy7l+0U%M{b@s-^NkL>-%?v5Qhzp<}lUB_4M?|5+cBOUkc+S?D!%+kzC7`bo9m)HO1 z+OMu#yLR(uGRra_2kC1E`uFxfx_eiq1v32albP1L%rE@o4R%r!k?IaEYhF`G>W|+Sk>Lwra44Z@ zA%^^ev5u8?;)Rrd3ogK{U9FWMvidSM`jRL|G3}=*_~nyQ%KAqnoA?36KJ+8rJ7JvK zP4UH5ijvE;F>xrT1r;D<@QoeiSi9_BOi>=_ahTd~>iZO_DmlQ;;R88g{WCa1AwKr2 zpaz9LEXmb$yM5TxG%um&JVX>*dp2I+Bo)pt{6bh*i3$eK^0B3gh)H{ZClPzbKHFQ= zS8xsc0`x<54$ipX8NT%33W;ItJUP$sq_07*M~$)n6&gUh&PF6RU#poBx+u= z8;FzROK4O=FG*&t{$Qd)mLqcIKfI+yC=@tnB)jihyWPoWnSZ)Lq3#p01Ec`B1vz*cBn210Pj#Rg?59VMw~q#-kCMvBP}Hd7MpD!d}UIx60?ekaB7P@eq{|c&9 zdj63E!TxQ%7bmxE$__vG?9k+kFK!+k;&a6L6Xk=%@*aM3RWKT`knNCk4K9^}|CYD`rEW4B=N8%hm=9w+EzKh{0mU#`J-8zcL@ zc+yio_*Zil*Geb1l?-Uzq+Abs2@akjCRGoQ@9aq#E-UPjLU4u&`>>jUYV$LfDh?FRa!x z=f0!3e}#`Z6303-MH|cCGyPYPPJD%Wj6EH0`U%D$PEP8oqx}HCD=LP82AIDdpYvP5 zeWt6VCultKNF}%2=MMOBRW_(tG&qO@b&)hs&%C#Frf}PP4W8FA?pMw8*!qtGfPUg| z{f(1yNm@PVgN}m@F01G9>_M~krL_+aaqpNyH1R>k z@a4;i4*9;;zMf5SSRa7QcT678Z%uiwU;J<4SIAd-&<{<%aG*vGj$@Uc!I9REM$z(~ z`%h3(kQUzsQs7TkazU@Ec zJ)s9p{mO!hB&W+~|FF(dG*5L0mmA~n7p2x{^P7#8=Sxp6&jcShwWIyfb!x0E>M?V^ zpJ{E4TCOnv4(6XYw)4N*GSoQxx143@%L}dLFKqh@@b6rndFb~~&=cQoo2TpTt5f|1 z{1u&x{Px-tdoR5K{>QAoqKSzudj{qvO5f@1-NX0azqrAC_Wkyep&sKu&24?={&H!e zwDn?dZ|ARm>bdVfwPi#8OLxDNH}+LLLZ{|UyaUBN@&c-kDdzi+{o}cbRMM!_vWRq6 zD2z}Kq>8EihB^W{J2$1a=MpBWke(CHOR*o0L??G~F~y-Cn5AxM&k^Th?7$wh$vg(4 zK44d)b9rUHOxFaDh8Tb#V~s7}0Uri&=9+x;H~EoVtQY7NL5BkK{ekJT2$f3%0FvXf z_~VQRxoJm{Abp!Yq5l`Sez~qvIX~62`iz5m35$~ViRow8;9)N~l8E02LOkLP6yRUL z{xZsbz1*4jrl0am5I#Vf@|c>Ud2Hd?BM_> z5nD=6?@`dZ-X`>)sLI%n67_?Wk33udx@l%A8ZXWa*L7}nrD5^{|6?Va_VIo;Rq@p} zk^v4P)mzNp>Ce?xl$V_!Z^`T!&(%~0Q;^z-{5^yU0ye!~N!C)56u$OHP% zbzRJTJ~w{)BwfL(%{~busguH>?g6F3^%+4*alKf1)Mvu)Ym_td-8|>u99>!j^s2};Q-O@ z0dP~E_2D0JJ&kGK^pDZ!_froziX=`f#EYScH^h8^KH>rICLR&$l!`J|od2THv-6(! zMowZcMrz|a4o4;)XBE?~_8u&IytKa20jI2YEAn{&xL zeMyKC@a9|q1~pX!SWReT-lyrUN9j(Ps%xY++ff_b>W14c=YXm;`W6Fj&; zc-kxIDX^`)=JU67qc4VCpY#T*Iwa{o;eH*@7bY)Oo>YOH$3T0_?p3pXy0K@R8|C*$ z^p9$1o%-|$=Gi>#`0s&UxAJ18k3QZ+4W%pM$4FKE1B-9sOGteA(+~gh(uZqHm;A@G zYnH?QPZJvZK6E)h4HWI~eENLb@^@;PmSayazgE40CIs*AVH|#nS6)5ebyo-YwUMq@ zLB}8(LmrS#%WqkFe$8Ev)-p?KPp-Ly{;WeEUju+&D!sa=xBR26PkRTBY+65beQ4-f zHhW=scqn0hor2(*YeDetT<3SM{d;lU@aSJ{_;Eh}rRQGC<2~HQ*WkYJ{h5P;f*bG# z{=^l`RII=^_ms(dQTZc|d0*f<2%GtrEO$)I`V9=ID!zbd_;4RYrXzk~aeVr>edO2i zO^Mw%`7z^Qp5b29p{QyCp5pfegP+E4>~Ui%>a+9^{c8LZ#*VEA!F^0xu$;x`75MBw zaASi18utv}7a{5dax&HT(fGpXLH;&NLN(!l`TObH02t|3Jf$NZxCS{xthVxpeP&F6cpQzTYwDllG0}i@tCI8E@d-<~BNX zy76hy)yp@?Pjrs!YB6sQ+WO^vag&2rqiDq1M}4U#j)`wDbNst{>+A0g)Ob$tdrB4g zw9f~O?_`eur7lgD!B+x!;G4b#&-96i1~hWzHyd_Ls^ zZ;b>Y1e*OX-_l3Eda~&MZTL-`{|*2DLg)N{xXy2jx9jKO@cnQ5!1^zGqbbzoo-+5lUqR3f8ma#_ zo|&5QH`kvTFXFTGu93yR4=h;wKVSaC_)R+>t+$`w|5rX%Wm-SB_!prL{)^B@|9){Z VKmx7)(Z65l4E&P$Tk!*Z{|%suYvBL@ diff --git a/CPLD/MAXII/output_files/RAM4GS.sta.rpt b/CPLD/MAXII/output_files/RAM4GS.sta.rpt deleted file mode 100755 index 6462353..0000000 --- a/CPLD/MAXII/output_files/RAM4GS.sta.rpt +++ /dev/null @@ -1,1588 +0,0 @@ -TimeQuest Timing Analyzer report for RAM4GS -Thu Jul 23 02:21:02 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. TimeQuest Timing Analyzer Summary - 3. Parallel Compilation - 4. SDC File List - 5. Clocks - 6. Fmax Summary - 7. Setup Summary - 8. Hold Summary - 9. Recovery Summary - 10. Removal Summary - 11. Minimum Pulse Width Summary - 12. Setup: 'ARCLK' - 13. Setup: 'DRCLK' - 14. Setup: 'PHI2' - 15. Setup: 'RCLK' - 16. Setup: 'nCRAS' - 17. Hold: 'DRCLK' - 18. Hold: 'ARCLK' - 19. Hold: 'RCLK' - 20. Hold: 'PHI2' - 21. Hold: 'nCRAS' - 22. Minimum Pulse Width: 'ARCLK' - 23. Minimum Pulse Width: 'DRCLK' - 24. Minimum Pulse Width: 'PHI2' - 25. Minimum Pulse Width: 'RCLK' - 26. Minimum Pulse Width: 'nCCAS' - 27. Minimum Pulse Width: 'nCRAS' - 28. Setup Times - 29. Hold Times - 30. Clock to Output Times - 31. Minimum Clock to Output Times - 32. Propagation Delay - 33. Minimum Propagation Delay - 34. Output Enable Times - 35. Minimum Output Enable Times - 36. Output Disable Times - 37. Minimum Output Disable Times - 38. Setup Transfers - 39. Hold Transfers - 40. Report TCCS - 41. Report RSKM - 42. Unconstrained Paths - 43. TimeQuest Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+----------------------------------------------------------------------------------------+ -; TimeQuest Timing Analyzer Summary ; -+--------------------+-------------------------------------------------------------------+ -; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; -; Revision Name ; RAM4GS ; -; Device Family ; MAX II ; -; Device Name ; EPM240T100C5 ; -; Timing Models ; Final ; -; Delay Model ; Slow Model ; -; Rise/Fall Delays ; Unavailable ; -+--------------------+-------------------------------------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 2 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 2 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; < 0.1% ; -+----------------------------+-------------+ - - -+-----------------------------------------------------+ -; SDC File List ; -+-----------------+--------+--------------------------+ -; SDC File Path ; Status ; Read at ; -+-----------------+--------+--------------------------+ -; constraints.sdc ; OK ; Thu Jul 23 02:21:01 2020 ; -+-----------------+--------+--------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Clocks ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ -; ARCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { ARCLK } ; -; DRCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { DRCLK } ; -; nCCAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCCAS } ; -; nCRAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCRAS } ; -; PHI2 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { PHI2 } ; -; RCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { RCLK } ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ - - -+--------------------------------------------------+ -; Fmax Summary ; -+------------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+------------+------+ -; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; -; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; -; 51.06 MHz ; 51.06 MHz ; PHI2 ; ; -; 128.87 MHz ; 128.87 MHz ; RCLK ; ; -+------------+-----------------+------------+------+ -This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. - - -+---------------------------------+ -; Setup Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; ARCLK ; -99.000 ; -99.000 ; -; DRCLK ; -99.000 ; -99.000 ; -; PHI2 ; -9.292 ; -92.804 ; -; RCLK ; -8.365 ; -253.063 ; -; nCRAS ; -0.490 ; -0.577 ; -+-------+---------+---------------+ - - -+---------------------------------+ -; Hold Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; DRCLK ; -16.306 ; -16.306 ; -; ARCLK ; -16.272 ; -16.272 ; -; RCLK ; -0.874 ; -0.874 ; -; PHI2 ; -0.396 ; -0.396 ; -; nCRAS ; -0.125 ; -0.125 ; -+-------+---------+---------------+ - - --------------------- -; Recovery Summary ; --------------------- -No paths to report. - - -------------------- -; Removal Summary ; -------------------- -No paths to report. - - -+---------------------------------+ -; Minimum Pulse Width Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; ARCLK ; -29.500 ; -59.000 ; -; DRCLK ; -29.500 ; -59.000 ; -; PHI2 ; -2.289 ; -2.289 ; -; RCLK ; -2.289 ; -2.289 ; -; nCCAS ; -2.289 ; -2.289 ; -; nCRAS ; -2.289 ; -2.289 ; -+-------+---------+---------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'ARCLK' ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -99.000 ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.728 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 1.000 ; -1.715 ; 2.013 ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'DRCLK' ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -99.000 ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ; -; -22.714 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -2.165 ; 1.549 ; -; -22.694 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -2.165 ; 1.529 ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------+ -; Setup: 'PHI2' ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; -9.292 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.459 ; -; -9.121 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.288 ; -; -8.996 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.163 ; -; -8.949 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.116 ; -; -8.949 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.116 ; -; -8.949 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.116 ; -; -8.949 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.116 ; -; -8.857 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 9.024 ; -; -8.778 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.945 ; -; -8.778 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.945 ; -; -8.778 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.945 ; -; -8.778 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.945 ; -; -8.653 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.820 ; -; -8.653 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.820 ; -; -8.653 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.820 ; -; -8.653 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.820 ; -; -8.594 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.761 ; -; -8.514 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.681 ; -; -8.514 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.681 ; -; -8.514 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.681 ; -; -8.514 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.681 ; -; -8.300 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.467 ; -; -8.289 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.456 ; -; -8.251 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.418 ; -; -8.251 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.418 ; -; -8.251 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.418 ; -; -8.251 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.418 ; -; -8.118 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.285 ; -; -8.084 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.251 ; -; -8.043 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.210 ; -; -7.993 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.160 ; -; -7.957 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.124 ; -; -7.957 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.124 ; -; -7.957 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.124 ; -; -7.957 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.124 ; -; -7.872 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.039 ; -; -7.854 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.021 ; -; -7.799 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.966 ; -; -7.747 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.914 ; -; -7.741 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.908 ; -; -7.741 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.908 ; -; -7.741 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.908 ; -; -7.741 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.908 ; -; -7.608 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.775 ; -; -7.591 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.758 ; -; -7.456 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.623 ; -; -7.456 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.623 ; -; -7.456 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.623 ; -; -7.456 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.623 ; -; -7.345 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.512 ; -; -7.297 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.464 ; -; -7.205 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.372 ; -; -7.081 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.248 ; -; -7.051 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.218 ; -; -7.034 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.201 ; -; -6.909 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.076 ; -; -6.870 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.037 ; -; -6.870 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.037 ; -; -6.835 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.002 ; -; -6.796 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.963 ; -; -6.770 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.937 ; -; -6.745 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.912 ; -; -6.699 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.866 ; -; -6.699 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.866 ; -; -6.574 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.741 ; -; -6.574 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.741 ; -; -6.574 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.741 ; -; -6.550 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.717 ; -; -6.507 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.674 ; -; -6.449 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.616 ; -; -6.435 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.602 ; -; -6.435 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.602 ; -; -6.310 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.477 ; -; -6.213 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.380 ; -; -6.172 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.339 ; -; -6.172 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.339 ; -; -6.047 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.214 ; -; -5.997 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.164 ; -; -5.878 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.045 ; -; -5.878 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.045 ; -; -5.753 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.920 ; -; -5.712 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.879 ; -; -5.662 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.829 ; -; -5.662 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.829 ; -; -5.537 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.704 ; -; -5.377 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.544 ; -; -5.377 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.544 ; -; -5.252 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.419 ; -; -5.004 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 5.671 ; -; -4.046 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.713 ; -; -4.046 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.713 ; -; -4.046 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.713 ; -; -4.046 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.713 ; -; -4.040 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.707 ; -; -4.001 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.668 ; -; -3.752 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.419 ; -; -3.694 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 3.861 ; -; -3.585 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.252 ; -; -2.929 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 3.596 ; -; -2.917 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 3.584 ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'RCLK' ; -+--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ -; -8.365 ; CmdSubmitted ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 5.334 ; -; -8.365 ; CmdSubmitted ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 5.334 ; -; -7.591 ; CBR ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 5.180 ; -; -7.130 ; CBR ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.719 ; -; -7.061 ; FWEr ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.650 ; -; -7.017 ; CmdDRDIn ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 3.986 ; -; -6.760 ; FS[5] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.427 ; -; -6.760 ; FS[5] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.427 ; -; -6.691 ; CBR ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.280 ; -; -6.669 ; FWEr ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.258 ; -; -6.664 ; CmdSubmitted ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 3.633 ; -; -6.612 ; FS[16] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.279 ; -; -6.612 ; FS[16] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.279 ; -; -6.588 ; S[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.255 ; -; -6.574 ; CBR ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.163 ; -; -6.549 ; FS[7] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.216 ; -; -6.549 ; FS[7] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.216 ; -; -6.526 ; CBR ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 4.115 ; -; -6.502 ; FS[17] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.169 ; -; -6.502 ; FS[17] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.169 ; -; -6.501 ; InitReady ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.168 ; -; -6.482 ; S[1] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.149 ; -; -6.401 ; FS[5] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.068 ; -; -6.399 ; FS[4] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.066 ; -; -6.399 ; FS[4] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.066 ; -; -6.395 ; InitReady ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.062 ; -; -6.380 ; FS[5] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.047 ; -; -6.328 ; CmdDRCLK ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 3.297 ; -; -6.258 ; FWEr ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 3.847 ; -; -6.256 ; FS[16] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.923 ; -; -6.253 ; FS[16] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.920 ; -; -6.232 ; FS[16] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.899 ; -; -6.198 ; FS[6] ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.865 ; -; -6.198 ; FS[6] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.865 ; -; -6.193 ; FS[7] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.860 ; -; -6.190 ; FS[7] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.857 ; -; -6.169 ; FS[7] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.836 ; -; -6.146 ; FS[17] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.813 ; -; -6.143 ; FS[17] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.810 ; -; -6.122 ; FS[17] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.789 ; -; -6.070 ; UFMInitDone ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.737 ; -; -6.070 ; UFMInitDone ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.737 ; -; -6.044 ; FWEr ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 3.633 ; -; -6.040 ; FS[4] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.707 ; -; -6.032 ; FS[12] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.699 ; -; -6.028 ; RASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.695 ; -; -6.022 ; FS[16] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.689 ; -; -6.019 ; FS[4] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.686 ; -; -5.996 ; FWEr ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.578 ; 3.585 ; -; -5.959 ; FS[7] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.626 ; -; -5.958 ; S[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.625 ; -; -5.954 ; FS[5] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.621 ; -; -5.949 ; Cmdn8MEGEN ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.198 ; 2.918 ; -; -5.942 ; UFMReqErase ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.609 ; -; -5.915 ; FS[16] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.582 ; -; -5.912 ; FS[17] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.579 ; -; -5.852 ; S[0] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.519 ; -; -5.852 ; FS[7] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.519 ; -; -5.839 ; FS[6] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.506 ; -; -5.835 ; FS[10] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.502 ; -; -5.818 ; FS[6] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.485 ; -; -5.805 ; FS[17] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.472 ; -; -5.739 ; FS[5] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.406 ; -; -5.733 ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; n8MEGEN ; DRCLK ; RCLK ; 1.000 ; 2.165 ; 8.565 ; -; -5.720 ; FS[5] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.387 ; -; -5.714 ; UFMInitDone ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.381 ; -; -5.711 ; FS[5] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.378 ; -; -5.711 ; UFMInitDone ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.378 ; -; -5.690 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.357 ; -; -5.688 ; Ready ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.355 ; -; -5.666 ; FS[13] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.333 ; -; -5.656 ; FS[12] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.323 ; -; -5.596 ; FS[6] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.263 ; -; -5.579 ; FS[4] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.246 ; -; -5.563 ; FS[16] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.230 ; -; -5.549 ; RASr2 ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.216 ; -; -5.503 ; IS[2] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.170 ; -; -5.500 ; FS[7] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.167 ; -; -5.487 ; RCKE~reg0 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.154 ; -; -5.480 ; UFMInitDone ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.147 ; -; -5.479 ; FS[6] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.146 ; -; -5.459 ; FS[10] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.126 ; -; -5.453 ; FS[17] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.120 ; -; -5.425 ; UFMReqErase ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.092 ; -; -5.420 ; S[1] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.087 ; -; -5.397 ; IS[2] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.064 ; -; -5.373 ; UFMInitDone ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.040 ; -; -5.363 ; FS[12] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.030 ; -; -5.350 ; FS[4] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.017 ; -; -5.345 ; FS[14] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.012 ; -; -5.345 ; FS[4] ; UFMD ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.012 ; -; -5.333 ; InitReady ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.000 ; -; -5.312 ; Ready ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.979 ; -; -5.312 ; IS[3] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.979 ; -; -5.290 ; FS[13] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.957 ; -; -5.267 ; FS[3] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.934 ; -; -5.230 ; PHI2r2 ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.897 ; -; -5.230 ; PHI2r2 ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.897 ; -; -5.208 ; IS[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.875 ; -; -5.206 ; IS[3] ; nRRAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.873 ; -+--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Setup: 'nCRAS' ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.490 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 3.235 ; -; -0.087 ; Ready ; RowA[7] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.832 ; -; 0.071 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.500 ; 5.926 ; 6.022 ; -; 0.079 ; Ready ; RowA[8] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.666 ; -; 0.080 ; Ready ; RowA[5] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.665 ; -; 0.081 ; Ready ; RowA[9] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.664 ; -; 0.082 ; Ready ; RowA[1] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.663 ; -; 0.084 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.661 ; -; 0.091 ; Ready ; RowA[2] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.654 ; -; 0.095 ; Ready ; RowA[4] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.650 ; -; 0.099 ; Ready ; RowA[6] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.646 ; -; 0.104 ; Ready ; RowA[0] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.641 ; -; 0.105 ; Ready ; RowA[3] ; RCLK ; nCRAS ; 0.500 ; 2.578 ; 2.640 ; -; 0.571 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 1.000 ; 5.926 ; 6.022 ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'DRCLK' ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.306 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -2.165 ; 1.529 ; -; -16.286 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -2.165 ; 1.549 ; -; 60.000 ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'ARCLK' ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.272 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 0.000 ; -1.715 ; 2.013 ; -; 60.000 ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+----------------------------------------------------------------------------------------------------------+ -; Hold: 'RCLK' ; -+--------+-------------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.874 ; ARCLK ; ARCLK ; ARCLK ; RCLK ; 0.000 ; 3.348 ; 3.071 ; -; -0.374 ; ARCLK ; ARCLK ; ARCLK ; RCLK ; -0.500 ; 3.348 ; 3.071 ; -; 1.192 ; nCCAS ; CASr ; nCCAS ; RCLK ; 0.000 ; 3.348 ; 4.761 ; -; 1.264 ; nCRAS ; RASr ; nCRAS ; RCLK ; 0.000 ; 3.348 ; 4.833 ; -; 1.344 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; 0.000 ; 3.348 ; 4.913 ; -; 1.400 ; PHI2r2 ; PHI2r3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.621 ; -; 1.642 ; RASr3 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.863 ; -; 1.670 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.891 ; -; 1.692 ; nCCAS ; CASr ; nCCAS ; RCLK ; -0.500 ; 3.348 ; 4.761 ; -; 1.695 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.916 ; -; 1.703 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.924 ; -; 1.706 ; IS[1] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.927 ; -; 1.764 ; nCRAS ; RASr ; nCRAS ; RCLK ; -0.500 ; 3.348 ; 4.833 ; -; 1.844 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; -0.500 ; 3.348 ; 4.913 ; -; 1.899 ; DRShift ; DRShift ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.120 ; -; 1.948 ; IS[2] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.169 ; -; 1.959 ; InitReady ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.180 ; -; 1.976 ; RASr2 ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.197 ; -; 1.983 ; RASr2 ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.204 ; -; 2.108 ; FS[6] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.329 ; -; 2.117 ; FS[10] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; FS[11] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; -; 2.125 ; FS[9] ; FS[9] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; UFMProgram ; UFMProgram ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.347 ; -; 2.135 ; FS[8] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.356 ; -; 2.135 ; FS[1] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.356 ; -; 2.137 ; FS[16] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.358 ; -; 2.141 ; Ready ; Ready ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.362 ; -; 2.153 ; IS[3] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.374 ; -; 2.212 ; UFMReqErase ; UFMReqErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.433 ; -; 2.221 ; FS[7] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.442 ; -; 2.221 ; FS[2] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.442 ; -; 2.230 ; FS[4] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; -; 2.230 ; FS[12] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; -; 2.230 ; FS[14] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; -; 2.231 ; FS[3] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; FS[5] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; FS[13] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; FS[15] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.452 ; -; 2.233 ; n8MEGEN ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.454 ; -; 2.292 ; IS[1] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.513 ; -; 2.332 ; CASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.553 ; -; 2.363 ; PHI2r ; PHI2r2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.584 ; -; 2.380 ; CASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.601 ; -; 2.407 ; CASr2 ; CASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.628 ; -; 2.522 ; ARShift ; ARShift ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.743 ; -; 2.530 ; UFMErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.751 ; -; 2.542 ; IS[3] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.763 ; -; 2.577 ; S[1] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.798 ; -; 2.582 ; S[1] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.803 ; -; 2.593 ; RASr2 ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.814 ; -; 2.615 ; IS[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.836 ; -; 2.622 ; IS[0] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.843 ; -; 2.837 ; CASr3 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.058 ; -; 2.885 ; CASr3 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.106 ; -; 2.912 ; RASr ; RASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.133 ; -; 2.913 ; PHI2r3 ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.134 ; -; 2.936 ; CASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.157 ; -; 2.940 ; FS[6] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.161 ; -; 2.949 ; FS[10] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; FS[11] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.170 ; -; 2.957 ; FS[9] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.178 ; -; 2.967 ; FS[1] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.188 ; -; 2.969 ; FS[16] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.190 ; -; 3.028 ; S[1] ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.249 ; -; 3.051 ; FS[6] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.272 ; -; 3.060 ; FS[11] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; FS[10] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.281 ; -; 3.066 ; IS[0] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.287 ; -; 3.068 ; FS[9] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.289 ; -; 3.078 ; FS[1] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.299 ; -; 3.109 ; RCKEEN ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.330 ; -; 3.130 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.351 ; -; 3.159 ; S[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.380 ; -; 3.161 ; FS[7] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.382 ; -; 3.161 ; FS[2] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.382 ; -; 3.162 ; IS[2] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.383 ; -; 3.170 ; FS[4] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; -; 3.170 ; FS[14] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; -; 3.170 ; FS[12] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; -; 3.171 ; FS[15] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.392 ; -; 3.171 ; FS[5] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.392 ; -; 3.171 ; FS[10] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.392 ; -; 3.179 ; FS[9] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; -; 3.184 ; CASr ; CASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.405 ; -; 3.241 ; RASr ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.462 ; -; 3.277 ; UFMD ; UFMD ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.498 ; -; 3.281 ; FS[14] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.502 ; -; 3.281 ; FS[4] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.502 ; -; 3.282 ; FS[5] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.503 ; -; 3.282 ; FS[15] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.503 ; -; 3.289 ; IS[1] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.510 ; -; 3.289 ; FS[16] ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.510 ; -; 3.290 ; FS[9] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.511 ; -; 3.296 ; UFMD ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.517 ; -; 3.306 ; IS[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.527 ; -; 3.324 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.545 ; -; 3.328 ; IS[1] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.549 ; -; 3.381 ; S[0] ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.602 ; -; 3.383 ; FS[17] ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.604 ; -+--------+-------------+-------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------+ -; Hold: 'PHI2' ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ -; -0.396 ; Ready ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.198 ; 3.023 ; -; 0.072 ; n8MEGEN ; Cmdn8MEGEN ; RCLK ; PHI2 ; -0.500 ; 3.198 ; 2.991 ; -; 0.129 ; n8MEGEN ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.198 ; 3.548 ; -; 1.927 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.148 ; -; 2.681 ; C1Submitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.902 ; -; 3.162 ; XOR8MEG ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.383 ; -; 3.363 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.584 ; -; 3.375 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.596 ; -; 3.825 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.546 ; -; 4.031 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.252 ; -; 4.110 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.831 ; -; 4.140 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.861 ; -; 4.198 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.419 ; -; 4.265 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.986 ; -; 4.326 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.047 ; -; 4.447 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.668 ; -; 4.486 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.707 ; -; 4.492 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.713 ; -; 4.492 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.713 ; -; 4.492 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.713 ; -; 4.492 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.713 ; -; 4.550 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.271 ; -; 4.620 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.341 ; -; 4.766 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.487 ; -; 4.883 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.604 ; -; 5.022 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.743 ; -; 5.060 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.781 ; -; 5.064 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.785 ; -; 5.147 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.868 ; -; 5.318 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.039 ; -; 5.323 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.044 ; -; 5.349 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.070 ; -; 5.450 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 5.671 ; -; 5.462 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.183 ; -; 5.519 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.240 ; -; 5.565 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.286 ; -; 5.587 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.308 ; -; 5.758 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.479 ; -; 5.804 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.525 ; -; 5.859 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.580 ; -; 6.020 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.741 ; -; 6.122 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.843 ; -; 6.158 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.879 ; -; 6.261 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.982 ; -; 6.314 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.035 ; -; 6.386 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.107 ; -; 6.443 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.164 ; -; 6.557 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.278 ; -; 6.577 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.298 ; -; 6.659 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.380 ; -; 6.716 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.437 ; -; 6.841 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.562 ; -; 6.953 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.674 ; -; 7.012 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.733 ; -; 7.216 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.937 ; -; 7.242 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.963 ; -; 7.355 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.076 ; -; 7.480 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.201 ; -; 7.527 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.248 ; -; 7.651 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.372 ; -; 7.743 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.464 ; -; 7.902 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.623 ; -; 7.902 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.623 ; -; 7.902 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.623 ; -; 7.902 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.623 ; -; 8.037 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.758 ; -; 8.187 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.908 ; -; 8.187 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.908 ; -; 8.187 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.908 ; -; 8.187 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.908 ; -; 8.245 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.966 ; -; 8.300 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.021 ; -; 8.403 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.124 ; -; 8.403 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.124 ; -; 8.403 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.124 ; -; 8.403 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.124 ; -; 8.439 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.160 ; -; 8.530 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.251 ; -; 8.564 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.285 ; -; 8.697 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.418 ; -; 8.697 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.418 ; -; 8.697 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.418 ; -; 8.697 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.418 ; -; 8.735 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.456 ; -; 8.746 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.467 ; -; 8.960 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.681 ; -; 8.960 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.681 ; -; 8.960 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.681 ; -; 8.960 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.681 ; -; 9.040 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.761 ; -; 9.099 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.820 ; -; 9.099 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.820 ; -; 9.099 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.820 ; -; 9.099 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.820 ; -; 9.224 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.945 ; -; 9.224 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.945 ; -; 9.224 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.945 ; -; 9.224 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.945 ; -; 9.303 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.024 ; -; 9.395 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 9.116 ; -+--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Hold: 'nCRAS' ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.125 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.000 ; 5.926 ; 6.022 ; -; 0.341 ; Ready ; RowA[3] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.640 ; -; 0.342 ; Ready ; RowA[0] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.641 ; -; 0.347 ; Ready ; RowA[6] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.646 ; -; 0.351 ; Ready ; RowA[4] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.650 ; -; 0.355 ; Ready ; RowA[2] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.654 ; -; 0.362 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.661 ; -; 0.364 ; Ready ; RowA[1] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.663 ; -; 0.365 ; Ready ; RowA[9] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.664 ; -; 0.366 ; Ready ; RowA[5] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.665 ; -; 0.367 ; Ready ; RowA[8] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.666 ; -; 0.375 ; nCCAS ; CBR ; nCCAS ; nCRAS ; -0.500 ; 5.926 ; 6.022 ; -; 0.533 ; Ready ; RowA[7] ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 2.832 ; -; 0.936 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.578 ; 3.235 ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'ARCLK' ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ -; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; -; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_1br_component|maxii_ufm_block1|arclk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_1br_component|maxii_ufm_block1|arclk ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'DRCLK' ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ -; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; -; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_1br_component|maxii_ufm_block1|drclk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_1br_component|maxii_ufm_block1|drclk ; -+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'PHI2' ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; PHI2 ; Rise ; PHI2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; ADSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; ADSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; C1Submitted ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; C1Submitted ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdEnable ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdEnable ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; RA11 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; RA11 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; UFMOscEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; UFMOscEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; XOR8MEG ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; XOR8MEG ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; PHI2|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; PHI2|combout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; RA11|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; RA11|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; -+--------+--------------+----------------+------------------+-------+------------+------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'RCLK' ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; RCLK ; Rise ; RCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; ARCLK ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; ARCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; ARShift ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; ARShift ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr2 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr3 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr3 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRCLK ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRCLK ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRDIn ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRDIn ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRShift ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRShift ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[10] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[10] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[11] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[11] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[12] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[12] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[13] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[13] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[14] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[14] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[15] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[15] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[16] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[16] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[17] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[17] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[8] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[8] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[9] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[9] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; InitReady ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; InitReady ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r2 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r3 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r3 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RA10 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RA10 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr2 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr3 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr3 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RCKEEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RCKEEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; Ready ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; Ready ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; S[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; S[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; S[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; S[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMD ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMD ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMErase ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMErase ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMInitDone ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMInitDone ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMProgram ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMProgram ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMReqErase ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMReqErase ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; n8MEGEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; n8MEGEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; nRCAS~reg0 ; -+--------+--------------+----------------+------------------+-------+------------+-------------+ - - -+------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'nCCAS' ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCCAS ; Rise ; nCCAS ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[7] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; -+--------+--------------+----------------+------------------+-------+------------+---------------+ - - -+--------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'nCRAS' ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCRAS ; Rise ; nCRAS ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; CBR ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; CBR ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; FWEr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; FWEr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[8] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[8] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[9] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[9] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; CBR|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; CBR|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; FWEr|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; FWEr|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; Din[*] ; PHI2 ; 0.839 ; 0.839 ; Rise ; PHI2 ; -; Din[0] ; PHI2 ; 0.100 ; 0.100 ; Rise ; PHI2 ; -; Din[1] ; PHI2 ; 0.099 ; 0.099 ; Rise ; PHI2 ; -; Din[2] ; PHI2 ; 0.187 ; 0.187 ; Rise ; PHI2 ; -; Din[3] ; PHI2 ; 0.377 ; 0.377 ; Rise ; PHI2 ; -; Din[4] ; PHI2 ; 0.181 ; 0.181 ; Rise ; PHI2 ; -; Din[5] ; PHI2 ; 0.431 ; 0.431 ; Rise ; PHI2 ; -; Din[6] ; PHI2 ; 0.839 ; 0.839 ; Rise ; PHI2 ; -; Din[7] ; PHI2 ; -0.141 ; -0.141 ; Rise ; PHI2 ; -; Din[*] ; PHI2 ; 7.176 ; 7.176 ; Fall ; PHI2 ; -; Din[0] ; PHI2 ; 6.507 ; 6.507 ; Fall ; PHI2 ; -; Din[1] ; PHI2 ; 5.653 ; 5.653 ; Fall ; PHI2 ; -; Din[2] ; PHI2 ; 6.225 ; 6.225 ; Fall ; PHI2 ; -; Din[3] ; PHI2 ; 6.476 ; 6.476 ; Fall ; PHI2 ; -; Din[4] ; PHI2 ; 5.332 ; 5.332 ; Fall ; PHI2 ; -; Din[5] ; PHI2 ; 7.176 ; 7.176 ; Fall ; PHI2 ; -; Din[6] ; PHI2 ; 5.239 ; 5.239 ; Fall ; PHI2 ; -; Din[7] ; PHI2 ; 5.246 ; 5.246 ; Fall ; PHI2 ; -; MAin[*] ; PHI2 ; 7.271 ; 7.271 ; Fall ; PHI2 ; -; MAin[0] ; PHI2 ; 4.152 ; 4.152 ; Fall ; PHI2 ; -; MAin[1] ; PHI2 ; 4.051 ; 4.051 ; Fall ; PHI2 ; -; MAin[2] ; PHI2 ; 6.688 ; 6.688 ; Fall ; PHI2 ; -; MAin[3] ; PHI2 ; 7.271 ; 7.271 ; Fall ; PHI2 ; -; MAin[4] ; PHI2 ; 7.040 ; 7.040 ; Fall ; PHI2 ; -; MAin[5] ; PHI2 ; 5.984 ; 5.984 ; Fall ; PHI2 ; -; MAin[6] ; PHI2 ; 4.702 ; 4.702 ; Fall ; PHI2 ; -; MAin[7] ; PHI2 ; 4.845 ; 4.845 ; Fall ; PHI2 ; -; nFWE ; PHI2 ; 5.436 ; 5.436 ; Fall ; PHI2 ; -; PHI2 ; RCLK ; 1.898 ; 1.898 ; Rise ; RCLK ; -; nCCAS ; RCLK ; 1.746 ; 1.746 ; Rise ; RCLK ; -; nCRAS ; RCLK ; 1.818 ; 1.818 ; Rise ; RCLK ; -; Din[*] ; nCCAS ; 0.343 ; 0.343 ; Fall ; nCCAS ; -; Din[0] ; nCCAS ; -0.572 ; -0.572 ; Fall ; nCCAS ; -; Din[1] ; nCCAS ; -0.490 ; -0.490 ; Fall ; nCCAS ; -; Din[2] ; nCCAS ; -0.295 ; -0.295 ; Fall ; nCCAS ; -; Din[3] ; nCCAS ; -0.561 ; -0.561 ; Fall ; nCCAS ; -; Din[4] ; nCCAS ; 0.097 ; 0.097 ; Fall ; nCCAS ; -; Din[5] ; nCCAS ; 0.343 ; 0.343 ; Fall ; nCCAS ; -; Din[6] ; nCCAS ; -0.478 ; -0.478 ; Fall ; nCCAS ; -; Din[7] ; nCCAS ; -0.222 ; -0.222 ; Fall ; nCCAS ; -; CROW[*] ; nCRAS ; 1.871 ; 1.871 ; Fall ; nCRAS ; -; CROW[0] ; nCRAS ; 1.871 ; 1.871 ; Fall ; nCRAS ; -; CROW[1] ; nCRAS ; 1.618 ; 1.618 ; Fall ; nCRAS ; -; MAin[*] ; nCRAS ; 0.521 ; 0.521 ; Fall ; nCRAS ; -; MAin[0] ; nCRAS ; -0.639 ; -0.639 ; Fall ; nCRAS ; -; MAin[1] ; nCRAS ; 0.450 ; 0.450 ; Fall ; nCRAS ; -; MAin[2] ; nCRAS ; -0.345 ; -0.345 ; Fall ; nCRAS ; -; MAin[3] ; nCRAS ; 0.521 ; 0.521 ; Fall ; nCRAS ; -; MAin[4] ; nCRAS ; -0.391 ; -0.391 ; Fall ; nCRAS ; -; MAin[5] ; nCRAS ; -0.178 ; -0.178 ; Fall ; nCRAS ; -; MAin[6] ; nCRAS ; -0.439 ; -0.439 ; Fall ; nCRAS ; -; MAin[7] ; nCRAS ; -1.067 ; -1.067 ; Fall ; nCRAS ; -; MAin[8] ; nCRAS ; -0.425 ; -0.425 ; Fall ; nCRAS ; -; MAin[9] ; nCRAS ; -0.474 ; -0.474 ; Fall ; nCRAS ; -; nCCAS ; nCRAS ; 0.429 ; 0.429 ; Fall ; nCRAS ; -; nFWE ; nCRAS ; 2.878 ; 2.878 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Hold Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; Din[*] ; PHI2 ; 0.943 ; 0.943 ; Rise ; PHI2 ; -; Din[0] ; PHI2 ; 0.454 ; 0.454 ; Rise ; PHI2 ; -; Din[1] ; PHI2 ; 0.455 ; 0.455 ; Rise ; PHI2 ; -; Din[2] ; PHI2 ; 0.367 ; 0.367 ; Rise ; PHI2 ; -; Din[3] ; PHI2 ; 0.177 ; 0.177 ; Rise ; PHI2 ; -; Din[4] ; PHI2 ; 0.373 ; 0.373 ; Rise ; PHI2 ; -; Din[5] ; PHI2 ; 0.123 ; 0.123 ; Rise ; PHI2 ; -; Din[6] ; PHI2 ; 0.943 ; 0.943 ; Rise ; PHI2 ; -; Din[7] ; PHI2 ; 0.695 ; 0.695 ; Rise ; PHI2 ; -; Din[*] ; PHI2 ; 0.303 ; 0.303 ; Fall ; PHI2 ; -; Din[0] ; PHI2 ; -0.378 ; -0.378 ; Fall ; PHI2 ; -; Din[1] ; PHI2 ; 0.138 ; 0.138 ; Fall ; PHI2 ; -; Din[2] ; PHI2 ; -0.365 ; -0.365 ; Fall ; PHI2 ; -; Din[3] ; PHI2 ; -0.419 ; -0.419 ; Fall ; PHI2 ; -; Din[4] ; PHI2 ; 0.303 ; 0.303 ; Fall ; PHI2 ; -; Din[5] ; PHI2 ; -1.686 ; -1.686 ; Fall ; PHI2 ; -; Din[6] ; PHI2 ; -1.080 ; -1.080 ; Fall ; PHI2 ; -; Din[7] ; PHI2 ; -1.052 ; -1.052 ; Fall ; PHI2 ; -; MAin[*] ; PHI2 ; 0.837 ; 0.837 ; Fall ; PHI2 ; -; MAin[0] ; PHI2 ; 0.837 ; 0.837 ; Fall ; PHI2 ; -; MAin[1] ; PHI2 ; -0.027 ; -0.027 ; Fall ; PHI2 ; -; MAin[2] ; PHI2 ; -2.640 ; -2.640 ; Fall ; PHI2 ; -; MAin[3] ; PHI2 ; -3.223 ; -3.223 ; Fall ; PHI2 ; -; MAin[4] ; PHI2 ; -2.992 ; -2.992 ; Fall ; PHI2 ; -; MAin[5] ; PHI2 ; -1.936 ; -1.936 ; Fall ; PHI2 ; -; MAin[6] ; PHI2 ; -0.564 ; -0.564 ; Fall ; PHI2 ; -; MAin[7] ; PHI2 ; -0.704 ; -0.704 ; Fall ; PHI2 ; -; nFWE ; PHI2 ; -0.462 ; -0.462 ; Fall ; PHI2 ; -; PHI2 ; RCLK ; -1.344 ; -1.344 ; Rise ; RCLK ; -; nCCAS ; RCLK ; -1.192 ; -1.192 ; Rise ; RCLK ; -; nCRAS ; RCLK ; -1.264 ; -1.264 ; Rise ; RCLK ; -; Din[*] ; nCCAS ; 1.126 ; 1.126 ; Fall ; nCCAS ; -; Din[0] ; nCCAS ; 1.126 ; 1.126 ; Fall ; nCCAS ; -; Din[1] ; nCCAS ; 1.044 ; 1.044 ; Fall ; nCCAS ; -; Din[2] ; nCCAS ; 0.849 ; 0.849 ; Fall ; nCCAS ; -; Din[3] ; nCCAS ; 1.115 ; 1.115 ; Fall ; nCCAS ; -; Din[4] ; nCCAS ; 0.457 ; 0.457 ; Fall ; nCCAS ; -; Din[5] ; nCCAS ; 0.211 ; 0.211 ; Fall ; nCCAS ; -; Din[6] ; nCCAS ; 1.032 ; 1.032 ; Fall ; nCCAS ; -; Din[7] ; nCCAS ; 0.776 ; 0.776 ; Fall ; nCCAS ; -; CROW[*] ; nCRAS ; -1.064 ; -1.064 ; Fall ; nCRAS ; -; CROW[0] ; nCRAS ; -1.317 ; -1.317 ; Fall ; nCRAS ; -; CROW[1] ; nCRAS ; -1.064 ; -1.064 ; Fall ; nCRAS ; -; MAin[*] ; nCRAS ; 1.621 ; 1.621 ; Fall ; nCRAS ; -; MAin[0] ; nCRAS ; 1.193 ; 1.193 ; Fall ; nCRAS ; -; MAin[1] ; nCRAS ; 0.104 ; 0.104 ; Fall ; nCRAS ; -; MAin[2] ; nCRAS ; 0.899 ; 0.899 ; Fall ; nCRAS ; -; MAin[3] ; nCRAS ; 0.033 ; 0.033 ; Fall ; nCRAS ; -; MAin[4] ; nCRAS ; 0.945 ; 0.945 ; Fall ; nCRAS ; -; MAin[5] ; nCRAS ; 0.732 ; 0.732 ; Fall ; nCRAS ; -; MAin[6] ; nCRAS ; 0.993 ; 0.993 ; Fall ; nCRAS ; -; MAin[7] ; nCRAS ; 1.621 ; 1.621 ; Fall ; nCRAS ; -; MAin[8] ; nCRAS ; 0.979 ; 0.979 ; Fall ; nCRAS ; -; MAin[9] ; nCRAS ; 1.028 ; 1.028 ; Fall ; nCRAS ; -; nCCAS ; nCRAS ; 0.125 ; 0.125 ; Fall ; nCRAS ; -; nFWE ; nCRAS ; -2.324 ; -2.324 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; RA[*] ; PHI2 ; 11.943 ; 11.943 ; Rise ; PHI2 ; -; RA[11] ; PHI2 ; 11.943 ; 11.943 ; Rise ; PHI2 ; -; RA[*] ; RCLK ; 12.421 ; 12.421 ; Rise ; RCLK ; -; RA[0] ; RCLK ; 12.101 ; 12.101 ; Rise ; RCLK ; -; RA[1] ; RCLK ; 11.881 ; 11.881 ; Rise ; RCLK ; -; RA[2] ; RCLK ; 12.068 ; 12.068 ; Rise ; RCLK ; -; RA[3] ; RCLK ; 12.421 ; 12.421 ; Rise ; RCLK ; -; RA[4] ; RCLK ; 12.287 ; 12.287 ; Rise ; RCLK ; -; RA[5] ; RCLK ; 12.220 ; 12.220 ; Rise ; RCLK ; -; RA[6] ; RCLK ; 12.186 ; 12.186 ; Rise ; RCLK ; -; RA[7] ; RCLK ; 11.890 ; 11.890 ; Rise ; RCLK ; -; RA[8] ; RCLK ; 12.150 ; 12.150 ; Rise ; RCLK ; -; RA[9] ; RCLK ; 12.269 ; 12.269 ; Rise ; RCLK ; -; RA[10] ; RCLK ; 8.927 ; 8.927 ; Rise ; RCLK ; -; RCKE ; RCLK ; 8.786 ; 8.786 ; Rise ; RCLK ; -; RDQMH ; RCLK ; 12.174 ; 12.174 ; Rise ; RCLK ; -; RDQML ; RCLK ; 12.206 ; 12.206 ; Rise ; RCLK ; -; nRCAS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; -; nRCS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; -; nRRAS ; RCLK ; 7.536 ; 7.536 ; Rise ; RCLK ; -; nRWE ; RCLK ; 8.622 ; 8.622 ; Rise ; RCLK ; -; RD[*] ; nCCAS ; 19.685 ; 19.685 ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 19.685 ; 19.685 ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 18.806 ; 18.806 ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 17.621 ; 17.621 ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 19.528 ; 19.528 ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 18.795 ; 18.795 ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 18.802 ; 18.802 ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 18.954 ; 18.954 ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 18.801 ; 18.801 ; Fall ; nCCAS ; -; RA[*] ; nCRAS ; 14.657 ; 14.657 ; Fall ; nCRAS ; -; RA[0] ; nCRAS ; 13.694 ; 13.694 ; Fall ; nCRAS ; -; RA[1] ; nCRAS ; 13.338 ; 13.338 ; Fall ; nCRAS ; -; RA[2] ; nCRAS ; 13.700 ; 13.700 ; Fall ; nCRAS ; -; RA[3] ; nCRAS ; 13.894 ; 13.894 ; Fall ; nCRAS ; -; RA[4] ; nCRAS ; 13.348 ; 13.348 ; Fall ; nCRAS ; -; RA[5] ; nCRAS ; 13.282 ; 13.282 ; Fall ; nCRAS ; -; RA[6] ; nCRAS ; 13.721 ; 13.721 ; Fall ; nCRAS ; -; RA[7] ; nCRAS ; 13.003 ; 13.003 ; Fall ; nCRAS ; -; RA[8] ; nCRAS ; 14.657 ; 14.657 ; Fall ; nCRAS ; -; RA[9] ; nCRAS ; 13.207 ; 13.207 ; Fall ; nCRAS ; -; RBA[*] ; nCRAS ; 10.738 ; 10.738 ; Fall ; nCRAS ; -; RBA[0] ; nCRAS ; 10.096 ; 10.096 ; Fall ; nCRAS ; -; RBA[1] ; nCRAS ; 10.738 ; 10.738 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; RA[*] ; PHI2 ; 11.943 ; 11.943 ; Rise ; PHI2 ; -; RA[11] ; PHI2 ; 11.943 ; 11.943 ; Rise ; PHI2 ; -; RA[*] ; RCLK ; 8.927 ; 8.927 ; Rise ; RCLK ; -; RA[0] ; RCLK ; 12.101 ; 12.101 ; Rise ; RCLK ; -; RA[1] ; RCLK ; 11.881 ; 11.881 ; Rise ; RCLK ; -; RA[2] ; RCLK ; 12.068 ; 12.068 ; Rise ; RCLK ; -; RA[3] ; RCLK ; 12.421 ; 12.421 ; Rise ; RCLK ; -; RA[4] ; RCLK ; 12.287 ; 12.287 ; Rise ; RCLK ; -; RA[5] ; RCLK ; 12.220 ; 12.220 ; Rise ; RCLK ; -; RA[6] ; RCLK ; 12.186 ; 12.186 ; Rise ; RCLK ; -; RA[7] ; RCLK ; 11.890 ; 11.890 ; Rise ; RCLK ; -; RA[8] ; RCLK ; 12.150 ; 12.150 ; Rise ; RCLK ; -; RA[9] ; RCLK ; 12.269 ; 12.269 ; Rise ; RCLK ; -; RA[10] ; RCLK ; 8.927 ; 8.927 ; Rise ; RCLK ; -; RCKE ; RCLK ; 8.786 ; 8.786 ; Rise ; RCLK ; -; RDQMH ; RCLK ; 12.174 ; 12.174 ; Rise ; RCLK ; -; RDQML ; RCLK ; 12.206 ; 12.206 ; Rise ; RCLK ; -; nRCAS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; -; nRCS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; -; nRRAS ; RCLK ; 7.536 ; 7.536 ; Rise ; RCLK ; -; nRWE ; RCLK ; 8.622 ; 8.622 ; Rise ; RCLK ; -; RD[*] ; nCCAS ; 17.621 ; 17.621 ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 19.685 ; 19.685 ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 18.806 ; 18.806 ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 17.621 ; 17.621 ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 19.528 ; 19.528 ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 18.795 ; 18.795 ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 18.802 ; 18.802 ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 18.954 ; 18.954 ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 18.801 ; 18.801 ; Fall ; nCCAS ; -; RA[*] ; nCRAS ; 13.003 ; 13.003 ; Fall ; nCRAS ; -; RA[0] ; nCRAS ; 13.694 ; 13.694 ; Fall ; nCRAS ; -; RA[1] ; nCRAS ; 13.338 ; 13.338 ; Fall ; nCRAS ; -; RA[2] ; nCRAS ; 13.700 ; 13.700 ; Fall ; nCRAS ; -; RA[3] ; nCRAS ; 13.894 ; 13.894 ; Fall ; nCRAS ; -; RA[4] ; nCRAS ; 13.348 ; 13.348 ; Fall ; nCRAS ; -; RA[5] ; nCRAS ; 13.282 ; 13.282 ; Fall ; nCRAS ; -; RA[6] ; nCRAS ; 13.721 ; 13.721 ; Fall ; nCRAS ; -; RA[7] ; nCRAS ; 13.003 ; 13.003 ; Fall ; nCRAS ; -; RA[8] ; nCRAS ; 14.657 ; 14.657 ; Fall ; nCRAS ; -; RA[9] ; nCRAS ; 13.207 ; 13.207 ; Fall ; nCRAS ; -; RBA[*] ; nCRAS ; 10.096 ; 10.096 ; Fall ; nCRAS ; -; RBA[0] ; nCRAS ; 10.096 ; 10.096 ; Fall ; nCRAS ; -; RBA[1] ; nCRAS ; 10.738 ; 10.738 ; Fall ; nCRAS ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+--------+----+----+--------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+--------+----+----+--------+ -; MAin[0] ; RA[0] ; 10.124 ; ; ; 10.124 ; -; MAin[1] ; RA[1] ; 9.891 ; ; ; 9.891 ; -; MAin[2] ; RA[2] ; 8.276 ; ; ; 8.276 ; -; MAin[3] ; RA[3] ; 10.704 ; ; ; 10.704 ; -; MAin[4] ; RA[4] ; 8.824 ; ; ; 8.824 ; -; MAin[5] ; RA[5] ; 8.367 ; ; ; 8.367 ; -; MAin[6] ; RA[6] ; 10.195 ; ; ; 10.195 ; -; MAin[7] ; RA[7] ; 9.820 ; ; ; 9.820 ; -; MAin[8] ; RA[8] ; 9.678 ; ; ; 9.678 ; -; MAin[9] ; RA[9] ; 8.912 ; ; ; 8.912 ; -; MAin[9] ; RDQMH ; 8.830 ; ; ; 8.830 ; -; MAin[9] ; RDQML ; 8.862 ; ; ; 8.862 ; -; RD[0] ; Dout[0] ; 6.188 ; ; ; 6.188 ; -; RD[1] ; Dout[1] ; 6.690 ; ; ; 6.690 ; -; RD[2] ; Dout[2] ; 6.254 ; ; ; 6.254 ; -; RD[3] ; Dout[3] ; 6.845 ; ; ; 6.845 ; -; RD[4] ; Dout[4] ; 6.775 ; ; ; 6.775 ; -; RD[5] ; Dout[5] ; 6.952 ; ; ; 6.952 ; -; RD[6] ; Dout[6] ; 6.194 ; ; ; 6.194 ; -; RD[7] ; Dout[7] ; 6.725 ; ; ; 6.725 ; -; nFWE ; RD[0] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[1] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[2] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[3] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[4] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[5] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[6] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[7] ; 17.178 ; ; ; 17.178 ; -+------------+-------------+--------+----+----+--------+ - - -+------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+--------+----+----+--------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+--------+----+----+--------+ -; MAin[0] ; RA[0] ; 10.124 ; ; ; 10.124 ; -; MAin[1] ; RA[1] ; 9.891 ; ; ; 9.891 ; -; MAin[2] ; RA[2] ; 8.276 ; ; ; 8.276 ; -; MAin[3] ; RA[3] ; 10.704 ; ; ; 10.704 ; -; MAin[4] ; RA[4] ; 8.824 ; ; ; 8.824 ; -; MAin[5] ; RA[5] ; 8.367 ; ; ; 8.367 ; -; MAin[6] ; RA[6] ; 10.195 ; ; ; 10.195 ; -; MAin[7] ; RA[7] ; 9.820 ; ; ; 9.820 ; -; MAin[8] ; RA[8] ; 9.678 ; ; ; 9.678 ; -; MAin[9] ; RA[9] ; 8.912 ; ; ; 8.912 ; -; MAin[9] ; RDQMH ; 8.830 ; ; ; 8.830 ; -; MAin[9] ; RDQML ; 8.862 ; ; ; 8.862 ; -; RD[0] ; Dout[0] ; 6.188 ; ; ; 6.188 ; -; RD[1] ; Dout[1] ; 6.690 ; ; ; 6.690 ; -; RD[2] ; Dout[2] ; 6.254 ; ; ; 6.254 ; -; RD[3] ; Dout[3] ; 6.845 ; ; ; 6.845 ; -; RD[4] ; Dout[4] ; 6.775 ; ; ; 6.775 ; -; RD[5] ; Dout[5] ; 6.952 ; ; ; 6.952 ; -; RD[6] ; Dout[6] ; 6.194 ; ; ; 6.194 ; -; RD[7] ; Dout[7] ; 6.725 ; ; ; 6.725 ; -; nFWE ; RD[0] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[1] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[2] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[3] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[4] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[5] ; 16.032 ; ; ; 16.032 ; -; nFWE ; RD[6] ; 17.178 ; ; ; 17.178 ; -; nFWE ; RD[7] ; 17.178 ; ; ; 17.178 ; -+------------+-------------+--------+----+----+--------+ - - -+-----------------------------------------------------------------------+ -; Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -+-----------+------------+--------+------+------------+-----------------+ - - -+-----------------------------------------------------------------------+ -; Minimum Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -+-----------+------------+--------+------+------------+-----------------+ - - -+-------------------------------------------------------------------------------+ -; Output Disable Times ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -+-----------+------------+-----------+-----------+------------+-----------------+ - - -+-------------------------------------------------------------------------------+ -; Minimum Output Disable Times ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; RD[*] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Rise ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Rise ; nCCAS ; -; RD[*] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[0] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[1] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[2] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[3] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[4] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[5] ; nCCAS ; 13.198 ; ; Fall ; nCCAS ; -; RD[6] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -; RD[7] ; nCCAS ; 14.344 ; ; Fall ; nCCAS ; -+-----------+------------+-----------+-----------+------------+-----------------+ - - -+-------------------------------------------------------------------+ -; Setup Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; -; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; -; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; -; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; -; ARCLK ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; DRCLK ; RCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; -; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; -; RCLK ; RCLK ; 619 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-------------------------------------------------------------------+ -; Hold Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; -; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; -; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; -; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; -; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; -; ARCLK ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; DRCLK ; RCLK ; 2 ; 0 ; 0 ; 0 ; -; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; -; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; -; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; -; RCLK ; RCLK ; 619 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 30 ; 30 ; -; Unconstrained Input Port Paths ; 231 ; 231 ; -; Unconstrained Output Ports ; 37 ; 37 ; -; Unconstrained Output Port Paths ; 75 ; 75 ; -+---------------------------------+-------+------+ - - -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit TimeQuest Timing Analyzer - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Jul 23 02:20:57 2020 -Info: Command: quartus_sta RAM4GS -c RAM4GS -Info: qsta_default_script.tcl version: #1 -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (306004): Started post-fitting delay annotation -Info (306005): Delay annotation completed successfully -Info (332104): Reading SDC File: 'constraints.sdc' -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332105): Deriving Clocks - Info (332105): create_clock -period 1.000 -name DRCLK DRCLK - Info (332105): create_clock -period 1.000 -name ARCLK ARCLK - Info (332105): create_clock -period 1.000 -name RCLK RCLK - Info (332105): create_clock -period 1.000 -name nCRAS nCRAS - Info (332105): create_clock -period 1.000 -name PHI2 PHI2 - Info (332105): create_clock -period 1.000 -name nCCAS nCCAS -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -99.000 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -99.000 -99.000 ARCLK - Info (332119): -99.000 -99.000 DRCLK - Info (332119): -9.292 -92.804 PHI2 - Info (332119): -8.365 -253.063 RCLK - Info (332119): -0.490 -0.577 nCRAS -Info (332146): Worst-case hold slack is -16.306 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -16.306 -16.306 DRCLK - Info (332119): -16.272 -16.272 ARCLK - Info (332119): -0.874 -0.874 RCLK - Info (332119): -0.396 -0.396 PHI2 - Info (332119): -0.125 -0.125 nCRAS -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is -29.500 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): -29.500 -59.000 ARCLK - Info (332119): -29.500 -59.000 DRCLK - Info (332119): -2.289 -2.289 PHI2 - Info (332119): -2.289 -2.289 RCLK - Info (332119): -2.289 -2.289 nCCAS - Info (332119): -2.289 -2.289 nCRAS -Info (332001): The selected device family is not supported by the report_metastability command. -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 288 megabytes - Info: Processing ended: Thu Jul 23 02:21:02 2020 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:04 - - diff --git a/CPLD/MAXII/output_files/UFM.qip b/CPLD/MAXII/output_files/UFM.qip deleted file mode 100755 index e69de29..0000000 diff --git a/CPLD/MAXII/output_files/greybox_tmp/cbx_args.txt b/CPLD/MAXII/output_files/greybox_tmp/cbx_args.txt deleted file mode 100755 index e714d49..0000000 --- a/CPLD/MAXII/output_files/greybox_tmp/cbx_args.txt +++ /dev/null @@ -1,25 +0,0 @@ -ERASE_TIME=500000000 -INTENDED_DEVICE_FAMILY="MAX II" -LPM_FILE=RAM4GS.mif -LPM_HINT=UNUSED -LPM_TYPE=altufm_none -OSC_FREQUENCY=180000 -PORT_ARCLKENA=PORT_UNUSED -PORT_DRCLKENA=PORT_UNUSED -PROGRAM_TIME=1600000 -WIDTH_UFM_ADDRESS=9 -DEVICE_FAMILY="MAX II" -CBX_AUTO_BLACKBOX=ALL -arclk -ardin -arshft -busy -drclk -drdin -drdout -drshft -erase -osc -oscena -program -rtpbusy

_wjZfcH<<%GCoC zkaP^ti7ATYy^x^LVxW&<>eS)ztL8k1R9f@$vW&{L9zAWlHTdTlV)7HhDuCChLG=A< zO$-x3UY_fvzKq39fIT} zP`2{Z0hV=~#ScA=T4==@?@xtp&uoIwZz%2{$N-8}#;Iu`T0?Zr?~I27sJ-XhhGW?2gA&F`5UZ%{B`D(4) z=7e<*zPS8yH1Zk#Zm-%$h1J4(cADvIfIgVvyyi0%bu-O@1r^IRp1m|p6e9ps;Y3qW ze3l=W^Q>NyYl1#m%)@K)TY9rTk0dQ3bhchvy9D^JIh}TH4f-1b-Bs566L5{F7ptv} z-18=IxJJk3TI7vqZOlNL|1xx* z+56`6qB}+!|J;)eRHYYW7=ot}{3G3aCo|pW)ZRb=fwjc_KT%;cs|afHp*)}@_?>&& za+3u<)7?-iB0H^oNUzDEhIpk%&ji%yIJ*Fv>4eUShO1-(JE3Df8Kbdqsc_fX1=k0p zhf>%rv*Y|Kb~;=m>aC9|)YtN5pnMl;HfUKv1zgxNG#`41&S?`w$VR?d&^4BLo6TSc z-h*Kp{UBw$j;zoPy_L{#GrscxW|@b$=TzU`6Vxqny^v?&gc9kvj}b=mNn-Ch^(eTKw5Ahj-*)0{Pvrkr=+hGXum z9jbWuX0h;wL;IHKhiaQ63D+O|?zD+D>aAVj@28hrX_ibt9G$H<%zDeb4e$-(@vPHN1yW+|a56^5co^={RP%YWi-2 z%`XhKkS4V~y_(i=CUzkvFC6!H0VOtCd45f7ZbAn45gxzAkbY(wn7s1N(QiFQK^~Ap z@=RzgT=9h?)|n_N7(0&v zBUmVdUaOPcU0o1QeyDE{U}f%lMc2R_xIG+4 zQlT6+i#qyo)2e^`QT=dOXo}>K(9{6`E@C^>SwS}loHpIqo?RK!6IgB=86 zNmF`j@T?i{WX+KW5)bV>7+`i=wh6S!Fg|BKw3n+exCO3O06?uQvDucpU4RHFA0bH< z6vkS)LV8UIj7+OJCm9OqvVM&XB&VZTg^^2cq)-EB36VmLNyrtw2&SegWkLZ4N2(_8 zRE2sx4Q%5w_lutM5(OuEFXp=x7S9OtwEYUPpy%Fs!m%AknBO7SfKiHkxH|)>ZJ!KS zn+SUm*sW-E?Yw%W78$FydP|!*1xmxP!(_j+W zb^g*g&HX;qvZ)UOyzK9?^(N3vpu^d>a4#%@QxtfCP;3zw+@n9jdqg!0)fxD!kw-O(hC$QyiDB7Hg*LVd=39qdGaF^EEPpz@eTHgQlz2tcQ)zO1o0?8Y%m} z@gVPN`f~c*rSa(MD2)EuQ@VKW9k^+6z&gzhU>s>+LSFc4EZIXL@0Y>H&H@3r7Wv19 zOO(?Sqh9!uGS}&Zi37g>o?x%t>(xB!T*#H|+O}<*L=v_AwbSjJPL2oh4t}?K*3Ekm zznR6A3ZFGv9#wXHFoBfgq9OCRD@)R$d&8Y&l>39uO20gpR^YQs_qDCE)f3R%aksdG z7V^{rD~lVu4(vLtl^f73%%i)ds1zM~ULxMY=1m`02u4(*5LNdtSKl=$i8p6Ibf82UH|BrS1z8v zp=QxXbh~C!r}`{Ll=gW92md6!EZV>8`}Xk!MesnKqOlwHpz6||=U&p%5R5@hTsZci zdT8HX_@#Xj9rBbPz!w{31+%iB{r7Yig{-yS$$Gf2a@qNEx!IoU_l{|Q&AKb|^!B}o z!DNVTb9Uz+Ilt3rW2}MKsON9f;BR~0>BGWgxN^Hc)Om_OHr&}G%{bhcfNv;jsHn6o z{|=NcNt8ct(e+0ANZIzjac%63f2*v^$vqED&+bqP3@wS?F^|2>Xg56mWu@2@fQdd+ z+qQEq|NP#=jlHkxo<7$enFx}|Zr>NSa3euSDqtyd3gUJZVo}!CDkoD;Ybx>U+!h*% z^V+x+LOZu@H2IcfZBqN$^VdNh?!gu@LY%3m03sIQI6^l$+&cS@)aN$%dAGTU8C+pCSiO`r3Onn|3Qx zJqb_#=N+qzbitW-mMgmrA?)5yo?3F(uCM0*<4un7OH=ntKeJcib+JD*dvBEAWlqfj zL+u@kcDq(W&kwged9fP(0gpN@rLwQn^WC@CnV6n7b`#Xo1D|pgApKP8?9GxDY4-_R zV%3W$#qjWZUbdR}v;e%%|oApt9v2t&W P#B(7(W`2SHKcM~x#FRE3 literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.cmp.hdb b/CPLD/MAX/MAXII/db/RAM2GS.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..d79937adf445baec127cc55c8e980c8fae9f0bb9 GIT binary patch literal 18013 zcmZs?b8sfl_XZlkH@59$V{B}`u{YS*wry=}+s?+eZa%;7AGdDRt*PfZ(=#eGCK%vI-%dPUr%2LYXCI(a5`*RalgA-JSq~YGMIu9Iqf1=r0og zC+#J|Pfy7K!+hD%+(FoZn5!4lMbCo3Rm}lol|Wp%7xMP2yIuV$+uMGz%B!YB8mtEB zYp9-em!(>wz}RB9S>%4P)^w&!@FTcnr}PQIl>yHeN+s5RZA2I`Y(F(%KQ_)+U{8=D zU7dc3$nGU?k(hf<=Yyo4_??KGC^HQhw&Loq?u`~>^XP>mrIO4M*3iB+8wwC|?SngB@2uq?=&MCNw5v+k@2JCp1AVu5G%wGA z5o}f_U)Dy$+|J>3Vd8oP&Mnh;(Q+q?P;#>pXd{;0aT0XEwcPNrMNp-1JYhPuOb zeiQ7;!4xxT9$Myk73hmUVFy1lk{=uA(x3}-O6anzo=UWm57z3X8~AZ$yA>7Y^sUPJ zi}mZ0C>4wKJ!PFwtU`xw3l%b2+6x7ekE4o+(waS_8>}h}hvJ7D`3sq%65fs1_H1p; zOg*by#=#kp&jH9ItQ~KaE6y^_&I>L>bI0>ZfYL|jiLEOimt~~aWZm)&`&Q&e@4>W~ z1C0@Wp>4UxT+UbRA#Km;@$gw6Yhh?le*whi`rA7RcwZn5{T%AI7zAQkwJ~r_cXq zhsJwnXsvApdZ+(=g)|jsyVXr%cM}Hi;I~`McsAI9lste9&VR)(1(V}3y_Hs*dGv6@ z>J{4II4ZL_;mo%$M}Ux=a}e(?zyNiXbU_m1F+wLRsj=$-L3Sk~{RRYwH7^e&yR#5- z7l`K%H~L%o@Ov$5M+&oXtMkezfJ%ciG}k9OXiljYa~9gAX;rtx?4be0+WZ0z1$ch@{s`Mz>{bWd=RP z@Bi>l3n%Ts{tS$4(qx3Pe`6+kF{>I{Amz!Ph38fEY{NokS_S_QsgP5$dBXMaO#F}} zmOIP!l&+uHmzCjLxBizPx2l_0lNw^V=nj2^pZ`VPa#nBRZy|FG(1U zef+yo^5O|QQPnVprENg&X00ms5$TqVXJw(Ru#^|6*?70K4Ty~3zBxBTVYp@QlD4)# z#`aBkh&t$0Js|Iye?3kMj3~U+gr&X7xkenN1P01b==pTtr8yDa1`eA_f0@1zOp6RB zo?*AA*_UN$95JU`Z!4@NV*PTe?r)@zC6J$HSCUoV;bGLBjJY z;2h2Jc6o8eUbHU3FGxOlzTbF>_&8aLoj(rAP8y*Z(^WuQ&xE4M>PS1JcIl?h38#9u zQ8O>2dgi-$5glQ(dXo%Cw)A-s{{pH$yBk{4-3p70+zW0!dms{DWGuw)-`!~R4A*}= z+h&YD$5yf6dM>%zCk+4SQ&|6c_KobC1io&pO)q5I3EFZ9PuE~?HJx=2eA>i-8{XMj zSLh$^m+`q(x!-scx1P|9u_k45nR)_ve5*>wO=OOLr`Cz*cw3sRDxkQx+ptPeGt>Xo z&u(m3DNZ3C@`Y+)Y&DP>rynyrzCC&>QqshC!5_V40IwVCe&}0Bko{0uBRkZh&r!H7 zG%O@=r%7)lRzm+JX%vY=-g+esDP_K+Sq~8wX8s zCB{BQ3HrP8`|9`$pOxU#M~u0di>*tbAe`r<#ZgsEop5`V;Jn!jHLqY>&(PUx#L;_0 zLTs+6&oXu6SDI{)G2Dj%@#w~&9v+6O4Y2`NS3sJ|8Upx1-;2bkl8S^h zxUV02#l8-8{8s%m_KX8Vs7x_vn~E(#8L zKD(dx;8){kye}Wm=kq%ZQ#k6m<-)fmx`4OTU%+~wSl=?A1Rs4tKvsiD zhFWvZA#udMfZbaoe~u_mg~;d2&PR|gz_e${kI%Hmn@K@p@ooqm*!}&p5 zg6l@v;rDA5k!c}*@-?g;Eqa`PG}CFo*wM*O{C=XwFOAWM(<0}m#0ydt-BlONC(CB4 z-5Gm*Xy5NfJ}Q2`pl2or2!aLJAn7?9dg=H&wuh6N?3V-^?>?+i9MbH3QN|&91e^2W~EZ(4A}Kh@t1ad)V_Dx2$p)31~l zex(2TZezD0zU8(%#2WJX*rQ^0&|M4jaccRev${XA_4dKoydjVc_~E1Z^$GvXP}bsR z@R~5MQc?DUmReS6O1T({hUp)>r4y*W;Y|PCPn9jQQF+ryjBJe4u963flKVjIor-*v zrwi)SE`b6>={g#zA5@7L>h|TOhtZ3Xj}Nx(KXpZu$XcuQV<1F=pBkkMMT=(OAG+tm zu(&9Hti)k#&gc?rZ^fYu%WtI6)q5CVq8L6~pwnyGueIWSc^HGqm}8a1x*X_ILW_(J-9#-_ z>5lqo)mir!FP^QxcsR4^2c|)op=-pXa9~%j*Snc1dmN@S{$jx(_-HHKZ!;jQU|Na% zD4h$ZvEuHlFq&UlWQF@D>i$C`tAocB($sZluHj$kMFIS)L~@M!>d(So)ExtoSic{a zQyv&_&aY0+DxP9vmfo;E@0)PNo2|Hcv3@I7PH(sy+e$c{g<&#h4-U3VVM)WcnDd5bA81VOZgi2={Y;@nSfyCz)>l!G(?M z%L}SvwI~WH;Axs(^6wd}Q8eQx>{iv$zfCAMy8(aMJL%K8$l|Uyj#DX2&$a0*ubZc& zjQ1~=aSQxHf06scOi1T%SN?Rc;5-h)tg{PK(UPjfTFIwD+vc<*5}e^UalmP3#x-mH zcsP)-^`su2a1Jo`GW4Af@$5i*0!~z@VTF3pg4il?8m-5=PCNw`F8Lw8I^PRk*P(?c z$?IA*0~F9-yr>mCps!Af!Fr3V=;czFYmUv(bGir#6ngA(c6$&G)Ax|LS^osVfXx%s z(H~{&R|qI348$7ap>;4YhPZ=guh?maW6mo)XAbS?NB`x+4|3;#vbRS7tizYM+j!6Y z;peIh4{}z8nIw)dSH!RCy)B~s6|Q}LUuF5!g4&YFkbPDgKzDeK30b=xgjCz(O7PQf z{Ekf_y{;BD12m=ucgG_G^l@FUlBD`&;_2Jq3g+*D`(;~!wz#E|A+ z76s3eQH}li`)f1#`*^eY`#Rr9|Hj%k@aFPA7)QU%%q8X6f}THylUuZ`k2Ve@vDZWY zdDx>9UfJgFcgeeSwnW4{an1tm@0796gtHM^9m-Pci{Mo~GKar0{*CEx%ztC~8|$jA z+gA#bLz7x%ZeN*$VTLvpPI^C+^kz#z3Jb!|?jgDezg$q3LpeCGF`Vaobp3nqmHN_6 z;4K9^>OpAx;LgM&dmyY7;bN~Wb-aBf=dYh*ig#}a<@@*f(nH_)(tW~ytiD(LgRQSa zY+XCHp@IUhe)Is7|GW6f>lGe@iu+8p-(P)0G5{-TX*TiD$oChJ$ml>6}Res?OZVq^9 zsay*^rNm!7IvQEIw^(?Smx5n`H;7w{UZT--!@OSHHQY5nyb+=8qno!a^P3E<68Y0I^l z!pnR$R`_kLFR;-U^Vdldb8Nj%EbanJb;T|2 zHmn}%qum-yyPN3k5VDlE5~tNi7Ea81L0xD8mw0qgBkA$5<{9aP157$+y_WV?AXk|$-=go?79U^)2U7FWTB^JVT-xBuDF+*tiE z^dnWVfY1ysU?iUL*zPxLR26i?>uFa|$&cVG{M7Kjce}uU_N}B-IAwPf>gvG{noECK z*ZS;!d@Me5@Y9xT<`>XQ2xJJo`OUZK4$VS*2RE?%SHhC;?83G^m!}2EXIK5ABe(w%CxGvhGX_5~Tv@bfXK2lBET> zc~j=W?dhoL^S_nc*+rZ)P}7%x&%Dc!0+z_u=`I9u!uWHJ0>=*Hq-VE`JaSQX%cGw1 zXCLyiEavo-CMcPOsa;|)c4i+~Yd4}eRv*e(+D6i@EyqWyk{xWl6xVpdXy^{t*pY0>vi>Tv}?aw#pbUgcvFI>aMeW8 z%<0eIhav&gW-2C~s64xB^&uU52{pSTM&{A(w^u6o!G#xVHg zVKRxtR$bl2H(ceJh7&V`UU`Hu?oTW)V3Cc~ z5uF9&S2N&fm7hQ4b927#Wnn<|Au9YKCHaz3Et|<%Uzk zqW;q4;Jy3obTP>T7!wkE;V1~p)(uU5A($u>zh)!sUUIticP%C{%3X|#AS^kL90nRI zFb!CCt>zT-TmocB@eBQzN#M;HAoGuSY5jRe#;m$zz9Na?Qsv*dQ;PL1oBe`>{w=Gs zWXwCtPk?#!7yEHTYeHCndS;L)L=L9v z>UPQ@#1cEpQns~WE)#hEDP1FmXgJr;xS)Rt+&XQ-=fnUYgUZR@NJ5dPWv+#ownifb zq@OnjF)T9D`?`{tUYs;x*PJxfWCj82gz$zM_hJ{!5ALm&M)II#CKII&C>BRfDon`3 zLTbfKo$5Qmg+jAB&AE(M#G|nGn>tYMwqRTnhX}*PD)AK@Q>e;{on8}-4muV73<~g- z={a?C1u7fV1-^FLLlnFlLq5E0!*~ghS6D(mSn;A}o;^fY#CV~aLh$)0Bn38yIX#Vx zc>4BF|2X*!BEB?m5cJ_|5eL6|(80Bn8CIs~6crMSQ_$zO+y zMvqrE*jL0eIHL?E!8=&1XCr~oRe{TTUu8Zp(~yqZe?IdY2P=0n+(-pBe6#{DTlM9) zd8_rUv?vnp7BPGh#gm+k^lox;*NRL?M^a_dR@^{VL!57^1YSJpBx0rio9S26(Joje zY}qx6GY`v#=OMohBZ9lgi4eUyk)a#3?)Lc^?x_}swZMoyL~5=EsS%UfcdGU{J()P( z`4W8z`Td>b>)}N6=1P39Rl{v&B{g;528!M!rlQLiVKbB$`|EfqXxUN}u<%R0>PI$q{)cQRZ@YPH({%P`)Y+zrQsK4V z^es3Pe+uTu-!J*`UvlUe7OxT)pLp*RY?192!dxG}0(preBwSmrtL|Xiqpcr;$}XS@ zYTmo$94DGhCT@-AAO^bz%5Fcn`0oaCa^-+tgAjw5wsmqjT_qwK=O*-aAXsM98DGi6 zm)N;BHxLnfyk7NYKj^RSrfF;cVDnMYY=KxdBhWwP5cy>W*Z%`Y$Fm;B7D+y4r}yST zYwpzGZ!+mQoK6;G?OZ@FBKCp4!?e>+u7*??$n2jaN5>G%eiplls5*Av#bZ#9lyOf5S-p{`CATN1Z<{YYu*={L;*}q929Pw} zDEK2b%bsG!d0~TxWLwUNKoISTww%+ zJmH7a1L93M+QqNM#D_e>c`sP((2uJ{Q658$j^ffOHThsxTDV|RUH{4(OU~o@oZwmT z&o$yyPQGz=DknF(ePN(vB7*Odv58t-=o*r;#TUG{2}08xe^pCwO{J^7$89i(*6R4w@;GBL1q7O)yuLXSMBdvFxD=lKY!SeMu6U&-7^o%YC%Lv4 zk?jV1>5-(b21~f{Mn!*9duQoJPCZV)ulciueFBY=5N3(}bOG=;K{z=>VHTHr(;)K| zxL?eh9$F^tA$ai<_bJZpL4GM3>4CqYN9n=7`QZNR)aOrUuLb>Cz-Vm|YKB0N@do(PJ+auf=} zZOn#me~;6SNxPATqy7Nq3Jrtrjik2yO#pKlsD`j@cc^!wbA`%ZZQMtslWeKkQTKQW zml9r#bAI4Fw^G!$kjAHfv(KwPQ2dafA1mDTLwFg4^jD~up=@z(#N)(O-Yf<72Dt%X z6FK`h!s*=xP^)F(g3tnN4E~pb`Ghq>JURW2Y^mVkj7R!XTI`0c_^DUCnxi6Mi8hX9!#C zF9Fi_ARbtAf|BpPE6?iJQzD;!C^;Z&Ml*iQd-^+FIQK|1Z7pVRtXfvSw(02b5l^Cz zJ%0{-sc*S8aOT~SgPy1cG9Nvdhm3d1*AkSc-cawl5pGKMJz$6L;p@&HiD-q@H3=4e0hg1FluvtIu2n!7Zo^`na0UVAnGGAl> ztO!}+MPeh3oj={{#^-tRvM(MAR+8)wfe1l!J=&F4_v-@kr&-p5R{_8VzolzuXoO+!Xo;cFe4aU1-yiQ{W8G=$^jQs|C+whi|b@x$74CjsIjQOx&7 zd1cdhfVSlIHjo&UZa{B|^dC=>7;x8r5hCEZI*TTPN)yHmGrw`1jmc9EWpaGM4+Fu% zDv<>LUi}FKGT(-!ciNVi2<^khco9oXu&plh#Axh2{~|!J2Vs=HSbKoZV7YO-wuFzZ z%AJ&y`yOR*BjP@YVtD6HLXmFOTu6*h_iuW*TmOu39M8t?|4iTa=7~{E zdk084t6sU&SfDf_lM4o>M!o$)6(nZOU(+D47xQA=`-Pelmg)>rvsNv^AMh-Q>IXG! zUf2`;JkM5b|sh&O;3Zw_0IeA%)tc+wV3)DK2r2H^ zv6E3oDLPUa?RIW}bv{q02v=cPC@OYmS>KcPsWR|M9Y+n~svG86@oq;ZyKtL8R}E&A z#s7Xt3_bortu~;c<(>Bl{ed1ZjVS8p^uvUt$WrOnbCEv_a$mKaAb^Y}-uWwC-O&GH zr#9sahqF#X_3a=L#8o=tnITKf_GA=WTRZ@2y2eL}A4OvN7ES-nKc|=;jsl@`{nYs1 zSJtHo0v0Yq4))5L3d>?g$mFThIBw^0xFZ|4qQe$F|FeUh?U1VZDJd!SA}7ePdrM9B zyg;|tqIKuM0xQ7J)#5nw@v_=;fAiSI#m)V;T|Eq$*z0&ZkEP2WfRg9~iocxYOwhhe z-k|^zC8_=s(^FwL0q3}89YHwQWHOIEgjkmcZm#JS6)F7Uu23)O6Z)trpvzq0_+5Q7 zOTSd?_&sLz;*Qs1`ZALbIMq4z<8C>or3Oqa%s6SOk_|a(LZ|CAUmM$Y!=LQYd%)SL zK_)&m+0k2s-zHzb?+B(X2=B7_V&pgVIXLeFZVPOp@y+%!9e@YC;RcDzUQk24&GRYY ze3wv*bU5DENp*ZbiP8Ka?eq4tH;N~uA8I$Htcmi*b)UDV;%p~b_VkH-t=a}Mm5P`4 zO3Q+e!l^}7>}D8()Ll+GMxju_P=IQD+Qx0!wS6qQ?yX1Jo@n#|xMh)Of&a2bE8&-9 zCu3084AsZnTZnJJerhsU&ZAnf)5w>b&Io)tNYX*8*{{jT5)65np24UB`lJFn8pqT_48V zQ*b;ho7qg^)F~PNMEVi;FbgkR?+K6{9ak)7ntUxO-{z`lpj_5kuQ!~rnBQ$F^wk#W zt{F_!UgRqGkQTV#N;m#XRqB&wmhrENu4{CIE9w-(Pp&i*yKJ7zLIK!$qwQbMxa)R+ zB&1z^+L?NteViye*3rPR9sG{loPc-hHU$yj1XxA!W8+kBGQpg-ptrTsh*6YlU0O{j zGfc`--_Mt+jvVGo@qpc*t=ah@-`WQykc~#9+c3x;WA!5bl%kPS3m#$;wBPkZL&rCN zk-8DuZQMg@N#YW)!obX9&soi`t;oT&T$#n#*0sK@{!tmJxp=AWGQ zk=;_#5a&bB?zmK>Ai`gP=rWf&1vWgUnUP?14p=Z|KMIXAW4OWmWW!+HTxJg@GDXr-u&Sup1w#qsb#NpHV?_V^cFYDx_niShW3;f z36h&}mcp#j)-69MA6rlGPEImB>E}$x+<)YHTn40Uh{VyU6#5N?DWTkH=A9o^Aah4 z@e9CbH76nqKFb#{dtz7M;2A|XMlZNOn4SIaK$g&quj185C9K@J*HB~Os-Wmr%VaHn z#o10e*(dqr*M6tZiw+sHUsS`p+?TBEMOF&)G%Bml)Sqt8_*+t-xuNr6*a7>OqK074 zu!`zCtMK6mJ2&Ge;-Y=~i|TRD=&Rq;NZ9H2-ulbrCgA4NlR7Mk%|y0@r+<3@;bPm{`(yv&*hV>9aPM$)UyyhH|83naJNZ)jQynTxV$Md+Q}c;{{lO#PyxPv1hxN^LM~HkDvK_TxUklQ{%QD_eaX=_Tjzako&S<{U`ir*x2;Y zvEb?J&9=;*_X%IB_B1iX7KN(ZKROPHnU1O?GB09HG8u?2wo0*ozQz$W+G8~jtc9+- zVHY?mBGL!75OJh3uCVwTBApX7i{eo(8j(#VY%m{4>==bf`+iqOQm{*->8097)Z%g> zgw3xBw1+Q!yp(g=`u9oEyRE3r%|&BH!M;`e!+W6ZzT{`-QJ z4x`c_OGkmF&qB!OfLxDdPiByZeT+(@{rfo2V#Jm_loG$jidnTSR_C1_zI`Sc9}?$P zY5FP+OCtL3Ei2j5nDE6lacbm!8xNr@oG|Hs>L()XbM51*h?UjJlD$5V($3}mfFXPY zZ!c5PT~BmyQ62!|aEd2J+6MmoP*xe6OJWt4L?n^1n6Dk~D^9`EeD488pzK!(Y;mH^ zS394Ln1ULt7qWSzbY0 zWP)Ab%`9PAE(bOj3%%jbT*vA=1N7n6-ryQpXSNJ;qXQoUz^ahe9w66Fa(!!sbivjT zA=h9mxv(tzbA3yN@WC$nA=gMO75gz0&he3_OKIPOMhU8*TXo?Z+j2KGa$SSmR0E2I zI@*N1X)RkYESW(OzpgnnFLMGOU%)ODh2KO19*t+ZwQ{>)8ksRI?}Xo+0yvQy-7zeW z1Ki{T+>k7{X=e;T*4W`cy+J;`H3A-g%{(&Ce6Nh_fP0+^?RLkuWQM-Tfp5gj?J5!C zgKzYsotc8TFobVp7kX;}v*oc|#u;hDd-%DrlXCx5>csP2>RwgZCaG4w4Gj#dzI)k_n3RT%_#&;Jhw{vx z=j~+qg#}_bSc5<`^E+aJ16kULb6O%k?cbqo=3x{+2sO@T$SBayC#XI^+ZICH>-|Uy zgK3@X&rQOd-QtQSOpuIDqikujek)GKt>dp3tG^u9Gx^ z1QG4`!mb)m=?Sb>lohCDgXyXXtJ&mJ@UZMYPDG_-w+$LO^lYq#7$Z399<v(;NZ>}S7~#njJe!E>R5m3dn6>eW3dp&9kGRaxf2$G-6$=;9Qs}_MD)tk>x5#Qq z!sqerCqDLRbjWon>VCLP-a|oV@)&6D?#*x> z%R+Wucg;h`kJm>8|I}Ao6tzl1MU(M!qjv;Y6sJnI86M+ch}<%+M$$)=5viiX>%wJ~ z8+#!@gpWp^yE=NHIJ^ z%wqbry_%GJo9#(n$FaU->sE9e`5e5e;4nyo*zzIZ|D|#O2{VcA$^Vuf{*T8PoX-zm@DkmPfu)-O}KTlQrTjXcUt+u zd@K^3o)gdA&!EjeW5fq$Kd|JzV=_VCA!W)1k|(f@C^brF5iAp2Sq=4PaRH^?3r|Et zR&?al5=I*lk+dR*)U;`Si20|hQdFbnvhb))5%hoSNu2GIF%@ouN_H=_FF>jqukJ~G z97#d7`bchd$VhYJI>TxDN#H*J=_+4KZbt?RzG`5+&$i_6#CBtP`pMltKJM^Ar5T}j zJ!C8YqCMlRbMWk$oUe{(kDKxLvZsp!iNrfigMxEls#7n)X8pASHgc5Pv>E03D74<; z5th4U(uN_izfx)b7*K4FX1m^sYj2a>ry$&hWySfO9okKDo(n53vpbe$*FyR+JQoo! zoZo()qUGZJrj)grtXfpTUOu4EX_g%Qz z0<=riJeFvh$)xF6D>%2VIj_i+tPkx#{*a^B^qTL1~@s$-Nl&4Ft4 z{~dD9H;=!h(brF6G{xsDcUIRi`=QSHgISn+wQ>5f`A1Jj|HI1434994+%6Wwgf$oC zQx0FkUpeU>HcBtM(dQU`fJrV%!pIy@Syov%6nc8Pz6NeL54hN52&!GPPBQ zz+P7E5ZgjKcdnnJkQCci1`K=8;SxlN~p6Jq90&i*#0{;$*W|kye}z6l*7FmDQzN)bw(qOMk6;y zBe4EznwA0+V5J8ekZEoB0w}xdRYX$7h0Ta3)~ZZ!^lcQS2d+kx@6=6;$=8r}DXK!v zyU0=yB+$mKJY&il;#H3}Bw#WMP{9J;yK{fvBk1N;ej!(+odtw*zB?m}t-SGmlM^mU zj7*f19SnWj4}=$Fcb>kLnl{wFr5b3|Z3wVCRYrYP9V_qVcPtR-0B(L2;!|9kGeTS) zHkK83&Yc|L%P_Ir$(si$YB6q9Vk4Kf)$}l&oa=?+V{B#_5+&9Y()>hRqHrar!T^LA z2J8jB+ZTUsYKs6~I2;2%3Lpjoe^%Dvnw-%fyKrdf?)abfwG$n+YZo*_DgzhRy0>B1dxi&J1kFb&S^uK?-^`FhN zpRYo(?w>j!9EQvnCxq}pH)T6eYWpVrH{~}?dr)f32nFg#`aY~YKf`g{J3&#d06vXv zpd5g=4G=uy7(0+?Mc6PesBWRknjWZ7=phXxgxKickLC(vCD)+)ahyI++z@{)lCn@{ zISYuwS)hp>s|jQHLr+HL>L_K0*IrM-$GNYu7A2FByoWIWFc%E^9Gu;C_^C42W?Mr? zus&NYte`&Rt>0w%A65NFx2Q=UwpN0>>6_w@v8K*`&tk(2?DhL~V#LYVAP=BEl3PqBY<5ND0ZrA$ zv5qp+)hbiPXvi&gZenIFhUMEaNAN*rf5Dw?X8--mE>7Ea@bwGA2eS9M;t+dDWLtj+ zYG_fwXvEiO)PnfmCHqaY%>RK*{)^-l&zJZM8Eo_p9 z1c8SIfoB99m3*ho-vMR$%^M0@f*$JTj=x=OGZsNKn>dsJGqf>;R5FZoIGA)elyo?R zbU2KZI+&C?l$1Jzlo~v+XAdcFEZs3rGU6Xc6n~tYv@I^lhS{)JL+h)RE`X)E)z;H* z+R9e8meyr#?mjs(Zh2H*DX<65q~*V60lxv0l;jGDQoSlnZM6$=2Z5$j= z-yhc!N~#$~>USIfQ}bQ`P1bo!genSd$PX>9U9wx-PUJ}-|XXpvngJ@a~&>kawNY%Kt3ZmqjWw{ zZ8VqB%>?!|$WUUz{;DpEKnPD!x`XzoqxZ*|(ESPeVUWqgNqcy6!DU;i8mj*zDIeyiCwlE~7YA9+pggC-QO|_8t znT;8+DhTH6k4&EFL&C!9`)^g9JMSu&F7x{3uR8bclc;~cVHtG<88T$fX7fx!FdDFP zsYpyH8$FbOD3ky-lph;KLF}c1emCtHwDbJx-&YP*TrD={1{>pWmZ1f_=r!84kb(i=%>W=`whL|3n zm>v=5L4wgpi2zCx?maFth3EnRKXd~lbORyW#3lT(ZLmO0>x~Ebn_gHB;EhJGLCcyS zWr-;J)^tI4%~aaMs3#^i3wtIDWOsTl1H$*Z;bDkn*V>IH$L^T4D1)6te34nE8uzhn z*46r8^WFp~;YN133j`ov9%HnfW3cb_Zreb3^k`Y8!2?5)V;dO>aL%za^=_qlf2vx+ zVdd@znPs79I2q&IiU?WWtfa|8d40(CsRkZRu!SRC&Fhyyp*94-JQwkm9xxhFYT}L9 ze8p_=f%2dMcYVD#j{j9XAwM9;J|I-zZ<1+f@9U#C@8}?l$&uyU3W*8lKoz!&0;$5e zF`v>NC}pN`ZNb5{DdX4-E2-soA@ExZLWY5r_XGv#TwHuu(K_WZ>dAb>ys;u~KMQT8 zr&so7IXAX!`X^@s@`^-lO=MrutV1Pbv>GAj7G1ZIZ2+4VR~g1qF^7#26HtZv0*Z~p zs18NlbbT1JZk=(HlvknJOyYE+9~Vx|QALYGoq_N>s{(=VFDzAmG&;3i)1uU-c0<1_W~ z`8m5Y0>*QaT z5Feh1rJo_n%_qqoxbVP(5QLALD$eb01N2Vm8{Gzc&HIJa*s2dF~jUDN2&y*l0AM;gXYwB{hfl$gkc(-6V)@>WL; zyx9j3r8exQ#Hvy^RQQQHgo=>CCe5eKquoS5jGerSp}|aSxCv0Q(LP-~zJFm$(JGG# z$u|kuvvS1EKEWl>Zba*%@}rkCiysCbKe1cg>;9W`ad+%)&QKUUCkW1>HktyqZ^@Q) zqGl%sReBRWEi|q5Q!b5tU68;lIvwe&$=+}#8OSB#VU~wadfEUJ9=8p(p%c8V0N!_q zX*BjzX*5nUqSkE%9QE+G2Z_jdJj=m7OCgffh;uZ0<{rpNg}F5qD%sRp1K9U>iMCO_?K|bYKktu%Ot9 zR;Yxr$hC8c@YP+Ppb-QA@xp`!te}4k0`<0kbc0}k-+^b#a10XaPgiqg6TPlP)uT0+ z$ikrrNvp>QKAoDLsha84tM!A(;Gl%KriLBh0k0sxR+jm|82uQ}b`s07zY`J*>D~(nhx6?R zM}_poN2#8KeoJ{kJ}N=T`_~g-oMoOx7v2`~h|Dhz`;H6>ix|I-SllS3k(STrlZv47 zR>xYBp9QogiIJtK_{Stvo^fjzi#Dt91cFxn_~p3}uJc7EGRYj^xI+K?1rx=_Za9dz zc`n>l_|AkA~|H7rTkcYSFi_rGUUeb#%nDRPnE-@cE28gxAXrCV?# z8imm#7@yR|29j)Nb-67m+?WZT-m?puOwKa{nhfrh8d`K2=Ky_h$;5Y8}0!TE_C)uReI1OJP;&2AZjE^ghcn^i*gf2vKK2nK>i(K9?{NE)R8CW zSqiyF*7+nRZfSO^lhH~#VmmY~=;;4Z(hScgi$HISc|*ly|E(*657R)3MR>B~jxFXRbxYpsY0$yU~?3gHSADOGGWTV3LE}!)tv4u_Qd@5fvPn z?`Sj_PEc(o&`=EpAsBNa4apb|hFgomgzJq0$nDy#^U#^W^hO!x!}a1fW^F2ls=Xz8 z6bqX~It+^g>vjp_j7|7RP$4n#lXPx_Dpq1*oFZ+H{l!vMYzmGV#W2)@`NMhVGft$7 zt%P{*uVfeqJ+tY;&eZ-{R~82p^7M3=7#wdrK32DFW;LvJ@Ex^GpPbtb zXPbJvd;L0$qWOpkgmijuojaHDk)-9s=rEA7Qyd;%X^DL%Ze;a%6}$R8IF!_fUf#KVJHQ|Kf;otVP{?jH;O&iwe0aAfv;yGGJ zPFs^4oA9fIyV)|uTM^HQXlccvE#}56NAfarK2#S(No|W z$MdI59p zXyJVeSO>1>Yrto%+uAc@?*0kz;Ys4SNy6|~ht@o$oJgnCqJo)2tSc<-I^=f5Ws=u65k`IL= z*~mvousH0-K0T$=IQD0$!gG?xxxoetzZYly zdHty7r$4UAiD}<*@CiKoujev^67fcSl6xF?*$Qa=SQ+$(XVhV! zv0>1Vz_QV6{!*dhD%%BZDoIkgs`DgTTyl2Pmg5B$L~XkoyN*Jnylrd|vXGqh$lAC{ zUp-}ufd{U4;#67=qEPpm$RYs@dN{d1W2e_m6ez<)XecaZmF}J=qH@Sd%-49Y4m<@o`f7zE1i1x<= zLisa#!r@MnZ*x5tTMG&G$cioRAjQy-Ld{s}Vqdj#-n@&QhrRVXHhcy{WSK5`3YSTx zLWahBy<^U=V4z5oKnBFH0%-TJXQ99Gm2 zse4KZ#lhr%-#qaOAY??kO&Gl4okJHZ!w6@sZmL@YI2kXdEOcK~5zym)!b&zF z34Nnj8I0p=j-Em~X%TLd$iZcEg@eV9;1H!?U-Z>CTg*+kBt?qfHS~IdO@sd3{gF1D@1n23y!hxXGp_b}XpuYfdMbMd1O zPA1i*p5tl|nLkm+GkR>~)M98Cx^68IlH52enCFO?X!YQc-xgB;G z!}M55=!MGDvrz_l<2@Z}Vt`a;LJp{Xka!$ER;<#p=(>pjVFl@G%UL9O08F zO7w`Fz+QvCn*B5r-voo9QKkqUPfD6n^U3Xh7r+K9iSN1*Bix)wuaLq+&t1Wp%+9%p z@;!(b;o&Bg1f5tP-KCz#6>0B4(GaUNlD^F)kGCU6`k#W&72HwN{$i0!Ud6;wUs-Vu zJt$S7_hd4G4Couoj=;FOv~xQeU;2`nTc*92A8Uzik>TpvF5+|-2Zl)mST7650|E!bJ7m4CL2( zB#5$Tl?^jjXu%6vz+;Ddq8=9mZ)tvlyP}~i_gBUwcT{X^Uya<;l6#^NJnO3*-iCL2 zf)$e=(?d7;yLcZn*6nLy$Fwlz8Tne=@i}Fb4r?lR7h>qh@@_!kvr@V0K<-d=Km;K? ziKuox)l!7%sb?xzSwi+Y^ZWjlNH6Qp&CXC9y1wmuW=%zCEa|G2>2ci*?>cU~z55}r za^1ckyuSJ2j?bA)iog8nj<@%MW@sbB)qGDZ_xz}e1l1QcXXl`0N&U044@5ZvN%GLj a-IPhjH2*~w#aoXkJ)Su+$^8!i0RR8nKBPPV literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.cmp.idb b/CPLD/MAX/MAXII/db/RAM2GS.cmp.idb new file mode 100644 index 0000000000000000000000000000000000000000..a767c09c290e5dfee92fc04b6f237e48cc695ee6 GIT binary patch literal 11913 zcma)iWmH_twr&z2BtVehK?8xH4GqDik>J5SSbz{9xHmL3A!x7;f#B}$P6Hc<;O-8M zHQGqykG=1?=e~2_pEp*mS+#18s#T*#)%VRgtB4*wdQ^h@_(8fnJW>z2j)k*}wSzr9 zkQcxW0MbjjS=)Z5=i%j{=jP`E@^JBR19|B+Eu7u0%`NEFOwDcRf%KY+^tu*i^fI5V zT_0K!=ltE>qeno_f3ipPPyQ?a0r;;R{1};n?Hw_F-_t7aS~`5DD5UxOdo_`$nJlwO zW2Rb)4E|A#678k{1~ZsiR%l52mwj!>@XWg?`GecL;jIl(r~TS$-!qZnJvaC;I`zzR z$YrSEVnaMW!G%!$c?%f|bxZ6B3=Ea(Nu&G1L4{&t{3va{k2!CyFoFcGX5!i-m5B*t( zUq$E6Rp;(h=MGQjF8mK2*A>~@1auNi zOsP9Nwwt0g&Mx_``A`p%L$)PNco|yaIAe{mt0&Z#MYzywpEQMJoP}AlxNJtksr8Sq z#BuuC>#mMaIT9EfttK)zUhDTo!kTE;KATVQnp2kvi6(m!VHYtQ)PK#J^u7tlLCY|G zO||fdxhs(EE(Rx<6`*mPrwy)JGb8nt4eTI|%STtS!DXBZLP2@$M4|m!H=d+Rh4qGA zdD@*Afyb*Q?fRr*r0lF-tfgvr+Qs>L#ueYq^t+rx)7ZRN5NgR8y9C8c> z1nR4op(qkx?Hzp*50)uScsdfmc9jo~W?+7~&25xZrg5X(CBmYkiKnr{OKQoQsw1r@#Tm|T)&^XdE*1HU3 zCP@})8jG>6yY54W-T9}6p{k_oB8t(&!K@yiI0p!;Cd@c7VEJVf_bSO{Ga``;Hbk1BXjvpm?o{4VoEm0BqgW6=y8g zlkOtdT5S)V)rJ@07-)&fXw`=*a^<$$haQA>k&2NhvBYW>=bZQ!wp)<&vmpp=m`O8Y1g_B`im1vu!9@oYD4OPr3;=P75tLpiTcyGI_PU z_EQS5-e`tCobPGp+!K4X&O|@%sb_>XPeS+}btV&-MPf6O)UlZzNQoi=-uO)l}LmA7^ZjvD%}0TNGteXhu1vooWn3H zd0g@3TSjQSraJaHy`D)1Zpf8HHNpGq2hrIa%!ynn_h=Kw6O*ha?xg-E7_eGiC&Ws9 z`gD|l&^<(&0w0p5*}e+>w*B^*Nat%j4_&k>Ztyjh6!yQgdAtwW?<3DU7ckfKC10(r zmiGv=Qy+*t6O)|rFPHnff?VXSVE}_`FkL_g?LOSmfYb>@*nRuR3G_1vEQs7J=lTf| zTJ13&G6}N}EW|(3LsF?bcw(`c1>0vY-;;$1N^D!VI6QdG>NaAvV4)MpG;*U9s z^i+thF*{Z%yP<84;O+_sZtB!C%FOU=2K;x1#V6*2QijkBs&n$74&x_K?5?KJ3rt@k zdrh@waU&CMVjDYH*v3WlY5YF`iER=3m!czRfef4LQ`|W znKl9uLU(#(?XzGpb<26ob_c-?f4WPF4U8yFYBN~<$5>_$&lqPT>Gc>PspJO(gz?|Cqo@XBvnAmr{lm|yag>V50~h_=emZGrX& z9n}9pSYp3#k_h)CT*vMdd<5+N%QJMFsy$oKsLQ-80xSyp#3!@;gZmWIl2uAKAcI{` zUy!izyEbVNyOd=oHakSRXO>M=&Z%?_M+RB*lK{d=_)l_dU`RC&sHl5l^9)-@y_g9s znY}7h&qAGwK}Up5^A{>qm$X7oO7vWwS#rj&9K#g59lw+IYuSoJyWa@LT&_+49lo%C zIYtIASa!5G*o)9t;W&}uJS0S}Nsa7}z#q&P!6&P7m-K(I)x|@cB~LNlH>9fruKan_ z#Je%OUswso;DQb=oL1o^Kx4vg@Z)2Byv_$niQ9<^;yFsDctv4{rCJ0IXABrMCfvt* z5La0$9T~=Gpo-)KL8dVw#BPQH-~Ffqztd8Z&|RLFdTdorIK;JcwE_D$IScm8KgeI~ z#>&BNwFmwTL5R?(=u6+_h~+p#(B><_{%cB=B4!-0mn! zv5Y-Lh$=v=_YceoCKwJGVL0(ai@GSF!=8acAkQ3bPq88She5&`t^~Qm~ z1ETJFY~TSG?pmtfHe-l4eM?n6GxgPD2HH*!GWuN%b-=@7@MaF?yu!*fQEwMh57+G6 z`fWu@z(C92>tPgwp)4ud^9jBtObYWNf_X`vZiUhxybgh2K5W$+`WF8NHtGY;dV@gI zU~Z&@x17IV^;@0#yim0LV^iGSvjKld@6OeD53%vvyv8`6ut!HrP_Zj5xhvf>jF-ZM&+_K_#+m%+=9&yoU?*w^M}nF*mCuNQUdKM`%c z9h2-dy?cye2c2ikWKjZALOclZuQierzJ-+-evOL#P|w6r8YhokLNgYP8+>c@?VqA1 zPOy)ONfiD^k!%s%U@u8zu;c^&ixcr%y}b#N7A$cr-+TK9%fh6y8G{$MPOXy?e-*Di z!-4+lQDzDK946ppwR>-zg5bv9>)pph!M7qIo+OY^j?nJZ$EL{N{Ft^%i7=Wx64}fMM^m>K3?@&dsFLSwTp(YLWD8BAs5?A7=w;()_coKIh7{YLz()} z{one)i4lw-F|Q^qJF1Z$CSbeVySyT$7x$??*Y#-ka{@;~w`mrgI$NK#xf<%<%gcGt zoTs^`3~w5_xLy;rwSAOlzLlMk$9PQ&V8pMj59f~G2rPH=oN^DMv*W%gSN=*Wo9ISi zVGu0C4dnVGac#me+$hGf+$eU=5Z<3pcWF{x2Ow};=Rul=^*`%>#8%E>i#T|t`<}Ki z>yhwi<+9`(ikuEEE^01DN5%S^KMvGU{aX<-EZmR?1>9N(B$VI5`(@~+->jxErZE(a zX|RP!6-9KXYivbbYBlP`VSm4=biS%gLntMh7eEe5y}o^&$8T@%@W)Nz4WgWf1?VA* zNG~cWYa*y+qXug1~#^#|!KAx!j+*|6b_{K&iPVUwEdw1-P66;8XUn080ejmN%Gyx>GoC@3>~AJ%Q=&Q+`*bBA0L-|(^(V(QY z9-jVNGMmyZTgTla;Kdiw$NJ3AMAo6}*f`zf8X_rn;(qCe-2~RgdBdPd zY@<>g3HEeS^b85u+Tp6q_vLD(Ym|Gh$rl`_GL6e?L_;)JEq_CUwyh)y3)ymff~c+5 z{E=ygt+!RZb)bb+dD)J0QnK&oj4&02-W-#b67m*hr(Ka?<|r{K=8602g_?G3-sWll zAQ&QA-eQk)yoW!=&G*iPw3ml}X3I;WsGR6}-qTBYR(etz<&u3bRp^yL=$4P@5~y;o zysgZTe^w%Z1AJ6y8o)XvIIQV+S=#Hft9n-`TU8=OO6sPZGOJ|#F0jOD<; z!F~a>lQ1jfi}`BfKCEOq`|GhmF_rGp}_ko3*HzwXNS-zqHSzQyr~h|Cd6;QigU}zN#Ojx!gDUhF>8R_(-aIy zOO_rEY^HDsxVEpncm;I%IScJuv3v1kpS^^FjIsaEvIxwwO;unHYJ3C`VE^Ulz{}@y z+Sowv)gRLZ6K&#CAtG{XpYLA)ljeygi?*|L#ZX8V9bG+#=2B|4nh-_C1|K%2!k~mtl zzl(yeXoOqH1U}BK=7s(|o;?)NZfUuZNH*_~@y^_SlPYYQ&f53OC6*|RSuUo9w{?uJ zs_A2?FLD3HfoyXvK{L55n9~-~Ccvq}b$0LOBc^#$p}W-FXOSS?6mfL(^(1}kM7*(S zl-DAWjfk%)@4T?#O>)yB+qq$*x;@IF3e36fp&ZNm^-A(kSVp(2DfW4Ih%j_yzToFP z0u(BKo{Z`P(0bK7{<@Ci4Hn}gJr}OL)J>hW!O5Z6=r+nDsb*c6=~^30kKX^`%G(|~ z=%cpdxTm#>OO|_dE*=xd>sxnN;}SgjRQYdwT2@d0bd6`+It;JbtRkfn)txSTb$mEc zXfujqg;o7uAoll`9r@?;IS%~lL#ItVm`LfP8i5U zVkk+vH|NSqRW%7k)y|o~+oif(zoxRoW{jR(Eh?2bCV%1Qd*M)SqP;@3ezZl5Hkz|L zwj@5H@}-r=jR1Y|G5}rsgeJr*+@>^Mv$#X{hWA{5I<9Am`j%sjX}RbRSbITbYD=Dx`BBD1Gm)*X1&{&R;i|o4KKERu{OuST9#B?lsy-|KRhI&GmUNN7Q$;e~PA8)GeQ z2VjKFY`m|s_AlDS>RxCGYixia_GJ3U<7*4_SD1~V+nfM@N$V?H75?(KeE1^t>xTo+ z)`Qq(`}pmUqJP=yPA3rtJ4b-g1cwHx`H$P_#mBJNWt7z1;i2X{68I&e`mnk`B{xp|FRY#~(eB-#1jV=O8dUxtrgPVS6R&mQ(a?xFRuEm4^*s=cfP#&HaHOVtwbmmhjDiW-Jkb`FV$eRqv4*q69`2AM6; z0cg43_=VS~T^_CpnjRtDoC+aY_=I7-He=GC7K}kt_S@RE1b&BsFD=|=+?zj+suHyL z5|DNGLF+t&jnxx5UlI-vtu0Z?J65Q_j3PB)uBoGl_@}iSf(|$rAo$Qr!lu4+#R7Vl zS~2bSP(#4)O0GE?--f=tA0jfXeWU}p{2c4sla!wgN8;`Ht5%#w>8do^j zo)h_s{1{ikNo@hnsrLehaXEvQE%O$dR=-+>4*t;MU*eSMyk@h5>4p%xvGVqc+HHmC zB4n;m(?tmWJt0AEhPI`6ho#zDF_WucN=0y_$s&K&A=g}Wg{#nV|Jd=8b+@-8*kpe? zatBn04R+gqUcohW>ouRk`ZZ~9K(WJv3akIv)drp->a zx9uo&X?eZ_ea2VTND7$7+{^4INc!wwEt0Y;CO~F1Kfql^9RoW-9RE6=pZ5Uj#tUiB z>cQj|!Wwehur>5#>(|fpr!JUH_aPcw@bBhU2J>cHrHKSv?M2q%{pEb!Wke3)%a{>| z?>iI6J?Hc{d6D5a&sz0@Oi`;Z)0DfmCgTni%)*xAS(xE2CmzZR9I5a`eeXd;<$=w z{$b#Rt}amAePFTg>k!TG@b<-*q-|W0f-eC+p{6Vu1rKm0@p!liwOE^L9eIx{XY!A6 zD8YV|N;=|#gpg^GU*wVGTajoc^0 zHXVnHfAE>HsizkluR)t{v~H01Pny-DyqihO6~Li&!!=i)@@~lE1>Y@_t!b{OVrRl( z;8Iakce#S%SlBS?=^7j4^j(=d$^EGH?x~iQglyu4;3{9k`1KojBj1~PVhGg8-z@rz z3P+x1@KYqEP!x4;ZZ=4mcFoOX=}RjG6;33lLZ43pftV2I{JWNWYjJS>E9I~EKgsW6 zv=Oku`fyFLv)tH7>)vu{DT%+dhai0^Q)hir*Uq`QFSic+cuqKtIy?l(^3ZhSYBBlj zah7jXMU&IuIC;7LnY8O3UrSOB9Pj37V{A~YOACt;L(EiNVl=H=8v43wIeidMr_Zd4 z&6(nKbDvgG`%)}Nd+?a7N!s(}*zd>|=pT@GRLl?_{$^IuM}=$7MMXv00aE&Tq0=g< ziJAk3+WVRp5v`~Im}k&2L4m!?M!wEFx9>lM_m_t+zbk601(Kd9<>fAUEL=?~D?KL0 z8RaUta#`s5wClW2yBwy>)ZbKv;)yFXNv-X2h(3??Gha;a*vgS}Y@=4S9=K$ot>9m! zrEkdzCa^#X3RfV1sSgrzmQmwdp9 z5x?F`sLW>jO`H5f7{)hxq&e=X*AmGyJYG>W14y@*YU8@0`dtl*5Zi5MByj)5YF&z# z3rX`H6!EIpsLBOnGejN!(9-I?e{# zfQG88%4KuNJF&q9K0v&5WKgJSOSR=&naIW0Fg!~pG#;{x`}w2IR-%@*r*7hTjed{_ zo^XO--NL{zmbSI0pTMBY>-nBVw4S`WkN{TvmeY@#TWgaNbshqcy-FBLCo6Z_puAw5 zl=8R*K$>UHd|n6qO`x{KvrQMIEKj&mK3sw#S-M`5sHc)m5hIUr&!RnT~8t#(O8B z@G%h0pj}GjoQbLuU)swWT8d7W!byaV0ZsyrCtcjWRqKW3flw=cO=46WGdMn98mN1c zsurVil}A5p4{|!Xbz7KidcGLJHZZRF8R+I(sN80EcwD?#HRGb|Q8_#PJVEgS;*}Nd(dyuQCI!RMJJoTJzo?$~?E4uxOr} za#(`q3mcg;<|RarPnu)sDE>R$YAmN3yX4EQGU*RzUGrAcBtsG)+pyndYA>1%qWALj zqQy(CegG9sF-FYhT!H9LupK^x*d{3fy zZ4#^;g+&VKT+*Ts`sJ;CJwul*P|aTztQ9)aW-C2;Rp&j8YR3!oNDf<*3}PpD^WMVO zi|#%fr%49MEk*u5>;H!CIS>CKE0e5T+ypLzB#Rh0y-GRp&NPa;fz62Bkw>1n{oZ7B zn8|di3;`L{29Xx-LJ6>6gQ9;X>*hyxjA&!t ztV3HMKFoDQ!|bI=Z*kQKefrO_KmKvzem(bwJSjDjtU)D)YI8F+&yqwn#QLvt>{rheRpX#}xNfBx9PH)PM-Pmqq+6Fjn=^aT+A zwShWIQYl#(Vxao-Zi-gU%G5<}cd3-dQsMH)j@Lcj#)?2dPjO>M@ceYPbj<<_n>cxs zgPjazA|kJ*Aoh3`s9C?9#a?YQA$*>aoTe^!KPQ)O*NW}EP%FFEEZek;Oy*3wXkbkV zSDmwDw@BS$yrn+lT%9d9&`d3Y63Hd`bkrw%Se!WApmSO%eI_RU(?UQCl7RO-uF)fA zi`raJ(Ti(o6}yEEvU%aIIbQ`#XFZvico9aIk;$p)MeO-e)V)#Q?@=Lzx}o)ZNCBo713+|OxvzP$w9`Ph`!QB}vgb&9qcMO_#l%-h^~aMz~W(E3X9}Fe^)QVQU}s+4}iE;*7Q9&2UHJ;-hri)md0lmOkqDt8+dxKdQg== zOc$V*;;$Oa9$kE`{25C|(JR*z-R5`%Y*KvfJYw}oI(v$cQ9E3I7AM?L_R5 z?(NP_s!-zUXKWU$h2w+BwbTH`;svJr&nxm*mYzS)s?C8?SLsSyf^v-H+OO10tTLZ# zdz{2N^KTemkzK=F({VDoc4WnPP0&6?SQZ_EwlOwlsjxv}P|-KetJCUhhvOu1gZGoc zgX<@nY#J+#E`~b@JXu3NB3;SO$=T?XFS>jEHMk{Tl7LX=?UstH7mF%hFYV&tN*gK5*9@w;j@ zJOaX6{otmb1s^nq48@AzJryabdSeH@wsR+avXSKL;tK2rR}A{PsWg0njV5yz`WucR zA0)>&#biyS=wcC9tRCulE06RK2-Od|PaE+QFav_fPL;&bDM_$X8ydU3IpI2%qznE}ytgvs=bM2No zcF!YmfDw|y!t$aX$xl=9!~A8x zFE8}E^>u6G5Yyh4&Ou&wedpfnDz))K;ClficPYJF*ww(qguM`*hlu$s<-};fb*e>u z7+m#I**br8`*CuP3IQMi&MVNgXEPVI%kB=a&1AF;cG@>{kJQ0ZQ{` zhkYPWq!X2pd#u%RI=pb5&ZTEx>Zz_Mq&C%~;rN^NQC>%K0O`aVWStHE!_kS5{*rLmumGgYlxC5I(cFc%PVD zmH3a<^uDwv7>jd|5sGi+#xpGORTq}aY<$j`d;ievLE3O%Tb-rWuhtqp#n%UmHm(6H>;WVha}G}t%BxADY+SEKbylru77j_#%Jn~^Z; zeze9lqE4vx1KH60UN);G%q3QOlD{Ge-Jr5S@M6FSQeUPqxj4tIxXJ?{Mw zpYnY9$Get%n@b4NxqDy|yUXPq--$JC6E_@;m=^y}XUh+!?gvAhO5Jy^nNz1Ws?tS2 zfzVBY(H>Xht-6$Dth6V@wk-fA`3Yt_uU&PX7-S5c<3u`+qrB;es z`~EO%Ujf?~>xmynVHTA9vT&lO5#F+iM76b-Ttwo}az$3WlIu z`>UVaGhv;+4iwKG2`0Jvxn_jm@R83TVEw{~f>lhcH(prj_ zsk0L5l)|t1kMAi&3&?v~W^tqC#`J1qUgPLFg|-gIz=jrPdyGN1L+bz$4NVm0(p?w5 zu;@Ns9XsgCVdrT5yv6%eO9lEb-&P!!SD8$mOMD`>B65MqbKDA|)*UhGo{nT!rBYGd z`09C^R^(v!Yd`!Q;d2|+_-)h0r|(=Xpw@8>N>ZLE6j?SB8)|v&iQ!p#C~zuQ1GXl} zvZo1lgneGmw{Ar7*n|BH;8!R5sVlPqnaR>G_n*ko{&vsWeLM5oq4Fmy&%s^1%@6mG zv}sT3I4fR129DwTw5NUkBK_#beSpIC>&>42b8nZuZkJ2d!}wlQ%3C$MI&;yH0`6nD zsJE4W;Fh;Bc31R8;H2U7?E4UrWkA4MG$P|CFJyKvKIOwbpgXdX@A>A5$ICk|Ov-}0 z9}A<4+!x1_iV3=S`_U01I4-f&1&1;BapyzWpU%?UuJ9Q$DHliYMt_ zaMjxJ=%;E^hVPTen77fci^{b{2~o664XpcArB3d=yM}SBLFirL(p!V4jG?gsWDN7` zNjY|!ha&a_*W`*Hngn^cQl2dX#;KtU7p&JWmnN4*^Vn0$RH|)u)$Q*m-4bXMJfn-> zifWR5Ov-yT*mM@q+>h;qb_XSLEX6GsT!B+tTH-jGAnToM*?HPeu^SUTUV40tQw@r0 zJx?$}n`P~O7Eb=yJxK8RVBb42tN%@SUeEr4a~Tt3z2^$Gvz}vOldDC6T(+-xp`=~? z<|?tF_l9?&-c)-?2cIwc@g=|kU37{jLbGrM%k?RTIS`ec)B~;|rqCaqP=xJW(nOyy z;4A6{?eAvsLk08PDx#kEa! zBTJ_qd0Y$+?Z@b^NZNe7NePXK*m{2J-j8Y?4Y-h+wmUFemPcDYA(U#-_YI1}MI~w* zR#+vu`(QKS3LnZWwVTrQ}g)TpSMtAPnKwW z@pY|U;@0~5bR$siS8Mi*tB7_-P%@oX4!KaztQdzCw$Ca=(8^VCr#sEr}_B^SCImTu{?wQSSY&5Pv~yFn9a8B|0{HIW6O$=c~r7L6b$wb1``|`I$1(B!)+;fq6W< zhIrWUdLpSlF`ntu&h*d+Udvhe`)YipO>pO}{Oel5adOqq=B3sNooS7sZr09Ui97J) zc6c*~YF-_e6g7OHtV&ffg#9GfZ3tsb9ryWqD9$6UEiXd}0vs~q2pZ{MjsKoWu`&R% zMLx}$?PNUEVZQH%nd~NUyuZ)-Uqms=l1{)Xo@h`Yl1Yj#C;f*Xr06uZr9(Xua!y~% zv`gZ>d)LD4O?viP24m`P_IS{~8L0i6MRaKXAIezPdZ3J(q_2C851iA1vn`GDFQVyc z`r!9Z+ieq#eOe;%KC*FUrhCAe7Hj;rjCZ zJ^1mO*~%lSr$P0TC8psLA76%u86~f!Q2UFetouvf_){+$*^N1$5Gx_SY2e`{OAJJ2 z$~2LnW$k9I>n`Ud&|gArT+g^Ef=MUJ5lPkF+4P-Aw#cwdIOs%1+u z95c!MNMP#vq%T5aqHB`=)@O~z$NMIQ#;+wXgnfo}23VPn)}Y?SnfTT>z+Peg`KgFU zmbK(FTs0z5%-dU^wT^FhKRlf9oG`I-*wqsKBwvIiP*8vS%)JGZu%_pH+L=y>S#VAu zr7_&wNB=0s#3ezcC~7}3O5%JY ouG0001ZoTZUbYr-%Th2Q%t4tZbOq~en;D2(A?;4I9?65A^aUDGT{ z1z|tlx`1P-AozOE{l0VI&RXNEW(zGl%GrAUCSJ<8?hL~z*pqdt*E!=cXZRkZ^@IDH zzJ~#pOH3zE3+3?JF|;@XA&ZjlSO>710qa=aBlP-f0m4 literal 0 HcmV?d00001 diff --git a/CPLD/AGM-src/db/RAM4GS.cmp.logdb b/CPLD/MAX/MAXII/db/RAM2GS.cmp.logdb old mode 100755 new mode 100644 similarity index 100% rename from CPLD/AGM-src/db/RAM4GS.cmp.logdb rename to CPLD/MAX/MAXII/db/RAM2GS.cmp.logdb diff --git a/CPLD/MAX/MAXII/db/RAM2GS.cmp.rdb b/CPLD/MAX/MAXII/db/RAM2GS.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..8a93cadbf11ed2e0b27d71184a4416b12d1ad723 GIT binary patch literal 15262 zcmV;PJ7L5T000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D?t0RR91008$n00000 z0071U00000005pl00000004La?0svDWJz{bHL$?8*LWWLgk6Z;*_r9tovN&gx>c1-R%UHJx~Enn+rkomjEn>lwk6BvANC)xgv<{lgg_ub z;_(NJjAR*Pw6@F-uNQ-{z3buo;&J2LypfNp>dNlv&8x1?xN%RMIC0{{i4!MY@4x^4 z`+tJJ@8WL?&$Vk)FX5-yJUOk^qfV{aP`mMIv(@=Ci2dr?+iL`p&$aKz+jo%qkMZ|M zJ%4|Q_y1@A{s6!HV@|*S{`)_MpC3bRpF;Az+DZJ?ZrtuDgldf=RcJ)@vv0&LooRWm zATwn68vpyV_}eXP=GV)A8liS4!v8-Aj32@8Mtl_2)mF1ptHyZEJlDScr&!3-vsUfs zxT9_q-%z=gmE3fGHaDjV^-kQ1RI%AY!8H%AKWuhes@slL^DyxF^|m^Q+qI*H>NK16 z_M58SJgQaIVYg8w2ixj;)HqPh&T-sQ?dDHLCUQ0mBFJBXB~U zwwgz+=tT3l30i6=U0cZ-*&9BO>ruPitk$AVe4q}R)$U2$=ooQ8x>^IdoS0V@C4Tp` z6}Q{&P);R`i z0d`U|WqlK6h&t-bRelZZ24b?g-2}qZE+oVV#dXPAQ+0;tZFLegx`$D<(`|v5`)95k ztaM<}QP(Xm$Xm!k)CDE2+BeAiTD#M#?RPuPR(nRNoq8N0!&ZC<39&rBE{d2GO%r<9 zZE2Z1h&xfO-k!Ox+ktB%ck0c@s@y#}iCSlw27-w1!{0SDld1oUrpmvk{{n(Yl~z-K z0Y7`t85HmJsCyK*^_QOiuKhS7pl+yglD{69$?Nma0SY~PDkJo9hhh^H7m9XTZ|*0D`(O+vP!B1Eut-7R6Ki=N-ix%_9?={{Pw+U@U&U z3!|#ruAD@tYI?dAM+cR*ZHX0a`Ft66?)3EIR;?3fz8oGZV`ffo7TzwE)O6LpUw3E^ zYn^^*k@(_xqxQ*_;DxQ+uSlACl!T8Wq|NX)te)3Y`K$p`0%2p4Kio=l8REc>nPULj z`~N%e*EL=Ydc2N*t03>;JSROX;I&Rj3j5nehC@|_rY zqGl(-kvQ;MruDPkI4i5Ku3}@MHu6meG=)BRukOWo>($wI6s=}KkVCXS} z+e(X9+qut{Fc!RU-f$d2agbsM%-C^gAFuEBxXsve&uN`t)Bu39;Q8a4a@O6Y~~ z)qX-)+M#O~%(A{ODRw5g`Jb+@Ykv;^4Pw(!0E3I__YDMt>USHi&J))fpzzRp^f$9f zl{2OPr|G_4MpUucXu$DWdqn9h9>>*p+Emu7w{PSB)B{RP=l7c?HFai?EN9U6;}6G* zW?(dfan;P%PufSqM844vZ8>W)pCbo$?`Hbm$P&6@cGZi(7 z7|sETy>`*)0dwL_)a@rQa{dA^UZWb28Z6xyGG8YVX>LvU@htGeG!2UX_sCd{lh`}8 z#`HGEl^mB*3(>B1ECML-a!w}k&YnX1tyf?-Lt8qQTRPMn6!kiPn%b8rWdO@e?`A;id z$D03QiY*j*%G3%}voN*bYwk6*{vt9<455ravR~&Az)8mPLG^R07*<`Sr5Atb*q;A% zk%0UMx%lJPhS-wBJTkOWCq0#!W@l%e<;A2QdKUVjNxk$KlOGtdgo43T6MHremv`eM z`ixqt%#}XS>eay`Zl}6`-RQZG1I4Cw^1hqGf)AMod72ToP0gY44wlrx4UrkN-%_8u zcW3h!{wlRbyYo5nH!z6jK>HdsyR_`J;<$oHWCO#1tfQ~M_B4rYkaEoS>9Of~PLDgr z)O}AfebQdu5jlzq$3vN+B~fZ{!6NM0ekL7Fm}_+C^vd(7?~}x^8b)Q7RumI%PZ%~# zU?#0<(yE4Pm8T~p=r8cFlc7Hyu96|W%wGZ2H9C-n$^Ci1PmoS{dQMF-OvEN4H4&+a zNDXTx=lMQqQIi%mX;J5CF~eZL$6FK(Nj%QSal3Xl<6D~<^^b1e8)Q>YVe!?Zmjeg+?m-=x=#!t8;xzR5?;Zi@&$oL7DBscnn zCtT{s85uv}lH^9e@bkbWxYY2_sn2D)eoYB>e3+BGHk#3a-jr_6#e~ytuedGTjz589 z#{I`#ISS_};$TRgV91T@+_wEFZnd~WT<=VWyHBIV;eOmqt{r`LvpFz7it0EPQ~L+) z_Hw(y+tX!ESM-w)X0LpEhNa-6H_MPbS!qvc8M`J(^Ol=wG7E`AX95VuPL2fVe;mQ& zNPzx}Ila_z<-gguRXoNKPj1_|kTC;mQ{d+*HIzxV&xF33RKuhi#EOv%h=bK6uqL?O z68n8RttL(Zg+&n6`|X9`tlrRLMKr*-1#z-_XLtf&dW4~T@KyAe5VYsCzNWTep0(7S z2#1mnt}eY5i~sf3fUX682vw6lJ@d(Y@CD%dW*$0g3vm}`o1+9~6Zzmm{0>rPclMCJ z-=i#Xx7Ork!h?w%OxnUkA53)Oq+ghHhZB=vVirwI!w-~sj3$eRzHQ#Rgah+&pee7R z*~M{CyhdfnF;6%@L!X-bEvWzAzyEFy#+U}kNQWdj-y7id^QF{zuP40UN8U1ob+I^0 z8K)=n)}gBEw4z2ESK#2PB^=kz^Hy7`)onCb2%djxUCYrCy?o}lSj5_MHgP;E4{PF? zn*eW`dxmbf6N+$-d!ze?!fib~0={{8ICFjP7)Ri1-@QHWStm+P?8G^&lD5;Rb8MXQ zxE6`G1A(BE)O9?OJBhv9CIvB$vjzR68wW^*FTzqh1Q!6sI_DFr1Y}ZuZYS=^O1%Aa zWnRinF?t2AnoEUj{Z08*&zfE4#NK9ucS0REAGbC2o#v^U!?C=b;~Q6yndo%3U~5Q*hg7o`T~Sb0j{IjCjlmi2%+pWdinc+wNo5Sd}# zUqw(J6@_z?I;}2mgQEiX$4{vn=`TBRi9eVadYO?gw%9lsFfLJN zER1sQ)6)?FUSPRVxUGzVvj(Gqv+sRo`Lwq^D5Z&M#|jl~=E0@G923cpJ$PMhiewy6{RhIeosD_)$(9tF^zl`tyf-p>v za{<+Uy@}KPnLJSw`c&k;6d>{YzfAA|1&sbFVjn;OVJ=6(?*hX=AesOLPmBk|Kcx+N zb2S%EcI+B9>6>rL-74?mIqcTewA#dgvd}$JxkZ&*zBNC4E4QTbv-zCC!PDrzYOah^ zxbSUpe)=|Uc)O4BCQcN|wXJ1VEjUr`U@ zeYIvTzBLJ{6L+!2lc>~IYIS>) zE8lU_GpIM{_-@WtHt)P6ZEa^WKR>&do1HB#m{`(gN0yt|Y+AS6W3O_jhC!==;&IJ= zWlf*1nOj^|Hx-bp8)|7*y{fDY!@jns1?cM&7v})Jtf5yt^vel!rcF#ynX(G-5NS`d z&|PK@ICCHXjrTJgRhH($f!A*x1;9P}$jju(n&dw^Lc&-rB1a3%hFuf=1yq?_{ydAt-{{D-L*<-RYU3{L{}E`%X3S)-2Bqw+)QpUzmi*+Tg;)N za*Oi@N+RqRW-DFWD%{>!tK7azG&`=up7T%s!?cscdegoyy+!_C{r^uxVM#S}JVp z@vPUa?JXPG4~2}UbU1YP*LKUL?JdOM`4Il7mGn!hR%CJ+rey;~Q7LYtj=pkltGEYb zmA$pi9gkz#st1{_Us&TSZS}<`8g4%nGX9I8VtZ$=v|0Kgh|qSivb(lkDzkcaHVVbH z%{9m>s;NSVt#v~(1&~|wanW{Nm9~>Xpw(tn0b9Jj+^RE~oecA=+a&AFdmDQt7HSJw z%gmVKToT6dsi)ltSw*AY*(j~;;wv6v&DmO{+~$T==_>#-EqHb9P65PLN?SYk@c%Z1 zZhak1WVf(qC5#Nn_K1D8w2QZG*V-uM z@Fe4m19PzeUhzmA{ldh(3#3W2yF5qOdz1@z)+*&al)SQ8DBdl}Hg>K``^!Z3aBJ_b zt^3OAZt4EoRz=6Hl}lxO$1{?OkvyOVKu_DnRe`>5*L`#5E@56dT7X+aA2{K!6~r3z z175*N)|$%R&t% zD!tj+Tl{COrIdhDxaQG2Zr<((P6*?l&p^zXm<+;&`Q;Eo3(l@LxgIrxGt`Pm!rby8 zBH6d}b;f7%=*Nh;rTGxu7M$%)*GUH75Pd7EV9}%v%F&DXwi#8AahENwr)YN?iLpty z3@&)~B1h8z5h)9o3l?{rpy?a&5P@f~c#yTYhh%!EsDZV?{{WZoaSC9`M6r4oXDky4 z|L*c)#dZ9>(s5aQ0pYkT$`NGavRU-MulIa|n*4J;sG%hGABbozvSekB0^U3Fpdwzh zI^^Z#`;!}MFwdj`wef<7g0ZDD2yaI3 z)=s)7RNl?UPW#iYvQ6r<2=dAx-M-E2CwC%EV?Yq&Zdn{#)HwzS9%5q$!fPY9;tsbM z>3H30HHtl4glVG8(f=u|h5dH3-o+@%W29!;sO{tON!#%-i&n^1|N#LySf3Z8o3$ZFX88W*Btp9+g*lqr<#X~7e=@HkfMYwPgC*$7c#aE zrq}hn2dhPpa|=M{BgfpjBr^5XP@B8U6cEIt>Em^`=Jgz6{u)v!Jk!&-TjFFNV|L!7 z1aFd_18?wdVRP?=!A!|owjCsMu}PCz6HecH$oz^4(@wOIflQ)69*fH+r7yG(y@^l8 zQE$Cw*aJr!2e-nSt6X`{>BhZ1$S4d;D=$9zr#vz921Ffp;lSkeQ6^Isa={WHpy&ES z&s&xV===a^+w25%E)2~!nk8hmKd{B@OY1{yF)6mS$uS-gW=icLUq*zju7)1=2q>*1 z7i~5~X#t}a)+C(7Tx#J_YCU8Pju$=b*AMsK5l~`)N0ng_I=NHTs9lXPkKxgZ1h4o6 z?XyPpxYcYlyKPmi$5HEMo%=4+$yNZ5=kxe;gpZWY*01N04^dy4j>3^F#AwC#CZ9`y zjK8-U*X#Q3-D=coovA7}mx*LKn~W`;r`08{T|G8(T@bsAUOyIjV{3=)(`o)gtm(%< zOEr?}{t&+I7B}9)4;~hx2Uf@H{1z5Wn63^}Qj5++QzRDW=gbQcxj{z?iuvVd7Hz>e zK`cC7>aM$fSZyT~(nK`^TO_yehKEC)jbiTz-Erc!cXVsd29DDc>D(|U9Scn{z0Fl8 z8$}CCkDk+LJ)bDWNO04A_K8^VqOMG{Q!v4%J9Ri0&><&-$)Q zxB)9_R4q6^Ag@xRTJNFzO zl2Qz`Rr`dKt?Wx{bv;@&Xjlo!v}5Y!Eqt*wpGH`cSjYT$;{Mgk+50+f)qz=cm&r{Q zQidt4HZP`$urS74h-*$+8Aj@70br%oRFYeQt_!L*IJa+bu<^=Csor-IQm8+U&f3b- zW3JS@-96+oc!MOxrql~%KM|$ORmI#kjCnG8am>PXry%xrR!ywIKPntY1?yNo5y9aU zD>fU0`fl_nRzDQCn#pa+vBDB?y~o-#43m$n0U2g{+JVcf1^GWyI+S9lN&PZ@3@fuz zHRv17i-bqAO$|yk=o@ua4??{SV6C{_Hl(KNDD`p^02q~6=M!8S7+oAfd0FYRatuti zjLwmkLXvtR^ERM%I@EjHm=fR|jNU_F^x-gyI0dE`fe7tmOQ)sT7KvJcbK-iR1{&v? z!P_VLO^p-;v{d2fsDaAV z6m05+124VqK%8Y`c_W*P3{QuWn2XEpAp!I!7@gt=)TvxkFGb+9E0opF@fo(HfUo7V z26l3A){xy&G39_XLx0BGWZte%Ax^!JYL`ngMqllo){z}Od^(?V;QK-d-!9x-dNa*A ziF;ijD@eDKSsRO60Z!vOEG^g1r%IN3QN)yGqBU^N(Mxa`?|m$&PPo)HpQe?G7Q6!} z9*&AAO8TYejmCi%vk>b;3DU?CDYd6j6zR<|$)se;@T)FInXAD0QGFMVMl98@G8dGn3fvD_F5=CS2Z|;W~+o1C0GY#@5jIwJSjS9#y}Jf zYe!w)jR6JFu3~eY9j{PX?{Iq@x{xwz+AZ*59#E}u3-Mg=&~1QE2f_=>j=Iox*K!=R zYLD129<`d?#sNOz;k?RiIxpb5)t=Ww&P_3Y`1uZ7_|IP3p`p`653NAkZZB!djQ$NbGPCQ=`xl2y#}EOo;LES^+-r(S4`ySde%m2M?Nc&W#oEU8At?PjU4J3^L1G@xuz1cjq z*vNy0+1XkA;7PyTL`(=DJnYkhaFVF}f<>8nxq&Z*11@K1x2N|oSJ=gASH{dihhJ)7 zT+G!D(0)VsMVZ&&8de>)nkO*z9~)fwhDXY|48M$TJI)D_n7=y7z%*Oidup9+b*I}t zp1zIwq`_%8c-zHtJ`RrJ19VHQ0dMIItxZnQ+4Rl?Bqp;KUY zbNGZzS1uM-*G5grKF#L1zOj9~urX>vU6V%gcefv?^CcGL+$gSXjGQTZG)rxH`_5jP zRw*npOa);^;{`VF522n+&Z|vR973)m~gZZq&Z+b_RSH-)~2C4zmsr z#FLGo_@eiPJ#1$=n@61cj2~rYBU45%tmWRi*3Cr6pX?$lHsG@c_d`!TAgih zq=FKlmx7eQNpC$}u-^?*Bhm?4Sr!@21F3D4`m7MRmg5Qz^U(g`L~?YDy`b-rtFV;p zq+Y0AP&u5ipwh?s7??-y+z@=jBWaLfk<@INrOUcz&jlZx)aY)VD;U$@WrB}rV+-Q$pu!$X^X&h9s$ik{~7s@M+C3dc}uNCMS21k zB3F;;ZF8Cgz2s8+P%KRx0?Bu_>@vp8uzK5GIiyr8rmi7B2Wrz`AF7*IfYPq&M3XWd zuRn}{xv)Bc5Q-3twN5h=Ylu!8PwBV06!!At__Sj!{mbFKmBO1jLtzxMDK^-~Wy+9x z(L;CbhuFOLHf?Dxc1@fbvZ=^0`&PXQ2!k3n7p^f#+J0J>rr)@-$8%QBPo?3~Z(nAr zzMTZNjT&uRfC33BNmFiF02zK2pE0(Y-i%J+MmT~L0FSMEDQ*T!ss*NAUI9SC9U=6Z z5Fjg_z4J<&@j^zRmAPOu5rV_kt;>^{rJ6qO;uZ zwrvLF&bD@4`L709v>Gb|SM& z<6^IKERuXQ8xJfNby1Rlw(Ow%$t{#-7nEOMHe*^TO}E=mk+kW~K0lM+9HMtDV%M9fQOF6(I}^ zM?iQu!_>O~oaurG8PF$h5$fq3TTsH!TdGnAA5e3CWv{Sq;h20Da6BME5dS)&3+Qrb zLSy(9x2k5uVfSR*=YQ zELm&UDOkV5f%!nPu@+1((J?V3J6Wfo?w$JB?20&cju^w6F(T*Uj(CdZzA)g5@XUQ} z_OBTvx*o0gv$a?S%b?vT!=TmfJpdwh)?;f}bB~x^n6_22)r>F6!ZH@kE#NC|ZkO?j zht&#?L`%Qu3$!%RIZ3ots68}=i58TK;FS{1>RzoiQjDG#+!b_)l30>hC=pyVd=Rmc zxiWk?Mmw4%pXWs8f@UEyf>2SuEP$j_hMx@0c_ABff}?`wxeOZxM;R2!#v&VYl4fg( zkPbm}mW@3C7@KiL! zu@k*4I+rCulVD_+(}HLLJ04E2NTLPH1R-IfEqSaJk?cx|=AdimZpo6yw&L7v{bfV& z){@C|?i5d^vm~dQ+mmaDq}lJfCti0>3-cD#iJ*`j8`NdZ_Tg7VGrbgzVzE%hFCLw_ zpeZaGS^9NhMfBA&+7P`fiFRiX^p}oosWj19!{drLP3dUdd9bGWtQkEoTAScqmLeg# z42qImc^e$3KkEgQ&CIu9GE^Q+qh}EV`)-&Z=(VEnl&g!BUR{J2JlfH>Tk?yBO!Va( zTrUDiv5S?3Sb$gV;3ruy^$C2@TiI-h++q#4aQ|cY-rqGwVd2g4_`yS1i^i^wH>*bU zM}5*?`s+GYKc1S8G}2d_-A>B&GbbcS2%dD}cLH~dU6K#s)bg(WwX0airLTWAUYOfW!>>hfV> z7p>trlmIzGoC`}pxEFX{nt&W_x?`=_%MEP;AMjp)&2M9E)pU<+my1Hx37Hp-LI}c@ z7M^pPZq_Y1HiJEVZqeBQDdoY5A?{XpGjb!D0R-ADq^zKvD66RcaPR}(2a;zfeooG` z=7a=<3oYd01KtC;@;f7tO+IV^2u_FwU}I&6cHVGGhWRo^BiKs6D?LfiXM49EJt#Qw z0k3^qd}#pBg^Mq$TmW3D`U@{QpnyE7A&@P;G=KA9;TH{F6#x0CeQEsW!>TV_{O6L$&(8#uOssT~^Hh5ljC*hv~)h7*K=@Ayi4+o!*+LvZ;E?oQJ;@enRo97Kb1GO)w zYuxd>Lwh>{JRh|$Cw_8bY9UB=wd zzMLrE(E5K$y8nqWfIQnRzO{xQJgokqV?JBwgndeO&l4-Xw) zfsl@;RQXTT>P6`{bm8?h!iuPU!3hx*+Tl4Ueq%S8*kv_~x)n7pf&$=!F8gfxD1O;g zK1(kewkWa)iqhNI^IAF*{vuXS(()yu3+R0|{KjrFKhDyJ2Rx$mf;~@3@{`i1Sa*Tq zo4R*1?ZlRBLMAIja~DC;@}IWsU$XXD{Bcg(e;oNe!EGpZD*Fmn06gbY{ieH5xwuzE zvlp^1+Im*(*+hh17@`bPZB+`c45xtjawV=$TDw)8GWw&Ucy>L2WsS6hS8Tz0G=k^^ z1ZxC8MDTfHjr5H!3kQLanlNhw8=q6wNWbYym^Fe+-&0Z}eX1*h2_h&OqhQVRFE!HN zx*}SJU`@C|2>_AodD1n~7rP>aLXn7HlQAmW4c8*(IeoXou&*;_)YP zghJ#=5toUcH2lf=9Sq0yOxO=Dk_=vKmyKl~Hn1WTh3lSB1 z%DZI%g2$)nuh~6}>@Ir4?iwo(?L%yG(2%8Vou@ur^T-`J@1cB1;tt132!?aEcpln^ zSP{&9Ywzl^7ivaGZb~lPrKMzszT?+Wb2X}2^TcWy&j}wQPbe0SCnPE;7CbUMKeKTE zX4q)(7<+5PnH8E6p;$x<5+X{~H{RB)=@P0%jDJN_!O}uE$2^|)tjV&fMTfI}JqeLZ z9>++Sm2FL!<&w}f6e1Aj)+CIPE-O@;F3}Xz73r3Uj*%`aRGO}ApKtl)hDPI~%Po=A zbcwc^=GXY!qg%hE=@M--O_v*GkC9(irZipQe*cxd%`(IvPmg~08q$Y|$LYs#tb|}V zZ>2|55bj}K*;_3!|Er?F%88~RtHfzOys}q(OA~NKJg^d>Dafj8L&2)GF?xl=v)PA; z=$zFcG_z|&Sq12vhrKim>*_;;Lt=kunA(4`fdz33Uv;>zZgwu;@scLgb3Ut{lZ}kd zvp8PjgpPKtEL){dg*3O6TUTjcHSH!i#>%xhD`CDd37(OXv5Yz9tT1^he28lJYR4RI zv$3w8Y;To_^+oNDOQ{352Tl{0?XnW$F4~!1jyR#oo!;t^A0F!GB)g|ay;3#m4&u{% z%XV`VZIb3}qp^}EtnYlb|8t}{6+c$egobxoD^Q_Mi;k5vq2Ha>3Xtad>~WGdFD7`L zDhrV2#s_01&FXhH1E=Q#q&e*|R?>tq?zCKhG^cgPN}90J1E*sqO4rrsSi5YuFJ4#a zfF8lMgz*w*dj#Kq_f+Xv?1_2H7Egr_QI4+Go^U5O=nBe?@e=8A3Vys5BsF}tU(_=_ z$r(UnZF5q;XM06*Ep-6pIB9doFS16RvKMll(DpP89e19krY$>OOlW%=mX13SP17a@ zj5bJN^GB$ivqD+0^G|h>k_DmNbo%{V$Jq6HOAm<49{D zGVJl4ESs4-iz~I9R<}Q^-95Nq4LPB01koCU6IxUrmzm32v_`w9!iU(juGU6a6n6Q% z=4U0A}T_s|6YMdlkP3$@ELrxIJGqbrUl&|Yig;Y}5uDV$)W=!WaGboUb8EjKe zc;?+5x1+_u%7EYib4-rs=~Y+319Cj|8AU<7Tlm8>*ct8)&D2C$VG%@`t~y!OGPWMk zodT@M5)NIn}4yj0YthVNu7_|0s%ne${ z#xZwDxh6~u)_MtZgSGJyW+~8wiGf-#VHb4WT%sz4`Mi+rx)K?4%Lm5CF`>gpDcfD7%l$E|_*OIW7UElu{s1TO%VpgM%ix zQD$|q?~#3oWL<6Fykh0Y=P9@{w!YbIebf@29UIx&kpqyi)sEE5**?lrCZ~?aMw!&r z*?!AXCLIG~qfF>;XZs1MGL$8!5%s!wu2yvlrS1&E3~67JL-27hjjpNWTo$$gXC(^K z*y}ZR-AOKEV;XBCyN!~>_&Yg1fw#T5b;7HAYt063ENR!;ov2Zb@c~cJX-6p#H&$@t z>Q=L(3Xh^%J=(9^q+wwgEiIw25k=j{FP_m8Env3E9# z$z3%zmOcdD+kLy5jG7c*ht$>RDB1RFeh;zMckb(u9g<%#wKIL;-M&R)b_y-a3hJO z6Y9O)!e)NGOrWVLZhy)xViz_3dqc;V^VUSKCX6KMn6RT{VqC)Q<4ZZ4oDun1bt6A3 zB9@i_u_PjLh{(AJ$_)X;_Y{$=gvZU+JNUsv#^N&f_fS>0@K-_Ybl%fnbfZDmE@$c^ zF@a+X(i3X|NP|dvnb(g2m8CyLq@gigm|KYC&Ge=`m8jn79-dTi{drueowRiMdg3ZL zDd!a58_!mu*ZUNj95`RGLTn<_ycB;JZ`@MvcfnI1b1QzQsfx{3tVE?Yim7=Jp{|G& zvZC;VhjN=)NHZK-j$4L9yBT+i*Klaru@8rqqk-Yja#S-MTH3P1p`{f!99sIrheJyz zbzf-KtiR81D6=!mM|u(Gq>!ZUkPqQbph-r09ZxUfMEYnUaozPGMyK%l3+V=u;P6V5 zzn6#vuLB-be;MFG4Il$NNJcZjgG4j~Jg8-5fCn|K1ekp7S?|=#xup82JW`jnk(K5= zJOuF2OmI3Ty#(&1n#=7W5ogN2y|qb(9LWprcf;1s$bwE$Ap!Ye7e;SPSa2qKD$(HGf+&R{R@;5l;h`Aq5@=6>MI|!)(P0kV?2DX@VX{53ao20qrkdGGYYJ0HKV|~W-}0$ z984R==`*U>*W8I3(~u>E@DQ6gg^G2zUT^M4b$&D#mYR3^MVj4KHC8xY>cD*Ez}0@; zs5N3Su$<$lN=Ze6z=m=-z0R&m37PS`)X8G6}Q%5ai}cF{18 zh2A`@+x+5r{NwlEe_t0P$z#6qFjv{Kh)Kew^EgQIAoq7lTNSw;+?^4?iqd>CNwN3A!Nd8sGY<&Gi+pGkcGEx&*4Amvfv3^a z=N9L!BokLZasj?O@aN+7V;PdLYszKgrqIc4ca_}5v$0;4b1NcxNiL#k{v^vbTT+(C zwVo%~LI$I8OZs;m@hE?XuIMs=b}{LY}&5#asLjF>irZ`3$%P5Saa2+;C#BhvD60N?Ki;6i=M{|MCE zHv;%L>Dc>lY|{Ju$3VWH=I}{BzLY-XzD)&n@!ts0H)`5(z}-P6z68Cc^j|`9AENK2 z0KRW}G)X9wHWc^q5%GoEmI|c(jf4Up2<01!yB5Ir9gijng>1NTpi%!)KsG{sR7f|4 z%6kyV<7WeT#7`Km8Nm0q1NnyRmrx)7^MSOZ!u^v#+E87NBhHUR6499YTRxbCek}?4 zK#0B&|3Yw~KK{R5f-kQJ>i1Uz_yS--8{`WCz)(59ghcn{$V@h=YK z@iPH@C~k(9kd5?n0Rn$Gz^h*jz}>$D+&>K9+YaFS=K;7c2jG4pP~H$v=_crXLU2hJ zDuBIh>mx&H|89VmKrw={7NYN;1@MLJgP-+eC83W6(*92J)dwbUQ&UfxFZ3PtJ`mkZ zyA1X5FCbyr%nNAO^NqG$wMBp4YTWL)WtHi#FX^xK=u8z34zP#~t9RU@I$Dp(y$tk2 ziWNKjmYcDjv=ku6BYug8fwK-YL84n>2VgwCB&NR(Akk2jOrtTk;_Y3H(=61SnVS_} z_wuk&mtwB!StsPqzSO;>FDfVel4?Vt!G}!Ro7<+rrl}9?YKi4&4s-- z!zLEZKZ**p$;mq*0bEiY{>lZSdQy1MXGn1PGudKf zr_nvx$EsckN6k^4h?DxVng<}Bm+`mRt#@jt_4r-42-u1nOTmk09@Gvx#{{05;w;JS zs2$fiX;^5*k&e~vk#DMY$l1nDt6S}KTW+$E>$Je6s+@!Gr53CL2C zJ~@@n);o90GL>^8d9`2bSmN0v=henq|JPanm6#sJ7L-cWt@ta>}mQx)r~Utj`Wz(n-3;sPet>PF!< zW(fTvY+?ruxbBJ9H7)c)-`i7Fu9mjcYv?6F=aJ@yTK2S%Yn&dhL$;wFtIb9O?Iw5V_O+zjiNdG?}t+IznU~K|M+9l!X zM{$5f>N@~tdN$dG(lh7B9qVE9woV73Ihw(jcaAKL7v#|NnRaRFXSO13?hS=gdbkZb%b>5X3O#d~f@gg(O5sku-|5E?6ZD%Xpu?eSAU(DKsW47>(G(p*l6F>8eKY zy`LwNNZ(`wcp4HB)KICWQBCT`IJMtSzWI|^<0hV$X>Ce3l&|X kmQ)LJZb2_>vGtRy(#s?uh$0uSoiHNZH5E1e0RRC1|9_Lmq5uE@ literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.cmp0.ddb b/CPLD/MAX/MAXII/db/RAM2GS.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..ff3e753e625ad00a59549c17655ef0c26c9fec6e GIT binary patch literal 73778 zcmV)KK)SyX000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I9a3;+NC0046x0RR91 z005H(00000001%|0RR91004La%)NDZ6xa6$Jc|Uk;_k8o?fYC&0Ytb8ha;CT)M;Ki)sycb!2^2&zF!hg#BN^(VC@l{eq5z6s}@*BA` zo_zi&pOy8LF20sj`u?zx`09Qom6AcxPvE1A!d3Ds?cxaZi*N}OI*H^PWif3}X#H01 zQPxN(_b7Q{%V!k{N^8Z}w^h;Q>k9={G3HH` zNu*NuD0vi?@}1)7>qqTtrz)y@6a{ss$`tik<%@6bC>f#~-??A-7XB}c|7YhKerW#X zO3L3&X7|_O|H1hy-#?JUZ43Xe|GpPa1Al+v&mZC&-k4eV5B?mWn(Duw@!$J8KGpl> zuzSk~#nR}_9hIvKH%7a9X{k;k!?R|}ZZo46Wj-jh=f+q+dMj=H^o{(!RW(O`umb#U z@t51dbv3{rPYj{=zn>s{eiz&De$C7u3WB%y2R8!-pQ0QMzti76s+z?ZI|i@^yO{T+ zD9n4`*|t=w=t;pY9M$lC0rnj3H;o}|C|k6#=6G|Hvb|yC;#;hb$cpdf##5QPm+3kHoRfnFl$jrs7X(}-^~`#6xUtoRD%6$S;N0l(6j8GbayM78#H!zVAsNp zljC(EPwWNs_uGPI2Ubj6vJawJter79YZJ;m=(vb>g+&vWoDH)Atab29+#PG_as5$L zym-iX9I@og^lnqlm|nuEsm$ScI7F-;+!j`6G* zxJMgx$@?|#g_?LxmfH0R_nhq3hE`-L5Rv$IFz*QBSEH0CV|acczLZrF;xl29MlhZB z_pA}QO%_!}YL95Fn0Pf|%+|CoYeOM^jlmMB={b`lMoTlxV=}`4iI(ml&IuP_RL|X> z4u0q(*sXQ6jDyu!4aaJM8CSVa#)PnZ^#yNHk!HA z!3MJN3#`^7jGHgFx6_5c6qq@xD+Ac>!sqQ1w^6~#3OqDUcp%5Zjk)+9SGt{BsKK6S zo}(#)%{4j=dIE7X|FgC=45eWU-ildJIRQXHw2blm!PWanC@u1HiDD9b03U*AaG>$H zKqz(VrLfOy-r1w^JteuZx_;S0^Uo^YKWp9`RhDZmNc3J1N`FRG#1Xo3TY z4y8^b6mi6-fUofY;gb`4fGwl+F{k=LYi+T~kr+rHe6k%$`f7yWXW+4HuX(v`>yf zMkJ0a`GM8+di`B07;KmxSOXWAa>IDR_gGUm7obD#v10QQ@4|~mS!Aei>ii*x{~t$k z3wSZhDk)eN#6uvtPQk5-O-Uk&^OCSyGY%%#qY^FX#EklaJ(A5Lah@Ckl!KbOI^5}9 z*WE?Jlp#a;pX&rOw>B&+Ma$jk>Hf$q<~^-pcm? zi=kQ5E{&&XwMri7T~_OT4w44}{PkdU>OE;(M7@t8#H-?-FQJ9}C;X}O{;c&r50&#U zvR!)q_*Tj(Js@k8=Xd-3B z&$P6-Kc&gI)qJD%p24D786n!83v1~_&@FnF;;#8bW1oU(Z_t0Q&UlN=mI*x%(`<6j z&}I?Qq-kn-sOkHK5%uPAf!CeP;NGHL0?1l&P>8qES@JvR7K7xPc>B-I-G6As z(gVzYc#Wi^i_`sV8K{1fvu0Hd-us=+&9?*?74H2`+rPe}tZiSL&osC`5U-(|YhL~% z6)cio%n~CSq~R+gnigm}WXMNH*Nlj0mZz+fD?Orl@vK7r1J;MbS%!nl3h>rx zFnd4=Ck;Al*BN=|cBD!h0)+Tgod%eCy*1D< zf;kOlcUF2o(t00Yqq<7NvRdy6jdGeSkXO?G8p2^8%+e`YOFGf1fe^o=^=lPpN0Bk>>BLw~RF3!C5%jni()PR=v4UhM?44$PMx0)xlVufIllW6W%T}YieeV1;om=GhX>y5D@hnkvMM1iYwf%i>nNAK_U72@L}=q& zR(h{zAzU*+qGi`0N^?N@d#l#FIb1DrXuUTMuj}rp^%jUU`zDs6=q1wA$&H81Svt-g zaICODsL!^S)O~XDoGG;4&v~${Zhc=Oz9%qLb?@1<-h+{xK@h+7mu7T4Po!ZD&k_TS z!DwE5&EP-hmhyKNzF+Ejf4DliZ-(CeeA!(`N9ubRwHaJebL4RP5K^3CZ+&Q{*P?wz zQR;%5*X+DTX8m~L5{eeC(QL3y_mypvF0=&onmjRLD5iqYJYe)1Pu3OkU-h7@fbVG0 z9s_$68r@08Ej`iwR*U9Xtz@1Ww62?*X61Qh&eKWs9%06VBCksEn+lyd*lh>{0`?sG)T>Ai*g3$!UF;Nn{H z*l?E&0dzPt30vUy>M2tX#Q7CiHdfr`6suSs;T=cw9s}aLK>S>x0u*cf?1;SMK&mp_ z7!?*5@?Za473^eeCvoU%4mvA01kec__nueAYijM31tr%v|6ZIsQ3zrwuHLvlnGw9avC1wIH-x+iXZ8ZiM zMq|FmE}DIJ?{v@69usg4zS&jlOGh$K6`|l-`OOwO6a~B)*iQ@hq4z%~69$9RHFy=I zYJ<+~S+N|nHcv=Iqt=ILbI_UXiJQa!aju*KP82~j;h^>2^Y>BrB(1kVq`7;&8>MSn zLa-w)O9(h&)N=HBhFX>=q=_$vxtk*oHE|daN(}QSwM^bQz>_0&3hn-OKI#-wkR!6nVOnx3ozGfqviqKENHq!>qEf@*N9Q? ze6j+X+-AA~xNkH>d!5sthO|~)%{1n{BolvID~u8Mf|a%!c)x@$xE6u#a{l1bygS|5 zXD-*gC8#EIjkNCh{Xuya-VokbnRiq0u81_?xnO`Xw|qg`^HlMk!n_xQH_zL`dm_yn z9{Z$uk7B*8Ke@NEhwrDVtTID2@7oCE^juht>4oN#HTAskzQVklfj6&)c#WNCj^(XP z+Xt#(y3SuL0`HViIkvCf=wb)s3tah;AjQz=F7~&y8_YA&6x65fIXaY5U3wtAZ!_=a z;O$(!a^|A8T@-H~QwM~Cw{vN|QO`%}dM@CuEU3%3oKO-JS9wk9yLF#FAWSRoA9|B}O zbuW0A;gI=v;x$0yE<;_fMopy|xfC`ge?q)2+WTxnD`@sK+I&QTdvXE`@i7R+_y~60 zLHEuv-n~Om8F3Kk*5$^qmQn)2pJR$WOIZ=9a zI26w}(mhjmHKDM`X;#eDC|?aKKh~tnfxnw`wB9{opX)GP&##*)Q``3xVze+H>NU-m zVBDJTvC2dpNz*<)l**JgPWX(f0{ZVke2YtCcPKW-yr-=OWa9=#Wp(>5p;gJ$+8#4g zRXjVwfpurqVx`h2$ptuCET z);tR6HIF^ueUhzUrF=Yso{T&sl0Vnck_&qG;nHLGMA&t>qN@Y8n~eE(g5VWvH08Ua_k5DvZijHe7$c2P>d z-^^=zB{(B^k3{pjUl4Wta6)*yIo2e<7o)cDP82U6WWPhHcE1unm@t z8)G63|4Z3C1%KTLpw&o_-tcPVOQh%J^#J2CV$Bykg3UNTv+p-{j+Gs@*xebj;^NZl zHNJzxgw4Ov^s)J9VAYmpR-I#5y;Q63MS69k#@}a)-siR6CE=_G=mlS89YJr(E4^3i zeZfNvaPiD1z$Tu%X%*AdabA)6Tg=I8JnYhyZWdN?&NEMCwK9+|9R$_&yifiTPOSBV zFK3II>j=0$E)ed*AF|1lzH>z<=J;mzEV&9rRk(N9r1PH(n#YxFmf0 z?840>?kd_W&!RDEM>fATC`AW~x}_M+d9mA+sSs$U<2qqvMaYz%c8)$y`bb{}k2SAo zf2W2;S|QQi-J3z_C%uwr0ZExUfP%U%&z(V;D=Tmvj!mg8yvF4! zumdRAe)0NKx^~JX!Aw(14@X&mag=3q>EiLR#xQqwt+xnW3i6eUQHdt{XQf>QH=FFj z$k&(mr>NS(Fxr{4qM4H=kJM4snmdf9)K-Chz@t|AmG!GXTl z3N9&h-myVa)ST@dfVd~B=b-8V!QiUm6^g^gP|=S{wAy-bz+(q5`ftn9#5XUQ zVCK+qo*1Ec0VKi_ZJ-6Cqsy+Mwu+GfyoYe(^82=qwtR^uU{py_`5q95b7QsoxSsq5 zDQqtHs_eakJ$pa^UAUUKJ3Co&g2}kHf>6@*UfPvtf;bdm*c4xugR`!KL*z>$H5EkL z1AqI1hx4}*sl0h@8D$Yn+9eoT?9fJ)VFT}xJ41`08kfxxI>)vFdoSzh$~gQ6_5Tsa zv!TyaDLs;7zafTMG|Ng-bUyW5q|9Pl?HDBg1j(DNolEY(H=>wrwXd*9a){p{#(YXL zRbkhO5ndJj;F6zMG}q=zmudZuq@Ib@gUsYQT14Bcm>cB$J(S8{yhGltUA3iA z6xh7w;x+1TXhE*=YD)tSklJzt*pL3xV>y6$m+Nx`k8zC+5RdULa)6PMdD{cB2+_j6 z@w#}D>oxZKjiPbK$BX2FOjBwqmb0uu7}jWiSD{Dl-?hJo!?QJDpwWNzr=z#Zk>8Ou z<{0ho(@;4f7i?GQ-`PbC?)+jSOXNlz4Y}m5{y!y;DjgFsD4z>MV3z3kFC2@JX zFQXkRUyEoE2n-E&U4YRWL&JBims6HG3i~1JBa+{PS&lH&(xNr~{Mh`Vhmsj!mJN{a z(bS!kqf~59h63l!AzJc2z^;Y~cEO-al;>?+&!cip%%Lo~uBm)bf+ca)Xfl%8Hh(Ol zP4){fTsYcd%z=>UPn@Hh9bE>XH)YTlg z)0g%vR;6b$Q~)TFYSJ_I?BH*@bucx9a8v1&$`) zaMP2qjmuEJ-^j_-BbEXW6o4=rzXhB97&>|S!Gu)#9H>F z%jlPzs+)sLguWOcH+a>%u#Dc{iv-58A35}Boc+3t?!H#w3!3**@Lq5RBaL+}27#P_7$SizG&#&YwVj)yi-nOC&EuHYN9C}h<`TCpK>&URX{00{X6 zR?2WOo9DpFs-CG$Dw)xAUHc81NmU#fFo0U6QoO(Gb*9}AEgIrnV`uK(){Mf~(phl* z^I}!fYjkfnh0^=0l52bH9Ei_^-hpA0DdihAMLx{L#VkAzHH={lc0JrTi#AQ-b3K`I zn>nKai?8P3eT#Wp*FJTnthv^S&ELNb&(MWn8wI_=k7ghJzxt~oRc`)5Qt&rm!$vM` zwO!Xvnzm?XAo({WdDnFZ=()*DK?N?Eb9IKwAsd|RHT=FDpu3skc&==#Cv!pR4XUD> zdyXd4<3QS8MJaZKtD;Qy*ydgXQ$M3`vte{KP=O2T+R_YqFU26|NB7JAe!njf#R_w@ zh~$|ed535$`A>Jw)3<RPc!aLIe<{k7^v|1@E0+yZUog0&4Ee(>&q5BLX%gXp~s;DfkZd+f-* zU!{8&o6BNtOn?(zo=79ZCwuwX)M9f!Ol-NNx6*^6Z8;~qTj)U%A7u)#b|R2xN3BPH zrOZtamwq+<*o-3D5sZ3LK=S$>e^5{zwz!amP;b?=jQ7 ztBGHM$7*0{e|P%1G_UzSs@!&onMZr<3S!NJm?$!M6Xn{YDwED%Lyik_AJ1o#mT#ge z=M-3s1^#j#gl8X?k-hk4s-8eq#Y?&e#v-tB9Hx7mZZma{s=#@45Bv&ch3mOtcoJCt zi+4Rhqn0Cv;QjcZ>+$<>zs$ijpUPP#0~}KRX4>uA$l2h zi{%ROn@n+Xt8vQ^{#Mo%h4?;br$<~^jb&q~iHCqYz_QkWPESczR$&H zC!E#yb7Ml>Z}n!(eAdZY3>sf|)}`F_a?!m;70npB+)sjx@T{>cv@11zc2L40mL^^s zW?2Y_>LFGCyWibi^pL6=@_Qb5zq>b@{C}=M!zKlr>vcc&I}o!nZCAGfQ)tPfzl69> z5@RzE5ldFtL{q6w>n8%n7m&xO0p3yB#;$5>mQm8t3VeyJ=JbLk(FX2&v;F)Mo+s>SBSW+UxU>3mxZ zzByBi?uC#;pnTe@t!P-RITR)Fa5Jh7#^akf}Z zV(lG-p3aS{aobfi`L!CaA$$Z!KA^GA|GJE3ELULkQ<5bKV71O@u9TTZgRd&^c~(W@ z{0E4~h2*|gW3|0vH9nzY8N3x|86AJ>N?)U@67N=y2e{zvapS>|e_S(bSTM#ozv#cm`I%dcl9$i&);X>)m~|&A%cN zk}$S)ZhRZsy1pR!Z#rcb7n^XCmx)_dSpe6p)E6nsqokg$y-X2_>1zB=)-~o^h>3JW*yesDIOQ0SlXo>De#pVw`}2>>V!jXD5gbgF?Fu_Rd*I` zVAP>d?Cjpf^l_n|R7D-A1|Lo_T*JV-<*7wfsfhx^7$VhXZmnZbtl8~Fbi9QEk7UUu z9P(li%*&1ehUPtMMK5!)mV6%&`P`+~NOL)auBKOG2RlPc1F;mEObsVd&khQFNGo;% zh+olYk4>o4)G0lv_J-&YJf=To{zHWWJR*?%pc@s<4sSo|*k6HpBB~Pj7Smoy;O*@&MGhE3Ee9D-$(-)?bQMA zzYynNHg=(9T~(}McIu=cXxmF*A8Xce&(ytOEacAzh_-4zKTxne9nHQu!Hlm9_H8zp z6`PS=@!pT8r4@1~A$q^w#YeO;aA6qf`Z_ zo52F(=2z~LjKdHuZRMF%D)R-(TYauMPKU!PIIA$GHjC0Mpqhtn(2F5W%y}$%GAzgR zgY9@f$1v`-4x+@nR7Gydk@_q%e_4jpz_kE_gSx3lQmlj*DCp15<`NxD^ASgU8=nnG zF`5nzxFCA-<7feqf=N5HQJw*j24sT0IAjbJcB{=8B%XZfG#ko}p)qgNR!$+-ClYZz zFNqUng!_TSf`iJRHn?h1p#pA7x1_DvS%Z11=ylD2Wt+EcMQxr=p{Gd~nHa9f^^RL> zJ8r&waXqE#d{2%fyk)(mCwjp$x;A3Ec5O}|eLko%^rbG?2W_)x-sh4Gce2EVFl)o< z?D{fcB;9+Z24r?^`3VxtLFe!&#wbc&R;?>y=}00Kk6B6Cv$Nz$ApVVaek*@E!M9F$|Fn@%Fhr>n~Ozzuw)l2L1U8D{c}`P3+UpU6%kMwDLIGGYvyPyPMXzQIL~ zRFQl!ip&`}NQ;)}+Hgm-j)3Guz>VuYk>c-H*oagy3&O@&&aF}I(M0N6TA2mkicwF( zbzE2t<7mMjuP%C{JY%8#PfQPh!PTd`M& zqv$Z|nU15VLn;M^LnC=?@B5Jya#qzA48S854_%Q%-h{Z@>vDe==H}VE*BDf}7PZXn zrq)v?$uL%WqKqt~#lw#PW5kNZ)GtGnh(k%ptgh^R7E@Ft|y3=;^P(* z{iMJc6iI&PWEBlQBw*M*(dm3%_ieE#fBBJBwD|EM%eA>td0HBhm8$-jY3|gq_5q6} zwSgT_XFP43a#1?ypSXh-$(w_1Q;0J7m+9n*ph{XMxmn31rDHIUswv(=3{CbhlvJ9xKmW4Jl9A;?AURFX!eTxzz~HI+~Fg^SiM?`BHd_XFX)Vi;EkaS4`AESwoJGM?>E2Rp78-tU;E zq~H=rH3-UU#WEG>Bq=D-a@a1pZ~h)d^Qx(3$6vKeirFPsOMWWe5+_ncc|KPLRk7}lXT)YIguVp4uw?J&|LOwM$cO)$^T}FBuk!x zu5i%AlVw--FMrNz{?&vgnN^s7^Hcfx92AlhF6Uiy;lk!@6`wv+@1ZPYPCC(De=nZ+JJ zOt^ncqPrCq3N|>3Xm?TW`NRqDGF=FvJ!@1jVH78NALE#HB?oV9^IO?Zxrb@J?e%6O zbX0eW;qFUG%1!lIPlW*nfq49&vDE(9ZaMaoSNA17AXPAk*9huO&F(%F(T3_n1y$MR z*iPXCiQ&X&lV8qEnzuZJc^1{HXj8*ebGPZiGBd`=k|p(U-v^W#zabMSYiVV*&)m(4 z)p*my6}5JPqxfe%w#%{`J@I<&oSb%>U5=9XVrGf8CFFC8T&9|KHDt4 z@`bo(>Uu6mt)f8kxPR55rBicJ<%(YO81IjXX#BuX`17k(>yDt-N&ghRlj`n$0&IR8 zyft>ei#N=QTJIft3wby-ESBJ3x--Yr4EjrP|p~YzWgh6IY z(8SdczHEpJ5>#^(bve3Umfxmo>>xzKN~FO!qa{)4&4ewi587jcf!zSuKUHr{t$(O+ zNYD2>ajw{ezbqtSk&$K?-!E|<4FwUWbd4%|LMU&t`C`=E{DlPaJ=ljUtkccSPkqsS zS9f!#c|dFQhhniFb)kcc`jHVl*0fJU)q+(0(X4DZn%<=51m+=CaxNZH_9}yS8Z>oo z44_Z{p|8!y;rF^dfnL|viLhpvvT5w~pr~Y#lx&f*~`@l}LKd)Maj>VZk~ zbf?{^B1{$MqV`?;)`d3CDn?1Nv@zT2Sc5r@)~J1@76nqv!P}*9VI5iGT)@(-U*M&T zO;oJmaHqptz?%1klc(K@Bk;b7I3H4~D;cMX(R25Fvx|;3LClJdD);DhG<(wvs{eaQ zlTQiyT*V;y{iIDOMeW&C=lWvPejre8It}rM*HpC1Qu7LnGU>ru0_{wLdmO z7Y}|rj@P(_{MNi@y$CetXuajM_jeZ5bvr`{ef{xDLJ{s3mzThtC_6kB=odnj{#4)# z>`)agh@rfs)TG%2U*Bs=OjcO=&djB(3sJ#KNpdfakx zR1-6f?gi_BZ97EENWVc;tioxLoYO+Z+B&eE#f=?n12;C1fr+HxARr2dg+arqQj}4G z9fq2LD;S9K>2R_jddAY@kF$&<8QPfR!gU`;^*yJF2Vx}0cv}j?=af6HduILlq)IN98oAFbPA5x zvfrIRTP>U!{}ruQn=@a>EALd{^p} zo*Jntdbaa^Nhxh}0oR7jKMY?(crR6dVv;P5LyRokpNMeCP` zD&|~ZHr5X1JwLESSw(NpU6#dKdsi_!0!8G@lxHe6-=>xZn(JtBB-c4s|M-0O z0$p2*!>Dr4kV(y`Ri8X!mT#<>BydJ9h}J0%WaCwlmbCHd3JJy2td9h8AY;1n!?ORH z2GJSW+Q^bPLhz^I8apl0pU*TpJ6zd{2DlRS%LziVpHApLV(6(Bdc|o*pt+E>W z2vICPROY8s9FMh6RL*Uep1+~;01N?|^(sc~FQldu`Fol?cvROEmRuS28b3<7x%WD{ zl&ZPB8cvML-C?-L4nGW}U$d%lsyz1z{H`!qUo_uZ4x?H{)PgAklxPF^lZ(%4JDV~4 zhE+A6#^Wz=r9^c|g=ncexI5*Uriw>OK9XQyE!VjopLpj#ckvK$D3-X5EDnr6>Dm(W zL0RW1tWCCJ6&@F)`L$Bgk)XC_9IbavC{`F{FYLoaiaS~@ar=)F7N7w|fKhf`#<>JQs4eClu4YAP$L5&?(60X1qpAjuzu$aW7@wrFucA z^a|Ng3el1s;}Bo)6y`0pMb;E#RYhkBc(>KOuYzrL2%vf62@%r4v;<~Z2LVDejHEn; z)Y1SmQ-cHU<}9lWMA2n0!h0?FECWB32fg?9ZcBeYQ)RD<7A-wutuO@8E@|EHsr!Jo zImj9nkR^GR^ra1LhSKd#`OGvC24p)iW~!F9K9w3gfRePY;yi_Q2ts#;2aY6ePbpg; z7nks>2vor?4z^Q~p_=%qN~_H*x^M|^X};{9PN{#pE_<=rBJ>74TKBrVg_ z(n;0;T@lTJ zQZ1!;aj#R}OPx%+_vab3*TpZ0;(=)EA(SoJcEa;xWptLTfoT0uxHiY>Pn(mdK~V_n z9cfsjMZ>$H_WhH$emB%P^P2fegG+!nCT~XcliTRSpYLgIyM5*;9jOPw`yxEnp$A9Gfw zuIaK;~=JF`82Ebif}G z{v+Y)HLRuzSKQ1O>XG_{HUj9MU;G37Kd>R1b7iyp+3@cYaDMw`(Kq}7tLdtU_lUA& z%4)BWB|pHcclQ1iW4fAnOw-};6S9OiPYruTw0Eo#?%29u3;ps4xczTx(1r?b+LgmA zu@-5PdnG*DXL$lV>RhoOmw#5-kX)>YAsK*8xn zm;c~h6!X^)GEL3RRZ)0nMS_5!b+1`B+L20)74tGpASI>#2n zBA>y#Ys#*4?XP}Rc;hAWf-d(Xff$o*XpDQYm1_2h;lTsmcXTL@7d$rXBBF%xfO)0orK3W!clk=*Pv-Ti3+PPJ9rtVF`1KtJMW0JsmpqOBP z%sP}B`m3?x0v6b*Oaj)DcipXau${GB0bgz~hNeFXkznGQs(OQOP&pgYz_+6+qdO{I z`U@~_AdrPbc$1rvB6U>0*nDX8|LW#2FNuV$C13H`XBB!M-{SS`oRbUPlr5GO}4KUK6(ZnYdX@IAvB8!&cYF{efb+nj;{T)G3CjOgW zKgu{rf%&kF8dAN6!T6lG6D@ctEoYz_J5M5<(iaICfF(T&>{*l^gBe`$Qz#HcB{$+(PU`x z8200*$DO_eZ%Bk$M6?u0&IFLWmNk&3epZ8jm?csYX^-J`n=p%vnRxBfYZQfT*3}(E zFQ$1#F?*D|5^Ql6#Gc%DOjpi1nKKDW_rpDxL%LIks)2%ygcqao`wK1O85-X}<~N(F zXh{ai7cvQDIFeSV&cXU1AhHb&<5Rz2+FA4uDm}5DnM?O2t(mwL4U$g^ZcW#& zZkAI)huCUT*TPss7yFlAM^d&swJfOmlJl&Ogdz{k`Jfj6v(G!spBZSj)x`Pj5WIB< z@i!J8N#38=%TehQx-Sv!{F%7xNXz~-a`Z8Y7IvXexxc`o**hMGQm%2=(*71~JmBX# z(4aNFZcu<$W$R*Y(!{qQi8i7J41MgSGN0p9uWbfUG#?zL(rPK(U?VzFsZQa3W2tTU!z(z}E&i5= zfj)%sJvxv>1{`wxYpd0TH>PpE8WYcSplip95T8{ycWKc?z&_9}Ua2rT8#9Mz-{j`z zKI)-DWvYWn zXaH>8z2mJn(==}$JQ>z`W^TXrrhc^4|=Z zjPl@9;)|ncnyHSU*iS3$W2p>&Yj}XMB-d!lbzTkM?FXguBgfJT?^mPgQxS!Y2M{D$ zP9a12T_VomwDJwM1T0OO^`og@bQLWTOsH;2Gj%lO`KYk*oRGNWFfud@ zPS<+lbn94cR6ZPM7}c1VrBAnF^X-C8;2x-J{N#gW#QtjlO`(D_yGf{ZkFIR5|kCj9Xm^wFyf8%88g_*olpx#nA0(uu?LG6+oVa;P8un(iR(rX?q zg;CE7L)QcYvJQzvGxZ;i~+dO7u++h;eD5xzuW=QcpE7o0@%i`3SZU-2Vd+y z8ha}ecohQK7i?p90wOPXdtJU@9vmp%(|}kIBjHV#&(Qu}F+9Vf6fnDMy}JQ>1>9Dt za(ha6YPRToPV0ROzT}g&hO0r`9F+eLwRmUq7Aj~gUvO_N`6lpY3f8}4_XTep*NA}K zU1N^{_TT@B&HLnPDLewU&3iVmC!+Bc&6d16W4nrigfBP~Z#s&{wlJc_#~Jz6H$GbeWAh5J8P4GxA1xLdky-;KYuXn z{04Kj=G_5oOQM;-?L1Y;@JT$jR(nhanS8#OpL%$ZkA6=zA!3d#1M%rlEYZ?T{#6T< z6YzAMW5QM%U0Y6{Y2j}4&&pNeTuG|+I33OXyxYvGMRTwpT|Gd9GJcLIS|1i#6}bxu zImh@sG!X3c=cgFM^)3^d$^U%j@&bm{K4f+%^CxXJyjQZT*4w#5zX^0hkk{mwQAWO= zzUxIXH?E<0o9>xjE%{8;79In6U7Ir9q4MeC%j?l6YjAtyZccbCT(Avi$Smxp3O(DHaW!w}rnX0eDABU@VpQAa{KinP zi_@8pD^nIKSweLdwo!i;q2X`$m-PO#=M@ss_)!^U)Sf`B2IaNCgPP5A+dn{bhzqtW z2$H0@Uzavt%}v?D`a91-Pe5*uL9jRLGn$t1%R=!wUEX_A7r*x@z*r96`>$uF;{_v$ z<|vlEcn<$={Obt#CRB^o5~AfrqG^BQeLWMk)gFNDB7~EE72vA=+f8|)3&;?J;1zny z^~;3Q)U{a*&$v1JoOR?kSa;ZlPI6lR%QW=JkBCO)A3ouQIW#*v<)>NIA|(+RsO!$y zLjHIAt0dZ_C4Xnfxv%68wB+%auF7vM`Ie*uoV__snjfvbGMeJA*cVYW2fU|eW_p!z zE!@QvvosFO)7#Hxpyt_aDI#s8*o@ci@nT6S?vPxAHgF#NQ0Hfx)akTXOYl#-T z&qIci4sne=_+xc*x^_u0i1TI|zw)PSFb&D7X0eQbykE(-?HQ}Ax*Ci2N9rYN9a!;GQUfNnjG^ z((oBA!$<{t)&hyhmk7*LY5v_KTm0~Qu&y{iGygFXY(KJ3>`*+iX`sBPNIb@G88%#B zkSeMD$52>2m1EdclicOU$Svy%|78qGIhLMnQed{Z)d*k8mOno(5pl8yh=|q-LKomB za=7|r32wF>x`O&UqCK&7s+M>RZJjhjg2|p>|A7o$gnO3n9Ya(2nFCN_y{#trFq}Ua z6)*~qe2Hi`AsUa${zJ66FzP05?AhGYwcTW)SQ5B8R~=VPC>A4wSq+|JAXCcwH(HnzRt#!fcb*f!t1+1R#i z+qP}nwr$(S-BWe`#XaAq2G3MYO$~awd(tIis1c3@!5M81!pUYrjrq2qb%KJ@A++xi zH3srRg+%MhSFi`nZS;}+YGpRY=)?agbjoCO84AsN*^vLqc%*OvUd_c=F{FtQemZ&nlTHnx)~vFYc(~Cwsb8&`#PjgF;*2YmjWcnucP2>Jndzlu z$H72aeFBS@{R>3SrH7`Dmsd_H`rHw7s*i>rF2;|95+a19d%nOM@rpp7OG%bk23s>{ zqCfom?mWwb{jZAWo=j4nTI`6?ji?p5wgdy`k%Y2C?5uYwecNMo0|F6G&;yV1by`t| zSMofLEz<@Xvnb{&#X%2U31VK1bs@5=4&qac18Zre+a;Eg&BfDGlSllPtYQuMwV3h= zZ_lGGN`DFVY<{+(A<`uqfGkFps+d>Rne55;R{7*rY5J+27h2NqAXTJD4XfQd-cQ(~ z^w_F0mH#bdZD^dg^wM|@NmY~L1p~7oX0lm;SbL>Q8jiQ?zK<$E#P)%6vDtof@N`XK zN4ExI2Ez)c(b@Z(h&wLA-GM?kx*Yp7N_iQa9HYxXc^Dp>>@9~&0VaC1z2&)I=d~Hb z?epb$?PhM3PMvszxAnJa-t;{Tz5Tf^_y$%KaT>~Son;?3zq*ln{zPNVI zNKG1~h7$hm`&hnPzgi4DzK$L^)Ogn%4TI+g{StZ!pliB5+ro_y!ilXnTycrJU-_xH z8q~bieqXnw1SVH{^bw=<3E;8zg?GvI(+EeE0Za;|UiieRnBVQz3y;opa}xe=HzVHfhgGT_spG4Y zmW7rtlz%Mg^1$s-rnhT~?#E^>i|03J?@@kSY;fF~nQH%$yb_lNn?kBpzy5vrLs3WI zLSLWkk}`Gt&rT|^RgcOb?Ge;bW1+)$Xp!x3ihro|cYw~E3S8%AU#7OYjExp?O3($B zgc4t2emiR$I}$txDMdBDgiP{11Nz;mD%l_tY1M}BHq>#flsXH<+tWV7)`X|b+HxD6qpzJj*+>~>cN3;0fd3J+)0RGrk%AD z6AUH_SWO-gbsFy%$&~P8%bOEr7;rm4ypr?nIe(ddnvwc+ zhv%=}b)Y-4^QL05PUxQbC#|d_GQMQKbZl`Ius2TO3r=?(Fkhdz5%@bY=lMU!2a=ui z%!fJ{+d97DBb`FL3ZV5pFa-t%+=n4GJCUTcc3ofG*|jRv4(^n}>q#`loz6 zEgoo|0w5dZ9#S8ZRLwDmaFDUqOgn!UY8+V=Jx&c1RuI;_?nO+R4!3?hSn31nYapi$ z*F?4dwBF{Mlck+yie_?V|9O2#fDJ}Nmid$0HqjR`wnrj3ZCoot&C9i zm`ivlxiWtHOjH8_mM>Wz>d+F!X~z0XwB9 zJbWE$av{(d^MK(S_k${>@{~pLPTberEci{Jj6z%>v!_HF$-!`su;K<66SosIsFuX* zwsk3_=MoQujz^Nm(~ol*uQd%#h|~xLbknrg!yj%PkD!^Uj@u5W@&~H>&d?+==@?#8 zVu<9AJHYu{m%jxRxptqN2}4D|;+Fc_?qB#gOJ763c`WWnz-Ct{)?(bd&!ldCCKl+l z1bk#y|Fv1ujG*3utMCA?ziA$${qQJRe(7^H!K$iBZpq#uDMZ-&_b2c}<6@>$S#LG&5M6K0lp@VXiUO_ecO=IxS3hV~Z=R0-~BUg}jFN z=jbp$$mIKH8J~1BxfVF`S0&Q8q^c1Z!u3b&e;iPLpS15ZNP+&kb4o-`LtjXIj8 z&(`2ECtZR<=1gOrP0*aB7A{=C=Z#V@3A@yDKs;=~k<^i>o4^#d& zF6s6^BVF>0W|~aIdY)+ea&Hc89f+^B^)QQ0f8QY^a)y45q)&2JXP?@-xa&9PoY1ww zIq_O9EI4hhFkeS{C*K^Ku_Gu45Ia{qc|`BRMIrpHXFMUEU`C6S1zSi$Tsuk1^lWR| z>ACf+Oby3SvVhdw5E`ZMh!NwzNdXk>)p~$-r|xB*`MR!NcZZ2x%1vhEC&1_~bco+= z-1}2HJ=NwtYkd}NvoW6GkiLFuzv5H@^}#hX(#c9Kla|Hk-;$~KF={j=vg*eq?X(%& zx$ffS*T`H%<16!`6glZ}x+>T{HAE4@UQ(P4g5Q={-#xp#`u~3Be%$H3mO37TXNhDC9lW7jSBUTU;jcAxV{Iv*tSU+I_CM1x(tt}HB`){158#g6xO;Mat+2qutXjgTeW zAm=N1rcz_ahPtnCnQ8xPo%i0q=uk=TU2f&`y3{A$wZpPIA}SlhG4id^wZr9s-rqL5 zeOGOAZ!U_$+@({Y3+G!}^NP;Wr9;OC8dtWscMuqP|R$bz{KMWah8yndM(7*0&Da5ka56|S+7t(ip^?POV zA4z6dp-;0z>t>(86JTn0;nthgAfDO6;z9zF+fwoo4W&bcaA)?UUp+cK`zG2#+(M0y ze@6sIqAKP_!SH~+4^2~L688K?MwIC(7uaec=r$5L#6RF+4>eGkhiY|)acia|V8t*ebgI0_5SOKp+^A)74iS-DL@S?1VC0cSJc3pB4_b!k7Nn3f|8q-2CNVkX;=1!D+ z?E1(zsg6>S1e%x0?GUAh5pn((y*=7hCD>m-343#BJ|=5yd;Nj5nF3bNE5!Rb`97gu zQv<0<>QXx+)klXD;Gr|kG$Y2aR}hj+oPYvtQ^iOrq{!AF-4A>EqV%%PC4R(@YQ zheKU2Pv7s>4#^6VM*5SlC>FY;G_DgyGTa~QRmhTWe{20u9_npI8-?%(8-!woh5jXK zWb-y>x6+?DWS}yxH-y$)xr>ht{P}jRi=0CbtSh^98_c;ApB{ia0<^6!T*Tr5KK@A^IgLY+bh023gCTgX2RBO*1r3dR zT&}77=9!QpHb`5i`i~qd{>;ySVAvTjsSG3^1*p8)mCF2!-}mdD2sMXwdlm%{f!gvx zr~Q)%Yh<`4*v?M$|I85*y5;^c0D6Wi5R_-`1>dfXA}%L}Z3ebkpB&i26b0!>J#{)W zztUA%-ht~wON578qs5u{ZFi+NekKbo+lFhraLR2!c$IEgg5c4O2xerPN5K%`ur*d5Fv;`lT=q*6-Q7j>*%Ua#6&Z`wpp>jrW zIhvcMqkR_i-!H##INDNH`s2!B*Z#n>#_`}w*1M?A^Bqyv*E*R1+0E(vsFs=n6Ucns zWZ38Uosl#x~Q=w`liIUD$?V&E^ThIC&@ zDW)~<;Ul!SuWsa6dZkzzBM68!a!jd(eH}q=-lQLLQ{7_5c_*1VM5Hb>`o2NbdQ0q# z6B^6=a>D)`#Yf)1ZNh124*0Qd{EvB@;WTiQ@7$2sa1mB^oV!ML+2h1%bgfqV&Lr2$ zcB=CRYC)iV6Hv|%IfifIV%9xs!MiY#57Le|z|h$aZF&3)=EZidU`;+cs$`7}u?3he%wqhdp2l&K^BNq*3MwbWmQ3_Ut~T=ov5RpSPIBN(;b89z z;Wq;R%@b}CQRs;)`+aw@tIU~5&Ewo+BXN3)=zl4u?cDrKZq{-X*kQL1hL+ri@xwn+ zP&?Uo+peLou@CZA92myXPpR^Qm8ZJ+@e54w`oW+Ku@S?XM=X>}cd{AMmHaCZSp~!43-46)X=i zwBuvPmu4lBbh5InrUg)ZFNQ4LXFueo^d?uz2~Vt`Z{Xf{)yntGyY~vWw3HUAFEel5 zfjYa8VV@&N-ecIsjIG+8H`e5zvu^+I;Dc|lcW>eGZinkG(NDsTZ>W{3mX&(eDB@Ai z(EWs*l88&Fe8#v9tdDQmyU;bVQhAoZNQT?THL_?Fv2T#|uu8ufZ+)AcaLe@$-hilU zE_M(qF13IwZ?x}kkPklL{(H(O)?Ru#f#Wsv6Xv^1Jbi1o+Nr)W8N)% z9gfjQ&Xl}?a5GlNy;gg01P_NciJ z5leCRzqCFel{fMqhlpN^2!1;bu=6rNMe{&^IXMKb@;e*gQ1(t&9-ca9qCUNu zskz;36UJ4G^epn;q>g)Np)eqgBz+i7G50aZ&y~+%=-z;nv{ELTniw#zOyegk60ZAB!8elcs4gV0VN6wy-SK9eb=hQt&5B zwmnnMp$H0YIN;Mx-Rml{B!G*ZL7AL+vOT%qr%CV}X0i|NSMsJ_w}5+8e~!JwioU*h zHz(rzoBaz;CIW|64sChKw+ki@qMtV2{Gf1^iDHySW#}~5K&A#Cy#7IJIlydLc~~3r z-dxtejd!q0TCE`%!aL=IzZ`Z@^d#KeN9bu%m!&;&ect}U>>jQU3aIx`mENmM`2Epa z=;}Or{bW?1g$VUCoY@P~>56pRL?%tWPJGf!8A$%MqlR>G4pFX{`F562+$nCk+zqYc z9{a`?uxAx=9qy@YTv9_B?C#O~6hfl`{xyyDF>Mk8vgC&cuqc1kJ6@)0L9ht)XK?WX zpZx-DT0HFE*UJA{aTIQu+*#4n0f+SBXF03qE2~1e2iFun?3m&X)z^!)Auolvc6JBh z{%t+VG4apCg^k2oz4ph?58CSdB^e|f%YD#TCIqV zJ6WB=x%uD~KLp3Xzi#f6^k4t!Wf)RCa~`%rk>)I4{T%)7`CG5=w|Sds?Q3$G1m2tJBmf@u`4oAr)>zD+<@A!6AK)u-qD#{~ZOeV&0^ymOZMN8@L5&WQFTh zeoh&Wce7QHd-SZI#7t{Rxn{mPt<|G?yew0pySQ~VBDb>ef%+q+DQSIA%_vC*a+a~c z;bGQh1@oCoit2){dRJsTJ4y#jfAq?WHo2UK4QgZulEBH zHEf2$iY|Q~fN;6rR$_~-s~ckRFQx<&Rcn;lpxR!IB*nx1f@W&3|Gp(higN)!uY))GBu7z_Fygt8UX)(i z%Y>=3wAZ`0K{9&eQ`{eKsROF@j>^>0DQ~>b=_#R;y+KPm#%oyTnY?BMR;@;CStCos z#zNb#+9KBm3w`PdW53&~EuGGkeVu66<@6s}l%x7}2YUAn|Dbd2die7`zP8Hu);0d^ zs1~}k{%NIl8>`pa(_ITpj#@^D&36Y?%ZG8|giXaf;>H7>yhVX#jJT7LBjSdG%pcP` zKhdHsLHD?=D*jw|$EMC{%f>hx?lEI)Kw5ac`7{%dLnzEujBPJF;IjO9f@a}FkbhD+ zty_i+;g~t8Ywonq$hiAS=a2?AU~a-0IB;vxt~THgoTFF{+r@N*cuo_M(Jw`#w)}Sl zI&NY4<3(D~ozwxjaNOsIpuPdHlfySrrgdzH2xL0>Ga zv6T&Lex%uKbpC1!%BN~X<^2g2w(4i;Lj<@cXthOSKv5A;M`v7goI2#`yXKjoM+JSl z`-N7+Zi?erY6Yi`h=U48xDH&x?;vBE*f@rWLjo04A%;`{Ss<2(T7a2Eg5NIc{cYae z*tzxPvunG1tNRSlnO51>pOtx;bv5nq{19NT8mc$BTlmEA-7?`#CjYm#{^X352+6Na z+Mg37t~*@NU(MAf}!I{Pri zm)D(HQD;tf3&To4t4cx7a{uKnDjKwPQ5&Mz;I&D#Hq@h7!i_Qb;8%Z%__$$4E41l* z@h)y%j)zXe>D=^5*|@%a42@}(?j=8ME9s-w?-$dq(JvUwOX?+>D|F=+JJQUmefP19 zIzk;TIog(bd}~@_GVHZPplvkmec=Ri>$DQ;aRw&QyoSHthJPlqAq;&usNlillKT0W zP0#w(&GE#e4)|pbm@tO^u2&bfLS@<1) z+8I4QrrsGO<}|m^?29WH_?zM0;=TCimBS?7(TkY43*`ozTTP6zzrd zRNiY0>x3zr-4 z>X&1Sn`Zo@t42x=k3`~GXX%GdeI8biTKM>X=GFv?-uW;=68`Lx>hsUd4GeV@@Gy1#7i<+loKX-HjE&}j$-9bDvgK{~h7HlkhHloKNl zH;y8=5r+K~N?OzQyjJR9aU&+y2Ed8TzsbGyaH>gN5O8N+K%J?j5%KCv9D(3d24eR#RR86O8 zG8nS2{e1yza;RIVhz2Z=E4Py8?Yw8-u||ajY^EpWuUG#*Oy^#Ee&RLt%mfsq;zMXp}6(0}prL*Pw9a!pFFFf55s*AkL z&*@qTEz9ifvkY7>e41IZB_dpp$(7zTG$_EZizTWLRZ)<`$*bdXxVHcbGFYzm&i`_ESsJwJV(PhlGqeoBemVs=Dyg6 z(6gdl!#T7np;hXw-vKRfDr__J_TPy*kLfbqqMzi{)qw6(p=yA8|3-K7XLoK;=6it0 z^S8jA{{du2Vt)XIj=~xOvij5c&4J--%|UW$SqFa!1+%sRiZH{m$ij5Db?e9#1WC`K>~o+MT)I0<5G>ddsA}l5a%{sppEiRaET=&4yYY^CCo%fPqZoYKUoa|I zynNjwNt)T~630+o0j`L)`}A!Fk6Wcq<=LCtRMfeiH=f8=LhGiyQ~Gl3*pn$L{xz^` z^epwlxi0OS*$WbHYRQcJYD^BJ+G@3wHz$OfWKh{#kpoDpHj`9lOAs#K<;G5{BR}kh z80>#_ezTCUv7UEPQbl$;odrZ{SKfbp$3>nnrHRi}ryRNs(t=l7Ujd!<-3sm zHply{Q?3X(Y1F$-ZbG3ge*zX~_Y`ANUyR^Tm5*O3#>@&nBd zX=c3*@nq~i)>2<^7n<9WDOq-;mOt-JN7wz^=t~+8Y8vg=mX>^M7+@{UktEq;AH4Hg zH0MA93KbkU?#8xOI&w5nwg_59!jJNw%r->b@1~CbkT8Encs!iXOOjnwN4GSoNS|MJ zH?#l>IvD{h>i6Yv7gHK0I%v&OZt=_Z4maiBkL`3`jbB#dVPfYbrs^Cz<{wk_&sgjK z=6#)cWeEXxg(p^J4oXurlq#< z`XX}Y(U-=mmCE4f9=yofjYmVI?G{zwkiTW~qNOp|HnLy3)%!K?`7fmom~i&i6@vJ~ zxJ;j~V_oQ1)x7s)JdfXUZlxfbiYi5A-iLt(Dz5lwg0RT5&0t^9YV z%C6T8;AL6a9U5CTg2QDMTkac$Td*A59WHx)!y+Q_milE=wtUIFkD%og%+`Dy2-& zt0{rbW%oBIe|1X{)$Jg-#}ynF4iQ$KfnUHWN2`}>CI}x#1UE3!#GEOuMAK}5 zBEJZwynG^J?o!`&r`3k(g;6$$rgy@!sUqh@Kc{$<2gN`%Bz+?pKq4!wy-NNU2`4(M zE})ahS>uhgj{btUJ^P$`JI~$9nl%fRRM0?i-QY7Yoh&qbIj{t#6{FLzFe5{_ax-3h zu%NhNnV&`J3xmisS(24KUfHmax~nelB3$oeC_yqo1{U#Z5x1jF=tU7jsr2$az6{Dr zN^pQo3lR`pIk}r~R_P+qN=J?tVTT@84@4_P2;DC?P7C{T91uzn8zWOGUmFH8+=62f zg#A@kMfNy|bm0ZiK`-E6Ph4iIX8y$5wl3#XPJ=BAfI%(C{kva2yyJh)7|;!~%i6!M zJgAw9)@Q8qV%;Q<;MP%nhur&nCKG(o5CmqO5>OW&Ks*T9Ur`-`Wi@bYova+3M4cFY z)k+f#8bX7qn?d~r(o}*bjN$8SJRhm_Lyx>#T?1cAi~q2=c)It5I;}NCPv!BFc{pcs zE*?4I%F*#d-E6E!u#Cvl0LC#8*-+&%ewolYUaT>|GzYa571K}=LqQ}RUB0P^6S7k_ zuk!5kZDdubePo*zASqXkJqlYIZGE6(lUQ6;*4CKF^)`}a>Nc(&N{vWhB=p;0TsKx< z9)@*Ksx-``?B3)Eiv=2{KlN=?n+2m@Dge^krD~yO>lMHxGYHVQuv!oz&)x(OEGTo# zNRx&EWo;WfyOqgU7XLPg)C3M7Wj`d^6nv(Q8K;nTuWOUWxho6@LOcqoPJA;%xQ78B zp_Ngey5574@fBc@pT#aAhfs%LaWn&9tJ{=yJ!wQmE*`-lt z9hj%4eEQ-Rcfw{8?<2+T?_G$P$F=N4VJq41^LrzvwR4J+r*Y4VvisJUOpcd z!>qpmP*D~60=5mr@v-a>ea#m0jqg-8%6S30@~nZ6Uk$(W-BSOw3KEq;iI?mPPQjir zpu9fBT`){3!Yx!&rupokfe+?2UyYd6TD<_W{d2hd_AYYSTIrB&i1MP~;9!k(&-Jd= z?Nk!GJoipM#kPMHW z0mUT2Jw?ChM^A+XCw>J7kEs^+quRy~ii=%`7!Y;3+GRv+x+h!+60+MV5Ar>piWHk( z37dJ;u8ifMfx=Mz2_ASvfKh%jtHmg3p>yLqON-Obq20`I+Vl5E9iYz&b~?OUwk2!uo2!& zE*D)qHPwht0@6LyFRZXoJ>4MWm1a>tfL2w|yNI%^K(r>Uu^fqz?*0nza%)~rfN;P+ zkk89L1SVj&5&20}Sk^!fb3TaO2dp%de|_(u0-Q6T)?-iHpb7S4n7r|kB_@`qNQyF` zQ4q%o78+4zZOY|dC5abUDWFZXFl#PWt^ z<>XBWvM=r>DYabfYFlHV{$t>U8=-1?F5M2UD? zbY}BknL~%+lhY+mk1-^%fQxopJ*tsRJO3S!fLPZ>W7L3WYVN=$;WH(O`x{CQae_IU zoe1U3#)X)0fAtU_63j-dtO5Ou$ug4Wl2VZ$Bq6NsWZ!YERlXLTJZK!bH_Ht}a4D(| zQ(BYd(V(*R&v?=($}JJBtwFHBt!>0n(vC?QQ7}dD}Ecqb>j8xU%q@L1p zOvLbQhpQq$ zFcjm6YU0j1Wzb0(;fuq6jf)e3;__nL#;n+*=!PfIF-Nk_ zye2PTT_OnT^<>%?56#4Q@3iV)h!?>vE5X3@Dm{SB3#q-YAF zW(hESVwe;YMZ`(I+|Au(6g?pz*P2W-7YRVtdOeKQv@2T~ zbVcVW5Kbqx(dv1WOnlYaD#a~v6K7olwekMAe@0D6kzn$uU7gH*2GXdFWbbt_Fgb@f zT@ubHemS0bo)$uB@MRO#sx?{DrSq9k%K2~w9GBBcoUjngX?yY3wF}!EoTK;&5u$S~ zStdL)S0^)CVD973sVEC7xX;nUK-f$JjaMEld)8|gpP8R0uMc52QH{_M<%pgtU$p3> zKK(li%JuvSbl^f}OY9gh{mCN0Jpyx>$#=w0cc^BoBIdnfrzHs!(7E%qrQQkS+<7;r zN9>DV7;>t;c)#;Cgc0idfw-PvY;toGTYEq38gPZqX>KU_Vl_PCVN)*Yukeun$trNR@HJ_og8eF@C~a_4IlA zSM9YwTPZ6B-vjjY_n}6PGZSNO-ohozgia-4M|qsbq&s4gPBNNG%S$+ZQJ1);=_2Wf z<0y!4AOIAUWP$Rgr<)~9{6XeY!PbX8b?KyjzkYA{8om998v&{x_=~0=dQKugBC0iI zaQ!+kE*HHK2d5_?%OXLIKW+iE9F|SC?4rY=^9)DC3~XZLGl1^K?9QY1%`9Yy`37!l zFB8ErCpc$O$aD8FilLkGt9j`$FY?(7vPv``0>bx_XP9O63cLoW|uw;h1q+e@k zbg7;>00fhSVw00-LaYVuUM^5z97>72O7diCS?NIcmNT|!-RSW4!%E?G_FaQrOXz0A zN3Lq?#A!LpST+as?3`rZr23|9Mh>=Vo1vK4Ys6q$1eUIK7TGCwE=knbb#|#92+@1D zOwsX7<-^cRm38yu@s(kt@u7!Us5hA`5zhxtFxCe{nMVo*+l*q7V#>}TUiut8<7o^8 zt)mbLYCA-5x@MSa;UJP}#F*noY-b5|lxSS^Ry|`y?>`ddc4x`PyZE$=Auu?=@wm(+ zN$VW%>@8cyh!E#4RXSs@&V=>7B|DIi4$8OB1@Wb}M*<}#`3ZKKy6Vb8+|gw1KL^-@ zBZQDA-g)yyla{p0=$1r4ROccRXV_M=jo12G@cE{JkWzG)N*_p1@?~J~`;tP9YY`{B zmJG3IiHj#IlaXfNDyNdAi2ArdW0Qm@MzbD%;DLYXh^~l@SD|BO+mF~2vY8&Tm>}9{JTYS0-$Ihh0ViRIVo7mkIvS5?ox||iL>FEWKk6fg z*!D~2(!#W$VI*q>Gf?PSv4M-=gc{xgev_1I@NnZr=|LlfCfGnDO6Yh&bbc2R<^|&N>;w-rZAHEXA#>a> zyq1s!B5}WF^{CUa%-WYTC-~PCZUGuFq?zJGkFMNo#!41 z=yVF?fYMoC0Z-&7{Yp!cz^6|T#mIAC3O{<)wS^9pO(G%&9zs^z?QmXC>ASKYTmDTc z$xA3|huUeo{R{6%G>Dd!_6ksza=o9Uu3Il-mL5b}%1>=nR!xNCO?>BVr;6>dUBqBf z3%AOIz@jeif*7}rngm=bT=j?FJ-I*F(ZDD0maW~uCW-u~F7(_4}at<1bvcEobw4g$A5 zjnBuKDk|PcEWc^MPn(Q&s2RZZ6m#p*YY@ zX|CeCXt=_#$@;|9+e^SN8l}j$p0W}kmr@^0ad{)x0wNZe7EIlep@fef!6D__hI!Q5 zx(E4P*~9is7=^+i{&5*y@5J)-e$YSpOP(JhSZXBVD1+%yC0aRnu?|t>a%Jrmls-uE z(tHIJUuv}f_!KcB%lH+nJ<1fGElwKW5j&fhrFNJjKn_s5QUylzV=3|Yp%p@z)>70P z#%9hRnz&`*Ix1t>Rr!?l60RFY!lz@Gs3UhXbCQ9U9I?KOHm$eFA{I1lBw!B(1dOYZ zC5^qIgn!7VLQrc$EDJf0jr4v>+GBt@g7TyLf$BtBYW3fD1whP5|JJ)E>OAu}VM2P? z@J9zVi7>8TQ%%1_uCBEtg{(Fp%8YAnYUp+miy}#FsdSGaf@G>;xl_HoZ9gP8SoJWe z&Cqg+$`Hrs3Ppt@9!pd-n_d)eq7?Pdw!5{RR4fy$-b+A7cIF<1flS$wU01wgos zS!Vj)uqi~mb;h{0@+yLAC*4>g&uFTWdU2~cg<(&n3sfuRIqS2LX;o5cc0)^B?raoGl>m`D0`Rg%AezkHkI$$A3^%RfiJyaaQy*TW*;q!&F~Qy>*}uOEICjucpUP0p7?P1UH$N+>rdiB+8?HMWAM8 zaRrizYxinrmcu2U<(Amp)J?RXcT5y+7dE02r_E(Q?#C9wqu(Kj{^BTOJjR4-hk5i! zlefJE1v0RVdisFE0M##k6Sc<=l_6~HIA1SmpP$~q1L5@tMhG81_<#C54a!ida z8AdbSX*5E>JLiVPv)5BUkycVm{L~^W0Y`;B09jeyH`;=#u2)vQt5&=F5Mwp4^^%1s zhDw>?$T8#YD7p}Rwyi8m?$VuQ?uuKSHSW z-Y0B;J5IEVE+c40Bh*;@5hTL>KQ>obpQO6!>_~PGf}h&P&S}_x;OFKD0(qPRL(USQ z8ys!i1-D!S814sUJ%45ul6F(mkJQ42G1G4kVwtGLmRao~eXafg6wM%%bl2sQKmbw*0Ca8-k z|GqU-UxXuC>Xa5P&}U1X{x~;q^{V34*wRA1OXl^dpT|-0DN|b(>|pP|;Rc0xhEK8& z`h$H`ZA}~GI0cTI!jRm~o`O^NXv9Bo)O2K^P4kYgG3n;%Ly^2orGY{FVyN~5I{b<9 zA#k`O_YcD4gorN~_ly6?hngrnJfS}@h6 z=%|Pgi}ib-ujrsUx?nkpza&}tF*>jtcZrBV`n3=U?m2>UiJcQ0mFwK$1eqk-a5HcTt`BC) zIrG;ud*?Pgjj@2OuB|-`QY=Qp+0`c5`X1J{}_ zy&)Awo#ZDQI8U5?7{R#$_aNT9cgLTBx3f8KMsQsm;dosLe)#t(9f__&r;^1SREH+S zx=xuiau@Ligp}Bcq4*0jh(^Fow-52We_&TC6b#;ccs1x?<0a8h+0Hlt#&Y-5=y+Gl z*g20!pS#u;`4>MtoM%!yXSdRy>% zOiv&Wfyh}&^M=zA*CPfGB*0Dt?N8*s^QM_Z-jxYt8l#lidqM2153nFZM#P;F$vX%P zLvyz=_*y8sy1W^9+i7^Y{M169=8Z|iy0;n#RGPWjwLTo44A?FKjOs^JxnxqA)DKo3 z8_aVb+t>W-vf0e?QrR}TG@anVA0A=qMll|DbrjhoJ549xK=F#;LCwFaT9xg}e$TqC zmG?Ps5M#QNxdXm39g-0$!hhs3Al1BO+<>0XWqyK21TrtD;{k64t@{*G(qn^v+o`W- zdMiETRuOhnuPfj?8sderSx&C{HW`TInFefN|g8%WyPyW!#5#G_;%cKkgH2L+r|KaTlfNUn@W&vW$-+Qlr?Eq;MWLxm8eqFHc(ysR+T|o zNZX-10KJPwp5XCZoYbZKGnEB1B!se?X5Vimpot|s;OpTyo-$^+yZe7s4K_8`Q2AT z9`QOit)w%V3Im7L&%jpiU=pdKyfT3>!fc`Bwg zTsNzNu=$$YyP&4>7W@gZPg``@Ei7&WXwU zx%P<%DIHbB!EtoM#XFf+06yKWJJAb)$n~>%X)G{|>H%L3Mx$ixr62`YVESW>dSK>_ zR1-3==Qz~%$0R=}g|%06SxC{|VbIggdzlegW21&wRNf11SH5VbU(5MQnP1th6fZzK zJQlt0P++!2)fI5=MKBe@UBfR_TxQa|7oHINbHWp*^~`_ObYUt6FX`1+8~rRk=+_g- z=Lva0cf^n3BIF4LFiT-r@fc8%GG4rcxN!YL_PChL6KCWHA@8T3LaECh&Y-DuDSs;P z!?g-foI-`#o4s#d29*uUiLp)^KWP^o)~&z)*yNZkkNooR0#gi`GYOZi52&2OqIGPW zRS0EC1Z=_WI>`$JT4;cf{2*;3v+9;Fn)4@3~kE zy<>gJ^mRiGsyrTRBxrekfb=n)Hb?50Lp?Iwv0=UVPCM?FsGbR*FmQm?fdzucq-&Qk z*X8svL3d05J`%#chYpmIIms06PvWP;%Y&AvO7=XmBjm*2z&)Iw?Lc`A!w^1AOlZ~b zB?+V){2uQ}qNKXW!V9w+I1Znaryoanq#WIj`tT+Z-K%Sr!%&M~--V_5e=&M4LT5e| zNWmw1o#S}BN!5~(ngsqPttq@0=>5%%7Hi}4xZX$0HJwsKoBN$`?Eqjwk$?79!9ZC1 zChkwS600*&2*mtlev|87UH)WNR@`$!Ju?T;3LXFzJ@rYddSjqIew((u?K?VOOxrzI z*Z+w6muLBzOzl9XO&s82hp5dUiy+Si57r+n&>3aMqM??c7P0@`S{C!&)HSax@(A{= zt$9esXsv?q@-NN+GWy>cZ3I6zSCg4GcsdoR$2(eTyb@0E(vGtGoHVq8y`Au;Ce*}4 zoB}nMz~L6kcUTT@rqk~&rH-b5q0@-%(oK@9_6+VGIW-@(vA?jmKF<02&vj3li`U;) z{w-V%i{2>v-_K>=B)P{mYP^J7kZOme2Z;YT?=IEJ?Xg@fLOxY}dTI?)KwSnO2F~W6 zLv{hyc+U>%>AKPseoeRORdWkn0pAcMwQrY=CPvUd)xviRc~D%SLc4*0EM6N&OK}^J zuB?Z81ZtIA*6%+Ji4`}IoNji3YZ4ZDk#1(HRE=K3vVkxz4cEk3vdr3!Y20Dmu(^Q| zZ_nQHhou|S=jbwtTK0Iyj$u`M+{(cA?=x!apcCME*~Q!JaZe2~;{u@4agi^97KYwo z5!lxK6jQO}45A2h-8~OyBOPFdySIoi;)GhiQ|=PIRz1GWGdcn64(%%SS}X6W7Cq|A zM^LoUG3<1Y+R&2z1c}(zX~~?aY5H~Ol^-VC3S&#Of~gXJD=+OPWXK(PL^pMn+2CKJ zz*BQWZ=Um< zKk$A(pL*5WUA1>rcdy>N>Z+;(#`^)ddCcK-C?)Og-%9W)ajLWKx(|+v${i2)7FA;5 zKWQB0irqoh=S7sOX6fHbp2Y*NZWw=Es)gMUPR^=i{>$49P+4Np0woBC%_TdB>pqU)z!0@4Pi$tm3}IgVK38E?Z*jc9 z^LI%`&JltoXX&DWijsQXr0|6Ost!@~B_1?#8VLN*_FWYuoD6@*lHrfk0V`&Z-i9J6 zRon0qb$W-`>YAV$+8B|-=`u(DcvbBo1Z+CZI0t&QC4c4wPvfjTyc;}7?Kg+QUpOX9 zQMjx$*gsc(TbR=v47`u&DK=!t;D* z#he!frvLh92mQ0UwedNGuH}^ZRs5fX<1= z%Ub#{OK-+PM!$b%;K~-;W;kg<}(@A2AmAfq!4q7~qUk z)UUwz1S^Jfc+E3>XsK=->6w=xV4i6(XNHdoYic-tzC&~P!`|Az%nPvI81owrGvgEx zXzST)W$XLP-@K{LFyr*AbJryVZtE|ROU!NoE47KF`;rtO6OGd!TdD&8L95#}Hl|wB zI*v^@F01$N8f^X3#=NBA-liBj^nQ_ZZfo$;F>GuS4&aZ;W}vP1j=q0U{|xps!~nEs zsGiwy4%iUD`~cmKDfCp*b<}vSeG4wa-mTZ~PXCT1ml$%Os-=p!`)Jd(+1MA=a8=<1 zExF3pCPJA#RBPQ38LfV;N5btW{}N5aJ20Q*_ZDHLzZu(GSKq(W3tO8*bBGGa2#G1c zeU>Jmf+XGnxsethmn$=?_d3G><+%Ue1dpY=*Asr6&ISj{tAijI&oNu!DBju)c7{Sj zZsD*a;QiqC;xyVE3LS=sB*B0}42^(A62bqziCyk!cP+>n8X2ye`@c-z!%F`Z4`Dx8^gV5Ms3rI~AwTuW_YtGz0y@2I}l!`3R~oc}K)dMXaq%uNA* zMsLuEj;cGfdOx-rMWO#x!3Rm{h14)xZx4wCi%9in3^Nv zR+fr^HI8P({Eu~Xh@H8@`%oMPM|vWfJtr^f6GKV468!VpM#(9mvxF2o>Z)~c7Bb}> zhjVJ4>QkxLT!&g=h^0c&&?t6U#_{w=H{ICiK{ViqtE658GgJTF{wZJ(o~0cbm&RC9 z&pqUd{;GGiPx6;2jpXFQdi2{Lcm?I&@_g!Ys3w}E{4lb;4#-PDKKW&I60WBFssuR3 zz-%q0YhR|K!x9TzvC2(kJMO|SAscF3$%7u`I}B0xywPm)HAL;Qu!>G<47gk5B|gKC zH0c?+eBq2P;zOYN9?i6q|GKS5K0noEIR$!;m^SkV1e%R!5p)A#1|%NCFA(~$Mk=Q3 zHB%|`_7Qd~AekDCQfF%X0HdA4gB$gy_IowUv0o;=$B72-yP`ujk!OWx9(xeiH^`{j z$>yg**<+6UQVDyE!xZ17VCwl)j83Dr7cUy*aNr;PF2BBlmrfVg8fk5=@g+VzJr7gpq9OBr?#&mMtC`I0`5;&~g&tm6nBUnadtDc`kKUquoX z_Zo@BPvU!-IJ!XFnoyJjr18e*RE@Oy%=DC&)=7FOY#f`0OWCV)fT^)ouUNCvOScWN ztlRLFgktAFLFh14#IX>s(Sf5vFSSC;&@1!k#6op%{6K|ISiLxh2F!K(%dMC;l_L6@ zgzmNFt~wr?O?rpTUQ1DoU>M+c{n}-vGwbS-`_fD%1hIOg_;+yiFt4flue<&d3Ir81 z#HwL0xvyRw^?`Vid3STMRXi9AoLWJ&0eM$FJ+Kojys>2xJBqKCA1qI^q@GKzHTDW@ zZ!=o@;12yA*}lZoD8Fgma9w=E3f2+46qz)FbN(_%5vtpHc|I1aObny1tQsahsWZlF z&C5$3w_5`+zTy*{?putHj4g9;UQS1S2RL=tK5xqnYMumVsK@3YIwIZW?{>jfQ+Uaz zn?w>r*`kU=g+Rk_JIP?k87~n`_P-@2=C3 zMbNK{PQ;gCTZ%t}j?!kuFHgbK=+7%4z1@pW`qi(HHH#@jj19`%@|2B2xiuP^PPL^H zjxQ&Nw;2Su7Ykbdz0u$)Jso?5N#F3zZ$h@}^P{cD-aAbI>a`&iqj_jG*~`7QEyvcL z4cfID@I8WPpsXu|=9UiZ8j?SrFc(hbmER0ZXj1ab5YG)~2DL*gO@9jZO@I5h=<93! zVE@siOSfMTT??IZoXyerW-p&(CJDjCF8F-cJ;k*{a8n0u#q5}N^*v08d>UPO*oSH} z=xYU#_F)LA7+do_Ln`@pO5vv~i$e^| zgiME`t^0FL1ROaKhDT4%AjWP%KWQHi-Sc3k_q+|?`WSNF5F-cmQZEW=PP6q0bY51F ze{-#@`$6suS0ZvL4uf>{0k1kqW_5EZa(bwHn8z-(rd#}Z8P|G z>Q%DTtg#Qjq4N&dc*iGRIvC2g$y!Cof*R7jgjwJ$AP9l@#iI6agG^dh1y73kb<)w; zBE&MNd6Cb95Q3s5T`ltoi%A9{;ECnLg>7~Yjo=}!HIaWvlw3Vc|E9Ohi$BzD{0Fs3 zV9b+?HPW~cT$T8ri-})#tqph-BaZ5wTs-NeIr2qMw+)nbey3!3_#*!8$`s(8#B9)u zaGKkMu=Bw4P*rIQaQp1(V8RI?H$=^&*?fz|p>)>;A4(EKir|aPFx z4cWo`p?>1#bEL|MdJI(3B`&J3VOGxJ3lkr@N;lhBRR8N{V@tDMk|#uKa^6mK-aejU zkN`m4l5N5|Z=V@n{cZ!gZ;-I+B9mbGid>U~M6QXRLE_Bd?qauJcK3DCEz@6JwKDPR!3b;EI&&JBD z_G-M+)e|{c1Vj#;Q>7S9Rek{v6#A0*MY=_gzRpwD1$4t&rRi+P|v`vyfDBTQ; zh3*KE{X1i1ORnHQ)B|Z*Qy8om{7?M#nu;d@Fi8)5S%wW>LgBsQKF$^a=w)69jwz4QV_vc zug}+3|9W_N#30NI`4S(kb8)#Zu4@Kq8?zz^T`|tFDjv`fz@w&1k&7F*#JPD>S<3wk zhZy?>@#MqVE%CgTmznGPyNPW4R^Jn_+n^(pHGU;2xn@1i>Aji z2R@>=e$+(vw}F?;;Q{w~@=N|)9jnN2^yJ3%anHrGl*pxR+jCV6nPIYGYZ?@Ryp2$! z{E1*+!Tz^3c`TK1*)yEVD~=0c z1{rhXM~c}|r${jmJsP-yz4En9`oUlTkbQsjt&O$Pb=z!|>|dDuT%3?LY_!>1=T#%Z zTstCy(4Oar*{yb|mwED^Me5rV;!dww7IHKHX40p_4r944C98<~Rvcd=tV(60cF^|; z5gds>wN7VA^q$VZJVkn~#_J+9uBve2vaMh&0+S^5nJ*iL)nkwJV4TR|t^?cM~mZ%nL9#RXuD}@u33Rk*FRUUK6R=XpUsI zwHm*~@Npe8W2L^8RY)3#Aq)GXGuKY7D|1?k{kKRavd0S+?BN;VSd7pIXiGH_>Lbj* zItpwHPpjaeHY)2~ZyDS({)lJjlSj^&4O_vETS><*eB9$9AoOiJ3^jgWl}U{nN-0Iv zvcBwKEp)*+mvDNn!C!v3rPYJoFIvRL-004dKZ~|H@qNtC3KVIf>DP{?$L*%p2^fpR z%K=tFzX+B=|CUm-e8b}Qfg>Y4J-@DwyC>5gh&m2OipU2o?D6$UB`o*P>dPsXZo}^e zl8VMajE#{|S;?Iz2u)ZBwqYptol;=*1?jWAR$>mloqB!L zMI3+=6oIW<;&;o;!yA&bFmhsLf1fMT7Bko`lU_|yi7`FnFvGc%vJR9&___9C$9?${ z_c*@_4NEL0%1!5Cf)d$PZ#l<_IBM5v+_|Y{R#!(%MY}!<)w?EzaK1<7C`<2BKHoQ8 z_6}4Cy0uN8AoV7?h*2~*SrJdgw|4u;8q8A)^L>?r~ACTC`gSlxC(aLwxtZc;V~Ckh{aL2HQVKsR9Pi*oT3n$!Q@4 z;kP?6aa8w7k1o3B!OD^k(}nlYvPF%b>S@AE6%`rvYPZl%L4X4*VI}M^amO+?o)n}E z?+T>MOeu?u8^x+P>N6`AgVR3$=8W zX_~Olu9i0TQ&ALQ#vd!z@gY#%seO1DHycT7>D=YJ3J3TeeKIaPaNLV52!OdDYmk+3 z_AqvR>vPJVC~!|otv+w(<331OPssc#B!Rt#4_Kdc=m;O7!(IgkuFzfPI(&3qyK2Lw z&}*)sXO_QX`^Sel^&jHhj5?!Fr7v%O_^(SbST2~=BeZ{J`p6?n1+7Xp(|5q)1*w6| z27%UK-jvE}l^7+@^~px7%u8~)3TUe|)|NuQaeaCdxcSo57m@^eYV$vbMe)hD+}^XV ztd_CbOf8Dsi*BKSV zbPMru>8SgO#&NcsimtPfd{MvlIN0K@>m-1IBC|9FJJh05#+q#zJ#$KJFo8aZ_|N~iP0WITO-R)dbLAaI@TG80WakxA9n zmahN8z?7H(U_^aP7vnsH$JpL#tNlXxhV?*3q#J-aS*k^KqV&|6%r?IK_aU zr*l^|W#TkXvMZvRaI0%;@ozUZWDT$_z}e>)w-a2L#QSn3`#Et+u9mv_>rxj;*(7| z4GIe_nG}|5VV0yG;4Ax7YG8ptWhzV%q9CG+Q+2ZZI9834@I$+mfP10drIC9#}%g;B7o_1p7Er$AfVI=G``~rR1*?2tre@Otoh2lJnsHHlmYL zYT=1BC{tI5RnC-_RR}~gY;2aC%J48|n>e7@D-Y&URI!+DV;Yt~0z>jx!3shm_$wK^ z23#Go`#Wv68s6&x5K)G8q}7%)?^E_YY4?DMy?;Igu8Ytj3IS~WpigJg$=bALR7$Yi zRV`86?`hXk7;Gm-lOa;ghr$PztHO(VbldQ3Rd&XHkbi8+mJiAWK`x`=OytI3O61x^ z!{kun51RtD^wbb5coz}$>MO_@XWEE~^gNbvc0pWx=m>w+13FxMF+eSkcmV?t1qZ~a zGf}@@i98_vNxhEcE)FB$dgNf)Mdxa%FmNhQ8X&=v|CRUCw|j!Lmhb)7<@;QTz!^)6 z$Ro9A`c^NIPZO#8q^&9&7Wj3nRI`}iM(9EGy4M(?+obdzY%tAq_Aw1*y;rC3w=*cB z)QBY>TPJc3ohUPVkI|}#4O)f$!cv?tSmR9q)eY~yI$nULeZ=@70pMHkRdb-<7u1{d z*CQk3GDs^X@#pA#u?y{Ak?SY`A>L$ObyX$DNVn1}n_G+ma`(wY5!8n*=z0maBEI79 zi^d70E;_Cd671U+;8Qqw{P%*mNQD3KwbqlfVV>-67&EknXYH^`N*%Jo(p#FBo0h!nrI#HC9&U3*bg=mmZZdln#9S7*QzQ9O9@vewW6FM zS2zCx(`&WyQ%Lf!ySdyAFK7d=fL3Ww3Yx;tN%$Im)0|1uXuK)W9AgcY<=03-@7QjC zjtGp!u=JEFg1on~c~IZ}tMqsr-lb}hTG_-Delfo&hv7Ej{_f~q_oKKf66;FUgk=P8 z(nkym@!{w(jcfKFzazzZsm$kRO2y#)Sot98x5>L@K{lLel z2k78My%@&XO7@HE34`$9_L7~D{yB$SAASET>h`SQf2l+p{(LVb!Wz%_N9mvKEN=e% zymdj4p3u*Rj>cs;ox7c(PS3P~^$ZT0f$oAm{z5hNQ=~x-aiIn>!s%|7hF6CA8{Z;? zOKiKkipwa_ijP(NHfvbFZqdB^Q?iSR4(Y>l36x+>p^wQwLAWJbI#~#waP7N4nS=_0 z08L!6KLH)k#X1y^qv?*>7*a*i?r?&Ji!+YN*==`{8{Ny7z61i?98{qo2&1FR73ul^ z5X=q+Oa?PN4KUxns+hhoZo?|a1NatPWRIo4PUeU|!^dzf4Qg3fmj;`@PLdEDc<|I- zpAwV%JmMcEBS!~M{Amf4hVYWJy@#*mI5I*Nuk*Xe`(Xto+?hrF4l4!$(3$7Fp$mESMu@PPp0Zk59~2RM38~sX#Nv5v=FS$vYHl2+rFGqNX(; zecfeJ;kMX*M4S0~c$1?l*X^HKX^~q-e-{#N1=P6xV>R~TIff_EIOKmaL(oc8XAOFg zbFyUS5}2FDpwd}*s;2=;f@m|5ze@S}gaf3o+y$Tos)T>oFpLJlP`w=*vv$eh4~jkr z^pQdIL)`{|$(U36=)Tq3J{q`}vz7+v>5{33`amEix-_iGse zw#Vtu%yh?8V6ta|>u@7Qkkb(lQ8;Z?3R%J%Trkr_Ufg=xcxOKB8$S?(E$c+886uZ* zxzD{1scLr|YBxf*SSd1^w@ELD%3~0QRfxM_xU#Gl#$V6~eLL<=d}gr#h7wLAdg0m_AGTfXRnMecnnOq|5ydnb-W-3AYU-jRofi^Tf6?BnG6I7( z-Tt6t$LUzMM8ijf7w2IGF_gHuh3tx(2w+`9YEf_<=>6Xxc7({g}f_Gf$F@ z?MKP;=uQ+Hb5iIq*f5b7wm<$M$_R%qdzE(SIBbnn?^lS|2`tS_HZiV-1Q&SM3{d%o z1QgvMywj)7g~BvLL?#&Voyh38PFOhpX?Mm<-R>@u@#X~&Xgc?ZULGuSDTeXMhsb1Ux1JAp}3EjHgQ!pv~p6MkaCeqK`4Kxx|-1eh* zEAs>^D?*(RD(JCSwI|i7eHwh9HD$013E>fK2tnXwfrVk+Ua}b4P%egN{Xs<64Jo5v zv9-UxH?1U_X}m`%+QpLhKsuFP;Z(}&>d;~|7W3wTY-p1^5T^I8h`|q$jMainsFq)( zH!sx)W(bvp(K>=%9qC|$*Vqx+x$7#8(F~uA%c5U^t>5%O&q%i@C|03XJQ`IfFO$Vj z0c)&3js_Uor^nm6ENvoiD0pk^sRacKCBh@n6U^tt^zSU335P?Xo>-SfD)}J?Rh*oaF zI9Y^bH=xuznNzGQRZN^{k-!0hCj8H6Qwn9OPCb?xaIA*e!J7y_t4PMJHu&?^4REwLFtIYy%67LTu}2AGwlidr zk$_dxiAXIFH6aoY98|K;K!a1Xxz9MikfILtuzB{ zsBC>agV3G{qU2E!NmyH>p_Cpc=X$@V@vT}x{Q;>X?|4vHE44!nSO@cx~Up%SZ9u#fO& zC><}IO}9}MpZVdM%k4tzj}ilmJ;(WX6OuYjOGDo_O$Fg4Z)(YV8A0Pa(h{_W@sq^y z8=XAsNE|lyC8>vfY-VM@T1e?#vG@-oB@Qt4Qz8ML#7WWXSC5Ihwh_U~=T#(f6e}k< zxm^nQiV(VuOc{(=aq+`l|LrMK~mvDx#E>uuZ=E>u>{M4gG24X@uDSeWcqlqQ(s<${Xq-oXe$Hlb(%m~WF+UG);Y6`<>fSz zj>vC}&3`$@o;ywm-p$1)phYHpw0FvMr0=)2D~uqy>*}}KGm9`n+=l~PZu!F%`XCzc zl9=C#6Yc!m$KF-I2Y{$(WMxKw@2AHr#{%H6JS1%+%N_k9$8cXV9c&Ah>B!vzrA2R9 z;gcjioii~d67r!Z0vGrxn&9sF++#MTPTsGm-71r8JN3PBl^@VCxF4fG=M@SFeaLL6 za%z8?H;&_HqMytc3+9{#8H^+NE*4kdWa@8d#abK{Wwzmz#p7o{2M2YT;NtLXxW3Rd zD3k2+iJwFNMxPjYVD*(@BkTAAQ+gX7G9{9*hNCB#&hB*;|0T^NQOY34E;P3=M_#heQ)d{S&O^aG zpgh7K`B?jqUx_WmoiJ}um^djx0yNN5#{{0{#e=n<`@~9no};0GzOeKUMzqOzL}~zW zek^%AqQyrzNu@0RiLvCdz&UK&9x#M^@$nRnuY8VyYC78-wh>OHlTJYT9k3VpKuR znRtW$GE2K!DiC)^+BZ;)aa1++lMmH4qk01S*$S#=LkK9{E(+WQYI!(RQfUXISFAr< zFdf{`An6m6XLn{=!!pMCYsqkzX257z7oG6u4hGZdAeM*~za%KKZP6Icsh&IHkRJiH zem-Te6#ATVPDJ@soHFR18az=h+A}Ql>!Bydo;zz`{F%X~;OA3jg=3!~HnRg0S`WG= zB_hjSTa7>sPe-;oono8U68~FQnjx@)UtG;P^mtY@H!h*ucLmrHg^O)Xf^5O}v*oI9 z@QK2oM218d2wYD)>0EJ3nS(qVLFc+?I%M2zit#`VcemXz381MJROlZ#pSLgqVjc8A?Cx<`f zPgeOa@9JUhC||+w8aDB|?Le0Sw$-4G)K5ALpf9ls-q1fUleuN`7IXtr1yk1 zS24es0W$EXv?%fj8m|yN$iGwAy~jHHW)JA~+KRS-GxO~p(h6a*O;Mrw8EAP!mTi+p zd--`N7v9sc{^@&(0$kg3`^Jqo@ZXE#q1_C+o|CLqy;z83gCz_mS4dIp5Znro?Dff& z<69hBydXay2=6^1`k_OUM1Lmhv#1Pn%9My8UOU8-YDGr?4eTx!xF|2QF`pS>gK+J3Kg)g!b-D-jj%sC(6z1gw`jjl+ufb4w3NyAzb$WqY|KZu%xR*7HHK`b9P`K3v807^L$CX;`g z`h%aBoVsIvOvfmyBOx^$P|-WxB)VQ808ed#uq$CuUKakqG7A0t!ko0OnGzoxR-{m_ zhcJ363c!D}PAEwEVS9CTNscyCJU^sp)XUz+);GIjgL)iOaom_Ho@8WKf_^ft-!vYK z@=<(-Dwi4{72Mw}U(;y;P~3ffP+U9|7(ZSS?EN|&P%38lHz|yDd|N5d<8+bN?Ls#< zHy=^vH17p>?hpAj!QmA5PjxHZ*{HAemaI3u{Dt>~Wp`vqawSVb;8tku;Tz z$irtjjBBxT;4A2#pX{9vt}+s#{ataW^IL?cG4R$fsRXej9X`TVH9a)cj9Wq_>xEei z?<<@Gd%1Qic*eeGMaAkJ!v2)z_?lNO0aQe97>bJJWpIhdo>O`B0a=6grY#Tc&2JG0 zL0_*CH=^}XVf>(s`GeFjGZQA$*uIa;sL#`gM2m^Z-xvp4z~TVAI|k{klTH?w?fg^ zjuK@X6(+W)7{1ja5UKpS<7bzV(g)ygIQTf>3(sYX3}pVo-)w58MN32RLEh0BRFox= zXflAF@X6;{WGlcy6(9Qq?3^L$at)%~WB9e<_E>Bh%Fm@&hYXwQB^*t?WJ4?URWj6c zt*G;2t~G>$=gY)3Bbxb482vdjW{2WGq#P9{iqW@@8X7sl+!fuybLHPFW{pk8Qb>V7 zGdafESq-0@N6;llPRD)av#Q{I7#~I`$<6fl54K1W@TdaQ$GL(?*2Zx*hqE8N%cwJ3WLS)g)ejg2fIWa%}*T>F%sFetLYf>(XK0Y z2ZxD6+T~HFZ_`!8xn_|Pld8Zhh3Vt|X&r#LVnrkQ!31B|@vRW|sKrWqVI5FV^u~!A z7TmxxL(F9oeVn49fmL)1*FgptOvrKziK2H>D_8U>*EBy!7;7+?=-f;K)R7o|yMTq> z{9chlLE*e=zztw54NB;iwL2%GtAmxW_|5A3z4)-(mU=B=phClA2h3GCehbZzq)a%pyWaoxp@FLX!bly4cHQ zk}T4UPShzVkfSPu;bi1dlD2(SYv5qWV6;#^LfOmVWFnJ5z(O$4A;{MLy;?j?PhV|5 zcXoL{FF6Jd^nLdz&vu;QajjtVU^IEqee+4K`N&P$lXMuUe>68WZV`o?ssM^SSjgWn zGCwtv2CkX~EiEyfIlWoH#m;N7Ql2%0f?hub5v!iz91=7lfMhv$>irOVPQ4`a_V#G= zLo`7Iz-c`OAC_sIS)NZZ)?^TwRW*vljL!%^@h9W+WrP$T^Q9rkP9rOWJv29VS~xvA z0k(beVFX7PXzB`X%?J`;mWd5aIfaU8Tgn?$0xs%N)RA`R{(i4b5&vR-z*dZ@@+SNT&4OcxP|ZsY7Zx=?Y+|64X9U;e4n?8wvA z(bzSk5WI-85Gwn`Ww`1k0jylaOfoWShdTvogTLP8g*WCy`!C18wVe(+3QC|V5?7SW zqy&iRXc4_T*v<48>&lX}_fc9?o*BBS?WEtT!oEhNsbORsCTk z2~G1eXB=@sCB08tBgW-0f7vMUIUS@vjy47!C$&Ee!V17Zx3Hz~vTE`q*p?70#f~+* zlyloHtxiw33yw_qfwiu35QU&!O1BzAb_NF_>*f4hv}MVTwT~3O_wZ+}%(T~<3j=~j zb4HiOAqvAvAIO69%LVRv1o8 zB1Ot+P0ax8cV{F42%iJgL7~f-y``;42hGpA@8mWqdLo`wlrLVQ#~c}RA?i86=tcob z$g&^ln3Ltu#OeXAQ}{6F6towGKEg>IC)NM(P5oik)GUX+POsMnEK&MCtCMI&5T z_sX9iIEU+MQFo7&PsKe*l6Aht^KHmIc}I_)(Mrh}a3Y}K1B4KatztR{g{z`8qZZn`~KNA=Ds!`P1NrLf2t=f&_g)>-<=t7V!Wr}dJ zH5AE8VBD*vPtR|GF5c0{igH6c`_9&xAz{F2f4OZ?=N&J>X*hyB?%M?Z00r2_6KhuZ zjdLPK?K*oeBo=ZU>O}wnQ6OaKy=`F{sFv|8qf2%|ojr*E0ZB zPP4wC-T+!801Naki)I`ovyz#jmg>jWDrfjV6+%oCYk!6kZ%8I9d;-`r7C(^DXes$N zRVnVE)zl``C_eN@_um~~=CivghZ9Iuuu(S*7z*c8#37%S#_f~N6SzO~OsbbvWX4aE z>QfKf`QYYvQg59+e`$lWK1cTgyCF7S1#pACl0e(L^nR@pn@tqQ8AI(M08F8pUxk=^ zuedsLvy)esCmxtu!sXOpD%dhL;haIjx(E@WdztZ0g1a$Bf9>_K&io(<$F0D_I77|n zq6`0b>sLiUnOn2jR#kTRSC;0%71SH%HT1ipq(ed6Za8N-Vo_QXGCC0*OZyWFB+&{< z1Vj@(YD$T_2@-Vg@RZ9m(5rYaNz0+D2r-l^^l{#UxD#UykJ*xJ?DuZDH>@Ogi{%e` z=!^JTqdnq>2$<^FN5d?MkE_;e}mjmhz&KsiK2Dv(k0(Bd?h7E>5=IG(UG90m)r5i%O5DP`-_H~ zlN?7s%ACnm#&Y27eu+$G#F-~+6aZdYaETLJzmN|#khSlxC%D0^?LpCHT6!`YbLXL+MS=o=j>TB@fsV8=jn}v zS^jp!4t6u;ez*M*JB+8ojMoj`0}&6`88_R5)S+`3@63yZgbGF(VwJ=mUoU9{E$tYk z8$sRb6r$sq;)OEJfR@;iSbS*?lg_lERe*(m40p~g1Q|h3`aJ+)ILo+REPp)Qqa77Lo z!~-3oc&?gL8?oH#9w4{E`*he3$j7ZrtOG~Ee-xe7Q16;V!$#nn4at^Xn$7G$SUrXm z-P*#T%*fp&>>%VcOgA{sW)#e(?}Sgqp>QS@%!;h1%#w%I3ezb;9h-?gVzgCW7JW(U z{JZenVgUk6zR`*I&GY7qJL>cwEXIzObWai?BY%I|1|?6f3K9y1|IJK6f;eF!Z{%~t zH%dfFn`!A06xOK?>RIXc{G>hFV6GvCcoIv)C$1a}2A7pZ)5xC6$*VpBO9MO>mmSr( zbSfG0K$x9%ku_5f!`9U|wxFm(J~`3?pGM0xlFBqD!)1!;aWc}c2BG@IZho?f7BBTJ z5ND`YOu*9#0#iUXCKw#^qU{>S6#cZ#kR`&lZcA4N)Qpx$oIvyy27u0kdBT%wYBl+&f5>g+|+(8Zr|~GjBku!im4-_{^{dEE{PNf10Lo z@QMJUvo78f=o%~=>)+=Mku32yAA<@LCSAc*I6+__kQp18f!32}#+Jsy8i&j4#_Dn@ ze(hOR1Nx%uMNC(AOS`+I^Yj~Wfi2_&!`G`c@3)G7DTyI*sh-wjw7Lju8jAWMSk)v% zRiyG?=v(mD;b2GVO!cYrP{>QCu-_d2ZpSzc!En#QA6MIxRU!xP$9`nCp^5`n^z72uG*?i3J_%jnV6zN zABS;8R#DpIyRT%?>zY6?-;Zz9VYJuL5uG<6+^b}?MzW!2MZkMO{<7f3L){{)pw)t2 zus>%M@7@VO&_rWutyOc3$w6;W<1HjdG3&u06m69wVj$)&4h(^;_vu%V0GEmTIODdA zV8yFHhb4&e%qaE$J!n<#+K$I zctbK$uI2g>axC<{Bl?02wQdd|uaT*9dPdug?ih_S=A;~n#B_4hCE0$XyY0cCH8-UO z)MIFWok31!y%%FBem?SrI-B{$JA>HigF^qSkx)12@WzytW`;+vL4!}A%@Wc2n*CX< zyGLsdR8v1xSd5{CRyIV6|4F(R3Ie-KystD_DBD#hkhm6jkH6Sl!-U)5yh0x2=sUU) zPii>j?*#Ux)`A!SoW8ew702xClL~`Y3COD`P$%9Y0})=^u=y( z2A~9XiGEposAEXf9IkBWV{|HU=AK<n{L=@a;pt1As4zHbd@DLK2XTpk7U9;OJC*R^{{{a0TJqRVcre5P`HN z<}dRiUN;exUzDRYyOPKcol?Ruw2sS;ARIVsl5;WYrYbbjZxHh`!z9KZ3j|mbEuw@li z{p_%rX9{Alqt+6`N$;1$6*iqJo@$oF1c$GbB?S-HEXptrAa88r7%FyZJ2wI_XkHtd zU7pp{a5?!YStMqJcn75_Iu(ScPnwdtJBGlg0W!y}LC4^GgYO^ATFQIcAtdENLW`hp zY76_gy(IM$dIT5$C<46I9hlDzImHXHQS|En&!ZaW61_u&hn%O2+M+}_M z7Tu`#m&P6`QG^WTKEPt2$hu^qbsCkf)RCJiGz}VKw)E&~+t(ltb+FZ=mvn`Iw5Q?>b@J-C@6P(g<&n#siGTA-iiWfn+1F$VcSi2hh#M{;(o0AxV=dM7uT_)QKlHB0o2?LsOR z`$)T|YsS&_s+dSd#M=ZKMGjviQ~Djs%nJtuXcLC~_6U{J6zRv1fdvitSs#2HCy^Xk zI^pxEiRc6xrDeBQ|9}*_)tQl?*{bWY!>(i{OQBu!YnH639wCWPm;h=jPc`OmTixI1u2NRm(PySx z6-@=04YhU1Xza`Tc;}c=+Z93LOxhyr%ZKi1h|JS$QN3>}y|jp8hZwru{MqgRo+6dE z`XI9U@LsgnbtD|dXyU#fveK9Lh+f|@a@hd^LwtJKHYoiHQHndtwz#@kf<3Gsgk=1V z_80y`a*AY3Jp^0^S#B-Ns^-cQtP;~eX4rePP{kxkuVf5jBLVs?^{)pu`Lfpl)Ddi+kwZWbUp|>CrI|Y-vc0fVU3Jn z%nxVI3&zYu5+3W9KJ}gqR3$--dhL7*#r-RAwfcLcXwxz z;1Jw`y9al-;3T*#Zo!?Pi@UqKZ-OtjxI4%DzTbEC`|GZ*?!T+6si~cLw!5c$9_gN* zo*77gs<^q;WIO=d`tPSUd?;fLEs@{>WJM){C5X3g$DF5!V+AM?t@u7Ira z8Yi-1Yh#HS4rF^usyh&$%bT*exa2_YuZ134r+O4$w&9`=9yVwp?cYwzUucXfGU=PlHUQI~y-s1UKgzWC|5CE z`JI%eyVspQTt{{18)-$13!S7ePLU8B>jjw^O3s7@=KhPYKWXc8Q?2xMmFRX-!JAR& z8>y6ioOg}W?&M7@b^Sv>qF^JWU||m}@A_>g*q{|!-0-GO#B=#Cs{Rp_wnsl0lQU$B zrM{LhKhCVht~kbwG3t392X<-R8QqU_J(iYsG%yy$Cn$(+&=a@B0@q|#6e(Jo@3Mqm zejmzH1$=9p?O6~u4&vaC3qv}O8~weEc-{rGuQf0nKv|ijAhkGWhSO$kb=H73tn)_+ zxm7m4ODkdg2mpuwWpFyosPvIE{1yEWDd(WOXP(=PV4YzwHHm7p9+|hBAV)ss!P871 zmgQc^x)vn{)a}=zIr?LG*Y`>)(Io0&Y=X>O+Q>%wJ0{OkVvY~oQ9>iTL z7pKk8!)Vq9mfIlz>P_nVvUytHndG+8QhizKk%_{coe0XN$3orzp;#Tw@eY!}=dQE# zG|1plyo`6>MJ~QOZ>}(BsZ=U_b5^rpTR7YpKf7bIyd3bw8Wa=tBvLtQuUzPNR-mCH zxG+)dJ-HwyT*YO3CRPS>lUUE#mwPqM|IL=usTSFnFFY}5(|j*0vp)3EzcR^#{s}(( z(eLY~uP#FuoHKZ9ZytOS4-yyV+P@vO``knH4!c!;zeHaL{W5E&9;MSeA&V~ENX*uL zH)&(T*D4UtT|MAE{dXAEC`4OqpA>4Vg{6bsjZ3Tb>54qHFj#rK&xQr|Ycy=N@idES zOaZJ(@&&$#{q~{iB~>>p0^fd)B+nLULKbZ_Ql20sGl33mhR4*}1^R?zd)FV#>Qs@Fnrf89K6AcH%C8XvTisDMk@tw3YzZmP z#cdD(&hz**d!OF4kLNjB$V;?m16p=;KIfW*XQPHub}zX5XNh>{)$Cx8FBUUB*)-yC z*Kfp86X#!uF;Rj>YohF@RJ~)W(w&~1oq?N;-=rqS=zgPac4fIV1Z~KWVMRJxBm-DcJJms0aE2V>$wE(=k<|Oh6momed}5ET^yE&iDD|miS3+X=6n+a= z9H~4NWbXd)Rk&xPP>JN^jhr@n<$cNvxYXRpsEGdJ5DY;JoGAd9#%k>>{W2;<&7cx8 z70Dkaqmni2Gb!3busl<4f#b+aMX*s27!WK;>GS+H%F4z8VF~-&fU^8iQuu>}F_RILM+85! z^sm7C{`GfjrHW*le%sj~jVUD`;xvQa!6g`uXN(8bl;HTGua)@)+}d1v`vTga;Jcu} z!N9aT`T5_?qyXH(SY7XD?7k+Le!aUNMPXCTcP&K0o=b%tnhkAMWQ&yLVHL#*Y_G#x ztA}{O-@&hha2zoq4xtP`IiJE1&lfG)uyL4;LVg<;Pa?FkiK};HYwn1^I8$M`Z{MHB z6?C7Fn0Y>1@!q0+fX^6xj)j8YDPTc;^DS@Q5*e-ZxmrG zh&3_sJyWq^_3Dr1OSBitEf_UU7KFIx56&uaaYB*WBhC9BxjwnDXO(NujNU0J+ym=T zK|GQbTAOs0W~Y^~=^cWEhfP0h0(0)|)Nfx3zl2IvzoM#mB|$ROCN$|&4GpFJU|880 z^1q*(A%A%S^~3t0oFQ*K!jaF|q7^BV^s?{HH!@&=zZt>mqS#L{bt`#zw36w?_>c9UE5O{HqZ08WcP>dCoN)G=(%IpWZbn zdA{3I=`@gyNu~lf@Pz0lf{Vqr_qDZ)8!3+`CD5w>cNxB>_lkb%2@+?rl42cO<@J&GQqtej}JoIoms*lA$M2v=NUT@+so%eOGl|xdBo}3#Mr4JD2C+=Q!R)ZV5i#Y)lk=K zILZCx2Qs-O{E*-up3QMaE-d1S_}mSE;3imu2raywRWd#X_Sf>ZfL}&k8JoPu>h3Q6 z{1RmX{AUObcogHex$d((ldPY*gDSaLDOr406qQL$#wH3dj>H_>(1kiw z?K*y$QS+g1MIXK~4w2*DK)2iUePnnA(!JZM_Y($5VSV4b$b;V7#oYCHV2=N|7w>3~ z?TH}aO-63ePp|5wOK>uShd*H^5VxPB5xLP8iIyvnb_|R4XT;Yq6N>Q_ZI2#w$vtDE zZ!)Y^U5RgJ3VeX~uYT9yM80qxex~>I&8VAc45jKJR^5ifWMKF!pmWp}RP_VVFnxpK z_n)m0iaqnNN)>|%q`KQ7Mr7vrYcQ}QHfy;1{AFqQ{&SJD9dVe`!#Ga)5ENZBJY+$d zciVy@Z@RY)liin|wKj8LqiXZ6jX*z$O(nlWP&2M6adp5-~ayQ)X zWeFbXWX`Cm_vvweX_C0(&pt5vt4psKXn*v4Zz2>KW5XyMR0$1=RTwfGCaRJ zgc0+#=8hVC$isa5z~8q%Eu$3~58UC5c4$#%2#9W;@~m`328HR;Xv)?dpEvrln6=dP zHSZtDjLrTOuSPRC&CKPYC1-&)T$|Hr1_S`38esKL;0XeH(J+0@7IhYeV8zkbPx-zz zQ@^L#V#mZDogq`(umzRlh`YzZURd+$EF9!b`aw+77H$m%sJ3N>QD^3Iy@2b_! zm>ar3{`~?o%6J)dYYs}6L59TYL!Ietz(Xsge0J= zNa(G5Eh*YZ*wo3EnD3k)riIu_D=Dr~v`3G^l#`s;u{-UcZLF&6@Z-lh4=FF$;k-Hl z)5N&_Dd=(%IZ6pPWcB%ze{~>&Z@8nZHbp`#9f2=5^NxG-j=808XY8zDG+Aa-G#jcR zYfXi$FE=};0<#f&;?%$fcy@hG8=n>QWta0S{N`myCym&gg`GTHGO}SN3#+DxFCNBC z9GMQhmjq7Guu@sKuAgIAE~W(-x!(^?#5>}nKny<&%Ki7aQmhac{QNdl@ire^lM%(A zWp+1JRTTZH4@T1=`vDUPh?3 zBK~sOYpDpPH+`JAV3T3S`&h|Wj2A!-DeGCTw%<)ns@%6m9o$G1@CmrJ6G$|7pXbhf zRDbG*?vQ2K|C$(FCF)n`MO(#M{>+AGOasX^^HoH+Mitfd*+O8->RuiyYvlW2{Y)$} z{dr>}z6{3y=f~}{#CHuMl$k)Xjz-noioOiY^8ANsa7SAKK{DSab6Y@tgI^Tw?%ac?|yHq4kGB3ybiA)85G^Z3M0eq1bK4ozp(nV8v`%h zq-=|bKeg6i$XMJc)vm(#64p;FZsq(~p$%|LU!X`ScnPmx973}*oKH>St*a?K5)<_A z?ywYg^S;LFnNHXKac4$bPBz<$E8;zZov~fOCu8gRRPX=D<`FvSU#;BN@;ZU~w=ojy zeo00W4otCr4@qBeh5bD{%V0;>Q{oS3HAyy;s#)n8;{ZYHsCp)_m@F2ixw#JWPH_`ZaHf3t$UV|A|uZ zlRgOB_!;a|^250YR`?mO-=tcnJ`e_eLz?@Yx97m0i2_?kbFI2)<(N+|cRw*xY;%eY z>*AIg@eRcw+scexvHr$pRO9%l#CNsmu_#B+gOrO-Pt^T6LE1c<(xCN^syANLlz)QB zXbfqqGgA#ZZG1Xi7)y`;+Eq^HMAW}TIIH-gZ?H*&j7YX%>6DB-Vw5VGo0msDOgrh; zyiJ%Yg{+`=VL2rFDqH54+v-$ICNd?6fbRX$szTd8*>Znd0kPWd3*r;B$; zd1Dl8_#G|zX8|62^u0!MhXKYW*)WncWiHb`6U1BMj`Cub3L|A&&WiwJEjdS>SW`5Z zW@iY48In^4dGCEPD;E!%ZT?0^#RBz&5`b4gM31f!cxTo>3cj&CZdbepKVZ%|mkF7D zXkClNNBtq3)F+OtI9L~UdFL`Rq)@zCHts|VKRA&(=d#jpEU;7oGFM?Gm`l$$XXjYj zA4?M`D;(|-h+q1`tm||4ccT0bw-+n29e4pF%n)=*JYA5=Fm(~)2gAGC^!j8@)&?Mp z`tH{v_HlkmnDdo_%zN}+d9r9^Q{m3Z84WauS58j3)@svIC9{Bc(K|xI%QbBX&J{jE z7<^kNk00lnEcyEGx*ovZAJ4+uHiZ>yYBnAeUNjU~QQ1Ex_g$@}z@o?wbt^WU2_k9U z=o7!__C#Md!UwL>&!Frbz57)Ut!C7`f7N6dA0rR2LZ^_BFEoq-osWLY)TqhB))ym!D0UL_ca~ z!d@~I2=0G=XMMjE+hfPu04)!A{?uxr#;T{#Zqd6isKxQ}8`6rCOli3jen77$SPea( zpMdK99yJflt1u&oMq_@~xF8H^-xW6mixP>Zn1k5r_vhu~@m5dlu*{0RfV1t4GPa+v zlXtIw)ekSH#XfsyBU3|InO%O6|79~fLgVNSp)5!YG4+|s!TJL^XfTQ$cETh6i_~GF z91cH|Tlk$cm-jpU&JQbe6h?%p57-E3aLMAxa5LnD>ZkxTbd-G2v7XC!13lstXc9qI z%6$L9|0Xt}{|DVA+$-=6Qx`QGfgY9glNULmM9}De@S+fOaZ#>t_+;_%4{w;$E@y&Y zoX?bpKm1GjKX}G4{I^X|xZbFd{)3qkvHpw=j#7mF{D=RrG=zUJAsb$STN`+7)5B^OU?0@<17yJj4!eS@{cIAMPBmcX`NUS*(?C z{`IXodu@D0fg&K%j;rx{CxT)-W)ZOE^u3CXnZ8d089JsZ-AdT_vsI?bvT!Xs!q(-7 zswSps=lnrIg-l#<8OC`c*Ziw$3E{|+6Qgv}(;nLljbie=wIYKwVsdh3@WV=kT9FY0 zi>zPIJVRc&D%HJQx@pnZGHN5%INsz^ypmWo)iF0&0<6|(h7t`TkV_4X`m1Wqz(LoF z+h*oLwks|!@?N-N_^Hl?u-eJ%@U#DjqXD830fk5#@%*Yw_Z`4=@b%kO^9MaCB|Cx{ zj)UqT=~!YwvAH@l4I?aKZ^yYncQDrW7c~WqHZ(XTNcwBqJjcsBD2L1VUHrP^FRr`> z_qi13%E|&=Y1wGRMQGqmB5i|WoZu6jv)9{>Q3m%2A*Va1i33WXG~3Z(B*nf-L{p8^ z`A5HN569G@8ly*xgVRq6JE1^pAS`PB(aSk6QqN!iD5mNeA!SL~UpRNSqsBc{+nWz| zoU1)f0XvGgg&phC0!fkTtdG9eB+FfcOHf=1^mBBk?M~@s<@}MRUFMdV1#jY9Ztj+E}qxYf%2Sl?;hGN&QJ~SwDk* z#;_hl{({r)sz1KcbTAtKZQkNSf+c_1muj#vQix|})wAuW9g3=lN)!qE!lJ%Gwp8q* z3I6=Qu|wpaTkij9E09=ZMCvm2O0}S!ro# zFdrH*v6pMl8lbngC*bzq*{P}ArUj-2U-Zlp3;6%!ZBirzc#rps=58K`XR~}kBX%AL zc3?hT6F1F$3rBCTB>s|p9D?sCdyz5L6?@#C`9YAU91`&bx^Quq+W%ecglEU!z`@PF zhp)y8JlCWU7qe0YB`WJHYJ53hv{nwkZru=^N_>5`qjs1vTeil>FtJDvk5pO5c zxc5ZM;6{-^L{-U{1V!i}*P<-qLqK9qFLh{tN=@R>S3@m zsnS^R3<4Q*cQi4;xYxM%IuW(j4!^*`Swcuwu}X`3#6+hJy@8xErtde9Ze+6kl*G4!N)49qS6r?l<4N&(6nYrWmUR3E}m1SjKw;iVn2Xq7LN}P9(h;Ash8Rb`_KWrLF65`Z~mSy>_z%1+dosdQMiXd?= z0lI2A>`GvW_eUbVR(sa>5??w35uq|O^ouhNt~%1`pP2G)Tr@vL{>@l^Od^1jloWAT zSkA9s->O}31bz+=4B83}s_b+S&m&fek>EZ00$XWmZ+#T-=Z{+xsiGs-Csf>d@Uf%` zg;yI)HGmya`E#@_>o6NaS$W2ab+WQ8dV>X^yGieTFb>4B>b73=g=V&A+Z+j!N;iVb zD;O+f`*vrT?rGvWydjYoN#h>JS_udQvh>EAH;cwdhA^L^qz{JD@tK;{SMk-V!FODQ zceszZwu?}ct%y9#`c-`8>BY8=0BZQx9?YiN`X?D@=Ap)hlJABbqAFZi0uQsAw7TP; z;l}gPRF6{THlZkvD}+P+;Xz%anF#0a_&eO?nLatJ$YLm~Y4%i%a2~GE&Wcm>!PchI zMx;`>EL|<cO3;*nTyKn<;NZwyD(07IThQ%m9P4>I}CBP1osTXOGnf?8aa6+?iUOl-$Kk}0%}rt?qW>m}kMl?JZ?QEW|4recZW0;W zR(f(S_$@VBb_w%BHnUZ|vq?y%b=pWrAYP@T${VetA1%@W1EdBjRi7{G<0@(04qITa zvl;>?UOSyGl!aRgcDvarwRbM591aCrs2V#(uF=PpggSSWDSA24=zDQ2RW9!mc)MXE zrX4=@sPTn zluATT{?3^kHa$5k0aWa=#tz!no~Hqm%Q+8A==Lypm?jvd`OxMIJ4|9*Q@xs6VB2Vs zcqSc%m@L})3M9MXu0xnmtIO`{iE<%x@8`e5$a>sFXeO9*PKB0@s10M)Zn0g|g!Kw> zO(Fs^8f8q5YpoSnGjFj8OFGZfx+`TF(Pf*)hDf*5VttnN4Km;g5e~bmGg9KvF}rw* z!vNxScIsmo_ey9%g|sceCJ7-X+Oi7TcO*wM1iniIUT*N36O#p&&Q{y=9_&a>IxIT^ zWGzxBdu%d|s+wS5HIN_~K<1%db8u(&V#eUjkEH+0#FdyzY zYR?7Ywp0j$Rnhe|{~jj(9LuyT{;2p>bij8TOS);WEKOu@p_nxqhf0boJT%c-PHr4g zqM#}NhXuU3_@g>Ne$-KamTx7v9c7OFdHg^YX;#Hp7zW_-Hjb0uKlsg_+BeL+(~y%{ zW610y9ddu}GT_mYbALeuX+N}X1Fd$Z#l#uSDOSTJlFeJEC=jXEV=-Dt^ZjCCEd_v5 zMjghDs&p&RrDBx8P$3c6tqOt(X=u5LuzrVXxcRuQEuQ=;?u4ZGIDuq3G=iJ%@o;fK z`*}R0$i;kR>TSbbJG#Dg=M$Y9DF^O6->O28JahY|OM;6mVjpu`L4W!4*Ixy-N1du^ z%s!1~b@3Kq9L}_kUl=vEqVtgX6%On9ui?G=oZo|!v{{!uhOFXvOt^-L0E9b0e3T2R zXm~Fwsi1a@0@1bb8FI(||M@p?avK&(Q12c@n#1q9Gzvoyz_ z0RZ%P%-{N-CInbI^{v44&V*BGyf!ZFx2>)-}`Fh`V(M;kNUb*(i`{FPoH zXL_genX1RB3AS%7eJS=V3#a8Lv*Ts!9M>TST_3VKV0@F~JGQ(-il6lW<&yb|k|9&* z!HX-_;5U6PR_g)ZhI;to7rqJnoXC|9u5C5IlHm&0?l3VaKhfPDiwMKG83Cundu;iK zrv(zVs*o6+`ncL*?+>owIyVb=dr9A~Bh5mq(x-58>l-ZhqpevE_L%ipm#7KL7n%Da zioAJt_hk7C>6SnDBm4;-JEyoGYB>KAbb({5dzgcda%fq?t?rnlm4;5XwY_*?PH>E} zN@S;GJtY9#Kl<8;SCcjJ@@+cKjxEw-cdAX}wZr6u9%wmQb}*Z6W~zyy!j|&BmaJ`j zL{r8@fh~DH4J^zUbHIm!S)vB;1ZStq|2@FP8-4B_kkut-3J$dGsA05#23w>E$*@9& zAT`TH+u!|-eu;MDG<(hu#f)vP;!Zhsyz|}G_6+ysYicxc7s<9<*(N*^xPH;^y?lLA zKjGeu3*MW$o7esuyQg!Lw$~QWv0mR^pmkjb7~1pZX%^(Gv;%)z<#b?U+-+mxJ+63b zaWPtHZh7!YaaYPYL>s(-Ve4eQ`@8)3jO+yzu0-FIQeNXuK4_<}dmX=mLkqZ=7?XDq zDHoW~njERh*31NP?c(vB(oYUv$=kg|$fglQAk9VCn{Hth#9vG)j^Q@s0C;GLxxah` zYbydV1~i>Y(>f#_W%^|vHx)pujm|00Uyp)KMy(yMQf4Rjb#nQ02b}ajcnjHfMzM-Pw+Pwtr@$%_L zba5~(zafod98ac2!CE-qk5-=Cp3gK6#z2aY%G2nQfVIrXjo~>;8lw)X&4NyuN zejG&MhUzr&iv1`tDA*W>^noR!Rtwp5z^^_#QmSTwC?y)53v}vKg8XiJH=B(ljcw}7 z3r{q?ai=}wy~i6cPE`MGTQd~bZUd;>;jdc_5U&3Q77xN@X3?J1a={U`^CReU#}l=@ ziAiSE@yO*0c4i5KsICU^6t~l|SD*){xKWK9F!meN@J|QfEI}4hZTHPL0>PAAY=?+kyQPLE|0WlE=krGafn;4rMAzGyvY~VeV$g@;A|J zcX-mVLez|+Td01q#RWe@27xt%qHKFE4jc%6vZY5C&&R4ObNf#b3Xl_)M|D!+QF*Z52mx1aUN~Nf?;BjwU}kBUE|z21QVn7h9=y>$`rw(O zD0zFdfvPxiZw^z~k|2}|DkAy?#7O0^3zaMaHYE2@wrACaT@_b0hB}&SLFi&`rs-^l%?qKrhGD*!i%VIL+RlDi0J@Yx z*65asdR4_q0h|~5#e<<KAbj_uqEw1iGw^<5xNX}euMLD6+`$Fe-)*qPtVdm4aLJdE= z1KUo)`Pu=~>BFQ|!{F<0+w46?eY`H)?1S}3$|;F7i^!Y$qp?}~^B6jzj!}mYxz6=9 zV}W#6zRTaQ$*UJa4h&5vm117;r1yd09Z9>9I?`*~?5?#UsTSwJdoPBs1HOj4N6sN` zH*CQ7!+2V*$QY9|%sJ*asjl}~0ZZ&k)HT|X&636jyeSmYDy;N)aqn$(?Iki5bM=+q zYV`#_v8RiH+|=MGd=%4VLs(g3BhWC>QO#g`&rDDt{Lq)kgx>hf?_ALZHUL6mBDdPD zhm!j7Alze{G2b9Fni?DKr?!Vf2Ud}+70#jiZ5?>inB`91({EodEu_{IETm?!{@^BS zlz6vzcRf9DOdiI*b`uS_aC;7xq~Yz;x4Kb>4kUFs)g|gS=O5wJnOb)kq&ypq6q#r; zR`QP2S_x>!7>c;v*X0Z1$)Bgr8mgJ5B-W$TB-zH#KBXq{ z{F>;HF~L#mBgcw!Sz=aYUp+SZ z!avzgp2yy>1{xoWv5MJEezR=nPhfDy{JjtX7g88x7gAQ|KD3U4`U(1`DI?dha-IXq zj=VHGS7_H#(@#4nLj*S5|7g&9p5@|x)h~Kn%QAy;Z}rI-6SB`KNH@l&L;?_$LzF|H z*9_5K%FT+!iYJ~^xQ)mXevID$Vd-hKUp$Y=-!Wmk3hNP~9h9Y$!b^r7VCM)?y`(A1 z$T@J?hVo5Q?-u3swlsFG6gxe`UrXt|J&K=08m-pniLTG!!Ppm}b1eE`EMAJSW?J@> zmcnhKd7>YB&4$?8`}&AWeteVrKG04p$9~oB8c;KwFSx`;low6$ zi_27Z^>#rWCreDU=}g3fvCcbs9%qwpu_WkxPr7H0+!+%Z{$?xNEny%EZ7ENix?rk{ zP0=6q-TX9&QsN&UGob$*mG&gz0peOS*_9Na?Rh};x%cT$l1^R^*qOWr<@ZE@*d>jpL;1q$eBz6 zd7mAOpObB2jrpv0F3olAe|^dGkAaqZ?(a?RSeL=#KKSp~{ku}vwl^J!t5S(q~tFO3ce}5Ba z7?X`cs7RM>K3scCy|O%zwukBcqN;msGj2X&H((qwR#{X_$P0vKJYX0U-rGl{zkX+wq|h{39A! ze(;o$B3%~Qk>2I0E(tzWf=~=u3KaWAa>r-u9+A$j1ftIH+cO6==xS<3(h%!@YBtnk zJE90KNo>W>IJ>+}T)o%h5c4+`M*Er2tlFMa=;~*U_7gBgd%%-fUOie}0M7+@h!OZ2bSgXa0(+gdC z9phR*6H8X6&t@}K8ClD}OcRo{h(5Y^xsxZc4Ryu%)KrzyDAw7GM#3#DHHYq-E5u=OxiN~d#MDq5F!)H3%NssG2Naos9# zfUOMiVwz*SZ*yUd!pL<{!wui|i9wfv(*(*>Mht!PC}J45)yFEUb!GVJ4D+QC!l&ke zD1H<#e62O!@jor(^VYfbk^iEF8*j2BYQDh8bKHt?Cwky7;IJ1-N-b@a$t>#(;EM= zrA3VP+8G>Wz-XZ<{D7jRWmFqjicU*j=0$K>R*}-1@#ZvQp~lsB;`4r>DcHZZim-%m z<)(?5YRYO_9INL~r)jx(b9tKHjJTp<3ul2&wD3&V7_$VE%#9QV?|CqNBd>^4cZ3;6 zo{@@@fP7Rr>nfk>j(TV1Y9a|JvIp8@SRon!@r%FkdDyARy}{no?a?iiCCa86+qyxiYj;S2~DE?uq za?rf{y!)zVZ3rA2J>h{3@T3@OwX{&iR@uW`V1Tzhr_zDgSPdvEqZxMJuPgG?{`&*l z8w)|k5y`4l-p^0lg`{QjnwQrFa|~5l@u&Xq2z`f1drT|qd}%cQZh@=Q_IHET-j|*q z5b#{27ZU-EaD|%#igbkQG_TyBjX$t0lg@EPc0D1RA`sDX4k z$h|~7Dlp12GU!P8wh9PO$LJcl)~-JfO^Cy1jd0#>5!xMHaq7Z}TDA(cmoe!3JWQ%S zRI%7O3Y&6f|4jk8JU7xi5BjQ1er66WwWKlTM{?Dyz4Uwz^>}f}I&IO+`ZnHlF^8w& zh+ik_BD_OVbQ(b5`fFKtz@;)R$*qPO1i4TRZkK|DX3nYsI1>^kweso4QWUJ#nE4Pd z3#o5g_(&8F0L9!43{b8LM?k1MVG{#z#Co;ZjzLv93A9F0!bDxdYnYmpN1u2Y4$eDF#(%gILJb#7v79Xd z#kP#C;AL_M*RJ8=TAGhF)xh>UVxnj-@h%IThv>id$T=R4;~m7Oz?RhQ4uGRmJC9TW zTkLHWG?L}Yhb#Wd(|m*V3juj;C;9?hm< z%%%W_r_gyPRmtCg@w|ea`qL{Vosyc6MS%wnJ0%~ZELFuer7Vy6_OG|8bMu6Dc2$*+ zV3~@!X1XO~@*ZP9Pq|d5U9*)AWS*P)2aYq$7 z`7k@{Kof(+W(icUG$<7v9u#7B`Wjj*F7f8Vp}GI#GXuH352w^ONRv!(>-^PBEYy}c zDIhzlXCoyH$-+z(O8BFfz#c}+x{ZaGSm>&0z3>arztXd7(?Zh|$2)Wvmt)HH`_0k& zrqKTT{ipmtvUmB~-LY;}(w%$CUZ(pfYld&p(Z$sP5R=4Iwh~N@2BVW9RF)&X zc@;%pB4%=Z2dtF;8P0K`xEu0oIjZe1Hcxd|qzAhb z742Cb4iWi|&NZ@>%sHy^Wf{@=4aSNUW{MprclXUaS(%VY{n|7BEL+hdkCiGi5)q%C zC4WEKUv{+G(sjI~`A~mk03KTBECsQA_`!FP#fRtRo8V&^%SR6r6QHT!E!$!0kmS36 zxU%5FPq(Ib@3bjFR@+7F`W`&@o>10jmCf39Lxwf{<8Z@bI1Ch`@77*EZ*`p1iS=pg)N2B<)<8;pm~+Va4*J z%bcqE_%Oqio&Pc^8n#@#Ik_=J&y%^RZEkw3xXSs~FQmGd7F9=+h{PQzuMfDTvmKi! zdqqt?*`2Kw&mC52{zv6|=CJDB%=sI5AUf9Xkj6|mR#?Z(riSnO&$EHoJq&8 zd9BlpJ`fE}R>C~_{q%WsBJYK9w3N`SgdaYYlplUUj|ZPr(6LK&s85W+gJRp)NA@bu z)vypDRsH%x9cPetchr* z>S|T;2E$)#3CLg7p>VWDs|29(}!*c}y6R=OthFWlc8G&K3e z9l^LG7PA1ps=1RzqZ1OS{Tqo`=jS)M_;Y64J%0J(Q>_c!ReN1}BT;XM+0wX%XD&tY zMcx=k!@mvxQDVUTR?T}i282XS*4e^#Yy1dr9MN6Hc(l-+C{d6lptbc0Gh9&I$N3IF zd)Ht$?=2Sr9^>Bnh~gGF_|_SIFquYg282&dm;PCQuD$oWS8xr$)j8w-$BDZ=uX!J|@2SqX^}Sr~XEtM0WAS z1|bINzuB1?&dRj`XU}FK$J5lBqbfYqMa#6Zmb$7pF$u-ih=kji{T8%Ezb%XAIA!^l zXuSUBB>6=Z9+AUe}!t9P8>j-Nw;IJg6&rd)rkC zquJRX=if?%<+p19vL)?~H@v@K!8hQ`Qxc8S38E4OEx6aFjO+Cb9>q52*#IK}0<_a{ zcf2Ie(YCsPbh^`tj8y9iKcyk-H;&%Q2lPVgSbOUNWowTvkCWc9+yS>no}yl{(5UBI zd|>^nVjJsVn76Q0(Do&OFsudd5z4&jy{O|%`gt(!^7J1;UnlRIn^W4rzWSFBr^KKr zXou|(l;1T+-81oaGBefqk@Qj!{6=eSwUfv3CF{}H^hNfzuTyaPetYR!w70F*w|Y_7 z@{bVofMtFt1Z=%;uC~jY++$>~plKy@n{5Rjs9(%OVQ& zAq`2#+N?DSJcwOFQmh<+X&8>9p6d!wq89bc~r1dwUtbzwbR;68bgqOaujwdFR zTqig?Lo+|?g)<6}^d@yEKGyyIZv9=FVYE#xrWbwA4HN zxWRcp{Ng!t_0Z_)C1Qda^H~2YgDd)CQ!D}1g3m18S-3N{+Hr>upk#t1rDn){LE!b9 zZTQn@IMG~Ehj|Pt)4TUtw~3ZX)idrek<)Bv8`7~i3F_`uD-*-LiYLEZCu~<+mt69b z@-lrs=Vg95RA|BJiUYTAhPfF{9UT8&aa{P>=i>dVs+?!*3o*H45)zM|0&#dycu*7C zFxn2n#fJ(y&zTrXR7C+ygspe>%KGMCpTD&HDQ$!-rwB8 z)dPIPEwV60X|%WPd1^#PvMFhZ@C`Gi$8zJlh9(gNfT)HGF5{1fbq{(NjWAU}^EO#M zs>U;`96tX*|KCfq$EayPLZ5d+HU6mW&WJExeF)?|d4`}e*+)W$xW4+(Ia$40h8D)0 zIY{Q;5TA@GaX9&*DS%qMs_50ZObz5X7u(*&+d>8dq;DSM3_C9Rt6f1s;W|_Oc57hN z`KPjrpx1258W5z_OFoZpUhjD3hqu9xovu%-2JZfQG0Zire;9#YXT~N!mA=|9n};+`@E&JK_mmS(q(7P>jXxaOhvezd+~xQH#k1ca46{0>(LnU8S`m zu%hEdwxXL>+@J76m_IpckEPDRAA5{&I%ae1p{*Y>LxCS#@$ z>PsaLstY_~Vk@&ru_(L|b? zAD6Q*nrK_9yVF!nI2jl z^TJsqry@|^JClM@l`)30lp~k95xFXDH*etdmm(lEm{%(`NFK%#hR^0pU%*aDom}{n z(>9WLRx+KOqWVZVBjQGvcVT?{r;!7zPKq*#3$a;HL@tyo@xorDY4!J4e@o=x*<3-V6(Zoue-Y1-ViBUj%4EzGB4AIgQPkw5Ci zq@tGDw6%)UsQL4qg=zETr(;Up(CMhd4o+rzy3t3s&J#y0Y%sL%@e;?LNKdN)uUeX~ z!VTPjZfdAS9z^Q2>6`FD6Mi!3RT)kD8coX+HDWf#JtG%bPc*kb>bQl9O4&6v@$&ux z*=x{x?ZGqc3MYG}v6fRSpQ*89NmoT(BpAlTlb{<8g8EsW=GMBNW?s3>*=NK!&1eNr1a4(UnzO!v)10y1#;- z{5=UxhNDT!6BT15=hG0pqP;H~Zaj5DOzbMSRGO6{HBNroq3+lNzk0y=f}9zn(j-5- z@ZaPn_>TBDT`Ap+l+{@G|54O+05#QgZHjaOkuJRn2#AUybW{XEq)U?y(xfER5IQJ` zbg_U4p{mr-dzTV==rs@sB}nf9LiytRzu))IGqZPg@9sIz&b{-@nKSpEy-((-JSGJy zP8nX!9{7>NwLoRgrtBq#=n<-{bMhKqrFIi{gEu>Q*>t^0CCHGv`+MSf?rzlPk`dXY z@+#bd-PcIFeRQ8^%|d7G+FSy&`DC|6!yWO5{hm)4Ud`*6VIxYvRVd zz1cfWIB3p(AunXYrl(+hw&^o8;)1CYFDA(2QJlhJC@qgm?J1HS2cr0YQ$~W=2n|*cWvQ zi91I7(ujE2!WPz(qJ@ka6f+B~6sbib4KB(qj3?VWDaJC~4|I(OprSHUQ+|F}#`mMn z(&Dy@N;-`s!P4Og9!}g#15aQwXrlY=d6c#i=?%@snb+(enbgQVKL}LeegexH+eP@r z#JsIp+2j~O%p$(ht%&+`+F0`atf&4n!7Kr3wQg>l(92%vy-BP*!Jyl!1vg+HJ(x<{ zrn#viOOl~G*#p_V_CB*Dzp1@OfQ!X7A(Y6>q`I^WW=+$_GQsy~;4fO0#B)QN_J`Ur z-BgHhUdFNOW7jzy7i36tTjPY*qMo3~uD257gxMBq1kU}ulFxi5INp!|yIK<=JKuz5 zMKXljTn$P!Qh~GY>Pmftbj~U6A~aHb3<6uIyLVXTFPy#Eu7A?T zT^08}+P8%kRP@FvWb`>s%h@^T2rb{~k&2y}cUM)vAg9!7L&oidYolUT1Bdm} z;vaqlg+N=C=jSBnb#W(Gl^H2Ji+7@xhkdAn-AB%{Pe3eWXxdUEx4Qx`uvt`p-mfC7Ql9vVlV}Nq&{ZQ8mBr3nq)LJ0;2t+{izj(=40m?HL3I$PM8qCTAjJ<`I3Hx z5<#OCI_uacvEd5dEfZ;ki3K?UT+5>SOwj0!o3#@WE*3LZZr3*0fLI3^;HaJ=XrBo& zEI)I`tMlwi-*_3v~tdLAinnZ(s$PME#36HI z_3O2h-yh7lpAIUj@EF6F}yw5QEWg^oyx$0IBzWMAW#%c zE`BydKJN89dH-cG<5lJR%93lpUnmd0^>Vv$0}BQ3iGtjM{!pna@-q()pYYA$RwleH zije_Lyb<~ONcEbAjN_l@r?>u8$s5U^JygWZqDHAdNbdRg&!$^y5dL6E&%*vimW%emugf3*Los$k(p7TGvb4!MpzSz(i)@w#6769eyb`r_ zf7Y%V;hHebU7_d@(0^=l`*@4m(5Yj-m)=ANPYCy}3Q+J4zkqvae3?hv(Ix6=gKSdX zYjPrdL#WQhN(Tp$rIYLnX@wZJQOjI4E!02WKGSXUX-6G>3m0pC63cYvUtdCbr!}kO zz_emH?tEjp$aG)r(&r>8;yL-A~cr5S4klO z<^NoITG;q7C*MB3IO7#+AJ>||8GY_$*4S+VMu2u{kV`F)_7O317u9w;w6 zL|K#85=vz4PH+qsj{3Nu6Zao31*SWs&5~}0BuzfH%4l&lYUuV(k7Xo8w+36JYa08K z1RgXRBJpN_Ki&9D(;IQ$oafI&Vh>)rGBrWvN(4P8(0A>jiy{LyovXRhAmBFL82uQ% zhs$%>?Qfp_{&r1z@$E9it7-VYCSN77Gu6HDow{R-pfX7YIJPhD>R@?pi%JdU=!KT@ zMAJ|=BeBiD#t(x;af)?6cMefFMBPrrKKFhQ0H?@@BO7QlZsC=JFVL(%KA05R$=MN|00|MJR1Buq-&oDZ+?%d@rUka56h3-6SeQ*(qwMbGfWmBgUVI8Mtq!H^QQ#4 z@bXXt2pj!Y@aKGc-|yDsO_-V7?D)=Y*pLx3`d;+hSF18Zv$4phE|Co*rDUN~>Zfj5 ztd-XjXMa!`pZsR-q=JLnE?UAjNb1CV-$axOcupSBz|nmcJEFDRum*B%Qd*toY(r() zC_an85Ds`Bt2w&YBKdCZr7(mxh0j95s?7CQH2p}rpvM!fB7wV#IZ9u!GZnFVkSy0u zpeOGxFNHK*uRE+umxBCa>$=}lu~@}u&vRVDZUH*P%Wi<$7IDRwmm%OK4;vAm289^1*DdfX! zfd49CW?3Fjn&r;MnXyDs!b*{vFgrLaPKSdhP?s~pWqy;PsHn_S0C~@y&KS0~zS(;? zYnB(c_aHM?4s)7ECj?O{IPSAzj#KlF6&;LXOvi{{ANI%r4hb*NW{sVm<+I8gKgD4Ka!uelCSXIH2?#tgEgOZcA$oz9<(LuceGAx=9(^I1(Q z0q>9Lwzy{G*&6Q5Tm!ew;dQ*T0VYMzZT`i(HyHv$s$VZ*ujvs;Z90}^Fnd!?);Cl~ zxM*|H&Fz@6{@3X^vm49cb*PE^IS+454l#%oD?IaXy8v6NC?*==J^8uv<0YB~zOf9~ zVKN8yTYS`4U!?g*+0o(D8v`k@F8U(iFJcoA9mRUj>OSd8aovfuX1pn=2zdYKyr*^S z)4&QvubJ2057_3nlk#~JklbacUIAGyI?jh$0XSAQKxh_sopYwx3ZeS$=LdqPt7(E) zHGwaRN)l+L3kPiLU1_Q$-f;^Mo@6(D;~9gy3n|`J>=R zG{YQ|XJEQc4InGc()a6XQLcMR79vAlbz0E4bZ!67!QQPzfp zg%|_T-JQJOjO%%-n8C8Lz3O_@U8Z@sc%y`gpGpCrphI6f2PU#6+p5?P+)ms3XflDI z*|y58<~q#AtaM7z9T-2ts$JNluu@G^V}(T}E+SJ%qfJP-7h+c8q%3RKI_I=ze5J6Z zEnzS8hC3!(J<*DZs`n%RW5q0WT^*5|2S0^xaNs;fxcNHc-|ztDLa@cRM`+!gm$uw1 zMhqXuY@Hf_kKLsA-Ir;r?V?y+Sp?@TQ#GgjD8ra683Y+1nJ8usdU)yl!kzKtC7Maq z<;acxRJa6Y$y04A`GD!tfU+U)gg3%)na;+>@wbmJ-)1m|#MseZtVtPZffIjcIN3^{ zNo)kbNC-f>x8_h)QSJD;4cmy)=pTM+zRUzMo-l>vX{}U{Q6F1DGGA=O1+Ff~1+sXv z_z5&Vwr%GSRBmKeVL?ZGzEBd#s6t~>t1GLhZ{mA6dyv!ws!A+Iu;^v`hR8op=X$gy-cX34Y@C@ir_QOYyK{_1U@ z8e!*UE$j|Vwe&wEphU^nl;K{ReH)xM6N?pL^ZVkHzCqI23Bq z1NO71nROx-|B@S*hQb9x=}!xGpmmbZnF6!u9}oN?gm-`-B%B?0s<55^S5UqImYP2! z6!RU-j|>Z3WtV@@VY&-`7$0u|(bJ6nDGm7v3nO_+coQ4ZT~a2PFVZdS65Y9p^sZfb|(2Spk`IQSi}uNys+N|*!i z*WQ$ibQA3}w6Ruo#FF4Wy`w{v6Mc^xKH?-QA)IcgN_c*HH4E2d?p-4X54)HR1AMKX+kbx73YoW$3j_%dYZNmHDk zJY5(%I&=PTMJvgn%)KYmP3|)+C#Chc@Moy&9&&4p5*kU&zF8LFS)(3^fNU{UXmIre zQ414v_JO529c4l)6PrPxpZAx+Yw}1_%pK88dE(vEF2!Zi4BLIGPkQ=d!yo-XKfS;9 xeGH`v`oL_NVPgNQ`FWOsTvqXFQ2W91CD(K& Bank[0].CLK PHI2 => Bank[1].CLK PHI2 => Bank[2].CLK @@ -152,12 +152,14 @@ nCRAS => RowA[8].CLK nCRAS => RowA[9].CLK nCRAS => RBA[0]~reg0.CLK nCRAS => RBA[1]~reg0.CLK +nCRAS => comb.IN1 nCRAS => RASr.DATAIN nFWE => comb.IN1 nFWE => CMDWR.IN1 nFWE => ADWR.IN1 nFWE => C1WR.IN1 nFWE => FWEr.DATAIN +LED <= comb.DB_MAX_OUTPUT_PORT_TYPE RBA[0] <= RBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE RBA[1] <= RBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE RA[0] <= RA.DB_MAX_OUTPUT_PORT_TYPE @@ -184,9 +186,10 @@ nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE RCLK => UFMProgram.CLK RCLK => UFMErase.CLK RCLK => UFMReqErase.CLK -RCLK => n8MEGEN.CLK +RCLK => LEDEN.CLK RCLK => UFMInitDone.CLK -RCLK => UFMD.CLK +RCLK => n8MEGEN.CLK +RCLK => UFMD[15].CLK RCLK => DRShift.CLK RCLK => DRDIn.CLK RCLK => DRCLK.CLK @@ -243,7 +246,7 @@ RDQMH <= comb.DB_MAX_OUTPUT_PORT_TYPE RDQML <= comb.DB_MAX_OUTPUT_PORT_TYPE -|RAM4GS|UFM:UFM_inst +|RAM2GS|UFM:UFM_inst arclk => arclk.IN1 ardin => ardin.IN1 arshft => arshft.IN1 @@ -253,13 +256,13 @@ drshft => drshft.IN1 erase => erase.IN1 oscena => oscena.IN1 program => program.IN1 -busy <= UFM_altufm_none_1br:UFM_altufm_none_1br_component.busy -drdout <= UFM_altufm_none_1br:UFM_altufm_none_1br_component.drdout -osc <= UFM_altufm_none_1br:UFM_altufm_none_1br_component.osc -rtpbusy <= UFM_altufm_none_1br:UFM_altufm_none_1br_component.rtpbusy +busy <= UFM_altufm_none_imr:UFM_altufm_none_imr_component.busy +drdout <= UFM_altufm_none_imr:UFM_altufm_none_imr_component.drdout +osc <= UFM_altufm_none_imr:UFM_altufm_none_imr_component.osc +rtpbusy <= UFM_altufm_none_imr:UFM_altufm_none_imr_component.rtpbusy -|RAM4GS|UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component +|RAM2GS|UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component arclk => maxii_ufm_block1.ARCLK ardin => maxii_ufm_block1.ARDIN arshft => maxii_ufm_block1.ARSHFT diff --git a/CPLD/MAX/MAXII/db/RAM2GS.hif b/CPLD/MAX/MAXII/db/RAM2GS.hif new file mode 100644 index 0000000000000000000000000000000000000000..98245db780ec279b1dfaedb4e129aaa63599a371 GIT binary patch literal 586 zcmV-Q0=50D4*>uG0001Zob8lNZ<{a}$M2T*9W3>-9g-S5VM%XkMpC8(I!LmkWsH+p z*W?$l7udz5;+^L^VZ0{JCrM&ki>N=9?$z8Ec*vEwkh($ literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.ipinfo b/CPLD/MAX/MAXII/db/RAM2GS.ipinfo new file mode 100644 index 0000000000000000000000000000000000000000..fa2304dd52e67aba538ff1d8d0aba1434af3953a GIT binary patch literal 177 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE literal 0 HcmV?d00001 diff --git a/CPLD/AGM-src/db/RAM4GS.lpc.html b/CPLD/MAX/MAXII/db/RAM2GS.lpc.html old mode 100755 new mode 100644 similarity index 93% rename from CPLD/AGM-src/db/RAM4GS.lpc.html rename to CPLD/MAX/MAXII/db/RAM2GS.lpc.html index d50a19d..76d3fb3 --- a/CPLD/AGM-src/db/RAM4GS.lpc.html +++ b/CPLD/MAX/MAXII/db/RAM2GS.lpc.html @@ -16,7 +16,7 @@ Output only Bidir -UFM_inst|UFM_altufm_none_1br_component +UFM_inst|UFM_altufm_none_imr_component 9 0 0 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.lpc.rdb b/CPLD/MAX/MAXII/db/RAM2GS.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..b40333d589b73b70f184bd98d0794bd40f9b0811 GIT binary patch literal 507 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRu3-k60tBrfQ-NS1gkty&>!XUBEo9lKkwVK(1YFK+^&unz0W6RUbC8TX4=^&0Ux$Y-#6JRyEfl7|lz z)%ToS%@ptTIp(R1<&%)5H6p7y4bICKaDTmS!O<#i{DpZ>RQQH#54W>czxcB8d;f@g&YPT*dCu% zT^^Z2G|itUYD<%%tU$#+${-H!3IXn2LKbz^To;mIe46KlqLJ~xTq0b8iM%r@EHvdU z-X7iWRn%h;(?*N#hsZ`iK*`ab(W3Ufap_ElPI(E#Inw^&mClhcG|k)*krBvKFQoq` zCZFe%b8~}}HMeUQ3IFpip&S&!pL(%(Qf*&_t>+jS_i$g4@4tkaP23{>{wVEv1 zdq^}kw#77f$i<}+1LO$gKBuUe5-bm3@U**Ve$)u7@d zN%OnqR&p-7yw*C+KCbvmjH^WP2vkHho_q0B7mdC9PQk8|s3&mO^s?HflxkJYbZ$W z@UJ=@@ovO7F!#@g@dqmQDoN3aLxMjmKUOVTSblELJm=FCqYardEP@EfytB7&oZO<^ zYb!X#p5`vsG_Vzy!{0ZE7bJfxsWZ7Q_L-JIxjIV1M|^la#l zE%6jaF_W2>HGjU6(pGxVZSn7GHO}l2padxiU^?SSkI&b+y$ye!vMTVjwUl4g^Gl@f zL;ysxX3kP6)6b`h;Vy--&(p(6Ib#^e)@(pA<*kD=QgoT7R=FZkvY`m`s+)VEWZb`1p2o~~3uy16R-@gh6fB~IOG z5^}VaFu1NrYc!IFIml7@`Tr^Pl?bJzuN41amE|H=pHW(xLo8QWIhGaQe_5t#=#Csd z>%ScH%U^A_mvZ*;(=4qn^mV}uRX0v`-B8e7#6QIe3D_gS5lJcrNbNJ@|GUQ=q2hWN z+-I#NZi^T8Dq`k4YCSYvhWR04o^EDHe^D>hQ+c_3Y1~_~_gdvtLNFxE^qlLCjHj655uriS=xJDz$Y@<~7t!liR2-6}LV6DiPd?OFcI6L?)MkMoEC*>ej5r_t$H4UXc$b zSx*zS@Qcdd&VQ$3T9w5OIck#LG4J&bmu7to>H6WU0U)4qOs^MC4o?(G$=Gx+Tco?i zVe{3qKBR6DJhTkfe8D-KXUg|$94u$`OTL1WCHe8V3K+*-HCJJ4J^+QqatMs9KqHUI zPCmh8*yujOOa(7(PVfYNxpk}(Ckze9%YP?k2}tG^{#MY*`p$3H;r-ui6%!F(!xl3q z{xe7$B_#nxCM3{E$p6|^KvU!0EnuOWL;6}~&jV*=anZCF75joDP~ zbx4HB2afDl$O+Lq(>~hMG1w|`w8$sgePUIf%|bOEl?|0_E)cuw$p_QnO0B(6xk@bL zm&l@xa$3D{N!29%WRibw&Bez^S}&@eVlUZj5$DqJHI33wOOb{?>aLw_gQ`lqa>ZP! z5D&>penDw!<|O#I!?|V;OPw6kx!>+<0O^D6t*Yyy(rYjqS4IRZpnio&O)bIesPFvG zG(-QVEI&$aI=ymOhsEOW%`$ay1^PYgEtz{Hfc&_kz#1-k`!6j_|5|pkfr3nEq*J%{ydB(gMc_r=^?*3U5fyr+q`_4M9rUAB}2%rqIWNL zEGkLhwMWNLRQS=!Ok(_Anzv_0&dM7A5%I5)^YGi)G_sS8$%zTo$1fS_Zv9x9Z-*wf zp;NKiaA$11a8Wt*GPH$L&kkLZ$@}rl&1N7;sI^ygclR45W9Zk;6p2WHheYDmk1van zby&~*spwc=nOZOs2*j+VJ-#veK37GsmzWkmZ!N)A#&I5cG3N}HL9rEr{eyi*{z9+` zsZ1wxxK4X71Z|7n)zmQMo@bPUm#~5yu!+5`)Z}$(1C$JJ7?$*!+%c#UZh$w;fEg|=aW^7M5N~k zT+Ylq4~RPRe!TUXU}VZ@l8=fByXXXu>`Kk)0LA?~8DFy7Sw=Bh%CWG3%d7VfOh0!j zaTMe#fqiNwAFMNNhadjP?%0y7`o#>;y()Nzm^GIn;|+0;-0!ar{(O<)9iCcSJ&oUN z9kafGZB}2a;Er(pIz$q4tfETOHz%U@oJ#1;7Uj&XG%&^y;i)V)z5ydnZ~RuMTmo3l zW`BH7`GZFW_YC^Rr1HencbqsnNGP79i-iQ_2G8UGuF6Q{0#{^rWgHke?lJnHkgGuz2lF^m;#gGzN&q zZ$`7Q>}KQIj2FSNJ`3g2vA#HaBHw}1_7&a>U;N7A{oghfa+>M1xHGK4MGR<4LEEpD z#kz?}E_XM_RQ}f+AQt9d)p_*0~ap1B&+^Myizj9}7~40~e% zx4CV6<+V`EM*2(@vNDc&~;Q>}K{}Yw;g6v6g7_RtxX-5M*vOx)yGAKP! z$eb$4w^@EUmO~O1{yBA;3%|vIrD8&xmf#IUUc*W9tC*!27Z9|6V93J45(9|U3hJO$ zj0XpgBX=*VW)18~9z^qe=J|K(?X@7XFU_V`-=to(xXeM_wx5!c5s*8su;v*Mb|@_q zJ$qp!#k5xEO1tuS__q_1pKMB1NXkHuS$^(LBMTKe52kXz+IJ3rVpf8|tGApUPb%`3 z{4$Va?hy66f`i%L?imfq=YFu$ljFA|>}GScHbaE;&>kZ(OeS%XJ=a8*UJUI`Qd2gd58lJn4$fSeF+?^u2wLLwIHe=@j44RiHF&4o> z)o~HQR~W(Tb989mceUO}WQ;R$qE6p?%m#j?&2=7O;tcI~7rpc}niyD6uoV-Ob>wU* zR%^4Vsd-jtag&GZIi#C5FQ@&ZoLG~X(~}OdkHFIGG^OAl(ueN(=jiY!g=s-CnqtW7-o9$D=hjV12o09)?B=P$?$UNcfa}(XLCXlTi!o_sqf%p~Zyp_hL z3IYZNm#W);U>B{R({`Qs<{J2$nqe#BV1&jNon6dq>hb!;;`ARW>29^RXsZs``XVmnUfPR-jbx&XsMOHbafbEMSDb*F_ix@^x z%2l|Mt9UT1@UCPswn}f2XX&YCtzm`cI8;tID9J8gI#p^mD!OOu0BOTDO|9BDd87$RmG2N&&HUx8Yjvu{DW^TcK8Btg~rv`3ty?_l%Y0cdRuVv zlDqsIynG!lBv@oicN6gb%X$pIRiT-lD-vWgguS7vqmu7kvE7zuN!s}=n+B?~m3j~V zKlZX||0mHlscYb2@x*HCvF{#__2Aqn>Z=W>^CH*fiAhgn6#65RL@g^qlyuLkF7nGh zeJd~a97q)`_xUI$jZGS~Mtq{6rTc2Tn>p;8L90w)Q~7guP#>E{GA(82COA;Pk9v>I z^beO1Z0n;`_1pHa$Fz8_|7d=Y_jPE3J<@;InkgXN-Ol2$B~2qqK;Xd*9)A8w`=b+A zxE>~vnikCe8s7K^eS7@xUu?$qI@qf9%qAG*wtNadbs9)DfIj2ZF}795!GT- zf%?{l?XK56dEwy~^(zCVXHuS6e?vpqJ}E1M<)a7@9La`%_sM8=gij-F47UtQS)Tom ziDMG$MMyGCt7uGsh0FoG3bJ&{LE$ve3IolfncBPp>Lyro+eRWFKT%|K{I`Nh8a)Z_ z5by2`pOdsKBiSZ#C~H{VKPCdCvK{~{BUwpyn?{X_upin*Ji?J~s_DhEoF%CdcI@ppsZY@UqHuKh*7|d- zspmO8_EQt#1XXJiEaxIkr~qk#Q-n(Idk~p)Wt;q9hpYMHiD;IYi@CLOHO4enyM)mzPTjAApx!8K=9%J^|Ax zcV1aSuow4-he)G=D~ze3g%RV7aE-j{VUzB}i|3#Jmr{*fi8F_pHi!|F(e|2JKGn)1 zUF!@QyA4o1-o*MZ#60v=rxz-RyS!%DxAI5~)rtEu>h*u-n20B)Bk@qhCwN-p9zh&w z9k!96VJ3SVh$|suB=Y{l_AX=?HrqR(GvLgWU-^o8SxpVmNj~JXdh?kn`bY0uEZn4* zky@WPj8JNf?fQ&W-Qw~>x zFY+{Rh2XK$687*a`7pN$gS>;g;c?Bk^RPAS=jQ_B^mwq9otm3uK0fK-@rp75E*3L7 zuPPB2=z_Cp|B`SD18T#&#|PcE!kB*(O1nfPSYKu~$#YSbQ_Y|IYMj z>PcWfQ^pCXA&L$ycneCw`AJ2l+EsT%NPM>kZ|oy z$zKzfG~O{oQE|Jz@SVt9)0xM1v<#+{>ID-p`IM4MBI*xq%h^|-3?!&B{)PYYwqHuw_*Z$;`tqY0)EsJq8A_qhl<(!^M#@fq zMz@|rf8pJa@>Y(qvgMcsWr$LnhBAwMI0PI7V%jokusLS8dScr6ku`ykj3_>+qyQaa;r+>_~+* z)AJI6U=E1VQj?KQxbjPH9~o5m&fgZTfaBCRUCo?f>eR;@`J~s_)i2t2ld*h0Fx30u zxYIxsD}6_%bUdFIeHKp4k?SSj^!w)?*+o<(ZxK>^je&a_co9&RJ;%NVsJd z%-2w$WywfTJ@8AXnB(9dBpnB4+E^(kCqnjX^dhk@p_Ev85=ry^X0t|{JGzx zV&P+e7j_9T34tBv^zyNLVqY;Kekkf+<5ugFLHZY9mzAWh4%_)h1eoV09;uG~E@}DX z1P@@8W3Z|0+yeF1qod;tpNRtDwBv6mh93x6ks`bzKtu>JfXCzdtdL>VWh%&sP)d3z zZjQX*DU|Q}B#xiO%y3sU_OS>{sIv5rE&&!}vVw=WI*4_B%UL`7cwnJj=EKW3?6q)v zNdocajv!dx))7-quS6$`TJ_dv)=Rw#mx@)UCtt1lolzF4`BlsVi!@r3_)7YfajjFM z9W3Mnw#Rke#9gP`%5zl1jy}dS)5|CueduFYHG{kyxo)y4Fju`u{ubF5H{sqdA<@mAYy-~qp#%2H)N+ylQ@9$t)^h9duO4Ya~TTu;4 zv_oeO;WX_9mb(Vatrn_{KAa}vOqRHhL;o0kJ`~3?~k5!y{*b(_V-l*v+2!#)4?O)fWJChZ6dx=;9S2S(m0&jDKQf-N?{=`6EATtybv7z`W=k1l0fh z`fp)~nA#(=br1qJo^zW{SozM9n8X$b>VM_WWKKEOB5tA$hy9G;5Lx~`^ecqp4ZE*S ztr6Rd(vx#3-xAqO-D=xpN7;0_O(=C8S9x05scL{iSQ;E=Ew++CxW&5YK?J>txP0IXXM$CwWqTqeA*U^g(X7$IbEk`M*K?i3j&4qq z0wEeQZJj~dcU4PUjNA($6>#SRi_d4W*xeCVmS(MS4$nDVnL$fHaB|~qf-Uqv#g5Fv z;d9mn-ZwUZ6Vfa#DdxiJI$9~5UwHKv6N)nm}~WImf00BbmL*LDSOw8JF)e1FqoFQH76su5L ztaqHD&DPNRw}1HzsU;|a^@Mt>i`puyi?)KS{TVdEU56rbi1}iZ&P4w*NFy^~9hCA+ z|8<16rfoV0r`Fi%D*{bbQv^ZaV0Xpzd&M)Bc|Lav%R&>Q*^COcd*~VR6lWc3m-JCa z!BiuBQ{ClbtzC(_XRVvDYdnMn?KdwdmBfpdc@QbQXw!MYduD@8 z)>_M50*Ef7`|)K*jpdzD!zicah_6<|2i_6xORNQd;H`VZsI}F|6s{si_C--7KH6N>*1&)PsO@2FCd z9=bdm_J{n6EmSBX{K;6-US-`?31j8>_=gfA>IqxfcgPwn*wd4genwDT+Om}e;X!r)e8y_9l$UB07Fov~&D z&#lyz8=Vn?%B6UH9*}}tTBYn%Sm`G=2s71E^UyQ6?vnMZFE`40Yqj%;xjw2SkY>at z;6MbN@A;DFphneD+$kriSzg?PcR`9F?vSfrqUwCTRi%|d{?n5u(-u;R3m;#rPD z>3v+UTkOEa5OXMQhF_{D|i)60I^r^QTrr^HS zG;;hXr81zr>C&|qNLTZF>snc1`UC~{4EDf#iu+lde#VM`&C}~nm??9VvcVG6r~f)Z z;L?lsyJ5mkoDA%zzjuMfUB$OyL-G4*epM3Gt!&m*!>tN>G|0EX0jlcbfUMy~>oIG8 z{nK=Qzv4yHu$Fe)q05CR(a4tOuih`m!!B$)_K244@OAA;&>z*debrK ztKRgUGxm=kz_zhy8!^9v_I!ada7;zxO^+V2u0t)Eoq+2h`h%stu+JuA z1{2dtP@bs;#I4_lg}pn~SBp$cnFmmzN}Qx|_{?~1j2xn^_)GIyM#`Es?^?Odm|fK|Y6@&Rl{WyzG_O?*;F-Fbt8yW(-wyl3SMuGwJL8`1|C#o z(!)=w)2|$3o@&Ryx9JJ$_GUW@?!=b(JwFL`nE!4@Z6LBmY$B#gaur)VkoH;Zb$h}( zvv#V|aLz$FT6?nt-MSwpKR?v-D1r*3<-g*8gZ^xO%pG9p!hdkiB>t-9`{d!(;60~) zTybPTvBF;&7BuwVGO5@rQVO6+jBqMAsKp|9n@4i+Ep0m8 zikbT8XjB%&VXz6LL(eF0&>!@2Pgh+|1sIZX!_VK0DVaDZrIV!M+mf45$K|B$t2t&v zf7K3x7scBDs4@yTl_ZW8k{mE5otM@kn%mM%jl^$(zg3d$xEpBkAC`V+4aU|KdE2Tu z;ImNrCS~@W1IS&^?LZD>$nz@`(O_RptMs{InAcl8jV0-m*7p@I^w$`lRf)u`b(-@l znbuaMFWQ%p84YN)1QqjYb^I`q@#wa6waVZMv=p;Bj;_umDCd?wO(GaYg8c%FIk{;;T| zPk!-8yl&yLzhU$^kNxYG7Q&Zhwhn_4M;AzT5qulGamv`yD85o_R8Y6KU&{5HWvN)L z^E>dP6FcB+bku!Enf;9}+bxkssYRxKGezRlb5KClo960cmxA!r4R_$8N`z3aZ%ZuQqufCizZSBC>`6cE2kbyYTJ?4FP<92@M z1}Q4HTasqIQkO|{6tRs=*vYyv=;^djc!=(Xw1s^zK*5as$?tZls`orSw7=eg|9(^r zvE^h3=!>DchkdyP*<-{iswyMYap@f3Lj}AtQgo58QUbqRx@@?@rMQ&{UC8djtH%puP;&hA zyYbW@J=JlgaL~a%4Y+e21SV2i6#c0Nd2^>n+;Q7ZG%U&5F|@(g>7k7kolV7v1Yvn{a3 z_bUINx^APZT6o*&B<6`=*IpCyrWsM$oo?@IUYD!pBI-A)U{JJ1J$g!WCkQFjRwvp# z4Fvye(6#e9OzfyvyE8sS6rgJkZhyYEGcG2vc}II!0Te`gDWa{PQO-y zBSA5mXYWmY^-Go{LT^7^`@IC0efJ>t);cgCI9q8~tARkd{=wPchgQ6Z_T+ana_o~g zZ`qF&av)ZocD{2L^-ddk>%}nH7wrms*L%^BWbQ_jBah2A?%j6W<3XmQ7pNeEDeFMBCu_ZdxtV_h`A2VC&b$!L&iB;{+gUHr5vjg?zbNkP|)qkk9u{8{* zOHAeWryBu@E(y(1ES$h;&=SeH*4@oOeBbjPC;*nXsshXUu+wMaRbU6FZn^37THy*> z(S*&p50e6cl)#8=EpYMq1`5R)j|)6^L@Iz+-X6uVqs&fR!jqGEQKJ;LNDm}BtE7(`kO<0H z!vL>W;nI>&4dYdpV08oVbuL%3Q`?p%>7QpHQ7; z&D)0b-Bh6tN&nhCT#Fx?s5Hd9H6`?7N+>U(QA2%phV$r6j!`9{(|y6d!sZA27D%X1 z)>VairC&gK#n$H%z?OSWb`50=RDFRS&&%rOuF=`&vEeY%AmoY>FgSVn)5*MKi7!d* zmfqNr=Yo8E#7xTa=p??e(ajZ#Yxtf4!&=lKy3XaZ6SiPi+w?O&Oo}xYb3dddN?hJ@ z*X^&q61So?Y`i*LUQZm|*gifIcjcLI+V?mOwNU zdS*hx3tE5A)4j+(_vg)h$=FY~84Q65y|a9K#RbN6=!(^Grk~H}M`P{*zazd4&Vq=^ zH+YOkpvu{RaSj7fu}WnG&#v!o3n2Gucy)&|kk{@gc#Ly@S^VSN2`!>XdaULoK2VJ92{F=|yQ0r9`|j<;r~QDMZ4?hhqjL*>bXnfJA;f82pc+I?NEJ6a4g#Opa;*ZzfhfMjvVgvQPO_iGjy+r9NtS=f#Z<* z6zK4=BzKJs{#VP~mS>B}o+zt>PaKqgQ1wfsPskDVPNLHNWb|!VGY3p8+i(lUyWRSF zohvJva+3JJk>LrtMN<3T+#zxdxAq4rD=e4qprKL~1dhJTBSv?0BjV~e?u)viQb2V- zM6;T&(z2?>r$M!o!n?A)t~kx}Z7A#IjmhwR z48hqF-EqefSV9@eu+bPpvUHGu?XXE8i~UwsP!dRqG)(zaRro zM}*=kL(cP8DQ;bK9nT{bi_dSh7g%e2@N!U2T{i6wbn5}pf!n(oaoyqc&x z&k1WdK=V8eGydNj;AYx>^} zZWV5gQ(vJHJ79(${F{190V}-3EYCrg|j8bZx<+VE>D;x4Ei7t5@{x7YrAD6Q|sI(3qoO_PGou@r{~lA>h~OJWSo>t}wn=(d_sqy$6D`}Y9@HeR_U-bjj}dZ)og2VD z-R$}@lC&|B0j(e3epYgC2VfkA7Sz+{)4kj4t>e&3%<7U$@oCLd8-;bzUM1NeLx^cy z@@n5<2fgI2s?zT5o0)uQ`PgCP18kqm(#FPrMa<7SAxWZ> z2@Dc1a2OtY~`3 zd$=28z6?aNMQ&wSDZK}JI75nkXees5Je+S!uc;?7oLZ=f=t_idYImQ9+ey?b1CjaL z#Y9G5#kWUAW9tQ{3@oVO-QtA2N#*h>N1{H_ z1tw3jqjO}Oh5_5pD+mC9rcc+$TSE1VK99m+%yd*Y%O>^nK3ZH-UhgJEA`=!*Qnv>G zU0;p`Jbb^QrvwvU){$p3NG#bFr53sSP}4N~_O+i0&8Z_L>eKx*gQ`BHwdxuE)%IGB z7w&ACXY^Jg%+TllQ%#j;@)xD|$PwALF-9(*@46imR(rXL68rwiIWDKczh?K}4b^&& zXsuzQA6SOdl4v)H4}d+NQoNn>U%9OKwX+oI_@R*7O5B-*X`JBR4uKlkHY9=1cj*2eYkQJ|;W{Cb{SIRQuCynO7!=T=d>qv7i692$i#i zi2dc(J$%(m`$qLGo!$*2I-qX(n|o!-JHxBX`uBJ~HX5-nOM@KpvXO>TzQ^|kP+tB7l0nJ4dI z!6xoz2Xw;fnb!Gm*e*uo{E0`NvqYifM-)=Hlkj#0nku+~e~RBA;Uf)1ziwK?bM6f~ zBDBVgwe0_LG;|VhT6{J55KJUFh3+(O@t~m(w6=8hDJ`;atZKQ}HiP@-E=!F=RC2&1 z(qG%{U$Yu{LCE9{;xcQX*^UoNLjHSr|=ZX6*& z^t)g7bq6p%7;-NfZ<<%#{p{%3^AX@Qp3XtJ$T@Eg#0-`ynSnJnoa3k z>>e}J02@))*3G0`cdo>8D1nHVW}P)%3f*fjyovdcy+KsZm(f>$gm=r;Ca%0?xoTO^ z=mRTqJcViWXnq`YxXD33G=30=SS6~$*?Zk-p0SJKv`5Sz^T?_WH2gIDa zWJk_bD|O~0ly1zkkZnp?FN=;i1%dn2&UOP2jO4UkF$Fr?0PW20ugp67lwrSDpfGS0 z%XXCT*GD5R-EN6E__9Pe5nC&Z-f#^0bcf>2ytjDY z(kwgA)*ZS!o^#wTb6a)(L~}?BT5$(~Urg_4i9I-Ug`EDtAv>6u`&J^voyL5~`2nTu z`eix9oar16pe`X4A1M?{xvv~;GUN6ywhFD~eZ&ne6mK&UJ@qqALH|sLRV)QP)Y^Ew zdfbO_I2U&9|9C!jc&c>c8O8CvgQMab-TTb_ca6@zMiDrb58jJzeuVx%1i&g0}=kw~qU z%8vsL3TKEbgzskBCUi7tMi?~(12S;Os+@Qxt}p7O`^h)_IOdC`A zr-5rm<@k7p?}4HfD-7`g;^xu}2ds*y_L}n`_r!p3loql3)HXk{v;RN2g@%VLm$dUEHUOZ01UabP)ulB`!9_TsS zommqf*iJX3-3RL!zu)N|%LTJKx;XLsMxcCq|sr-6;% zUpd`bfEa-I?Lzs|hgwfrjy$#DR~y7w(B?xGTh-crF+Od^Pm;6B*0}$2_gduG!;U`8 z9f|yJjekIg?02E3?X26=?$g1%?|+V$Z!LS`8YZ{BE$lw}?s!DknR6}Botni5{=&dR z1c8~vCGP?d16N-v{rBRPt~(vc4$pB!ZP;H44z2rRc@pG;T$z451y(rQA(k_YWP;K)$Tfc#xVq+|7Pyf9I$lIbPXLzTauJ z`#^%WPTEq&&t#(Z?6UdYqfY0hAa<*G9#lFm73k{^b40VDDko9d7xvlYhxYOHZ|1vC2HNORc;)Fde{6zC>3HxBOuYu&a5C)oc%j1W)M@HyB7N%!-ex1Scsm&a`uoSh zu{n-`rpG=ft)3Riyo-lThY?QU)tFbU@1MKD`nBbB;*M{= z-*x*(Jpg4E@1S&0U5RtoHEp8o_Ay)5MBgQ8NYx>wL)9a%xa`N3jknMi&T|eJZOz;3 z#V`06x486faOOVHE-cz9AsvNtvjIti6DQL+oi0MUGXTOH_+o3s`i5E=N2di@>49e$ zFD>t`>Qs6o(cNZHOFByYvBA};pWH#KEBvg|P22FOV`2VJJ|*3(60EdJJyrrS(2vO) zjhVU{_C1nV>Do2^&{pk4pbu+o)?XOHN^XI7x)0!IAg9ho3F7+#PH>orj;XRd=~^BqXDx zsvJW1;tN}7go1x(xl_Q6J{U(Nvu3DOLA+IU7!T!lZff>o`M`BY+TEGC2R~(?5tM!K ztIW6{oC=jJgw{Zv)DM_0(Q?Xt7W+Sw^JN=G44~=AwZmT}+VwE( z`fXfSHFd)t!7RWc#IR8`1hK?^woq#W|@ zX!wl{s^@F2-;JdhA2+hd3_^0+`qZr-P~6=o8@Me8ww$}4;d_Gt zyr3f1nkrGSLXfXQwj2i zN<>zKJ=hZf*SU5+zx~Re_h2R`_4?SoMsYhv!xD&Lsh`|JCia7!)GEUZeUra*VA0vj zucspa(~E3iBve{ZE&ofN&PyI|#bvh+ir++~XObur%HcK(x`@jD=7hiiHn;pFfGJ4&< zjB}S|WOujh;|4+&dUfgS3y8Nk*SB@=?_IHqJ)CPo8uo~L-&Bl2RTlJR8ojcsE`yCv zw87AKmsqIK{kZ)IOh1r(=G1MTFy`71K;M~jMBRPdD#}q=3R#}p*AFpWqa%WN{FtOQ z7rncG`0wuhy%$#SCsmv0A4#(uCqLWY8(dqH$y95ye#+NSDf$|pJXV^%Xn|q3RRiR- z?d0GKB)@zT_=&XZw-?WoGp6(Pt}O4wT(4(4U8O9tk8{FbSQpIS#Q75@fSoqeo&1nK zsexoExc=h1x39THqvNahLqdZ02uu{bGJl?oBzMb}qSX%LX+~QoV^*7I7aV8^A zyC- zk!S7n#fLEENgI>c1i2Vq9_frHgcQt8(TY*|L^+Kujm6TwZvFLC-A3u9!Bs@SsZg)B z+x2y7X;Zp1v!+%v7ekqj-%OWJ&c;gA){hFnBdGOlpX4e-Ie=h7pEFrj{LzZf3SmXN zdsd4qm!d`q7dFf-+V3UH`QE{8YbBY0VJx>^R)cwWL-d;OTYFj&k_iAMHGRzmnzvF)meBU4t-C?NuL!*O^CQdn~sE$ z1Z(d=+wGQCR1wW^1w+2TQ>O_qwBVEcwDM|`iz$)dyF26uTN?eq$yIMdU!D4oB>cxi z-Y43$?fH1W%CO<9>5M^PDa9DaOKM9Z{}cJoYfFkV6`A*1agj&W180*x(@{Ojf`)qmydvd{$@G~mT3-5zl?mG@B;OU^LWk|cqeu>rv!BXg&pp3@8exdQ?s;`yHfl0*Fe^zDD%e>OMZ z3vb8_9Wm!?^3|0Ui06Rl@iqf;!6mdxlK7qq7qz)P+Ph}z(Iy516)PACYIX2+KT^YZ zp7dixjeinultCRBAi1G4QKe*HLgGS@38B5+h2j zoZpT|6KM{|Q?%`-(^{m& z_0#ewLcsv z3U8!dw%*v2FU2|P%~Z94z#1hMThedrw}hQRY*9(ZUc$!&;q-Mz_;T-frAs{{Wr>cLY)&F+=C< zi^#7AYdqafpUZzux3ro=)!e@QZQ+g9xZ3FQ=`q~J5$mfuqn9OnE-K0Etlg3>vdu?z znpWzbhy4t`L-e=8#kU-hutBJx#|(7Ie~WN82i?2+TlmiG=v=%~OtnO* z_s8oBG7?kFO9P)qgzx^zNO@|UE`ZKWD<#P`zAMp4IcTpIaArl3Zj+Or8k?8)7mgc{ zOJzx?9O70=%Q3SglRLL{%#&W)^XSq%jx_X3{gdMUWJ=~KIwW-F%s4onhrc!;Cmy#f z)WkD$*V}d%0Icp?@b`y(KV0#0n6>4jRIPweurdNV_ zHg`u|Pfr1vw3Qs^{utfEQ-5UDnu6|dYU7EGapi{MuM-j;>KYby=ZtF;Nac#g?*OVr z#h6&*30&G+r}0l5S@g*sMr*sIlZBZ+m{NH2cd>0avUm69p{}(Fafgj_vjX5&;i zBthc%|44-5MuRaI0ypj+))U>6i*MJA^Mv*CfLikAyRdU8f>$87_F*odV>Zsev) ze#Qy+OStnS#X?hbZ{Dkk#P#JiXW)wG!u4z-UI76Q1P~B?QaAhf3FOxl^ ze4p>$eBPxp#auJw)~>77erxed=rRjVqt_&V=z~h6eZEWFfUKBS`zi+qE_0s-gd@K7Y33S-OqHgQJFHrzbc6Gh=KDTz?t6zic$JQh zOxG_9b~1zg)n#FZX}QOL3;t8n?_`(gM;!^r<8Svel6S$j;&eCjU*(0+UR~s7 z4@vjnF{1$=Mz1*2Lc@vY=xu3Mr*b&)=a?ZrJ+GmbOi0jA_Z4J9{`79rcy?Y@ntyQN zIo^XW=Z}0D#Vo!z(lXAOkMXp>HvTlO4K9@!b9Hez`WX1O-DjWPYfUpTMce@l4N~TT z3LUd04qt5=kyt;Rl#5C~GqazsmxFx~0&m^BO64@VO|iB+e%YK2-@ZzhZ*N)g`yd!I zeHl_qi)K0V&K%5J25CfZvvAB@6i;tH0xP|@e>TiQc>A;-&0x_ftlrOY!edUhcdB}( z#}r!}I`Ek8c)V2_FJII2lAFE(j~k91#R@SrojA(1Wtc*>o&c8nxAkED>hlU4dQ>KeJnr}*Fpm71cy6*l}Ct5xO8JfPe~ryRzWoWGL3 z6%Q!u1luO6UDd&D&zPdPaw=PYu8?zeqFrh9{=?5Qa@TZo`_LxJoF?fwVwU}OPD0x+b5k-U^o?QWXowPV3Am zV1tR4;KXT3zC|~!*REvL3Fo#G?O5G~Mphh9p_F6AU-M@u)?CF|uXjgPq}Au-PDx5~ zgxD&+d;##cy!i89rgTsdl&+!-jwzVnXt}R6amzBcGXn;%8RxCGO)}^IJFV!3A;G^L zHB6N45F_-APvoNEq_}{8!K=G;h<@pKUU6(rm@xESUv?s$OVQ{r_;*cR%EZ~UhHT7;7_uXji?@}5N3osq3L|62t4HSu2& zPWC-*H@C$Ydp*54Yy>}`kE?*wVeb)TV=!AJeTw31jtK7vMe@(Pxc)ZNGhc+)n#`7l zb#^Iu-H&mi-g;+TTKp3!|Kh(Q0aXr`m@m!%On(tkPH0bKm3O-jZ<%$qD*nPE%F_SD zXtD294kONuEE4fZ{XRc_Kvv1n6#J_zxzg|9m z*>n)M%$6`mbkoE^zNbpWl?Coq@4sK9(`0+RI!!^Nsm}Q<_+kNE-7oTavV~h=YvsP2 z?7qf`@$0hRe1EO7D|HU@IeZQ0XW#Kiiz|&#&$(R{z_FXTAI0aS8bsP}zA>3duF)6x z)_JWB-I<==rAY-CM7){rvp0MWzQqZ>+6>I0j8G_M4B=b2rw|X{kX!N}3g2s5IRA@3 z>}UDp-C%mp3^M0T$$vHfO-VsN;9VW=VLNRmtVjc`7!8${cP4|{*iox7+tnqr-pr~@8F0RK zC{7^aCKvg@stnyJf8Fk8X0N0e2q!TM*ZCNC2nSVr{#coACLRI~_sBv~@GfkduRSf! zx)_ND;ej;$uSERvdo@)J!;Q@4ur0iD-J)^4?ihy0sf%x&Z?^2%4q~{DmvN1@|VF z8ZNV{nQMd@8xTW_dRk6-q`|njK0PmgjsYyaqq{#es{g4n=iow%lBq(A5N0Aa6!P%uWxT3>!oQe-r{`?P_5HY?%NpILgbPK};edkXg4xBfm z8OHH8^590(4K;+)uAN|O5OZq%T;FWenjz~E{jFvp8vt^fvU2K!#9aMDpvkSRM)lnh z#~ewVQqfwTZg-*OG#;5Or*uMg%$F#e>aI{2d`9LmE6PL1VfPO>j{YM&3e`}RBGX|{ z@UQG%#)Nf(q)n0Z)f6Q5&TDE!^45>AQ}0KaWutT3jdz7=MRkm`LLjG~i?HNhVqAxj zuKfP}aBOd{t8UrECyTP`fldB_nN1k$w^2g`KNU&E;!E<6*SY~@JOw_3(4}_1Id5}Yw8O~Jt*RfQEU{kgpvMOx z+3&Mb3FN7nhrY2869&5$bH^q#G z3X+8nZt`uK{~{LI(4V`%Hj}%LHJiJy@x#9#)_%a6%l)Js{l?!HjY<>z_%a;lreSro zxy!$|7Ix}r4hKB5&E9U2ac!-O411=VbvL`0ueisOgVJeH62?0;M^v7-NWzE*GLFA2{xF)vW>cP z4UuSf)B>_bUri$rQPbxUw?{9yme8}${cj0xdzOW#E8pDBOKkq;1yHp61t8l7W={7- zkgSUBqLb0n@s?#%Wnw=d^3AcHFU$JMOXfF+n)+F)AoVam%Rwq4|gI zABKOJ{$UxVW&65rob2W-e4)`Cq&iESG-F*GuAwaCT@$t5$w6XV{rCG;+!*d!wdUJk z?mkzP@bDvgDBzq99(P$2hpVcdqoKR{_fcP2eV$WJ)|Ll|l+>~u4ad|f8qTpL48XAw zeAs<0U?0c2*M~o)h0aDqWAf`(eA0%r_o*shVUK<&>?sE0nVxSI&~ek_ouJb7h`=}n zFH4MaAM%F&xbGV>R)&&jQ_RURdDZRl{sM8m7hwQDd5G+C4nekLecmsV@$khX$VGuo zP9y=`VvoWWN_UNWrl~XCDI!!E!4Ery)mFGQk5}Dd>Lg_vg}R}3p$&w+2a9)conKFx z1T}V;(Hl;GG*Gg%*iUE{2z#)$1;1gxS83euFW zIpnYzO#9-K+WGuVL55Q#pbF#B3gq%zM$HCO3?&Fo5+>}-HG{}Q+Hvsosx(HY@hYOk z6sv^0je6#)OVnA+1$#;eL)l&}O}I?-BCgtwkMuhDSe^_P13ZT~^!q8lsu ziR7Nz!87gBlpb!n?Op4BWVho(?hdJUWVhu*ZiaQKkgA~RC(e}X8@km(b_aTN z6~ZM?f=PhERELP!2@YjX{<)!E68)UfaH{Zb0>(ZrW1-o#d-?B6UTf6ZzdHF@UR4bLjU~z+CRs4LFF$ijZP*!@Jfw!v zp+w|0Zt2_-%Qt`Qbr4>=0)1`wqI=5)+mpB459VmsNinHSd4Tl07eA# zKn1?Wm)9OoZ416hJxM)qX|c1X5PfND~_Hydy43KdzB6^G?a{2z=-jnGcQ>GHtUa-#~$rWtE!r zd3%`&5V!s!Uydz;$e6p*8T>=VDQ%D8Y$-Yx$CYBtaEkOf)l_UXFjHXnbOPCk!4*x- z4r$mJd{3#tjg1IPK!v#SWlW&@Rn;UQ$xG1oaSaaJp;`@8N$Df5SMr}ydn|18ER=l0 zmP>3Qmb$BR8T*|_2p3v(o5Sl@_G5-da04$?scMCs5iNfz%3F0M{);&P`-b1%rl)%g z2|Z#g8Xq0S5iqW2<*0>wVrT|=HsMjY$V54TezuWV;uexx)h5)~hUe0PIe{wG{^)w9C;=|U%icuwz;Qt{;*@G^&=!t?6z@*N8R-<*ugFfT5z zQmT9weTjA+Up`$dK{?kCY{LpKrE4#&cK#A*R;=`y`OClKcG$l2%IL{EM6(>qMp1Qu z^>}&heiUe#n_exvp2M+x==^@+0cSM06}I;%uG6&of$w(VjXL(*)$uny{y$p7ItRXP zElBJ^*5Y;N?1kHtImkj{T+K6WriI2SOb`1+N# z8$(%&xpG9>t7-&oznY`o2X6mLeIdH3wx~7{+ATN+gAg?iH zJWE;+i>ErrkWn(4E+u-2icnJCS1YD>S-&bnnIv7lHvfsA&^mhwdCn~TS_NFE7tNr z+|gxdiMAn2B?`WHg-Y?3ngW0}0;?b-xL;cN+yCyBcR806rq|K{%8z<=Dw7$!tgaLIHtP|A& zTUk@GftXX5itCtlGdlV)Z@;|`Q!Q!_I&>YFLZB00eb2)|jxn{tJHX@LCIwlBvs(kO z5LqTjBba<-MV(2cw~AHv`e>HR2S{CHHcg`!nr;JQ=uUk1GK`R6+;Dj;mx7(W0}q3R zc~d4lQ0bNI4NaEH5zFg$7V7OYf+j-kVTJ1Ohr`A9RWn>jKC}2&tfz;11Ni&{y`BO? z!JnedMpM>5q^!Lt{06oVw(hOpQpE8?9{R&Zg&RUW{jUcZLmsTdQizJQspH6oTsv?v zWWmBZ^l@L>E8(*Q>|rXORDQR?Fhcet$yW^n&*_3$12JCuAgAUdP78cl=G^CQ;S=g0 zw7om6Q{8+c+?6ABgWmoL;}3X|9&jb(L%rP$lN0_h93Us`Lbx>4|%b!F$KQJ`}VvV102Dl}dJII`dtsz5?_$(C z4L=X!DEpD`4vKGrteM(t`91jUeAC|X@ftro3pPb%6?-E*x+%c71GzU5zSs26XK&`* z0vlI_i~F+9eTSr4FG+jho*Rv)`hBUZR#VTT-*3Cw@%umPbeYa$17!t2Oe6Y21|CuG zXoq~bB4tCaNzC}-@A2+@vYp`OI93lmGSTo0KhTL~It0f&=0Y!+Lcv9<^(v7w03*3~3GT>ha*Ph?dnkxz z+$1%ZNa>2R)GifErk+P8c9w*F&xBFz9vD8c=faRuH|IY#M)xw7P!G+l`nz^SopMZx zZp454Dl(UeV_2$dK*BqOSL#?cHy2Vmmp0#jZ#uaoSA07v3*miQ3k;=$F#`|rGimpl z4Yt2S#Ze-OQA+MXa7<5``8?6#gG-Ji_buGXnRz%4Z%~fgKEUjgFOmcr`o6A#+3?8e z8mg{HGNiR)QOvDmN+Qv1hW9yOA?fv|dV3Rk6*y%3d!v=Jz*@wOQ+E5_jH~43CUAA> zDqhu<$2Kesmx;T>JI=!{E++BRgp$$MWo`Ms{AtPMrjNg=Lp}e{(ck)_*F0xa8x>~p zH*#FDhw}k>Pxmmn-#R2T3SOnge?iQA)9+Oi_)r^U zjl_t2n1-e73otxT{Cif6m_j5E+c)T0B^h>S z27aI;Dj~#(Ra6$Zq5cAn(^0#_F%&MTaB%K7~!_xga|6 zSpb9Uh}mX_p{r3m^AF3A86-XOqBtO-x(F!|JaY>uVh>AjGk3`RwHuV%GyZx)enTd;uX~T3X zPdcwsA@|qBrwFI2JAlctjhRU+rih7Wez*Nv6vpS-B}+{d_Ja2)3x6qryLEQxYjRRX zNB4GvBMDNOtXI9~-##5N5ox5H9oz0s2P_cJ_w=(sSVfi*FCA*B;`AFz zT7Ur3@6i`_kobv^gPN3YjETSbrQQybei@WV*`#q?IGbK^Qoqe^y~dUH{A)at(NESO zKUgGmdKhl(0ZbuZ+i845dRe`9?z_db;7XlJD-|{_8NHrznB;-?V8@*saMz9&%p&UXn_V8V&o5^x<+nnz!!I9;nVF7j?d?a=uDY|ctDB?el(>9Odz;q+`X2hj z#~pRxR$8uuoF_W%PZ^MCF=ahecNu-$H)_@Eu!2GLm$}TX_-dTcbB%9HyaVuj6iS8H zeI0By%M+&=-DU0dFi9sF+l=krI>#5QnU2HNsmrY!bY@I+hWDdO?LO>jTSTj87Gx$}(MiZuf_n${8K$M;DgU+Hr-I@2>((^A>&%m?K)G)ov` zlh;89!l}pt_v1}acDk|mygw+FTcG;_?h^O|t89sZi@G{D;m7M9|F8kl} zMdsPNTbc^Z<|&onp7m|tB?Re*wooJA`T{~KN(ZQoP(&^tmy?AFIw!AT2O$%tm z&mjRgpRrG~me6(TKJM?bV!Y)}s*mqft!TDqYxLYcMY8m)X-~#btb_R2%F<~n-uV%x zZy{TnN>u^_txS<@g-^-vJrmybC5pGhA!F`w|GXT@+!M}+JH)gbNGlS!f&R3X-RJFQ zYEYSuwYM{D2Yp~R)vv%?v5vhO34e^PC@%t3c(N-S3e`EcM(H(8<%)b%TtbF5Yb(V| z=U%hh;0@br?+bvO1!Ktj4K178mKbTfPrl~PP;7GStn8v1ha}788s37QdiXFAOsti+ zAkz=i?oIZ&COz`(TTL#lMsZiVs?JPRI?7zC<1Leq+X2$c?T42$R_==MX$;FQM52vTjU$;&J?zxoz{^V38%`~4=?3)g_1U0Zu9z5NmFRmw_lvNmwdQfGV6E! zl!KfDiNj)xUL6kI(xI99natD|G~JLWOX@n^Ww!*&Z!9AayrIgs{~8M=tI9e)!_`zm zPj@cmoPEhwm~gFGaJkC8v{{SFe|#SwIGu^*C#Aa~Hdozs>MS11@V8q^o~t#IZ&`IGzw^Gnv5qtQaCSCag{XC9G4Om63FpdTWceTs^;7H0 zVRYlDc~mY{Om`{F9{=RcD5lP9{iX>{rjkbJ4aUBw=OClWM{7x*?zR@8+LdMgea}(a z6E@{zHJA&sl3=7 zrR70no94&pd#K@fRJAXC1NCS6-T3Ep>&C=!JDLnR;at_zV3i5K`Fx%ex6-M_PG_z$v2&$4Y^y{&yqK1T1Jv%Vjm$A5nZQ+924CK%dvVuzi0 zM3`@aBbB=aY_V4Uo_()HRvuVupwkbU*xntfd{VNH>Ok)EFpWJj*5N#DS!kWU`v=9YpaP zn`}nUxN=EBFi5#j{i)b56;|Iwr_^TveE=e{3UP@tj_!=09!r6OjfSZ+tnc>)-_+k4 z7Qz;K6Ed)6x&ENi5~-F%Fh(@X6rOi12{Z(7Ua^f zcLu8fir?#Cy|4K>%9@rkGYX(#eXA@NwKb=~gQ(q|Q}PTngl^O+1ki(7=1!^8gKG14}DHuynrVArH;k1-LoHJh2)~56$HKUBm%TBxa#UW^#T{7dV&p zGw|cHc%YXzIF|}Dd=ZNs4emg?doMpmiZgr}`PyJ>p$-j#GkmG}aQ;vJIMx^q&&OuO zIiE^^Ca{KKhlYLuPaZK(HZ!>cv(SJiBS6TtW?8XAgQnDc z&SgptZc_dyNJGA3L#O~ZnV2VHgP~&sqyRUa*gL1$@Uhv8Y2w*1r*0;6_Xmj>H+Vz6 zLmj{0MIqGdn&o+XlT1z`0D%$xO-j zhGd!09^G)O%p5@u$a*Eo6X2LqM2_n-m(Bk5t?DMId+h+dr!Tco9!LY6!mHlrc^6dZ zCfKe4NtL+B$S&8@{d@GxO&3q0=68axYJndGV%Iw5J#GU;xelO6u#QdF8j5Nb=0Uc=@?Bye2tz8Z?B zeTMY!6GBZ%K_lPW6@)>vR7+QJR?9fF#e?3j zFW^>oziicskhhKywj&pp1?Fm+cXTPxWU4dXpzDo$gJrBJ(4K@~BwEa4KC(tayY z3JXzecvGu34u zyPP9E7<0zqz~i=~fomT3S4uIBFRXN#ofl;Cr0_WHqA`#+)3ynyzisRLewIkikfV6 z&O7Y3{TM9G3Z2N#2q1Wnxm$@x`I(3bui&RZNZH3kBCS;5?y6IDz@RtnBS@a-dHb2~ z^1r;m3Q^m<<}M;kaP0gw!==S>Jy6PthP+^L?K zma5tuU5{hon5?a|yH9L3TZ34e2IY^=S_F-N3WN$v4TUMt$k<~O6nyc^$`~45#&-u3 z=7Ohj!C4ZQfi7nhphL<((qh2#>N!rR6pqk|S0KhVLb;3H=}opM5TpeQc|nQ)5@Qw9 zkrgeJg(NE_#Y@$pC@q)e<%SeQ%oUgDWX9GCCDhwlC@oXSj4$U3DzyJ88!UH3!LVBR z2<^ev8{GD|yygn8s$Vs2N4j32HWN1Z|k>iVQ`&2S5P> z7{VF|a$+mODEh-HMqcx6|4iX~Q#*W}XvW=(ZAWDAzo{C1GS05AGgHxKo>V?ZaY?B+ zhX;?pYiGI0ECdHZEO?>-gN|616k}2Jv<|3b>I_uuLKCD1E;-X5t%7P_?|t@r#Oy0# zMDN%ATZP2ZrK+@EZ&~f^7Kn#oZvoqD-q%Z@$HdU#BzL!qKeO?I^7$!}IO3pEU=mkO zc}I@4vB58^U$-xFau!Wm32&Z|DOq6Uhd$K7E^p|P`aTvpE2pZpbWsk^WGvxSaLzzE zT&$wd)=uNwwKAg7Y$qPm%~WV>%j20vT?9DhX_(0>!%Rlcv9e35xh6h3<`2ocCSD(l zx;xwaw1?M~^Nv+LjdhK+CNe82t6hdUc$@w%Bd!{d+}~_iLt0$HRoE=&l~nUge7sDW zFLU%J9Z{+6tlQ>LvB%A;bL3P>A~0S2C;>SZW8M2Gv0@fD9yusM=H1Fx^S+&1D<)dI!w!lF_o}C_!lk|STek1E z$L^MN!7Ss26Bv<>BS963CzA(X>kLD^g<;y^wbkzise4L2lU{3yt;Nbpn!XBGbLbrC zuqXHUOMRehS>L#*|)* zE0tFvT*Qe*p)|r3g{tJZ%5Hm@P$tGAe1*cw1@ zm@E{8a@)JW#9lnOaFeED?cs7u1WVXlaUZT|;zp2{I!|L{XVjWw5?>68{4Zk~C}bIb zCZ?=^I?$xrgonp)-_BNu@-u5*TQ}h%47L!x z#Q@?KP?#$|U&ICwR<@K4P&5K1yWbFbvM?{GuGZ4BE@(ffAvI*ku&Ai#AGr~dTf(DQ zHm?*;u+mV%eEks&0`mGuKvOggu4bK6MP)T#O%$lDg16CWjjJ>*T)7T}_@i}-!lIg5pXz$ut(K87(MgX#`5^nz*o|eg zOsc{;xA%Q2vCtFy)v&@#po*K`>|jXP{e#kANmg*wt7sus2+8+U1VZC!mNZ4p#ar2F z^CCwYdN1aTI`#1SqbHe=)u6GL3w-14CGM;Qi_te&1Vm_MeO=3I2GnfxRknXm0X1`( z`XkZ$BiKMcFZ#!uS-rhde=eCuzc+uqp!waZjnh>GcV!1)W2ilc5I%DCj!^;DrHD!T=cIEQDW>_MP3Pc6tp4 zh}l?6&KejF9vBXy7;|VL8JHm%s395u|5QK^=^%jR+W3gd2Ae}ua)wi{k}3pM0}mtX@8^XwY?FV%Ly6w70EUj!cbj|1)9+M4)b z;9XG=y7jm7{;%^_a=-k=%lh>Yw07UX#M;y}a{s>8VP8CNXrK?3l@FE`|Egx{5+im* z9%ot+0cETwp#Fa-{qoR6NJ|4dCL((#Afb7dj-8}Fd{F-niVwjHZ!NK(Bqylkt0z1OcGkBY+jceH_vL6=P zlLdnJRpC7qxmmUTcCk84u9zY4)RaY=R=O+w$-FQ~WjVCkHOQip{1H3p1b_@HX)dpL3L0@9~?j z*heqs3`Viq0ifZ%+rMzClH1t0&|o<$wWU|K|oohg}PY7%H`Klk?@&3j*UQHC7+6-^(p65yJ-m-VN9R6!~VYjO;>B6CNW zfTnm;$8gZaxWich94T+x`xX}KP_e#ApN~9Uyh%94)sG>SMy$aJWQ2XhXKh8U)hI=J z?sVV+a2vlonm&VPs0ySs>;$3Jqm9y-gRL!j5R)ugp(V09mUPCy!7Pt#(}$i88!G?!LpNf(zS!z zIrXmvWX<}B6z;Og$MiFa#tKwF&v2Lk97LYByOC54hFx+mWXa3fPF5-@%3H?X@8UiD{sJh z(7?JA!MZcSu7Zml(r?Q-Mlu8yl2Y@4shmkC}CfiKE_t z+)3t1E$wgK-`eBQrrFeDYiIoa@tO(9HOzf*1*WMiKy-s*AeAXn45Jb&@Wa}EfqjSq zUrOYx|Lo6QE<3%^eSZSCKBX7?RZT-97WPI1LUhpV??BsUiw>-CgsMNLCqEi17GC4B z0*Z7P<@U2A$1@!4F%-ayk2vP{BtCHHkN<7SG1ecs)8kA5LrQ@_N(qKj*Cz~=>ZIOG z0q)z42}O8Me%hqR{9L{>XBchfeN{q z3%QvLxtR^wmDFy-yeBKK8(HlSl_q2c9$1TSra5PvyD^xFHy8wd8YQ+g6|IFMr_cnv znx@Dbh!&N#q9#oq^ZQ7XpEVrO3mh=Kr!VC?Es?jiI(p8WfsP6Q(YY1k7ad+*2sG-l z2I^^hmqw?&eWuE6QgXUX=UUmwC0eAAjuHUTLPryTXriSHKrFnV5DQbZfg<{12y-(; zycH7&BKu^2Wyl+{rK6Wvc&QWslP$G{p?WopLEdfVzZD3oZ3Z8Acl|s1&V#6M=zAv+ z)QJB-@gxwG91}!{-xwP)_-+bZ%Gc^Usst#xPvJ#W$n|{`5DEVJnZe-RpomqIknbsv z2qwj_xhLrYwX*eezXvAHK0UMYz`ox7f*?i_Xp3sZRZH{Qy;6TGzQ?f^=Vkg>5t62e z%g)LHm)eYZ@<@tsZ^DR|tnojWAT|0B2u%j|B(6|Ly@DfJU7bPlV9O zd_2kPm2rWQmmvoR$D4vG6p08V2N9x&5?=r0{EmOgLTU_v65g!2L)T8I}8 z;ufAd-wb<`o3Tr%ve}!+-+6LiDCcRpKYDz){;j-LQ>H`<8^F@x34c2Iu|>S-!d`d~ z{j;8f84e=#M^gG?x%*@3LkYj3#T&P?AYEAZ4hZ%V2@I$afv5kw$Gz3Wr}xD+hYq(4lSCmdKed5f(XlZ8Lh*=hU|Fq5jM6g?&y!j3Y!-qt21qF^Q&yszSz_^>&<9r4~ zdWJxH21j~^MB;}b^1&0~K@{P^5aIbP@<9~#VvKmpfat&EO;U28COD8UIAEwRjE6ww z&J^ZG73RhjwgN8FV@f}irSmw`@k=-&u%pv)>7r?tbk&oteI63K1$$ggg?mkRVe2z* zs;a3eH_PLCuW9w(r@Ye8<*kujfXTnw)bkPMz@?xS*y>8Ry2D^Iq)`*RT~*2aid@{` zXpGKluywy!uWs$WL+(?{zZ~Vdt1_SjPWM)8@aHi+C4{gYnA(z3|BfG0&6Hl0bJ2Q~ zeKspF-_-7>qBb|FpSb^Xq)>3t;_3-MJ6r5|b}|b@dQ5*S+^VUEPd*X&beo9iyRwid zpjZ(z;@1K*N)d=X^@b-)1N@^_9{$0pwnp{-D5V;FIpwt|#Lk5m6N%k>s=Tacmk_Yq zRwz`*h6_Lv6XfP2r3B;#!rJsXfpC_OG|PM@m8au?NSD2Xp#?17_5xX4MDSI#F!^F< ztl3b4^RfS?%=)!2g^ekicd&R7!g+*nL6rQ+`^UE zYY)QKPh5P%?OwTJsT2$z?>tx0$NQq_;uh>s$E%9+WotAEm(1a(i&b|JgQ8i@MM|Pc z?kd34eV&vf;Q$N#;OrR7k^%SYS*z5%Xv$IAWZ`RUrqumC9-ViPc(&pEPN`#D7u2v? z_EvquYbnoPU004yI$bnwX<~cvnEg;E$qcFX6>{vo_X97dvxE+L9RtI;gxcr(6Job1 zN^`kl%eMW%KluNy>Yms?moL`(uT{;mzpK-fuHOrVzWD{d3)#M(;Sw8vF}2|5YWFUOsHp=Z`^}%Z?M#C4>?iuljYkNNI6I5rJ zdnf!M7VLe4OTU52*OO_^J%c90( zVEIt>H$p7dcrWkkEENu(JvGT#E;m$KQ0lHfDHF%fvU3la<5fH!1W`-WDy#j`#;v=G z-p;`2e$%{jtgOg~<}WmT>wU<@nE&I=s6yM{B(3k^9m&l;)OwYi(RzON&-@|sxSuhL zmHlvZF>xA~HWJ6XdKIm6)k%jRBQHiP*Q3q&sq*nRo36gqrn@QiuVK+pWV(4~i6m}` z?H#h^pg_+HIx&7E@n@!imFb7&bc zp%`T{b|BLtn(dhY6gy8oPuMqa8PDfkD_E8R13Q78)jnxxrN!XL^oK>{Yo(z88d)&` z;f|{^bNw5PWB&_+i!P7d>0AZ|N^niy{^!~pNy&i}3!Nr);y{6T zvUoi!r_LzgV+=@G(2?qe#mC_%BrA42+z{Q{YwF%;;qn+nlIKl|qFJd={n>qr2 z_X|O@8kR z^xYrNJ((UsW^+S4CYdLiGwywxJ8vQKj{BWz@ljFgDG4>$d*HjxQwxm9M6_uFyLB^_ z8=15lRIkduTn(HNg+A{PWz!Q!0|!LzeE33zF)S>6kJ%^ws~Fn}?DnI$euv<#JVjFu z*{-D@kzx3`p)WQPZCn|5cQ<+(-4%lxZ04rEG8B=9-k7GtJ}`dTJLq#ST4EidH^K?O z5JH+bsNaTR^}S!pnU=x^lVyR%Nmm(ZX5Xhzl8qS3JO3|d)V^g*mJpXYl1;)$ud!or z;9$%SVxgYy!y>!pgiH&`pNMVN2af=mz^~2Elqw?GGEDYhN%ZEDTT%mhUi5x>PBHk0 z=v-y@%Y@2owO%g}mIWop3M&F8(metss{GM)=4@Wx^52OGZMlpN@KCuDcWghu%N<~# z)wYGG{kA7{e#9Y8){!iwK>zEr;gQ@$yNgMHL)^ zR>VXg>_kH2n7zLPFF1~(e4q=?|aeZim#{HBKFk$X2`^?w3M0=E5>LEd;z zhng55l^GENbBpAM;ZE@tqNYWtt~@^U_6rdYM2Ka8kg*39NjEil*7OL2(nw6w$|j)h zhI}d`3_Qo@3J79vuFxO}Xdu7SKRHg_O%dBRaNx+ZN`zeZi6 zbQ%(mBTsjko6!%s^Wj0@=_x&Yq@Y*>SUL2{u^&E$A(A6}5=Ds~krUW!(ATh^W}+-Z zpi!m>9#2Y|QuE2}e;2?8D~a#A5hL83NUxB>L(gBqnas|)i1Iy%7vbS1l?0twAKj&% z#}#SsK+zDZG?Bh7B~Nr9M*5$E&lTKJ)Ba+SOJ2pqQD0ek4m~JUq4#7mfeh#y!j8bW zx~ywEDlC1-9fvp5+mk?8N{6x+pl{eQ_mRRpV8+sPm4M1(O0p+f*WW-IS>EwHB}2y# zE8egXw+2H)t2*4&g2aid2Ki-YWewH}mV~y%gD_EjHv{>#0STfkT5ZG360?+y?hqvLKo?yk~$Mn!c{ygtv#=3ni z?3fm&Jfpi7cYIDcrNi2)-GvxBvb-Bm_^ec}I*>b59S}hXPa>*aPq!9fdg`6ZRhE#w z&iuZACDP0KbF(uPhpunOo>@~78cVvWWqMpU!@G{#Zr^_BR=IB94_^QLaL4CNCdFU* zbjRC!K{K?G;cC7omV16wMS|*!nzM7zvZVgm*$1K=fh66~$~}}x#c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G_f00000007Se00000 z006K7000000086!00000004La>{d&U6gLo_0Th%5p$G^EltWztyVC6L^z3SRoHDFf zM8u$h*^uOfJU#ASCvLmt$3k;~U%-FKPv9?cLnK)~w|gGEa^yl z`1oIpPWyGcodjgbs>g;`+PL|~>fAkn)j!bsJ8D0N)(>C}6_d3UmafpGR_>4odg9BH znR-=!PJaucvGo>q!uV1P9Q#e<1ROsH;(%KxR6%!`FSwn%w>o!UVD$zru8viK|LLy+ zfuB$@XA$W=UNA}bwG$ctRN&p$=#f2L)kYMDj@I_pDZOzc-5B)KZ5m3)4Wm76&|%C8 zt&-ohH{>lRoquBcs-+p4sZiv!mbOPy7b2tFt1M_+N|>6FM#mYlI(JW);S^Y{cp!zd zG#rh`R59Ze1fz{)VWih+FjbTGuJVp@A-Sd8=n^~N=7a+%*M=85bW5@(R3`l_&@+An zB&agFFsv*COT!mYdf5aRjjb=wNSL)c6U^}$&2;8Vu3VIao=`ZYjLQ%Te_9!Ct*puV z=^s3ElsMgZ10YM=IG$-W6A_Kp(-!7&^oj1lK?Q`9iJ#ich$;xvurQp5!+UewM@TJF z!)d>Tcd5A|3@;@D+?>FcHWvu>D0w2>A)tj=DPpWW#K0WY^W@{YMBq(e zR>NTBQ6aG?l2vG9>LTWrN~V0yGUpAjoYwO=LegQSVI?gV0bA4o^YFxoX90U*oe@*- zw6U8+W62o~G(1Ozv=}EVAud8|9L>FHYHr3I6Vh%b-OfdH!+~~aj_4$p4Z6!q^{>z_ z;f;w>l~BvQm$+a%=NEfN!Z;60@5#IhGC}{B{Si&2&i;)0U9iGvzt6u%fZn%9UV-q$ zXBlcM_mVbf6e_|OlpW;&oje~?C_moYu?XdiFs?p@m5*|;SfJ>tfqlA=f- zQ@Xv`-%RP27XW{-J)r*XRyx>fT7NR8lqNsZuY5|kW4%Vj@C-df69rRrpOx*cayqbw zqrsg?6uX4!Kvx@*A8|>yl@qT15AmSB&q^WN_cyFZ!{6Y2H1~aD?sM5Xh28YpwJ3UK zA-YV*N$_^JNzx{|f;be8J2Ind^K9UP@a)W{uK*pMIqEcK`qY|NnRa;$~oE z5MW?nh%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@ zk?|ppPCl;j{!U)5&JZoEKm}|J0zkFBxe32PT7;ll+#N&RU4x-&8QB>efZ}EwckKsK z3=%*LG8U@F#WBP&-qpv|*VPYUDl3BmP*v=Xhp#{;!W;r|KyXNqr=L5D7Lbt~V1sK; zmXrfIaECy&K#gbQWH11_GK|gA7ovC~fvI3(&;aT-_Hl~_83GFwH-8@&*C3c0ZUzpZ*d~Cuj zoIwHt0;+)p{y97S96~>;YU1c*VP{Lo^oyR6o{3P%#lqT{kogxgAtM_D6Eg!dBhxQJ zB@;(i3nLT4-v&ljgiM4=QiN(IhJ>QV7S2BTubg&wl{_Q7m{#O8_ZfygbU?Ob4rFzBhShp8~$}j#L|~BMJA8%hZXeRCUAGq z+g8f!b@O>X>uJ|f5CLZ)%+G)ByvETMWdm0R(@5<8?(3}T>g?>Qe4CbGPICS%l~?h5 z{O)}_tE#K4s;csGz25He!iYs4OtSw=(?cf>nH&38?)N=zvOQ@ms67wx_7s5sO8O~M zUA?_tcn|TyB7}hBZNRAic6a=Na=#f=!*Du6tga7qLc#nfQI{O?F-dp`r_Gkz%-h^> zaroc->PxYRs5d5L8>ILByabl_G*|h<-#-Dg98i=d?@0+y;_}E9tVr_#Wnj3+d1s!C zT7?;={q0o~S#gS6M@V!>AD}3{HxgxE)l?-+5H-z}GM8#kbl2y4TjCdsQgepEHvr{1 z90G*U(wU)i_UKp^czHPlJ?B584G{~asjW#=bTn;S$s3w%$F1p(8_`FtE}8Bd+#>W_ zhK>)LeSa|h1`uOLjR4EWFTczY_w_#n?8_}A7Y|McB|4H%h?VwDs_!JQENLAy8X|L| zPTvjK@x2tEX|-lexNIaoO?cGK8!0{szvDyQQ|9n>Q@v%f>x85Fqi0}|AGj$I&tSS#4#I(S5JFR z`21sL59b}t%htOqH}n23NtO#{z^fr;V@^zLXi3u0ym9YECf7#_#mlBe%!F|_#w(GL zSI_wqn19^!xchoY|A`;+((bXDMfLcY-gZ8a!(m39OK}5~G%G+;*{#r_K%F4p5aDYVOuM_RGohRfXYD{Gb`r0B_?M zOUW_|H4iW&2w%!H*FfL>bk*m}81s}H!KQ2+S^Rylpwt@0Db_g$rH8(9)S=X8O|aQ_ zp5Mlu`3c9lvv_|@ps}8i(squxIOlenK*mtTqsP9(@4_3^9eUvyF|b_9lipQur}*lc zquY7teb|raW+$ReZG+x75I43;;0Gf0F@3;0`+?)GH>nJFDr&Y^cKt=~6sFF7b6FAB zz|K#6&3hlype;UrR}msVF^ghdIFodg;jA#Vc&?vFr3^iJ@361-vQ`o6dFE~DQOct; zr}f=R(>cbxqd zc_lL&{`K~FuzaJLhs%#aPnR+aldMU$-()SVA_IO{Ui&bLk)oZ(RP4l!xu#)r{C;M_a_EoVlW;a*v6ZKJ#NczU#*TI7JK0m3n zXQnm7`t=XLKzZLy={WPNxxYP zhKd8J(;b3OpMK)cVq)0E!<~#bH=Ji6B9W4*X|7qVFgXD;^L_rw6Ri$U3C|V-gCA)Y zL+6R~_O z30&bqe;!$udj$Dft}H*L%yzw0fD5FD?_{#WJqjt7AvldtN8#rOaM$M1xv_2Z6ofi$ zc!H*@xx_S2(oWUba^{j(%IV27ytw9mt#%rlyY0x{ZA>fkC-KI3!W6u^WJKJ_Fw45+ zUGY4<9(Wg&fQr)24M&XWX+{IjM86ApkW1kEk&$W6p?Q4VzL4BIz^3kE?98J)=gLTu zc09aa`H;x>EvGLpg`fq?mR@5|mvQyxBr$)w;H-0IoV<&9<^r((o&LO~IR1_qDs^H* zst9)+Ig`k#4$adROf-Ow5 zIAz(e&Kq0H%E;O#rlEy>ba?@DLx?-8b8@tyuG3;;88vKoMW`uTu!UM53qfkYgEw%N z^2M=JWISz=LZ~-e4(aabAu^t!Oe@%%^ON|{s-MY|W?f=H?NPdf#6BHY_!zqW<2L>G zR%m2{zZkB_4eKspU>z_dk~cg@_^wsIAebH~0`UvBJz(Hum$2fpZJckv_|9eh6=&~A(FNU)(fc2R>c{Z?k3p9Gx{NW# zyPX%8%9@A9_wQEC#%@nLiiW;6d-Ql$=gd0Ur*pfdyVUi!Wif<2ufe+6nMQ7uqUCpiyQiZAl6GAs?EtD560>NdO}etpg&L9F6qq&we3GIn$yRS?Yl5ww&W1!= zU9C;=hT00-pUWyMyv`o3meM1N&Q5C3N{V`grQHe&nRo5SZ0!pa6lv9ybBV%oPhD_P zg~wV5OBK6vRTt?RTeV}T1g11GN&X{Ni{xR6Q^X|dNhSGoTl4O9_UUX>n9os#F?TM( z=;(&1q*w{F2>4P}bnNcluu)IXU~Ql~G^H?wy!}^&2kDhXbb~?}{-aYc^kEB6&>+ce ze7+#z_-&1j0w?2*mlsjttS}03iq=%AuzzS6Q68jU>|9(Jr|l6Cb!3P!vev-ceni>^ zQq>D&Q|T1Ce5xL+#Bhz#7=$y-&EE{antc~vOh4+dsJZHj;V~luLqvA}Vj-I6%0I&z zWj^8OYWFz^e|R$J=e~>}vKp;J#zo7v`*UXD$sEsP>C@tM{2RcI48nw1mfaix(Ga;96O$nJ&{9* z>l&nJvvsw}2qN|NAo?;ZcEMq<+3~ONGgQ6%K&{4}yU?3qHvSMsy%In-_HXERm{EWQ+?J{*f{Ot^ zcNG8+O_3Ui^B0k@3JbC+5%NoN+0}S_cOsZN-Kjvr{)O>^y?dBdRZ#-#8=}O_z(>I1$%tn?HnxWDHJ|~l{5Pk^2P4(mTkDao@2<$Q z&sc-LgU7I)H|`DfuiU*@Xqkn{8A^`M=$ za~aP{ufb7YC>Y0n`oxcswKL*(6SmQF&o#F`1?Q*zZSPwt?7rvgaSyptxhCe>5in&C z1-=iWC z?ghFGu-Wr2Dx)}LqS3&C28_D`zI_==`q!M;#1&m7KJw*q=nvsPME(%{L+lUn$T?ey z9~jk*V=p8Ut)HuR$kG$IS&a*x8-Pn&o5HOc+2*d62-h~Yg{x(9u8nnXP)*Hv3{W|3 zIN?^yLBN&i?GJZ9-2d?K!{ZN6fLxnrW#P^k^@4{Brl@y4ld48N{ZwmnWuQUbVUNzZ zUd(SAtmjZ=7IdE6inA$@#w~wbMLZ3G*QHSqWpq__8O28F-;dCHSFz;9UEG=e|1W0T znQsxGa+!?S>2gk|L6}IJU{w_25+$0h7MkyP`d|ViVgPQ3}^)mVykUd=m;23mkN_qSX(o$=qLOV z{U8~aR;wjOgsT%~gzNo(^oM)&A4QLteM%WtH}9mbgg`YSa=pT`LpC0Q)Mq#PLF)&l zAEbW}hTOI0E=!-S5r;@@dM6>9$^hHg*pah1W8&iKg8xLsc&Qo3LF9SYNh8akq{Tp|D%})n{Bx=yTjJaUo#_m-q(YoTSvvPUlM zWP~lF0%&+}kzMy+-M4{zQLUJJj_xoaM(mYbjIbI%Gi00yKl~{)Er_$tItOFtLdZ}X zN9$XMzlDRd7{v+#+O>0nOjWqSlB_$J+Zh+qrUi!)D-8*W+^R(LV!5yr_cN|5E0;~J zmd#G&XabC(X#Q0%4HqZI(kV7Ug)Cg%2FKZ-@&ToQFS_%sP91yj>bP7zr>#enj2(-7 zBWRtY0GspCu~u6=JckM}4eBLr+5|i)=3593^+tT3&BMT$_oN0Ih5; z#yVg$wZ1Y7>c@cl(M`4x#a5@h!V62?wb;)%j40@CxLxl{Lz;*RJvjs!oor6;lFm&m z4wQZ`>?HajVA;5g^J1m2Lj6D;@S;@PHde#H1aVj?*M-1)&ffXcQn7H?Mu-&jMjh0G zQz+K~#d`OOF}!Re>S;B1ZLoV)6gOM?->S1N<^b6l3<_;9Y5O>^DVrM zyRPf6zJG?0r6Tf}L=Mp$48jHxrMB_@A)4)dFZdvQKgH^B(*T^blTRD;W*+&R$sI8g-wCU)w|?0E;uq*~ZuKeDr@_OGNQ>cojLZ zdH6Vk^GPd|S>}hrZ!=@p994d8yl^CUKFl!y@aT4wYNpQm$%gt^Qf9G13)MbpLu{K# z$u(18$UQjT=lYQe&(SB3j7$-_dkZtyU3A3u;kOPfzl-?kfo#}+A%k5zk{)~Nz*$3p$|$Y-+1Ro2S} zbF&ATCSvb4c^n0s`OdIOfrUp5Y3q|(%5#T>rPo~!(WplTopiAE~l z0h7Y>_)9Uj?9N*&rL-2oU0QiH;S4&>-{i(Ng=%;^qmqTJ=+GqZqXjtE+M~v#K0k{2VDJLN|7?o4**0f!gLhH~+Id|~r5kk#HzFU(B+|VY)8=)fC@k#B`fO2#^ z-e;7;++C?j$;Kd}`v{y(xcFRoppx#bDo>u~7D~d!rL}K*i2L3=SW;ti~&q$1{W!%+`wm z2^NnDkyyq@e5d|Eh0E>Xee(9?8m#Wz63PXN#*OFjcHFHKE!?}&xK1>S%?DjE7D4C% zPcfo+^b99)ob#tX!{Og^nc;9(y|5rmt^+NHZt`6NzEfOC&JTyeey1lpdda4SgiW0x zrr>7XSkEsceGU-hJT#6LBeeqUJIDTmAlgpWImwd_>NJ)+z?Ay&LQM(o1kF9E4=3ux zSGx$Q^j*gOb5Zw5ym(i6J3NP8=z-;754d<=YMtQ{3pe<#0S8_Mjles}zAf4H_n!7q zQ^^kE#}o@5`a$;7fy73D5fWqeuEH`7?H#AyCfsx&kR8o8n|??1a9C^~%%5)Wt-uFp z;7|Nw`JshCC;E|$_3)fPBd*V+FHz3F0c}!>X;y+pJ+`9LQ^U`IyvX!UeIvC~$Z#YN z4$N+&Tc?0;ftetl;|w$@HQ*)o2RYUw9Q$~8TDv> z0G`|P@A2kn$rKAL*mdb!oK1;%`q+J*i=josxc=Ibp@eosKH2X>CjRgvk0Pe4TAuAuR0jK>wv2y!J2pLs$B}S z_c^vL7@z-bwlRXk+RrNPyFd*b@FLeSWiQ6h20brp7no+k!e@&!&vZm|@0{?m2aY|4 z5aA}W(~vWm2%@%~L3*<^3AOS6y#)S`yj@t~dL{K3Vh#UJ@0u?9Vi!LP{t@uM^5h zwXZb>sr~*2`5kld;QTF%NgC*X!m}ZH0vSyj!=x!S{|b$pf?yO**ZblgBQ-itw0nEa z7$rDR#1xcfNsPYAGY(*w7r~N<(7F4XVs_w~3C1v51x?vazQ6C)kp}JZ+Xke*si?cR$H@J&^Klh! zn|ZUNx22_LbD`C|{UAGaIaalHH{xbw==uW_1|Yara-+CCiRQd~7Qnx#fP4{{>_a=7wAx_l-0BH?Ly)&;M|rd-6zOxnpq z!OF?$d~nYRy(QK;79ni~m^e_kB*A4M$shcDMW=mt@HS>F#TBpl*8vDw$O{we0l1z= zBB9J3syFnaDS}kHRx61-^I$;!Ju@I(R?vg?3qxqQMaJ{gLw>359cCxUcw(1(wSR2C z@uieKZtgeZVn&|M_5pX(eW@D8&ho3tm&{+HoVmIkJGdtvwiZ0^-W}|hSjn4(@M>XI z-qOrDY|{z0BiR;hjIX@HZJv(~n~9>mz}uWmstEDTVZh^<|E5p`GNw?^z!rQxb3bGZ`Ecw04>v-?&K#;_jQhMqj z#p3$p)h4-CIw8##!|wx?A(U)gwMT7n!-wtYwf7x}fRf^D_oQUS$8S>U1P)ilH{44B zzvRyV**YCJe}^mbi60oV%!lE1vf!66`d3i7W^`W7Y1>xHW<*lLNgHQAGo_J60!2(< zdwtYD$QB(>{_qc(i;VlyDbv!HlAfez7gah1e!aAjh%d>m3q0P%_e<+PqCKVa<$?g8 z>Ef~oJMueIA&jJHVurr!S&^=}V3|IfTR&pOQ9vJ$ZHsg)!>@v=zs9rd|1z>6MOVTe zT3JsG&k)agV9;O!F@UfXrdm!t2xLo2o9nu(5S`-^;MYSM&I*=5)h{y(i}H zBXy7OPs_V{2wHA^c0arZ=Z&?b70bVP?2G0G_q}+WKHgtCnpaMX*&O@njVSAS=0?oT zN$+G`Jr^A)p6g#5@tZW6u3A?N)G@H%l&sACMQ|dUdye>0?s{qcQmoYR?qvei>zv!z zu&XHOKHO$&jb=z0{jY^sQ^EZ{2Vw7ptMJ%U7vJkL&wk zjX~#YyEd=JTkA8*o0DJCPS5S#o3h1E^y8%DsgBaG@`!!Z?w&xa_wuc&?_}emt?opA zsqfk4UF7k=y8Q5#0!r80H+j2{MDnoh zHLvDNX(sN$YMPJjljQaEl7I0-DaCHFZ#?asWWPxu^vl7-^1HrePJ1rT;=6v$taK`` z@}sAwujZrTSbm9*?Ms6H_LCK{D!UO8;#N%CMC4|_0W(h0u{i9TUT|n<&UfbLlYw!T zrmz3~DNC38W=BvrHmH$(96|^Qf3cBrE{9NcVIXscc=<#{B#<%Bgd~_58LHu{ju<)f zZinVqF!RfTXlQ)AzDF%$I3S{P6-}CO7A`olFvVFp@~;s!)U6yJSa8ZgpNT#c^q=5B z%ErJv027|)_?di3G6vX!BT< z5fX2+nB*-G449iEWeOKfrXz6>J@$hzgwimsLlsFt`v?vXmh~$qL5|7MN2(hNphKPf zHXjBc4@=8Z3of&XbW1Q&(o&oUi!{Ikig^bOOW@Ft!O0>iA&DJWTeM`*vna_(Ny*jf z;F@8Pps_Mirc6B&RLUuj+@!ib-Ws;L`dI4@UH19Ki56i=lY61pr&!kM@^eZTY#}a> zurJBybqf}Hf!9y5FU96(=gryuL)EN}Ixm1~3Kx12)<4rHs<;RO8KyoYi|}C930T(+ z^0&$sazWR7urKZBuNKVbz%OlMT*1uUESgCKwen9tK>vAHioNrh&tPAA<)^T&y9rt? zn16$7R*AjK&#$wry9rpWnD0Q;2p4TZtP91s(wM*KMKE#Kf!j2Qye@w!y;GY@v#zTNXq7JX!fCdNbwM=$VqH%Y(5hXig}C&< zzLcAfT{iCny==k0{0?+25z_)+FJoDkn&+!ofDq&sEcyg#Mqyo_6XcdG`h;svVqKRP z^!lk5+MHp*oFC${1p87vKc{fP7iyh?Wt~Rwy;w{ObiL1_xk*r~Zh;SGoh#;vX`aoz z8PT7uaN&#H9J6b%;dW4;5Ek0-PzecoumG6MSW^3VIOGOHN*?363pdamj4U!Fm;<n+=BYeZ-)Lf; z+;sUPFBy(#AM=}s*k2_WK!kB1Bm{D+kV6(=Pr6yq{5A5TEJC$FA2Dj%FMvUf?voC=}r*F$`uLd#xYQAiIRwNpO%lqM zgW#nfpXFPySdgwGR^%J`cFbsC2yY-3*`s9_ign5mF)CMG0e9xPnpGem(*ZgYE`2F| znP{)=b%ZRf8P#Yy~E@hiG+cES8sQ91Y{t=mB)P?T)^ zn+mgWl|W-Pk0$D!Q>EdVFSoR_QhX3UVu3lpT;GAEp*9PU*$zT>C5zHK`@K5?$h@J3 zQKAtEcw)-9GL&Xr6k4{tb&4B{dCd|y^`3#o6AeBOu0yCS2mVF z%#4KdI+rfXlA>BHsOa976Gim0IYBTC+rPk%AqIIsC2kGPkr#>rhJbkz6d)8MX(+61*#Jpu0r0)qHwtJ%qN8JTV~df8(bPBtefl**mAx z$NLsGB6dr_3^gEm_`#$UQDx(YNj_}qa8RS*u# zjq1Dm4EhwZPig)8MPLs!1QC_*zXmx$Jc_kpX(C$+QwmRo5)#ILGb{vJ`it(rEqqS} zYF+-5T;V>|fpRZ())=h4!C_93Q47V<7Zy;CWID)AdV6xC8^tJ$Wi@V7)H3yfrf6cN=Q zDpFCYkYb6dc+?8C#C^|4Rl`tXsrY?QXhm%X!N_wm35Omqs@gQdkw@fW)E@K^8Oq-| zL=hy@ktSPoe~?}nOLYTcm})P`6KsKmIIkdzMN(kXk%P-?fr%?E1j5g-0ELW{0dMU4rUNS8#sbKwP&G}cU22osEy)m4) z#Ofi}Lw*Jm2K2W}2!bGAx?CK2yuU$2HAi*0oCsE*jl0H~A$Jk9#O?OgpoiFLB6>8T zjJOFVv!0oqAP!NFgN?2EGW)jRzhSW7$7*Kd%`V>ec;Pa8B;&>0)&H(=ED_mN8YwlU zLoq9L6eARaA}y2jvXP`r3pBZnV(3iWG zakX-5w9H7VYE4rV$3`zL+d4F=RV7ukQAjs)witCO!M1R>%nMOy{Ko-@Mc;e{q6^2G zZ$Xj@23O1Pt|VO(ik?X^y(5mPgoz0it!$Z{vtUaHnuYRiTve@X?5>fCo=LgJNxAj3 zn4wCR9wgE#b+)${)T3j7*hykxG+~8GnNmQGT+Hg}AzM^-X4xYAk*YXF+o`kVh#W2=QjPWR}b?J~&Ld zUQ{Maw#7N%;KizX73Y4*@sj8-GU*3ek6r-4_S;Hl-gC0DG*=}k$Z(&oX~`Y#w;Z-S zw2$bF$s4S|4H_?1byb_6fZ=R>1R;Z~_C!5GPv?3htWUn|pLMC5gO?Zww@`Pmu#dWmWVJtSeg7Rf2-h z^u2GI{*XlgAwZD^(o!}hpw!dmbTU_}r-J()`N@%yhuu(J;aX3#?%~Pxna*YqPP?vx z#}B-n;~f*T`ay-}v9kF5_B6Krmwyw795}#MUsnMO^PjGY6?XYj7tQUhG?fq2y!U7I zA69cnw4!w^eF`t!#FuPeSX(3|J5u zwG!Q^7>mh?kp7z{qi(aykz^((+-@j=RwWO?-d1{c!BHqJ&*xK?Fu#T7HFv4 zhj&+X(PccwD$!5(%e;ukSR)!+B^p~N8v8Leh$vQxDAtK6)`%!T1Nnzw_K>^cOI*jP z1==TLUrYJkEi|`y+p8^HY8tyOz|lkGG_d#-7reH}p$75<*J@OU*=Y7*2x*IkxNC&A zO+>plbf>*8;-A-v2`qsdC;h?_YYHz}I z#&>}Cgg|UJEFXI>-e1K7ik6R#OSet#c6divjwg%+_STbp@O*HAKCqm9LDtY$HZ0H@ zVWD(8Qwa&B;JX40W+DtEz!RWswC(S49qt2!7fM1h$nbY5PX%^w($r7N{s_K=<=0=d zOi`?b@Ng=HYcu=609s$~1rgHAej4q{-y;G?m!~q#Faa16s zw>a4wfYwrbMYh#qxhU|gIMlypto9@tK)+VXyFhB8Gn8mU$;i2*Cy<`O2iIic!kHl9^yTO&@q9~v4+qA4rprKX@>1U z18kFrSh;r+jNfluH1=ABeZT>1rPmuBjQ@4poEO{kesSvKT7T#2rs31`-590@=U%~wO_E^o7os&vn5 z9^q9zLmTmtynrD0hFszK5`h(5!L`$XZ;hEs{yh4pg)d%xm7=8#n1ITRm; zcx6GTDf?Iki=SC3U?uIct$bFDA7AmkZldZqGLp-3u7x*`+{Xq|jp!hShL;|oZL8ne zmYX0wh;tw6avz?S<1I1qJNV`F=rX{-Y0PHx;~9x+gADIcT8frfd7!9T-ojc1_ilOW>_@R0EOYCqN_nz zhAR%GZ&)#M{gt9vtFmN`FG)K2+d7Wa2jdndQ&# zg$x%`?aE7F6V6fZ{qq4sp%1VA`iD~6oKjejt3Z$tA;mn#NzA!$Q18{J4HJTEhW%87 zKRM#M@p0kb^ATymY0oy9m@4$!gj7YF4Fb1~?J!=Ro^NRg16KQ9(>%ryd+z$2n>CuM zmsr$puC0@BNHjuv!1*fP{P$mLA`~o5j{i2(`mdpapqixnS z^>nIWeGL7!c{-D=KuA5U)u@Dtb#27-K!HhNGNKG{A|lssXCd0C;pU6K4qtIVkk+b3 z?3#(UjYYdT@%AL?q)MU|k&yD8L(py!X!dhXp+@|+!Gmq){n|i}c~8lxSbYfq7HP_& z8P8>~3ek4~a6~YNL9XK|%Z_{|7PKgA;lxMMuH&r0!;Bu^ z5QN83?`_PJ3TNJ05F!=xZNCVmLVC@@J^+B(886E!OWs=|VTF@2fd)BPIhEou)9b!Q zj7KX0>zuj=xOpCn!tCgP=UFq+5 z!#qGTk-d@3eh`5(Hrp?^@xmH$np68>8Gk~lMRO?jgiKUls07r5tLuel8-@Ao!n94o zyrBV3A+-&#uaS6;bcEhKjh$Uw?beh|ArD+##pl2c9VaWejCyYU7xh(6K|$#_0Zcfr zII6?4s>3q^>O9xz+=p4N<82r5T9@$~7x8wWhOHY&<7_0c&BU>d#H~OE4HqS%zwRmnsNlUuP9p@*wn>p>(8@^+{7*3^ljj)~SnrBnXYip;JW1FeoD3_-k z)Vx77X%7gGkIzf?=-_qAiE-cUe)v};nYNgIbYw`~$(2MA z0=z~BTmXVlk39H_o|L8$vE15}M=n>n2}gBVR`p$HKGxtH{j7L$ow|7N+6~6wvh!Kp z3J6&3Z{!s4TqU>8JZz!UMZ=8j$$vM=S>8Q+N`#(9WdgV`e{fP1_j9D}#*!T$TBQRx z$_v{Rj1+(F6CoDOHk-O9SYQHTKRge{iG}>P`=R^wS{RzhfI3pTF;x!9XJ%tlMQdQE zj#@+TYG5bv=yHypmSdThNvH7kic++GzuAGnzZg2Sv{rUiu{9U}+1P42Mn$DdJx|Oc z1U_P%!BhF9f#~w;(t|GjdW(1W73aeycX%X$)goYsUs-{3p{sI!7BzXOk+E$i16>+i zY3rh1%A|>MPvmGXEfYKKo~EsU3pS#Y6ODgcdHYG5Bt6(q1ol~bf2 z^tAzA;xyLelH^FdSV5$Ba2dlAhV zvSHf$u1(7qGqiO%U{BTw>SdiBW#71^f5UqbX>M5uw`+pFSK*ZBU@UisbeH+E9v0U+ zx+_~%t2gr;_zB*okg^Bn^x3#Op10B&87c7zQqc1@dObg#5Sn6Z4R;qMvk)vxak*tr zu)MP^a`0Sj8)0+bw>cT*$~28K&j5>*b_o71eVxlq_r>krM58zz1GmWb8YA(+ip9xW z?aBFxo!9r?#XBB(Xwouy7-r#S*dIp`9n@M)tA~iF=-i zUQ(INI7izQ6d=wxYc$Dzh30g<>P3ru?y&p4UC!5x_hH|81v!1lTbO0#LEv{kTui8+ zRgE#IO(uGz<3>5&#CAJ*B(AXXF;QYHCaGg#K8$c~pCX)^fkdr12IH9{_C%YEe^dXf z0?l}-jT~d@4!%We7-P~LjU$?=CYI>~pQkT5*WXbxa4Pi!6mx?6Kv`%%cV82l;*X7h zbNuc87Q%1KIsk`@^A+eW#zV>W!$?m`fbcsdk@z*r(pG?}hk@=G$drk5XmpZzh5b!E zKZIsCw8c4dhX8vv#uwHo-Fj#9ib(S|;Oc!eqZKS{!~KT<>}n+6sFq|s*;nw5fcf9E z>HOl&Lx~;8x8isy1Ft{J=gIKy2gDGkN1y)(x&En}8{s99Y+q4cLTDR?VRMk65mtbW z`PdEA1x{|2hiB%&qQh>=L1Hu~pM!3sWNi|zPVMQ3_c-ejhR34aeMUW%4~29su2#le zNC<7V6ylWw_7nSde8ruNg$jQ(ifS(g80L+K*IPTT$@M97)ZLRexBSxaHvtgP_qRK6 zv6_`NnkZ^6cqARTln1|+peT$e46%=5dY9YPHk}*6#TB?zbd+Mgz9OLjEp%isp`i>| zNIny`Of!ohiiiR-S$e(zDTMdO{7sMuJm2r|2!5G_f1GA+u3lQ^(yPXfq7N%zIXY4AIhOtE|muFwPQWi(osiRnrt;Xi;a~P&NfrW zo}@))atLJ}^~*UZwWK4+I%uq{NGAGB3I;%KJOU%|& zsHH1i?Qw_pwH=G zExCi0sH4j|&aFZA*2$5K!2x9sT+?m5 zdhA5AGB>5Rt5~b>xzw$2zAstiizoK(Bql*?4J&t69 z!m+4=fkM;b^c*uFQP(!YGWO4YVsUrb2Kwt+gTR3qin+CMSu?w09}(_RKC)D;7pH4a;qzYdCMe)o! zgbJBrQW<;0NW~q+oqPmZ{?Gf~0Bow;VNrjGFf0jrGylz=-=NRkXg@Zfp14P5Eh&0!mDi#f|TCe+q(jqUCWWvEWf#{!e}%18-VTL2MN6Kdc$m ze(!^%%e=Zk4<5L`N>0_*gv?8mjQwH>ZDzbqt^3%!#kS_rt3*V~WOhwGIFhjmL>m&Z z02P5*%8a+qq?{NR8WPZ;U99m?;MVq<7x0Iu>5y8|N!rM~z%9HK3G%r(ZW1V5E}SZb z#KZP(6TDFo<6wGoej6vmAzt@8i6PcB{x={KhQNT(C<~~NX#zr6eqHx!;50E20ST!! zl_1jq(aLOk34YrO{J0f-D)zsGaxUzYef2}D%JY6)1sIg_b7EM=qh4IiH9!?Ss6_S7 z626#MsCq<}n!%RW!(p{%MEe6!4X6O-r+kZlrQ~y&NCv;LBP2D~z?w(nj~$ChGm4bc@?&qFxAJM8#+K!rch#uDP=Xedc|}KD{+wH$mH9y zv=$I=U+0^6c}v2cp`1nhRAKCnh7k#dP$!VKH4AG}NHD8N_lpuB- z+=zAJ6Nh5L8_W&Wb?P_~%!Fxx{7NmY0#kOTZY;}O*%3kZfbG9ehT!rw-wTatXN7{$ zT-qxYLj%WHBfguuYMiA0kQ!9K5KJ%c%?fza3DS84 z+pR5Ui21fL9>+7`)>I>TZa&O42bz1M4m;o2%GEy{k2@;J`pD^yLpz^sVRUL{Ji^jx zX+L)&SK_SB?PVtr7H@R}#m-BK>;ml&UIIqa_9aJN$}P);t><}^Iif~iJKwy9R3+}Y z;BVs+ZlLnfdRCDUG?!aYp<6uY#5(4(Ww_cx)Ztpmc^^2GR!y;*CGAaTh6JotE2e+aj{Z}9YCrxFGZ!2xFEYSUYI@|{>O=i0=?t==b!QK G@BafLUP_+; literal 0 HcmV?d00001 diff --git a/CPLD/AGM-src/db/RAM4GS.tis_db_list.ddb b/CPLD/MAX/MAXII/db/RAM2GS.pti_db_list.ddb old mode 100755 new mode 100644 similarity index 67% rename from CPLD/AGM-src/db/RAM4GS.tis_db_list.ddb rename to CPLD/MAX/MAXII/db/RAM2GS.pti_db_list.ddb index 42a925deb75e79279ed0abcc68dbb04916b2713e..89aa9b430d177793e191e5a385085595d88cff2d GIT binary patch delta 62 zcmV-E0Kxyi0lxu|h&Pa6U|qo1R@YfyZEYf!LfaEPm4 UNW7R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRx&aLVfoTwm!5T_mg3=X?zyAOKU(cqI z&}_Kjg2GM(_ju*+2`MM}64}@U*no=u|F2+7N=ZnWaqz%_f`}bQWIYT|FmK%49bB_v mcXCH|&4ktK>u0Ryo>2Ckhp&*AtFOF}X=PFZQxeGh|NjB#q(3|W literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.routing.rdb b/CPLD/MAX/MAXII/db/RAM2GS.routing.rdb new file mode 100644 index 0000000000000000000000000000000000000000..312e8ac17d67bbfd181c5b198e6066028d254bb5 GIT binary patch literal 1420 zcmV;71#|il000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G7&00000007Gb00000 z000#M00000004{y00000004La?3qn#6G0fqn~UJVgQ6Y;G5P^yUN)QTO=vHIUW&zY zDOCeCTWU(diyzvn8fUv%I{%+^wkfvSbP8$q$;>`4|L1jQ7mLN>SNLo!{|1AN`{8oC zKAul!ABXR!NBhIKyN6%8_4s>mFHk?j&8vRCFBac|pvU3%clCVtpcS(-pB_xV?#`$6 zESAz;-w79kyW!uDAhGYWRvUxe!Q{q8uL5dM!*`3?W_@t{d6vOTMngbr`PYE=BwV-P zZPzCmxfPIm7LeOH9Bzezu-Bw$&f1Dyf`JA+SRYXNwqT2BAhB;@OPF-PVX8aE4rv;OsOtKvKyo%M@E_!U8KN z=EDTsYbI5UGt39cgjKl!)xm8FE2lGb{p0^R+;cm4*uBl2qtmKIFPmlTPnN zh(asM)f|Le2H|yON?OTlTLpB^N_hK1s!HJ`CVeG|?dkZH97Nz7b??rdU^rcM% z;H2$^`AEt2o4#NmpMZ4Efse2m4n^d^3n0@@0cZG#!n+RvzyP8u}E3m>jLs4qU^*R%(Ry}GY z!7-H1JhUZEf}kMi^tB@VD+;^gTo!s;fr zCkDU1wnN`%qt_>bo<^@Z2&|w+p1$Ie3oqQZ=?jNWINkxt)1Lh1F)C0lzj=(%zzbg- z{c#9P&N=A=NyH@L8E1V^1DsJdZz7pC5UU{b`;@pZ@T4~xqBxF5*~D3WexDNKjQqum z46Lr?6qEvT&hJyAa*Y56&LB}#NhUJ|MfK`9L(o4Ye**vj|NnRaVrO7v5MW?nh%&TH z22u>%Kpf=a6d&Xo;2#tM5@BEhVrB*lMh1qY`=t!LK%OKJgA{qVI)?Z<2E>OrI{CQ9 z`#X8LIzzPZ0~N3^C;-XW9S>ja1DXKS0#Og(zU(Q+85h?PMCsHNs) zNjZ>W5CUS5Ef6hGwTx^G20)jDv03_p)Ci)efw+K?i9rLX#Ms9z8c4y7ar5_aaSejH al98Q(11LG^=20GyF)&|44FCWD0RR8x!+pyD literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.rtlv.hdb b/CPLD/MAX/MAXII/db/RAM2GS.rtlv.hdb new file mode 100644 index 0000000000000000000000000000000000000000..7950f8a0da31e2df43b6f28078cf801be2308a18 GIT binary patch literal 15834 zcmZ9zW0dH;_x?S`8QZpP+qSJU<{8_zZQHhO+qUta`}ckGtY@{KTsx~PX__`kcbXyq z002}$0se-LznkE%s+u@BTG-m)GceK8(=y--I$Ky7<1;cb;?uLzF)-3G(lapOE15XB zSQwe$%NrP3;xphYN#d)S7~+cq$XYU*wE^susSg{yF3C<{@ku0>TQ4+=aZQXMHGX15sS&+o_1Rky`Obn!yHw2Qlh|+T=(r) zae8KJjO|)`r$P%?2Zz^qCDtgJNYXxd7!HsPKhcncK z0<_Px;a4PYb7tItTG9bL0S|ca18{#K8L4#K8D!D%B5t%aL^`7+v2l5$u!(S$OHb(^ zK$n9$Hs_i8BhTW6;6=URhbCH&1-SdfrWUWoVyq_xIIL}wpWFEx{HGn4o3*|;73kVl z)h)Pnuc5fV0crIxN0UPZb1pd%&J<4R8N4p|O;96CgvhAm)bi@NwfqKt{XjvWf1r?2 zQzuZ-)#+<4{r}Vd zFT-B#+TNw2iaOZdC@Xb>RCHWCo!l#^!|0X>RyiBx=Qjz&W%&B%k1}{0;D~3a@yy{P zdnk+n9`*KIt87P(18!_+#vQ(g7Y<42XXP9GXxCf!_-G|KF-B_qdz-|;)g84skT4!U z!x+j3Z>YyzS|6g|!TMz=$$t_YfNAUC9ylcQp*$D?3S0f+H2B8gEx_;h8%k32o&>#_Wg`%l>#VaG zs+uM4Am^|b^}!ynFZwNS{4T(m%x~7rg+z+Q-}!KPOhQtP3O^!mP3(2D`JN`8`+z%O z-8%}_X5t@scx?CPin27^I()buJX0{nADkb)lvWx>GkRRsyiCg)QD7kWHX9_E4^fNU zq;Tav@5JJb%y4h)QMX4gXqE0dPb14tp~-ZeR1f=b8P%`ql`3&wSrR{DsQN)Z!J4hCy#*UqBd&prRT6?5y%Y<$;qb|i9d*jA^Gl|BVU;?}yOiO)ubMo%#Gkba{ z(8VY^cJH>?xuJaKfnQ1ZijjG}8u>2U7_prx^@{kcg(H6F>uF=g`IsGF*fZ0bV*5VD z%~Rh0r*xe0-Pq8LVzxmcEn8o@#-o5y#=ZRL%-c=GbxW#Q!D%L?b0_AQXpFlF*4^!Ib`EM!|IFh3 zBextpW^G#8mvGX8N%`~QMeefBilgfJtoat!q0&Y5a*Y#ew;Bq3yD;_4U|1@d`yMyL znH-6b)+I9G{Dz>hNhDD*_Y6K$MReTqS$nE+x)Z|@OL>IE@%HqCu-_RgQ&WfeGT8dE zGG78ulzaNQ{%A(jy)>hYbIuv}^Ba<9Uf;i$!!&&N&m=FfEv%QId+Id2s*`Z;Z`aQ_ z>{euw*CDpY!PNdl;drT@n{B@PN6RP+9UXOnRpaC8KF{)l4GxM0y_USJ1h=_Qo-{IH zF!y(hJE~^lcisZ|xX32rbvNQ{n(5J#8c}oeL-$XJ>T#i!v+|c3lcP@{v_#a`&9D;n z!-v;`@uCyO-u7cPkwbD3_J9$*B<%a7D^JOZh-_7yj9aV$wD#T`p3ST=#Cll&fnA28 z^8pFo!{e%8W5-evO$(am@sheG*5T!4bS)1fE1al0n&yVG3M;4G^W&=xMQndPs3`}q zrE*^rc5={@CkW1h-H9_q0zKIrh&KmLk(7`b0Sc^CAy^O zegg;SG_v0N5hFooEXrXZOndmg72g1eZZK@&Tb5mX{~ADW1Wy?D&|S-30g#-4fWqb- z#E;N~@fC{`5B0W9heFM!{0YNtu6Gq`%Sn#9?<5%kKNZhVPENa$Wg64GNQp@eBOuxwA5y(Z4K4o}lD|gD ze}=@bkz&`Zt%v#I>cgwK?5X;iJEGUr734@$)!OQSnGx`>tU=-V%zb4%eO;g|4wL&S z&?2YI%!HQIVCtNsiwbl9d~8U>uB*HrMCp=bGx#^NU^C-FQ}6S$&Q0rT2dp* z>EpazwB5^DhiI$2y*kcDPiIBErKZ~P^!a=-Jvry}yd1hTyH`{tpd_3A%5lljp-xVk zS}UW5C@lZn4Fy?bsROfAr7KT!iKeMtD2+&XSR0q*D{-Yz0gn)q@9ysp zy<!5Ihx<1no(Ct(%|YpSM(`R%(d=EWI;1K_BdG>)iO_=(sYosOJVU>M_9 zVhNHue90LMG^v}%D>bZuyV+6jblla!K?<}rMmBcVswyQ;v6?pZaop=gZh<2bjII~Fjr%%e$dIa&D+Dppc5#spx#q5f^^zu?~g?i+W4{u zaoj91QpZzh`s8TsM|~KvOiS@9F|v>nQ61q0GFCZjJ3mDzelfCOomuU-RmQeA|FJ!L zwb#RDnra$H^1>K6J#JRk!Jo)R?}cL+EQTk!NJ(6MLh%$nR5P?FnP}LvNuleiyG?0~ z>UF#XioQCERmX*jx^JKgm_8~XwN@u4ZO+=p^&aue9uY2WfkoV7>jUhLWEV4=mmG(~I*Cq)BD zSOo-ohl+Lq@7~5N2l}e_y?kTqe$W`)Y4V_sw8)UKc)?$Y>Y3zdeDH`s{%#79IZ0GW z*S&Gd;`8(jwUoncf5UfMut13x1_%Pkkm`psBWVWMtW5Y(zTPIp_{Wx4Sm2PL<-$d= ziRPfslrN!+7U$ueZm!svLXCcHM;D6@q)S}F2SSSR@?DZ(5Bnz%$Y$hM-6s&0~p^O z3v>crX1E6RuKFQ66$|^}BIkhjV5DhVdrv(dzPQ5L^SKLVGX|iQmNHHEwC0(<*ti59 z-5cWGGJQP>tt;O3@|yui1u0%LsykNv^JASix)(EoXLo5Z3E$5J@Z$W!G*~mTqwMOz z>#D`;YRT&=#p}v}*U5n-dolW4NZ@AOOKFr$pu$dPVeT!)%wyf_djxD&CT^FYrlU4( zL-c*X5O$K7yFr|(o9EX+lL|C#lZ9;OGT~SfQ9fMH%?W&2&D49%37lEgpex|p;34>j zy#rLKca4V~baKw`Q2o|58AvxCeDe&X_@_ak-Xo$sM7X0VPq>36|5txuDfrc2SPF&B zT8Mu@D=rznA>yt1nmY#-8Apn(8n;=6JG3&)|5+kk*;waqRmn7VG=)t!v+npNqaF_V zBB2e$R;AMic4%-1cBK8X_6r8jZ~T`uoA;nlqk>`v-0-s}1glo|FjmbiV60kNz!}z6epAWIy*4hz;Ndi? zHp#GiHqE~*|ML6`D%_q8>o2NsduuLgBPH-QxZ)-m!FCV)<^2Iw8^s0;fHO8Kk@I^r zG-3)qLQN5NVbjS~>mvDE*rNGcpTD~KOXn|{BKZwoBn+tO)#2rUL+YVf9>W(u$|FZbY{4cYB>>JY*#f}$oeutOe;$se^eb3J=O6l&A(bG0UKLeoNRQJQcGu|s^ z5~Pxn$MlSKg)PmU%O^l3>rGV~A#5hH)yjLyg^D^_|KcXgP*k7W$%dO%?q=76NR)@q z;s}z?C_5;SByGlu#44cDZRVFQ%uy(HY7(<3$wAb>NV6JuHO*HPOOhd@=8hG4=8lPo zuD=-=_q98+HCakBnmfuTqq1n#X@eHTE(!*SWIf=_V<0d29TBEcL7MkLouH^4z(Z(9X(N(ts#q3I|k@~WNnN%AX8TSLDgt?+PnOhuZ z4Acr1j@y@>tIV;>@Kr(1uRHP+92K&v@8Z@6Z{KAKXrnN>QBHH#cbBjHsr0>^ET^1T z`2-jlzi{nR)%& zLLHevf_zPu4nbu%3Qw`*D>QG;HO}Ut3A)#>8dIV-APD&Wb!2VmofyxG6qOQ03SlE7 z_}TTeVC*@N!xgo#!?Yl9?}V=IGmnGvtgYb@T4#z5LD*oo#24G2KRU_orL{fZhS@ig z=z-hVpZ9ixDQkaa7nd!9(_%YrAWN=Idxh6mxS4Q0^XXEP-ZJ_=G(}Vq7khJX&^y~7 z-zJ?qnC(gf+*nArLxVH(m{upq;)MG{x}ZdAwr?$mLW<(jkgthAO`p69mSkWOtdC(T zYmT}o1Qrvmf=c!67vcW1i!P(r+p|ZQ)RNh3Yvb48SjiJ$X3@*EL!j>CL8NYJi-?r8 zop9I$eD&L~Kzo;flOiYa9)^!o8~pXg`N-XVqP}~5#$F^oH@IA`D)}cN6^ci^S#~~V zRZ1JaZy+>8BGI605&IjE= z`ZmG`yXWi3TUu%RzB>EiJo$Fj2d5s~b9lhLp6%9*3~EG&M`ny8!Fgh*z77O#*_Y3* z;)>MxTysb`^3c$*oVG=0YNlsjtP;oG!TSzOk3}a^Y4wtI-1CTT3jBBPuASRW&OTR+ zSIt1ji)H*{D|poWUu;Rp))OY6-P_z|Y9?kKF~;{5_29X?XoBKqcgne#; zt=WAb{r`a?K6F(FeGfI^+FYV|*V=yVLZLg}vafd_IYu=(1n!Mx96@SXAcKI-L%oBmdu*sSgVfUW?NZjs(Qg!dNf&iDq1x5y{iDQ_z*&t9msJB1x@V!8P}Hp zISiAfjT9>LXBR5IkkQo@2nUQ#nqY6pQ#sAd@_e*CcI6-jI$N6lxs{$I-~~`6ASga^ zwL_aHEG*i&7fKlrPtomLcdD--mfn@El!E{RlFlW6d&)gWxqk2~pF3vdNH#Hp=qFlb{I>jWp6eo>+tP=bz((C@_iqDzb|AzY6!s<~wLI;6hu#AtympouiIXA zcBC>Yfe)O08evx`1K#t>Dy@ zup4|{90un;*3$VGc%pkdde@PIll-lHA#7Y@*TSm`bZ>5|BR*fQMA36lTrjy$(Yo9@ z8}p9f!|6t^liaPsslck&fZgA5qxMIq(Jg);2;$!>FNNI8Md}I`25)oDvIha>Yeue3 zr}y}KwbJQN)RO3iz;jQhxJ7Xwf}e4_ z<_s-K7P~Es4M`Sru*JQ2cm4?8y4G+63f-Fy9TriCt`F2ym9ih-x%cvSB_A-H*Tjm> z43V*!2m2oL};i?pcp1bisnO zQ?VDf6eQ+wl}%;neht>;qy1h)Ne@e)s$2+Y@Qq~O*;=Ks@WYmr92Cs8yRdxU58|*= zW;O`swMWDWj1QP@sL&+T2R<%ouE4DJ({>CtSo$UhIjf7xSazms1bPBG+GG9C$z4%E zcB8yD>7qarWbbtJeeiSi9?S)szLDL8-AVBB^0R~3M*Lp%gO($ekZpYxC1qnbY)j`Vp^nQ|+DkH7l&|W=05?Ld@dI#e|iy=*#UT{3* z3PiPz^jMzx?e(_qf+mx^Le^y8Nex7<9w|IorJU0x8$>LmY)E`HJ z{RwIJGdH=VnvXv_0mfsyT#LOU`}MD;tT$%)-naXc_qaPrS!@mMug%kR2{Ry{94hms z-e2ApwI_FFSGx~i22Q=Q}Yv#C>KHhjo$V?=3KDm=KCm$|e_5=AupQKJ6F6^|* zC#iWX%1UWOkxYgk5{}vrm1?Lz;&&2f5F1?6%<7F$bE>4Zx@Af#9kyOyk;@P7$RNg_ zPW**GTE~bfDVQqo>b~RTh}{m}Zp4$WS|*eQci3;ZkUH-^C7^ybXg)U(KPzQa^-^WC zcaCjkPKDC=P!CSAl$`J0wv%@Ya8gfB^b&WYPRKUQIh`#QdAq9}g;GQ>=y%@szsyWG z`fc@+r_n@$(A>XSMWYi6$(dk@I&iZEwu}!m9`%} z#X1-FB}Cw<4!X;5;0Pmr4?^%RIM2;^;O^=663tT&)ePu_-3^=0h@MZY66vAV(D-n2 zrmfE*TO{6y?Z5Lwjy==A)k>f#ZCi~V^42O^*u9Y5rUOtRg6u_j<7zdGQeE!H zSO&=}KpVv%aNK%q(7$ zc8flIzL~XG$+$%&m)skqkFOm6)6Z16uDRCny@&yidJQ9>naN4Hx!~@Jns=tSpTF9V z$=N5#6W%C)`Rl$hUBtcf4{sOvNEqj{^RXRI-0eKmuV5T*cFZkNG9=l&d&6lT7+fc2#ftD1Fo7D1r8rE?592@W_ymP1?OSngOFD%^1=7 z+0BJ@#f!!G)jWjutTYVY!)?=75W=gEzmiTo_TtSNcM!Ob%fP z&2W@9Zpl2OysbTM+2|d0KULIz1J};H4(pLIV{ECE!+Lae#ko3xq}9R0af?rTjWEa~ z_|9%?y8$k_o4N4(`1PJ<2qR+qFJb1R+i4_nd8V=0_GRmlRdJ=_@tr08WaH?q%=zVE z{shSzcSSdzckd(&%?M>2 z5`$IC=JtXL+ViZLwQ0a}>|vvZZ;7_Msz$0QVusChSL(&hlq<}o$gAMf>BEuwW#r*_kX`Vb zd}lZ(o6l$chfnbe?QAFcZw@(M*Y`qGPSlR7_afg9LzB0{wFQT*YY|1YW;0A(W2u6`{&q;|r~Tgg9qrA*OV|^2be6AL@(Qo{Iql}H;R=uSW76eqA*rY4sU?YT>+@`VWEk&^ zth?vB~) zISX&i2m%r^`Q+6XBCa$-rw(NQlE0K(Q$nmroToSd{zhMjU!B7{K8t`@oCqp^^pCgT zp!6w01z(n8c8&Q7#aSt>p(*lVA(62)QW6xT;R?NRAjlnMi)8FG1VV#gtTUvP1_f2{ zzVH!a1c^L;sy>8?IH~}$M&AstAaQsTlBuAx2gMr1*%*PKH!9QbIn0n=dF+0ty!12m z5rm2I0Z}_<&+rk2YCl_z1s7uRdtNaRFG&+a&&(-J4#{0eHGBLFPcWsLmtss%1)F8$ z?f?-4x#{8t@lh3;BL`8^eoz7ljN`f#;YCz$kq8l4zq+HvnO*!vzGDjI$$v5+g~Z|M z>PkU0HsJ3B`*LeD%aIU=SowboACbhUo{E-8o{t?mxU^`)pkbAt7#o|a-9YQ=U})^_7dXEn;87;pfzrstyiSwbC0(!uy*|OR zzQWI?RImlIu7P=>x}^Q$5!WrP(0*0c&m&^=v(>$-M64zg#}| z4cy2Y^-MFn&a%!Xa4~D%640z(umy(sEvGp-eZj9)KGzMT86(;O)~Flx%rX1hQ^4e^ zu&h7jE-_Nd7*I{0* zZ!ufGULb=((xWhyF(_|SnB;PyxSf-@U|XuS#}vRQ&O z+ap$U38I}d*(@eP6l8eS3YV$%)G+HqEog<_v>Hb7P!_AL`+atqEWi0U zgW>HneQ*(aDFs7ge_H5?iQ)0)G!P-g`m-c2^ulMlQxLD>%`d^Ao$3thLdq~mNeqsJ zRrn*35Z$$lN8zbm{=Ifi&-eLgACWT4ERq=1Wju|O7!yNSOV-)V@jQ$g{)nBy zz+t;=@g+_N#q`w$D|?Lyvkg`m<}pUf6S>(g;scf zM{UH1Rv^pR>fH@=l-ieamg5Tw+-5i!1U$=U{oqFnK;+4!Fq11MDKIC}8=5bq)q;by zrJ->mg|C?~pO=){!Xl9_!$R68l+G#R57HBcF4RiD*63w=Dmy07#Zk1$eLpKrgy#( z9vA4l?p&WhYDk`ELV=}6cQ48}>~v|7P8BiYO@g_Xo#A$(z$Tt`z8HuwGK;Wa#2pT` zr&g9z7(qLHIbj2e`9McJ0v>WLC5sp6{;>p5~l{-4=|4*v;AChj<_&p-%|jz$X4GsfeXq2rk1 zXO}cS=d~PWnspIq@V(bMz9wsh6xWUQnidh;P_4_}d;ISjjsH3+ZaA@!w*4_C`+tT# z4f3SXMP6$T4p)NgFh0f*Jm#d=jh9{XHgFxq&x+5{F=HlZ>GKKC$Yitf@R#Z92rdz8 zCaeF2H44w$zg4^ib(LN%zb?oezzyfMWfQX^jysqgKh3m%ot50MGGxQh5eY<)i5~)f zC5L*U;?7^t)n^m)P+2ruWedVu9^#c)U(>(#^!l;b4uPew{)*cIM1X8>5Yi9#>D}8c zj~xW_2ant{_tvi;{6C}j5ZJ+AX3}ZCn6Ws4%$aike@hoiQC*$(_^7FICYz??o=V{4 zbm;4H3u&cBo1&;(;?Bl>A3D%a!-*^cdbvdk)%7{%wXODp7pvWW)}5zn_AMfny-~>h zg~ckPWdI75;!~4Bidh6Kq~zC;5~D#(?8Wnzm!>vaDEUmsD=^r4R@`$HdQ12Ma9C1|%pq;SW7WhtJ3Sje7q^ zv*f)gDgrbEb#=f==95rM|5a7q^+8iz=DbvHqM-9bRAn!8_{&K{#0KIPq5%B~Yalmy zrM_VHP+qZkF0Ed;RM06|D`=N(5Odbq#6{B4Ndi<^2&;BC;r~0{E<>%Qm2k3XnO|)O z!T;-r-2%1;x)b|BV@nw?S%^ep)&rO}EJ(iWbMVN!qvhZ&Q!%DYnq#|BGFIe5QaL`{ zz1|KP83}F7;NfZZSUdzrnRq(~OK%LfY=(^@^F~Uy50qbb=RMmgkwoM-8HeVrlO&O| zl$Nr-4PoRNqn0-b9j6*MaVD*{uS%$vAW3#Bnl5%%T@qGQt${ABpZov)S8mjipt6x^ z+%)7Vcs{CbeT7Qq(Zo6S*RftWLy4=It4Y2?35v!gzUeoEkc8)mtatg4gex>I4d*%~ zs3xv66_@!6t4EdS2JEy zXYcx>B?6x5uRVUM*DO5~e-Z(>_uR8AQdLrAajwC{PENYbs1-E=a8!8LIIFg(UCaj# zqBob&-|qeaPJB$A24RS#nlp3-bve`YOn+uKPrY=Njm>#jx2C}H*!3^jskbH1u+Mmh z+d1QAl@7G4vPUjm3=iXd7LumR9SR>?pRxk{qX?a6`!Cu9A3&cT#k>gGy=q77L2iY} z*1=UQ(q$~tRcrzPgPJ+fUkG`_QQ?YF`e z{>h~8N*xH^uC%{sMS`#EW||zjAbo6t3-AcJfpihY1jrNnSVe}z2-6fKFLeGDAiP$Z zoY;fi-|n_WC1n*o|5X0TnbdN5fVjb9TUgVQ5Pdh`+(Y1-GX0=Hxa?1kK{?WdQ`ZVb z!{GCLStel)#?OEcva(^ zeA{rC;{#)6O3EIJ8^-dHi7N?u^exTymRJDXa);aiJgfQy^K->R1N4!~1&bR-@B>v7 zuPp{vZ|qOI9YHH~*He?rs3`yBb;npZdJ7tp0ScoDTKyF4nhni~GyiHa6B=l0wPY76 z)K378 zfPNL1Nw%joxrO!XJ`@>C%cLZkidu4~_4C`f@a+p$A&772ahnFhW-SCYAOj4XZ3C2h zS*m@VXTxplkj@cUw;r5(1+GK9t5}~pAzoOB6cKmJrR$}0togd)?+BgQ0pH!3-9Is_ zL7yn_w8Xp3RjM}=-8nwU?0;B2!KRwA>;GT%floGI(}w^Z>*kd!*$4-Z8XCj~7Ha;W zPK`xoTTfVBOLcF;bqL0FNaZrBb`_iDG8*NZ>MW?7T{`LEK(}*I1nZI5Yl4vy~Myer^rvGACes(~wU|PC<%eCvG#;7V;#=jP?$q zHSsKrEVtHP&1`dnjhMj}aK<~k29r16!tm9I>1M%WD7*EooyKy;R>NG#7`BXC`o@lb z&bAA?<>hiwx8hzTltze~$L)M5YnxkSIT`sGvVj8m!MAJNAAo#Nv`;`jS3?sv&k2^+zp>Nd#88b-OrC}@6C zNa9M%L0G}JxC_Zwny9!v=DOY33*`xhfKMyVl%E{ za{vshZ{@B8uWN;_8-=bx1IvwHx<4vIKLQLj^KmwF2m1%u8&aPUxDJ1tBLkN)8ouep zgP`GDvTbP*RNMtJly5HlK=5Obul}LrHiskz_!cu*m?aROsDG{i^cS=|`Hnqep%!D^FIAe( zWkp2D71UB< zcu5=7AwO<50hLY30X~>Eb09x|H~oA0$Xh7`!y|NEPz6oA#`6nj5TD*%03)nb z4B6HaZkvmAQsC@~tZS!7hXNE)RfV=_A>4?Ik$*qP;#&oLcVN@s$kIua-Ff(lcN9{N zchc#E8YaG&K`7wk)e2SDCqF=T#LE3PJW3x@;Cyor88G3x@g@v-k#T32(G%cMgZpn5 z{z$wsDDncVNUNl_;a^#3a0Q(7zlW-iOaqcy^4*zl; za`I22ym#u1qFu$(0r!s(S$0$ePz5upiPyA9wFAOucz6#1KB$0C%64$FafY@*qBq3# zn2Ky#iEIJ|4n5d333c0qc>WHtQ?PAYuy3UJrX4szR!sZmRQu>uhJPR;Oz0Z^xJBrU z9=VKqT*bz$5&Xc44+Etzu6m6_K3R}0nq|u8I1B1r1-@q@ou^wRqNVMxTO~SJ^MLXi z+9ycG!D$4;2yp%O7Y&58;QnwJ9vyd@#5;XB=Qk7Ek3ZG?y3fETa2isdwJ3LM0C8Qs zKF+?Q+r$qd`1HiaI~3cIP{1p!?|)sr8k4_R*L{G^Y=ZT)5PIqeuYrItGhE0%mtosB zG^cq~2fI`Uy;KLkR0nS=LwB?gd};}CfA>bhZ*!5)vG7+W_~d1;QOZL))xiPPK_VBC z@4qPbsVH}?D0hJnI%)Vs^q6}>Im z4vMO*Wn{XN+*iVjk4fk({#rzLKZA)=Kkia1b^C8dGo$%_ova9*#8_iyDb`Do&~bjy z#ACPZBk3Kj+p{rhT#=-8cu$XPg-x_ywOsyVFxw#L$crc{>ekQ7$_jIsv>s!d%Z@IX zXKHoVxRKZM(StElxRoS%dyV-x+2hS$Bl69OPxa}y=3*PC;Xr!@1<6j3AMhD0!?b5>~ElYz2!KG@s4o&tM*YmjVUJcH5(iG=-RL2Xbft zr8^c2=};a%&OQCPv}G__S}@?=XboF5H6h3;OeVmm#s{MoP^{LNl!A!O{N<&&#(aCL zwvE>2Tzl!}+`NX3?G?F^O#LPTuVx!c(GTOSFCD6yrDc1^U!e)gt}ULEtl<112OS3_ z;#}CH;T4FI2sYdU%L4H=|+$#MR!$1{VjQ_wQ~(I#iTfUJ4jq6$x0@WBg6G zPgm8FO~>Pe*~GBfD@p}Ux~9o0VEuKdC4}N%mR?CkJn&l$4iG!+L=}Y9ZTQqFDA@z5H}|~sM4?@%JbgWNO~Z!L_t6kWz)MAq_0Ai<#CVJ|JtICM z#GXZ!&k`ywN(dwx1GLV|zu)te;Dj(bBc>S=S9FD-$>j`@mLjvD$t<)(%t&ZNkyT_5 zo03?EBBRJ0JSN5oNEi*lYlexPm+a=&sHPotyFX1 zhGGAY4xK>M;O6)?k??>81Vm5#4Ene<6>yO3N)keA5FsYc!wT6SW(6nJxh8uK}sgS1lX&f`g zsqQIe*qDx&)Zyt*oXu3Sg~~cx0anFYhJF{F*dQO^u%6tV@z>HVf7vpbVH3c0CtT%! z{!`(O-Xn>e3gwBOWAui$Fhwyqrtx*5(1H8NhRtC5>cXF*I295xjkbj;m1dI8%|z7rm_dTQ;74@5$BG!JovgmI>Tq^w}2%hD-?6}74gNXA;Sal4W&VX zav3nC8<_=={PT$==>`16pkq4wCOxtky!TIHcymrPxi8gvtsLl17Y&t9Rb?z!Tj(rhs&Bk2 z1IN#?aSdDGRyv;qQc=_?tBF|C)L)0~WTN-9Yu!6mWfuJ5t1|oOeau5&5b0u2p&4kF zHgNBX;9?tYdrr=5yEsp`cnmukV8~u#I~rSRoWY?9!S<>dL*-d>(#1p1kI~L^Z#Q|a zej;YoGqBnMHN%1!5e-J9U1*?4;-c8uC0z*&@TkOV+^d8MzSx<1!zf(^F?Yo*8SvbA za7Nmn1hG92&I;PvtuUR~3+b^ylnD`tQ6^;rFe|3nne|7q^WgP>e)o#1xgJ;}HxC`! z_3dp4N<%CzheBgJt034ei2~)wgbfOI-BMxd-({EvUKLp7vAdh;qo=0;{l~&Y3wo|G zfhzDb(i@Seq8QG-{Di$Dbs=dGE0L^x0j9;7ZZq~phF9X6@v@(KE`BZZ)KySe40Q3 zl9_P)v{_L=HT8X>#mv5fdGn8hW|8aK8M0FR4*Hm}gZqd7W%L1+^s zhbn=+yXJUL)ad04CGdd_)e#!UE;&wZJvm(2pyNoaChqKH8Cha!p_bu((A11Uia?6n za+sXuFhu9*?{ZpX^C?W2TV>GM4LXhJsZ0VJRN0nrKr;Z=B zW@kNv>Ert;d|^qleOl0Pgngc3?TPyYNAVz1kvz2YN5vvc%A;@TH`>Fw0#SfVFSREK zKqdn1nDjI8Ig})Ry`7$vTD?vVgAbir!Ywh2FbZk*OR)GZzE16p$bD6Qp(()^ktKAV zYqBb3r1)7je-eFcEyqjdd5H+S3}M(p`=9_cxV{eozI4y0DOE=#T8X&)azXaVToEhE z$D6C3%`hp&sa6X1rKR}bl+dn6ZD=7i3Wr=<?JLS8iEEIMKZ+`?CiwIz)x0!o#cR=EU9(Gu##iTRos0Q+wVGMFYrM>LWn2$@BC28bPUlC~FBc7q<9eo!fWZA|(eQi8iaDTEKaEDmXTOl@;vbSmO0uR8(h1H2$n3 z!u1P3ZBZ|-xD$t#n4G%!pr) ziQZP$IJl;4ok6apeyZcPkL?V7sMp!(K@k-PQOuAp?nlo^$a7StHJ6|ZiRs>eX?v=2 z`f$Z^DdWHkK*-^jZ^^YJ>bsq%jjKr4udMC7)Cjw~{cK)B59L2vZ*W;M3L1&1HA%-@ zn5JH|TEAR}_-9-^f7KS+dbb`plE&}Xc(y-eE#zXXkJ_>9AWiV_wTt*~ps|#svFHryh literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.rtlv_sg.cdb b/CPLD/MAX/MAXII/db/RAM2GS.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..f54913006f20a717713d1ac77925b4b5ee820e31 GIT binary patch literal 18021 zcmXtf2UL?y6RwJYNE1=%T|_`YKza#^Qba*PKx$Na?;R2WY0{BSXwsxh6RDv}Cln!4 zLk~T)B!slf_uqTZd(O`6p4~n3&h9*0W|ry7l`GY>S1(hq%kSAGHL~&aa&U9ym6R5L zEH26W%*Vmmnpa9%iubXsgrt;&)MH6$UIQCXUk582-ZvkuoOmU94PNpZ+kE1EVeR03 z+0y&Ywaadc^Kk6K4?2;`8{1tE!S0Lh#%fXhE}Z=~f1lpzru4Jnq*Aj3dLHv z-ebMVrbVPl53pO$k|R^?*@1@ai%Os7kqKoQnt(wxkqO!D#GR-{SW5W@dD?sx%XlA9NO-m{^Wu{ zJ@{{g4>UMyEdovp>e1t%c|Go#Sj`Z^==s_N(8zsTV)Dhw$5_DtJ)e7|a%qPsTui&cj>Xrzr*AdV6~6Yb*dGUa|8+S6{~UTZpp7;1dA4g`i=-}6jt)J^ z{-9zt7Y+MkmtH>62%{160bnS`zO~AIv#(muRQhAQvXmNI4B5`{`~98UQdgt5!AZ5=-KzFvklrEJ8ULM zH*v=gB}wE~DMd64oWZswiIys*VB5qU?4c^L{a8J5yPxcQAx>nB|KJ3|9!d-ajdtiD zGZx#as}FOgDsIb*z0a~AROjiaEZaEB z{;R|SK0O`0_{g`#uh6Zh9zIqzfYkB%h?;okUVJ!Z5wdI%SgE&E(s1$D;-cb`sk~$Y zEA*;4XV5!_g~(z2%!k5;IGEDLhmjBo61mHdocvrR9=7faJSX$Sr*@^RcrI~}BRDm#I4(`~3&cL#qI6UR3VLg@F; zL0ABCDU$r$ru|tZk8AC zcJ2LDk9)ba`S5RsUxwA2y$Ua&RNIIquXas)wfFrK`}chbRDY0$6v<4L0ryl^$9SpB!I(tK~-7-sr>mX0+z4!b4S2$2f`igTHA@QmBp9ch&EEI4Nb{ zhW*VvXwsW~bXC!Dzv>myb)uX+>0~6P;Bt?@`rcgAmAC=zA-}6Bp7qn1A3o zIf`(L{i*3%mzgm=`br;bd^mfvf%A;Ey)QSF;;mAhi-Gh0B4tCf?!`@axiz(dyU*W= z-CG~cGs;&YM|(i}_GKN(K2E-2WEBSap{JF`Rryd`bp6f7ugNZ^uK`MKfiGVKynGGw z3slL>>s8GLEj!y>7}35sj15_Fl`reNm*g(M@FjGlAt*-qVPTkKw}%g-je4yeZq)1{ zn*UIxwBnsNzpbjOAI3ROmlXo!)8|#f8G3H@ZB$j8lSb(<_DnK`hpo6&2nf#U zl>D;I3i>y{bd|;Q)hFr4MbL+iLJElMb2QN{cUixd`)sFXw=b2IT2#l+LjJjI6>SJi z2k;b2NO_wz{WJE=tVgWP4D2~*e7d-0oaox1$n6g~$!yNwJD~*HShZOr-X$A0%XkD$ z%eKxH6|>b!+3>KgpJt;CBFi_@gZJHScBYoJyh87=0d}NOQ}$Q`F`Y8m2(jBTs#PNx z`v=7bng)7c>r>z7Xb`=z;za$to^PK|1*e=0{Rn?JPYl2(sFZZpYbf8&GyY&KLKkGu zV<)S)>?$fzJ$}-k6UV?PJuUNf(Q9{i+;NeyAEwb?9LkvU-KRZPJu!@Fmi^~kXV7vt zZLmrrhw)djypbTO*4wISoPJIQ#-2;OEd^4->fwu7snlE*P08d|)R*Yqxp%5{@e*e3 zXVzi;p<+L{)&tc29N*HsbgKT-4q}NH`L=+>dW%zobiRGrOxD|dRdasUpIcN-h-O|L!^b7Q`BGyA&3+6rW& zQbAtOUgQPG6~PZMfkFd`KF3*go?(Io&sV>{7H5T!*9%`l9ygR?GW8a!X?GM}(~o`% zeuSS^#*cuA7mi!X&{&9YnvN2=V21v*#8`h9XQ4e7l4Zs(W63D~zRiEBJ~GSetnUHW zP(IXmOwx&^*6~Ky%xhJ$I-JXeXJ5Xu=X=3ZR#v2anq9!}j}phvCI;L54<Y&-n7N$OV;&$(~6r4znA+8MBG`5ZW(vpupcmO3B# z;QO?z(=1nqGx}5h@2oBFSY}AAPg z&%gCt=!T!Bw7dDBlTp7b_kLzgPWk))K*}r$(0t(`IJ}m&C-(^0WG{1%{gG*%W3@%@ z?a6x|bJu8oLj%=xjJTh*ylc^&*#=NSo>aTMV3)N#V>J_8Ca(Vl&QS0xU&WrDg-m62tulF3A958k}<&MZvbnffd!0Vg-aAsXoo3CW) zUswF1%RO31pMP(`@ObQ3eOGZmxd1C+))}Ss zWgC)yJNmlu7iwQE*~L7TZ9U|KpMuI@X)BM#w{`AZjpr?#R{H{^D3oN}wdJCe&f<&F z!G6zUNY*3 z{sI&keHb*m>TxemNntc#W$p_@Z)frxLJp}$b$Vs2Q!7eWoY$scflhV2QC2Z^>NwVQ z^54{PwitUEwXRv)K5J9+$kkH-TbWg*|BNk*i*@)urIPM*b?k4#tHx%!Vp)fVAy~Z0 zsgan)&%oo9v~nBi+~FkF$zhh13&XfOjJ4|n867<}d)ghHw2YqjVz>7z{7#Ny*1w4*B1vBg6BT$IcEddL0?^hmm|WN9Jhd6p8C z<&JJVx`I(q`>*~R_3d|){r5YBDJ&iY$vtu!qkByAdbUj}Kti}Wh>#W?xMWp+I|CJ2 zB4?v{A9kfhdU`PDy9E<6x_!5c-L+%)f%?riHt!qdXoQ2eReBke>>lRk&L4>dl_{!& zI&Iac_n<7rX36ot!{1!{)ZsicC7j-zUf}l zk8k{QHLiUsUvyJ`TI7CrUo?x-<>frl6^uS-EOW+jzKZ2Uzt0z?cOrZv`kM?}hAKhjMw@?f+ozLrr0*}E z(JMA&T%0yFRK|{n5UpS@^G{M5xvl`*1vR;@FrpNdg8l6# zyeRc;F-~*S(`s{7rDdV@Ca?7wiPm3NkeLj+FmE4c68ua>#$(M?u zRmkV3epP1Fgf_6=Vy{vzvyZaN8brVNX=+{LmmJ}rJKM=g**_Qgv92rl&YhB`wu@jT z{WZ-DzSkumqr@_Fo2BT5wdNDgKLMZYV*~+)rz7{RTl@s?9W!Z7K$)l7U>FYx!w`-( z-z#mmr$ts?J}~b1qAODpVK>rMQz|maU`Deo)p|9*B724<@$2BL$v!*i2Jw66=-W0I zxlL={7bV_1^+ESPWxjMc1hYXn46HkQn`y-kkk5tnI6rA}|3$4DQaySPU9esk2Lukk zh<#&#u`6i#D(YM|dsuq&1!%60_6|FIB<0!MAU-$aqi1CJRy{PbsN|t})`frZYqP%D z>x(uI9lCIZTNNvcRpGu5YUu!JNVs3nOCLK^vn64kXJyZd{0!O`u`x;|&{BzrJTdW# z&lB2TgFRZ#4#7gH1EJL{W)m3a*b{`);r**|4rM28V)4s@yw_YN4l0f+3$D7nL8+?U zDEvn65B^HkweJ0lE#O_HpiXd*u-@?x0=!)*t*`LthRlrz1q`_XV-yjlV`b zN6)LpaSpR={)k|2db51j)-z*(Z(HTtIkNYiy8dDQC$j_DhCROW;;jIY>&toq6B@S_ z(QG?*@3qIgguW2Fr*)dOxhyUm?aa&X;@C=B>HpdOA=*ld-h9R^st-DBtKbHq!|%90 z_LjPj?ZpY%D>410yq;fAvfU`?u>ZHpwOVG*aWi6KNMPmGY)2)NAd}Db7xxm)A9<47 z{EFVs{24N-H`5>pCzlQAA=oVq(X)P5zLXbxuP^Kcd5x-Yp?rQuRYF%EYK{4T=mp;7 zGHFgx+;EbI1>kcDzz(eue`}MSDI}1`2g+SafFLlqlQIPZYS1rlI^O{2gbyk*R%yVZEx~$jQ6*8kyOWE}8 z@7+-w&)e?kJ?z`dxO1d5E#5ECe&@H<%p%?Ih$+`1B@gsJ+?(vurxNqdx!xE6 zE%{*SBkb?P`eSF&KO<$Y?O>B&@BY)5hl}`#(;#fo5hnS^oZmSoIb$=lf$7s=vaZdf ze}+?aV|7Qn7d6k(}XiC@V_>a2W!RqAFU86ek}MV6|;Qvdr8Y=np!dCd9Mbu z^yT*3PthMrZYDenJD{52$@UdhW%Aks*$5A8i$*m&2@wM|R3G_v;mFpkOMp7gapv$h+3 zZF|`-0=_MqBB=e!vf9+JoF-QmZI0!2CeuF;7$83?qk%yeTCn@ozq^Y9J=mR zb{frmyyzzU!^7fctBbksK}(9LG3C72xKJI&{0E0mNuKgl%ZC&pcl#QQ`J0SaLE@?U z+^#+sf+@Mx;~g74$^gJt@tujAxqdHnS{B5W&ZX-OY!&W@L@ZZv^6K!jI0SC*G=5af z@T6@*Ub_hoS#Zek^^rU5BSMP*>z876>8-;}&Av4qiv?zx zDoisKi~h}CA%${l?$9XRB%UQ1g=59h5ZA~?3_WbE>3r3FnTb*^+--r8yA2_Q6x*=z z|M&c{vkvH2UOZLeXIpR&MEfTM6c*LGe)@5yj#^Od6QQP&pzKLMzGUjR?}=|Xaq%CS z6}N1TQem})`-)i8Oq`klZS8$JyTTxayL%N{drc)C>O%<8iKu++e| zdWSu$Y0I+lK`)~EF7#(L6Qq4 z_fZkZbR=vb=uDpL04#rwW7SYfD2b!V{)Ob=s^xQ{Q4Lc0X$mUUri=%R1jmn29T)giZo@@PqS^YR_^pN@E4 zEPZ1Z!d*N(laHV9kjvi^IRZ@Vrm0HzlzEW zaC+qFjlO_9@_3wbS%Bgu?#jm1Qatjypc$mg5?Ca5@T1|q%0&-^geIuFW5Fy19 zNCrpBe*X$H-YglcmarS9wKhxWgA-cLqXBkon$Ts0c#{zLI9dB8Zh(Ca^)HFX^WPg0 zSTus;kr%r!-W@XZW|wgam2sj2H5!H$%Bl;K^Vw%>DY$|qRX2VXzRU9y4af^4vBc0{ zRZi$iw(h0;$}`y|fn9I7@+OZ7?40|-&a6`qgX^d#7nz%6`Tq5NSxyw(j0QeRR%IMk z^&O`+xt)JH9(}US+M0~4WOQa5SG#RKUngHAmImDk>f~y$qI_-a;l-DS^>EP&56%r^ z^J*_;E`kEq66yMJ9FMLoyY;qyo8j`>R56r%7#J-zcTStnBUGfh%8+PXFz|uvqL5?% zd4ZkeEA)z(xyZO&e`qw>ijl0va>IeFpX_L?LEUdB=T!Bw_}lUr9#c!HqmErS4sO~id5=vj1`b})R%lW;<^`a{J@5+i)!>1NWdqSZC<@W|*S&whMKG5)%+^W^V3F7{zX4oCPI}t#!iF<6j zbxWolQ#gi5z{(Ah-;Um~+`^SCC4KSSf^V=2k*y>vxA*8+k{dtju&+|2lY()AKV3~%70?5MBuCZCjMb@HlJ__4n zV)3mvVf)2F_)FE3)DRFh0JVF@r&m z|1^KtNTy$D2qgfcI+cPzfEuTU}T7sng&NYhgMJ;%VguKY$1Ye2c&*oA6;GUx? zyI4qAy|XRq7T7^<+!0kvC8w=m8?HWcRRa8_eNMuMlKm{X$+oZh=yx_0VhQGL;T+2K z>t14H>}Rr99L*rgj$wWSenRLt(IXS49x&FiK4fHk9^g&4vH9RGkgB@w)y=|hJZ6(Z zUABYSLENh9M%wZP%yjniXHPg$A(1K(DWvy1zpPNAQdsVm&2 z>2poxl48Epd)zs-9goWy1nPiyBAEwI5Nh9&N(Y2e+;qh!VhOKHc#cJ_?tkYx2sivw z?Zc7&NYSHz-sWPUcg$_#hm`~5U{Ig3jCnU7RwodwotZQU%GdRT6tOx#Yxn-8AQBk8 z%URb8xZWF&f4`aYT1&Soq3LSH-#qP*T*v7*H#U%=eaiJ8`w&3d!KP3KBoXlGY0#qY-nDWuxtOTC{9p4bPEF1)$NJy&pCPQe;r9sXzF z^sQzHS~{8vjn?_*%n5rc>u*9ZBt6jr?F%~B5zQJMkF`aO9?ky@p7|~)3g-+V?7wie z`}!*v1bxBLu^r@-g%d_Uxra@PHte?kRQm?|=swgCqS2#zuiu$BkB^su#`b_HvLLwlN&Rqxwp~UH}KiURw0yLyRXxF0Q0C3m8J}g zjq8(GMcJqpT6gNggg(4luB+L>eTiLM%xq$GNxd1UTKF81Wvgcr^~Th4ZK8xgj-^WQ z6(Ex`0m`E|^U2`#u@$tJ@29}7zrAE(Le85`M(jDL^Y500-fGQ5m_#=UIne+cNl7Q1 z@u1XANMapdHlo~Ei;;W;lDM$=6EwInxjXM%l7-?9_Z%;qe%@`evk1&BjmUg%f>&SR z4@mt)u?kL-yLE7yIG(PtDZE8{pDzhe=(h4r%Yamhfs0;F_P3sQhXD4Z=@6<$q#o_O zodqI`YA8U<{#1@g;{V7a%&qMP=oq2>WR?2i)@CxjKNTa|gRWgQ5tHy&Kr6Bje)0nZ zO*c+}{wWp@JkF=SbLN+FcN4xYQ-~Z7UjxAGvD| zJJS3Gh(!q!{#Ss(d5034Iy2UZN*W81FQQv}Cyc=0ii?ci8N7YcfgG3a*84$zB{wz(7Ei8gMatQ54*q7irUtSA%OoZ^ktle{N-CWa1F~G8A7{jGrih22 zk~%i{#hUSZ*_KXy@kU0w3dIiC3??+8n-s>pCkpR_uZtEA*r||#J++(1Rw~R^yH3R5 zwZ81ik>PNQCovQ-)|(}aFc zM?2*2|4`~bq~d%+_Nf5J5MR}x&yOtp$w6gca@#j6dncYV|IsUm+HS|fYWsD;FL9y; z%`NXcB*xKxQ{zcshS}Syux7@icx(SOSOy_Sof~(enE+e>s!~F(WBQ(+j>z60hEifV;Zq4T`4Aa^wQRxZU?iTM%(i^H z5veYj@BXtnQCY4(a=u``SINT6X;5H2JrlUluo2+c2RzIV|0%Q^O@9`}b1x421Tf7j zc{%c%LAL6k4Vx>Y0vu032KcU~UV^qbMLdA{+@_AnC9%ncYZJI~SAgtl@1*Aubldc& zi@X>&NX2L+sXs@~FIRte!p(qr#J z&lBIBD_H*Pr8)_kix(2qGdCD`GhB<%J0>!uu$N*B$MWXE4;jJO&+UVHxr>x!E5-u) zRLw;2hhMVJQkF&gCQ<-pd%U|1AH^oLV@dU}^a6G8#u;2kajbLZ@)eOv7O^3xV4XU1 zAI0VYy1s zszd;|Vx-a<+*||n&$xq4bP3$MKua}|>*6Pwy@Sqyl$gIne1IeI6$1~d+2sTN$X*7J zwa%i5lcf6QH&rd!!jy|XcMm#RmrdL!5s8;O<8wh2wIJ{kU!urm^LnZh=TAo6hIvnJ zfD=p-0g{b$BwnIFM0blXMlWbhHPQKKeR+-aZaw4N%OLntNyAXTP{Jp>I-%PfQAs33 zDiE(8L)L0Z9rh|Y$robz3nUOA^nK7j9kGw|Qk5O>fTpgz_XZyahWZ9{>SGdrD+zTU1B}(`*t165H37+uKk@NyU zmteT5@(Abl830^^1EL|4(B(uhKY(l9hCzE7jCyG>F6?)iY2bHohw)gPQ4&&QG) za!&+Kyf`tZH)78uIYA8dk-ds{5}k&~9bulK`3q_!3Xh{TJ`5MjL-9=Gt7Mk09#e^i z&9SuncEl+v+~-cuD^BkA*-Ll&1bM%&8H0c=ge(p{63J{9B_pyR;+d$=V5d~P@nhY# zNj*3HTCa{=3DLe)x!8oRZlp=Pm##Wz@#{6n(k)~g8>E4K?L&>SFf5DSqcfvBz)T&2 zvU6XazlGeQ8#iNLBk+cMX{bZYlo^n2ZtqCJ-0sU)*q3}7b`8@y9>hPzpB^8b8ic-R z#i*~nnZ;_5Ua8VZGR*G$lifC}P-(d?Z&xH15EgWpR2+H0Dp7+CkQR5LS{L{4@4~-@ zN%#@w8q4bZu7#j3nyap*j)L)+t3Nc1m6=rH z^O1~x{G1sQ=$__|2g^}**;x32-9S9ScOELV9b6U|5Ju9U*fgdI8NY|LDS!%flRYQM zVo!nBF8XOM^d}H^C&MGr(dEF%O12ke#B!&kny>$`r36{-g&Ta|psKRvgjK7R1sd#J z!tQaeo)oB!nSi-@+Sc!}CQf%!2fp-zzkR2Q@>eRBRDVDnh#_)SI9y2A~v4oj_I-~+)Yei4=G$znGy{W zdG$P3g~{Oy?8NkDh=i{b@kw!$G|Gv8jiRi2UvcF7A^6*(l9)^5%W`o!912s&YM8{uj5SqUWz$`F#i zwe;Wt1Mr-6yU%R9^+&BeJjQ5yUP$Y7S-;kaT;Snr_%f)WW#cSqodPc4hNL>-D+nZ_=Rp=FUr`c>o? zDtVSbhzdVsv&)3TU2y?_t{rDsUZgJD@O_$oBbHgy?*4Hu}s%0fzjjh+g=kI$h4Xg56q)=X~pZf#lQLV z>4Z;PH+JsP%&FwSlSc=UAcoCm=wa7;e2Kqa1v;g*neps&+V!xFU(zoJVGZ zGATefE!&9u>mPZ3>;?`m-Q_Jm<&4G2B;;kx#ZCQZ4-M&dEU6>>8${I)OFy=($kb?^ zsniwse6h`d?CP@dyv7;+mC>FK=}8o#@Zwmky)(ZjaQ)+%U0u9V*+G?m+}FMB=))?> zF<*C5Ocnit;MXpc)bYN$|5gDbEfX?J2OAWuv#B?Rmvn)iS!C34%<9VhYB=Oc{^!qS z)(T!^Iv9moDIdAnUz#00YS(8tlO6sf-MzC?*3<8W6qq+P)G?Ej(UpDL>W3y;yi zlTTKZv`IL)2b1QhQwM2ngqX21DNi766(ynI?z1SBov8dS&KX8ATeXVS%61KJySQYo z$Q}kmXcuzKWt9w1BrK+x6Ul@5Q9b$Ug?H19H_3b@U(Z2(i(doloZm^L+C2OF<-Lm3 zccq|FccphE7*4N--p6TNV;$0}1RwaeyG$p6;N1x^A0LK=zi_s81lZxp%ztBAlb-3O8^%ZHMN?qY=kG3 ze2n3FFv8a|Folv_okxBV^fJOQp9Q}@e z$244JyeU~Z=rb5_#4M5?Ro^EMJe4=rln<&1w&I*u`qR?A9^C$>b}1D6=9xs@DT_cr z9wo>vFWd63L+$l(W8p--q7kQjItEOu-eUL@M1E}K z;>lbuUw9s{!o}dxhoAZwm3}TcIOb!m_XBcK)J@efFu%g=t@W*JIx>ij1hYLy+MU;q zADv=l3{K3mRsoNDVd!s?4Cu|)vCIS~uV;}!Opu1dsK74aTe}`18fM8L%7t>_Yn|SE z_jk`t(%v;#JD$t-nJkWq>1^{5zoGHeVtix2l-8DL$wph%%&U#s?8+S@#cMKV<6`^^64u4 zTK{BFu1m+`x0_-_gU{<)-sF~h1jhcS!NlNW>m$VBw7n9bCbIXPY$i_-U8+J}&a@XA<&!&>^%3Py7GC}X5~kZvw0&p%*+_Q`J^Ln#J^TnYo>->1~(9<{DK2 zTp=hU8O~qJ3AGa4@DYBYr0nYj+T*WO|o0<8^wN%Msj68Z%fqsL^{1hQI( zeC;8Huj@`g0k~&M6a8X=-sArx%b4#E0)*7gzX}V^ua}z8Bt2r-gH4-T@?qb0j^9b< z5&<)$*C&^Pa{f#r=Gy3d*7oFY@Jtk8UPJwouqjn`7fPO5PSGB6KVM?}n4?(DIm!@b zS9=mxqth~?{9JCdALAc%fQqh1q4f1pHV^Y*m0H}L%mNH>nQb5Yu+{OW-#=SGftzz& z9U^`pr7Tu65fyi~{nCSXFX4NhF=Bl7l)<0@oTj|L9`y1ku-e-HW0A7d){batcDWk3 zSF~Td!Eee38+sS7$6!ZmqZ!|e{VW`CFEk&qvJnIjV}wQt2H10UQnz2% ze8f1CjQWeSe77FV8{Uh}5rz~c<)M0TM9%orLOKRSbE*4zwQFbZ@~ze1=sjKOY8C|f zc9frYhdg6rSrk_3DSv~))=$8#?Qi#P`OzaOYR~p6EyOXeQS?4}lQ`?0mb7}bAxaWQ#E&iH!Mj)iHfeSi?0MweHX?v%K8kd0Df38po}H=;G$PE8 zhjlmnS@k=O_PXCGT@G~`3jwESfKjdj+s&&g_{z46&dp*q_L%3$XznM`+kA$6OPe}M z4XUF(^Xa`4v($Gcgq}k0z-VIyTC15Su;OR5+4*=+oC|7`3E(k)EhHq2q&T6cJE2EG z^r5*3oPfn`zog=tsSjP2Xp4BnPv8vMJt-k>V!6&bRSL2=JZ@ARX84_YtAeSk??_DB zgGotHKaO*sp!2uN0VVlSi^@9wu($0;-t7@rg8 zxmooZa8&ehFKKb2cmzf8vK78!lYDhbCp!gw^h%HPJLDgee7#-4btJxP?wZ4z$GD#w zz7$q;-HzqxaMx!dFg}3YhJN zW0^UTzm5g2|LiEO?U!#)e#joeZ4B+)e1)#ougFR={tEM3_n>Fkd%ZpHLO< z;7(80eC$6f-x6}n$?-D#Hhxewq;wMPVLWIuW_I(t*{P^Y=$pdkmOJP@J1wuIVIJ(k z?``P<39ShLG_?b4H#1 zG~tl&9eARokX3u50A>n$t+^Pt8a)#0J&c;(xj#wTEImPPyjpjz0RaMa;tYicrTNTO zG|Vymh-myg8P%h50=TknW>H&v>P?7zMUPAbagHK9rsN5#^BgN}9!g#=)DRX;d2+PV zVOF9?&CX{ptuJ1WYj9>plH+C4@?dn}q+XL{pG`=dM-5hfvAOKvmD$S)dHl8TQ=0a& zgSbYGb04Ks$w5+W9uN!t8%r1v$o;cp3{@k>zzWdci7go^>$&eGrmXNA%e^4k@Kf^- z#qNuK8S|Shis9imv-%2y8A@v415)zT{+9~(C0#qu_!O+$`U{3y0l58<9)o-6Eku!C zEl2z=^N?5N&3(EaOm)VQj4eB-TX#K$&QUkY_><&u0&zMMKw%nG@m z;cer4FM2#^q1CmU0Sxw{%<`Oxr5m{7fdIWPy@4Lju9cCNxSu*_B?dua`8h9O+BA^G z-)j_X5TXi$*I!r;fZC#X;*w~n5b3Q07*1SC?Fzz48vK(A-=k7uF72Dif^2!jy$pyc z04K(vJO@@9prHW95tO7u2gArV`ssGR9|nSD)#&&m9T+mW*YC-x(SfPjc_HTf7#e8_ zfp4BVoL&e%c2z~b`aA9OqihDrT=8qz0|Nzx2v55br~;4Y{@^|;A_yq#40^30DraD% zNyvfl`PxFo?}XXyR#1p!5!@}xyjH^cYz>uo*3b?~E~{dX zCjUs*eGWS=+nHy~PjZlR4YxE@%Eyp{iSuWHDyl_ry~{2JY|bv`lJ=T>G-~r1TP)2k zv;9eXf{0K%+M*F4b8!ZMgqYIL(7JuTcpvKd61=1=a$@xh%eG-{jaS}dKU13P>M z(NlX(-fhRf_~3hAUIpYTYy^7bzp7ACcDk&eJGr-iS>I5j)+^GyHjS-goXfN0JWs+9 z`kT=ybKvcz*n#*?eJ5_ zfFmvSV$aqtqCU>*%xZh)Mqt!y7};z)ti`OWSl}?a221mGj7}pXIiiEDwf)bfoZ8#{ zvb^FF?QHd%J{3z6Y7884fQ9K%Cpn0BYVM{dFN6NssT5j;{Y)vUF&FS>Z{w_D8DVjy zqryW1HUEJymuG7k(1N}8sCJXBL!8sIV|V>WMZ0_g`__qRws5Tt4#Lt*Zr%7tu0#6uc6w|s7aiBH zgtIkvnAc;u$5nf0zj@*URh~@620@o)`T{)=?C4f-F`OLci@UY=0#Ib>X9bL{0YZ!k zgSYn3TD2_LJ^PN|a>vr@RV?$4W|n|Ub;{j<%J&8O1iFzfqr2k{2-H%0BJd(E9L>cB zkj{|2`G*mLSXm}UWaX9giX8l9bfx!~r(y)xu zPo%v~&^$zs0ru8aD}rUnEFUO3z1Hw*W8{50GE$lV8#)4u_d?ww$rp5FFKGhY=KT2W zmGxIsjt(KUV@I&DBj7qN1|4<4#edKucb=%$Hiq?1a3otjdZJLrvQ2i_*}3?RGgN*a zLsr=^J6Oe1(p;>*)YVoak)L-i@F8jiH|Ds`Y9DL|g@M$=IyDRYga8{-{AS(>+qx3N zWQaFAp2$@rmRWT8jm*A1f~wp9u{}uJ&#(}h&~c(DfmgXTmCre8Vp)v(u%i$niie|z zj;Y1PD5BFkVbvpiH2Z$ve`{>uleED?yKi978^|QlHCTB5q6d7VuX@`6jlG%>nm?%I zNmSuHtR-+VbR=+RnYDSieJ+aPyo^KUiMpA;Tq7O^O6)H ze_ab(#z@ToE%zF~b+E@0QVzepjrZR7TejHIRQg%HX*rQO?n4W_8j^S&u;7g(kB|2; z<+L=MR{91SfTA>T*wcVu>VV4j(f4M{zQ*Om@uTTU*N2uGE9#KD z{*za^Hy%8-5?|#aDOb9sp}K!#Z4%3%r>DW^$hSvhEZ^hMepc^0-MWsS6;C4K6^wAu znndGJY=bN;+j`cu@kRVsr}=yrqgQqowcBX~pH4{rb@9uK4JjFh16fARUA(Dt?{ytG zmU!0!j*aTh+WAwN=|H*`kA;`f+5&XYBw0G3hi_P=4QTvn`B03jNO6D6BWI&kcNv&$#dl z`v)CqrZ~eU(Jx9>b$D?bfDAtXup34@Jx8Vh2Y2Kq;V`FsOGi=}I8jBNng|CN1>&p%ENMY&09HE-%YC>gg!gU1ah`Z>{^}_U z9xo_jAi?9`Z0W`vmBZjjfrn+jFgC+xIAnotoFh~{N0kEGWT|QEZfoOSGrV#nC$1l; zA{_4Z#9DjkROE;`%6Ed)U_meRelSTd4AYyVqOnNY4v0#@M8Qsl0gL4i617%9m05xP zpmX!1vUwg1b4u&;yd0^Mf>(DFcz=!76inxNgxCRcL~6^d-F3%Om5|i>@_J({ko4|V z>?;HLHfwj)l}~~A8Q{-)z4)I0OM7dBl#YlRRTJAuYz8eV}Bg^wVX1Sa1PRFKfeJuF9QboHO@ld zJRW;2NY^-g_I*7t;&S{Na9*0gSpb|TCU9so;t*fk?eWmzm6-oI*WcrP1Z%ey5uJ8> zDsZ@V$FYU~=6`Tc#(dgtpMac4LCz22`A+K_QX-=D<#%rR?w|Ly-2~^ug*fsZNRBTw z4s{?lgO=wjkdx1ee~*Yf0WIF6L} zDf;=E*b?;kpU*%--?`G*oDBLESckyh3DWWiFV7n1P0DT>=Oo}%?dPOTP8*-2__bbq zpDuZ5oD(xR=hkonk`WA^b$M|-pOQ!O2NYnRuJE-yoKHyMoUQmZ4#&fihsIf-!MU)8 z6OfEx@T~P(p24}OArAK;Q!lnbxA=86$lcC+NZxuM$GPy&C7647J?cTV45z9?OoL}w z2}UA3-@d)`2Sg3~5z?Z$U`r$2g|r>yF3v{9b+ks;-*tSqN~7m#cZObf{Sj*&(4I7( zrwv&fw%eDX9{q>Sr_wiY*hv5Nx+J65BcT`j96s+h*DEJ~(8h$Ajr3oy-*`VEye_Xt zvAp27!>ZS>GkQIG$o2YFMz6;Vxn94_==E5y*LFknK3BJg^1BbNepU7NJm~civ>ON7 zcEeU~{xczlNNGENHR>sGR9YPexyo1Z=xD?rZq?{oFUS_|>Hh2O(2LIouH8uEl&5pOlAqS={2|v%+fnQFjv?1e&sS@`_&#o!{ZO9m=!;sf3;V2>__{xv z`gQ;7mwwl$^?E<_;xqR!{cgqo2LJ&7|9Am(Q@u|VQ4pUeD4={C-*-R)F@_iY7kB}NNvltc>~I|Vxb0HVKn zcr3T*Ci7-z<~K8M=FRleQA*Vjtqhrh@C}2m1_fo595XL7%5gJt(##mj&!q#Y#gWnE z*w|$TQyZw8QaCS+cQmjj>R+(@;Q#*YA!rEax!o%_SsDO^={#w=jvsg>Gf>hAycvej z5lwNN&71SDSkn*sx0mH)T}GfxqB^SJ+_;~gLdDbt3Z3$n^wOT0-%G*hdRjnBX4lt# zK%kBqv?Bwqj14++k1pcH`GGao41&VQrfV0|fn^k=@?;KP((@UmzZO~mzrHxPk^rww z5_lHgVGsKjMYmETE;RZvH4jF;pfE{!#<$8dIaPA5*`k-;z___OslXyZ{{ls`#&oZ} z|G>BH1ckxeB6<S@{T8^_L=!H zTnDoxI_Na4JKuL!!6LOWDq0&)c7x7*>fY9w5lB4!VbkDah(=n0^6vI$yN9(-&WFG)0ltKC%fN zO6WG!Kb`%}zDW?r%t=QXRt~FGvQ@T_&go69j*?*ozBY{}$5VUz&dz;$-;>{--D0Ua zIQ#3PjI=LBm(F)KesY*cwFkucs*!D!sVuu#;0XHbC+^oqVsV1S>Z>2bYZxDY00030 E|JNC1y8r+H literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.rtlv_sg_swap.cdb b/CPLD/MAX/MAXII/db/RAM2GS.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..9f7460b46c94c799cadd97b7a2caa252682cb0a3 GIT binary patch literal 804 zcmV+<1Ka!&000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Efw00000002S)00000 z003zL00000001Nd00000004La)Kj}o!!Q(0d6w5Fupt&UMh4W0jgg5V3QYiXR4b02o3lyc7VyiMQ(|F2qVUBKShJ_2swdj~c^ zBBU^EOEVlD)U)?IN33d|+QfrC%~(7B6+E#Ho?1&9ci>oKJ^mRS!P@456`&2=f@5Bq zt^b7mBd~@T)?xR>xO@2C^cnkHJ+JA@%*6D?ytI1!Z~Nlh*cW>uI5+05>$4}jFW#GN zoD2K<4(!9!YF6s(0LK9PJvunt1N?rHA=;Ts={^i%|Kqfg{RyWLV!4Ns4?WGSIMu68FJYpJM)=gNcOIj--Y zj+Fy6hVPR0^&0Tq%=UuvgiXU?y zf2vN*dZKzh0RRC1|9Ao7U|?jhU|?XVHglW~q!{FZ*xAJ?KG@wc#5F$1)!E-KI3y_4 zIV3*7KPV*L*D(O3ih&7?6@cXKf|OrCih&=95gLQTp$b`n!t4wJK(dEh+a5?UhyXD{ zA=p&7YLGlLg8(A~L&Wr}M?sdLs&@AG4e>IjMiDPT|oVh)B5Ai43H2iV7`4)^nSab;v>$N(zXG5f*`4j>J3Ji<`_5Z54I ipa=s4ClIqRH~`6h2h06JKpIu0CtRfjP!0e90RR8?g<(qo literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.sgdiff.cdb b/CPLD/MAX/MAXII/db/RAM2GS.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..5b2fdc3abed733662680bc1d723c4db817db9fee GIT binary patch literal 15071 zcmeHu^;;BQ_%;oKfQW$72uR8j(kY0bNJt1uiGYBVNXOFMO6L+%5=%ErH?nlYlG0t< z`||m|@Bi@r@||;C^PD;NJ?G4vGjpHkdS(s{9v)sL1^(UM^)4yj*$>t~T^c^f_Ut0;dT-ect-r9VTG_eX zow*)Qa90f;UaI8(7`!he|JTA~{;&1IKLXy%;}%c3IgVK8mB9{GzcBWs7%2!oTE+|d z>Pm=TH2Kx&HIW60j*`wx))-;l;Q0FB;E`u!cNF%vz}VnGhuRk^A-*B(>V$7qIuQ^q z9(Vq-)}|9+le25Uuanj{Ieya8yIj&KZ;KA%?lw)^hEJD%Xwl6%B3(1(Z$verEvy?* zbFS)HQN6QXm2rmGnWRwfv2KwJv~t%yTL~gYX*A()Vqm1w%;%TGKeiSYcpa<`sD8TW zai!XYlncP9eR!VW;v4<)d(Y)AoCB+%9A7`h+rP8~d(TZ*4Yd3Q>+Xa8T&3^>!`|Xv z6#)$QNA;0|{C|B^saW)0vj!Y~R|l(gr1%Z#dF@LT>zNPRe4@(VPsiZ*dR)mz4oAr7 zvy3IFTnwvCJxqFbQN0$@odpcPQb|m04NF_UmL9!VrB^Z9-~KAzR0lBqa8Qnz$K#9O zivw=ItslWWrX|EYF9x7)Qoj`jeh3n^ZMY>na>;F_FUULzgL1)0+%41Oh>aOEI>yrK zlc#rOo&yVn=8P`rvM1~$i~HjNPyuAZ=hmo?5oZtAY7~CkFHM_~3@F>g3i~kJm%+zz z311sp3Hy_aJPna>SdHfl{i#c%RwZCmebaBLEcEzjq?k8iU;t5PU#`+3(2>g*dKufh7h`VA}{l(+=(q$$_w%!EQLQ0VrjQN#e7R@zw=5d3nm?=@9+E$!l9q5a?e^-DJlO`v zsXBhL^eeM9*=p>Vd#o|%1CZ(%7c!Pf1*`S_v;84RAm~h2PGyxbdnP90@#DPjfHyFn z|9R7ZJP}^x!Z%F_tLeIB69Bg4yg#S7I;}-wQ75aJNA!k2f2uSp zHFlLx5F~sNfrr(!Sa_K1?AxUp^h-D=6Ipuw(fPIOUPLIAX4ds#nfV$=n>9je#+_-> zyii*^Fl^Uv0g-zu7=>Jy=^~O#dI{pk>(gM#q@Fghp&Z(JIhj^#7S>ky`IB%{!`_au zp(EJ6&$T>{=%LB)x!sPr?tZ(!CF%(c|JHpV>gDNy9rrT6Y-_o6m#Cj}d|j;wy$CSM z<1yLOjalaDv`m*>a+P@2H~V#?Zzz01@makY(dY36jhD}>((GN_aYp3c5z+VPBfe+L zzuB8Dw!aq=4KM45^R^D{ahdGdU>-Uh7o2d-R(@}~UH);%^^`8uDGX)M8D`v+$o~L>?(f`8+hNCmf)#Nbba>OLiOF;!`7EIS-9J@#i^FT=3j?ob zdMWoRk6-C0>^H=dh9$Jly=h2O|B~%q!R61JC0uSO|3#sdvD9d)YF_3p7axHw zn*Am-*?@z0#)#GZ&kIBOrT{+Sd^LbSKSc(?5 zxUQB^toUrBmK6pEe;)|!zv`Hy$utQyaLGYssz>L z)f5_Y^6Ae}{`dRda98alEq`BfEL`Cs%&I6J)~w%M9)Dp3cBND|uz7{_u+G%{-ZV<^ zaEb0;rI&4|fy+hhf$DMJ4#dnDpF3_|Y>BQu4Bi`jM7CW7-^XlW)6Xp$oA^W@e>3K5Gco1(rij zu44f3TpSX>+W?>R3dx)JGh5Kz5B7+5mww~<2`a#EP6{N*uUXIyiKCE+m+S1OIyqH# zE83uuP2X4X7d!uMlm)(C@aWj~n{QWnc7sxoVy$7@pMDNBviRPU?2j`RJSywZs_v!B z?_14rSSgYDs_N%vkS4Uac1WID^=>M*zrl`iI%mbOIY+@+M(XLh3sLmnq>M-4Ty?Qu z5B>p^l`7c6eloWKf^Ia9)=52XvsVKTJ{%QopmX2HXdZv%skq>s3^{+_tOP0ho5b0D zqp=$@DU-VUu`z%9?R4chMMYU3YlpZ2{qhoc+KYADRQ-QPK zmx|R$W4UMk00+N1#*9)%XohFk!)kJGPzMg&L(BEw3Skt9oKz_ zIyLviPEMw|0sh*C2cO%WtPp0DFsJ3Q;mK;-i{#=f-3$E5u$EP#1Z1eEgm-Z6s+Ys$ zQ;BN!5)*Lq1Uc9}*OdAm%$rI(8v(Ku2v2Xjj&rD{H9|Z`_<>dwRywOhcp`Xh) z4N3mGqF3{IQLmd$_-*jd?tH^6r(*krDaH6=&0|KikK>@HMftln?oh9p!%KA%-=+PN zM1({;mYo6MUMjRn22oM3c;Ih3T-XmscMPOzNFCUEwve^zHg4ua4irnJ_I=p! z+fB0IqDnVrX}ES{R;osDBx0iMJ6`RX_M#B~i<9?Rz022Dze{@>J4$DA{e@^k9ZPJ= z)?cOSujOm0dE8{Z5Osoj5Nj+<-E00AoIjf>D0e;gXLR8+ok zHZcuvUq(#j#j>8%+viVx2rqY$pU{2LL2`jI%V)Nq&gY{w9XN5B49!h9x%v9HU~OO(|ZKF5zaoVMM=KA>!alb1z`&$Zv|rv_YuYWG#cK_;e0!*iE13f0ZIe zUL19{td_bzD||YY8aefzPM%5eUtxLFrOzzqzobK61*@0K>NY!jW)qD_T{aSvGFUF- zaZ6!9Ia7;)nG#XT<3_6FVk6_QiR<`&e<)h z{AuN}t56>ho-Pt{qkCp0Q=gRQb{xP6l5qN@v-N4&a%$0OL#K-y`0~*;m-(iaUKhZw ztwv|9k1(ch?kKH6BS*lPiT;6xJ;mXRIIhRV?t3#$bqx&-B#o|m{6GWmUs*1=veO{n z3_vz-s-<5JBzLQQwTMUV_;Z5cG{=Qqn{IY&V!!a5TmQS!Gkb=lpC+n&`<`2)YLFb!=sETPF&U5>{pXrM;CmZ55Phc@+W#B_Z#%vtb&?sLBCvGpDs zt6+HFGq3tT(B(JEDK?QFbEv*s6}$`tl>&ZJD=KnoP;uXCRfD)_ZUPlZeB zcI@S}f+AYXXym`zE*Iys)5^oRS>xlE6Ex;Bxm`whrfW!6{(6E>l2c!;c=4v8Vfvp; z!#v`2_?u}OBki2~NPb$Z@Wn?+%YP&*=WJs0$Yqu)j?(Ti(M+>{Tbz>*`X20@q-D-u z>%aiU*bCGvOdrynFbnGLwR{5WJ#T1&%*@)ZGI5yb`G!*q)-VV%SLW5b{;l8*^)?d8 zKj(J)7C9A7+nr`6qzXj8j@0#|0>>Z(uhYd?U3j}1{YDA9DW<>4$DbF4oir@YV3)d# zA5s_e6#s3^dQ{MQHw8ZKcx^98_v-vus>Hf#fQ_TovkFuAF8slW$cIlkTn*`B?65r9 zCy8D&Bo`J#UN#YMLoA%?xNa^qReE~4?&?gJ?pJ4v3Oc+CN4pfa=mjyqatfQj!I7Q~ zY|;Hro`Hk#JG~j;Oo6Zh3iNfC_Ax;K%bBr9P$xaSvbD>c5ItwQ-b8%EpPY}cVZSp{ zu#_uH5KuN~d88j6BA;?Bn_&ciE~V^!IJAPD^no^!@f=rkBp7_r2cv}&LloZIIPsyn zh0&@`)mxr?^#0pi!uS6k;Ud<0C_gE+h8~r3;aJS4MFXz9s`nQ_uxL?PZ&V+xb{UDE zBRkGn=>`y6KX15|aDd-Ap&XBkBzw@GiF>-P*c2ky6LA3`M$@vKq2S0!P>khIs+&h` zG`Raz4lGUBSLVzOO@FtFWmhJ@SD( zN=*b|+W8uZ!DRVgh4jVciF%xEfPr;q`ZG@vO~mN2m0tVNYv*X#9L2&T>TF8Q^HKQW zv-4&K<_;LjwMy;?ooG}1bc6cWdn;Mcdv6Yn$+unv`et1*ium0`1={+imVG$GjkX=| z0hIxGPjB72G3LZ`+|T|`@Q{jJ0)GpEE0?H(qm3h|7k$sMg+AT}bY3`j|Of)!7IZIQ}4Pj{HNc9#xmzDkaZm2>%d&92p&|#k^bKt7M z%%6+WN{j-9VD%IMAlYels;ynVMgK;TY`8j}z=ED{-1SBH%EMT$rT$ah8%4v0wB5o5 zX`wwri3tT?nT%K-k+(}4O{W>-O?G}g<|kQ0Y`3C)-|n(zuxD6%N;sG>{C;1dGZUA$ zx;oso@P$|u?h7II&_}C}Z8{L=7>%@@b~A>(ZAcAgvbKIP^-eM z4M5%igY^QT{l1IlZq)8ieqmbyZd5ux7(l&B05hE;{V(tBKo1AN`ft2*Bjk8eccH&nHs2_yqHCNgXA<@2*-rE@ zHgsWt1$c6T)oK2NJ>;AL9z-G$7eaZJaw`8IAtIL~%zGyM;~&Kr-J`Ao z7xPfg1OCL_wLb7VvbL=Emk_A$88%%X*4KHwnL%@!ByoW;PjbjS{pee8Zhas6vnm_< z&Zd~+^~2cVfR|%7+bmck^XFMwigQJfq?n#!bs;I>qR@TKYoPkR>?Shwd`Do})B0=c zXRdCqmkrKM$S)XhIkx>%{%^ity@k#TbzzOxAgN_@w#m7aV}V2JA))=z!_nZ!ovV%D z6=z-Ss!IpfpA@*`f0-`|=?;EFWH7Q`|Zgjv_sh+*g~s1p9FhKA*Q| z&%=eaMGfak=I#EQ?&{skY)( ziv0VTTcWFm=@n)}k2bzb>6cVhHPp)#H+BqTC+j#>Xs0=5!XRHb=K^f-kmVYYYzR7o*?Sd$Hwt$LZyO@h}R>jTjcj(GBwrlBKib@DpCgqI5eLvuJ_Vedv zdoE*f<-cj5*Dtud-R}2EwwPb~e8g&=UG!^RfXJ7#H;+SV??(ZzFsu00)N_S##6BJA za~9eG%2=DcPRxY4`FCfnHTE<@$=)PoxjD1f2{&kY{-0`(9|R=#jLK+d_?9<>@jU3H zal?eAl{jHuDuSK{Jd(8P#wMu$;VFAG6u=Q7UKE>2yNN468dFwWKd}Z$x(zFC9abD5 zm(kS;eg~PyzU2Rsm=M^1^Y@al?HLR|;kZ68?-+Z_3@8`*-fk918Fyv>qO$e8Xm=Tg zkCQgb4XxoB3ilcbsd1j?%@E(bphX1L1;JG}s9V$)llVE~cGq^jP1hL+{5ej+2LoWg z(u@nV=`nQ~Q)j2%R?H>Bx;i2y*iul83wf}cU)LRAngC8xgQUIlc6z_XGW)A3z4yZa z8^n4f9iC$}fXI8Ko=D!e#cK2DHsbZqq~Gm_cRi8$dj96-9nm@W_2r?b5>{*!O^X`x zj2&hJ4zzd1g3AXEP_!lG7PIa*R;+{w&qHU>`x{S_DcE1RD0EtwBU;SACZOQ-`cFr^ zM=N73UdF;Jn6?OQ5ZbW^=jGRf6UbHk{MzaZ%|hc3`R$}Jw{G=dk>eKjITfw53q23V z0O!YSbicl#RQ|o+PJb%Nl5jC4k1)K72<<$3iiMD1x}jo@zLlo~(Wz<++#(29xu=C9 zkmX$(Pw_-^MbM`b4TQi^#vUKhfv+c__m%&sds}kJF#5x2FM?}4ZepoFxziZsX%8}H zT2KSyEUUB@y=O><90_;WhT(l$t4lVSwI!YNFQWI;-!5-&<|?6Z_CAWdvk_mk`2ygj zS*Y~Hk0P){=;|*;>d)e4bnb7;5*K(Tap$=S{S@*-?4T0vT+FW64#G(Hog$kY8}!tV zEp9Q~6~WGnaBY{{9k8NsZ=mc0gd;9P#*2T*S3XxkQzc?_6TpN&*q#xdCk6Ijenm!p z!nSr8k?61nYa@Ft{s5zr@O}(l?@B7eq)#$RSDONn53xPeHAmLMzh^6GXDKGSJ}LPR3loR>(EktyCfsyB^Ew%Q`#B+Q~$}fMtL8I0!@6v$Y*38 zm)-cuh8LUY_((du1+w;v6;aKFxWr3Vb4(-`gDkyT%Jt0$;8vO#{8&QLp*b6#(b`e+ zlFx5(fk~kd^DX}@YTx!#+#3`UWwH!&dVeVZV|#cvR-H{Dw}5u^y$K2~<0(GHd9nG+ zi`dAn_kxE%`d}n$Odg5mr)o%RLPU|w#bBuHRY3k=4QuDjHSB$Sx(qN(3k!QQXgCHb z!e}>LsyJ&1X!k78ZfpEZRZwKk|K)zq{8XP$zIp3dytU?62+32?QD3COE+U9PVc;4^U)^sM^fc4Y>V1j z-XGj*AIG>UMnQh5q5n`06b>Z(Msv$X4TDHU@0^uB32%k++j57@3+=1?8d1u3$%2+q8di`z;f*3p&8%R?guv@U#j*fpB;-$ zd)@0sl?E1i;6fb55-B8VtK^Y7xOP<_g6ho!UMLxr@0Wm;iBnZAIAi~y%R2-Pr&8ji zm9^QF1Mz2jjsN=XGUAPAPAwv{io&+l|6lRGJKV!f&ayGc4}EPgUX(tG>Fdp~ITP$7 zvkhjVPZfGGKpU;$6G~kge^vJ#Q}!qA@{J~gta+GAly|zx=)2N;QKw_B!Md=f# z25k_Y@;-a@<;`eIA4MjlEiLKC7>(mgV`?AMpqAyEiBSxn=+o%N&3pgM?)>)0iVa^r z>lX;TdleyW61k@=G|yD}Q*O$nzVZk`q=E*(%_%#cDf1{unp|1%@SJzPZ~qrd2cHex zmEW0+oIQBtMZb~qm9%mmBkog44s3>o+@AE7&J*4p5dhbM8+Q~AEGF$8zD`oN zl}b6M?e^{26=9$F@a!$fO35&the;`=1=&Qe+4*)ps`0mD9LXj{Q~UwhqfIslVv7NW z+3Bcm!i;}gkC`~5&A5y!7gY)I{`9;RqRbz&TQB^3;Poz;yiTfvHSC zP<}f5+^_S1XYt5A9t)7R+RY+~_sS*REy$T)VM$?>ss)I?6-u#lm@*;gxCsX;&@S58 zFIb$Dqq5B`LDu0b3T?a{$v=-+L-wnoHk>F2V)O;wjkL=7B*tblae0^XEx-{JlQ&QL z8Pv;(IzwPa=xz|H|6S!(;5aJ4y1=kE-L3LE2fy4(>8|0C=lhu9dYh_TIGg5;CU)<|NdF#RW~s1*_S&On>0f0U2aUPMF8;f3>_^jE!dy1^UQNKPqr zJm8qkW42{7H@+{S)Tf+-u6JaT!qeWieA%8kPF3q7pTiS|E9`sB$!{mMBfH0|tfXt2 zk25`HZuc+!d?#Og0@LjEqTKu?KN}%S=Imb~0G0D{u}v)BE2ujn-UHSO>nb1Do4MxG zs{h;IIF5*!+q-7I@)5h*Y(1~8PivNx5*w0}h~7mPW(UxnP{IHMh=!o?x%w6l(=^l% zDxDjp)P4|w@w+;=U4>!LrMz)7+VWY-=(5RXz#;O@6H1=}S(Ic=0t5m*zd>^yRqiUr zbQSvs-Y{3m_trx4F}o%(uuyMi@mvg4v-r!&n22<&PltXX1E}+Uo!QkB<{1}Xmt2W4 z9~Ua!A_g#NZ$;XXY3wqEtA6=>k{5}D;FgBavv$aLzshiogki7qkoyBfxVLeyvy{xZ zNi{5ke^1%zi9#A+um3t8_5{szVRf2*ma&5o1~>JDx#vb-URsPEAF<{YnEk^@O%#Qq zP7LUBE*@Pe=K^2a><=q^W$ZrD#8mzYdo?Fbe8C`EeDR><_$Iy8?C@}WcpW2mu@+|p zCEO=XRg+Ipfo)^}q$}+}m*3AE7<`@gk7D)2`lnZ0H5Z)(J>Sg0#&6&P`b-Z7TOK1^ zSt4tw2TpnH_a!*77;cmIr+j&rOoWp8^6tKs-=M~ogMOH8ZG|*^++(UEO+v_e9kcCp z_wK()Xi(R&PL1>N>xpYK(hId}*l41CAZLqD3CF3|m1{m?VeEAdQ@)dCNp`!LZRR?d zFvm5M7kaZp+O-Rt@nJ;hq{cX8CBIE~eCtl{(frU_O2~r%G+(;AWilu`JjynaCr^b4 zyX60cXF3`{eZODHdoA2j`j(Pk&8_p_iwW@{yUp7$L8Gq7%UCn#v>$z^l;~`7G}Io> zMu6sG?&%$T4VHY%iU^=Ks`^Nwc_z!Bc|*P9zN#}Khu?O-xE9AN$%?Cvou`ATPl{&f zBxx%BV2I@^Xr=)={7ZlSS{6-nFu9OVG37{>?OSEuGhz$G++vJPJg0o3 zsEr8FFLF}UC)_&0t2YQlKHwJo9YCRQYF`g|N?}!ozp4KqZfCQt%e&;k%g8i$UQ@l8!>2%qtu9QSLH47NhsRXia8>0pyvbAn%?GV}|IgRaHwS>DFo*}Pu z#B*;;`a1B zsrSnm#*UgG-U%-FRQZH9LIdX_=3o7XR22Cx1Z#ik#as-@ZRjtQ3Wu`@S;m!?tO0?pr{LVw%@}_NB@*s3GA+p|Rpe`g*<_v({?r(Q0&I zbND{$BxFLU$%yp`M4WqhP*)@2s<>&;BmC_Nu(Ry64ad-|sWV6qarXZB*KGnQ^D23# zO-c&1;o~=?EYb?>+QQwI2x+)e=ndU!h-y7e&w z=^vkAPa@L!9-=)Va+lnOB=wV9(CY;G11nQMCN_B&nm>q@twi+TV4TvLXhrxo+Se#ry3J&pp}$@UB3w z{32nLSEoT$gA`!dMlSz)j3WNg!!vn!mZ-`;DWvMCU_RqE!c4NzR3fM{!aC>UQiLNs z-5Kmz>Y?I)cG*V;F_szKG?p$T<&4MPBp)~V2Kv)q?8vBMk%-J04Fyud+xLCSmo*zc z7e2O39SOg@s-)!!Wp+#c#LmVbR*ds@#U!^(zPG|oVs1?DVNzjWR@Tmq0rBg0iou&5 zdxhD0k7g6{?9?y6}BC7e_x_iy#(P$fTHkkm|(VxeG7#Q9dT+rNjo=1F(AtM}{i z7s1{{ULzSInegG*mJf)5ZuLt@+*5oBgG0xuN&?GwGTNm8GKh{U3Vg;(l!+aS!HZ5X@fpM?@xav#Fh9 zwzT#t=G|K)oL^G$4F5XL5qC^od?;7dcmCk0V&9=RAsFl4x8*&~OCU_5eb3``K(+Le zamf5OyQbk+h#u_NMcsmqX=L~k{B#Z*ipdHPyL>#+pqN2~B%1LSz6h@YwQpa$OOQSP zde&rBQMJ5u13-6)a<>bFnR9wf``PZof~Y}dV>*~fuPW}dPchDGspxy7?RZCe9`ER} zL0A12Hfn$aZVWrKd86O=aHXXZ7X=nMC#5Gq`5u_Qn5AuU9j#QT=G zBk&b_E>vk70cq_0m1nGj7_6fH0j0QYR;Ncyz%H`w1{4-L1^9UQC2R`OGF@8wUa711 z2eT$2pPgRhdi#;oJx9KJx9b-O?4OH&_eGjIBU);z_oh512*$Tr_p~}1toaKH?%Hjr zW)alk{yU;t(dml8P@hsgEJ-0$I3Wmf?}k#r9+V};k9gPZqW$sp z*VH3!nG%I-_{2dE27o(!(Tvb zEN)G{m{0^<{PdS1gvJi12f>~30vD821n zzdZht(`Ys)y=Y$EV4fLwvtCCZTyMS!+!vMdP-!`bb0clW5knE~@XuTuo62BO`Rt5D zexA2iH5p+nTFN53Z+*wDDSyoxi-#(t=DCr z7uZq<#J{()FmMds2%HFTnl{a2{kNXEgKbVjM90@}hI=d-9q64W6UHVL8EHBzGzwFNgK+#?_NfRhA{JA9T~f{B;7u ziR+fwOcSSb`_>LVlt(>j$Y?>$!fk4gHbrRi`ufDa^m2&2<6p2pZ+y)6SVM5Mvv11w zB=+NQMG}pImBG|vZ^6<37& zd|aMK`(Q|1IV%}JgDVLT>5Ak24)n}nygHx?aGrB!F&l%;@L7GhFHX{debMJL3DpB1 zKJCC#bu_;|V;f?kR|HIdYn=V&Z^lqnEi`%KU)Plio+p<7{Zs){q~~8%a!dl+opV=m z>7MhIS^DbyIW%%%3W_fefpGx*RfIDMKcbB%8tZh_#!B)SJo3!>_wqx5o+Wu{J1azJ z#F68j8 zf%~W&lI*{qEsb5jv8GrSl1uZZpYAiK24<|47IYBxE<|)iScgS=1x@e~V#cVXBm&2M zAb;DuBqI+V3^0uFMU~FzxZG(OaB&{m(Z}4LP4uQ!O9x%fF`9&K=;@o*~ z*|C%crUaQpU*(K)~$aIjM+!&8nC z=Z~|>H_Tuif2W>8OS8HdB(u8i==wnux1u+!(!Lgu_lH-WltJd)Jk0SXq_X5LN?-C5 zdVB9hE_4MFxW%1JBlBfBoT7Jb`e*$93iaHd!~o?k29H8TG$ECO+7#vnCTH#tMTX{6 zq&5I?{Z-)A_Fl_7;Qo-S=M*c4&)Il*??=@w(+b{7XP_aPby0t)CKJr$XBwhf8*8(= zZ(H7(q(0Zii|Y)=+B2W~2KZqya|m8);GYGxQCG?%SMxBr(+IgFhCn$(rQ8Vq?M0dk z!8W{Y1kHAa0SENO5GOQrR}EKY4~}7no(gO)(p?BP;vHSe5A}8&y9BJ!UL+1ENUGm? zSKjc-pK#zo2M2V2Ep5!%`$|R(G&)U!)*`TE>v5%BJ0+*7DVjDk(+PqRU&RRQ$p&G! z$dMj-u5q0Ia8488$~@M0GOcd_y>bAMJ z8SzH;!EUxChotx~uxO14FZT+W~Q@R!_H z?7dx|_hW|7KRxxzG9OZ5>$0h@dKZKvx78@9tg3}nbOET)WkbspH8UX#ezb#41fZ_J zXNzP|q4zf&x$_UOnyYX~f?s6GSnQK@$nxdSg&iryXOFclAE9&qO=~0d0Z?7*9D&24 z`}P}fqUw27uSr;gVxrK(E$0ViV_?_P-$jt0M1PHLv*JUkD(SpYcb(F{fcw`N8o4Vp zJz}HHu^li2?+6O0UHLF3VZ)m}5b($gxv@N97^)?AIG~Vg1!GxGJN4+>iuYG!bZuN6 z4)6$*gOQlc+9XvZ&HvQv0{Ol$@b_ZFIc(p{@j{Z$jb6Xc=cH25ove`aB^b0B%G5$< zNIlK$4_Lj-u^OcLcIVCAJ8y0DYk7X${A}B}FPH1sG^iE0NY1iv)f z(=B%n+RdaLL_G>qqjBYf4iz( zelu~q%9FRI#<3d|Ip_-ei{TN|UwxX803;eV75){u8GhB{^!H{q^wZd@J%sq(rv~Z# zsaz?Ms}|);kzKArzfC_u;JIyMjq6Z%jvJu4rK-Sd%b{L%!)<%o^-;A3uu>om)>VbW~-fj7tfuDdwXjy^ULc}4PNzqi-gT@JG2ctBjF7R;4ok1b{| z+cKA;6-D)p1A5Oaw`F9l2XxU+wc{4B_C)GL<+E8emGEfr4NKqeLoAvO3c6B5%cc69 zV@6Q&X3$FzF^Kl1Im=-6*ku_L;k6IB+6I(`rSk^Wq1H>!JgCf_d&*`S5`yaQ!_h+J zHX#vm1NVQQBZs{E>1{PM+!Q_5ZhX$-Pf4S24c`dO2P()}W%)j;^WZYNPNTQ<)XV7` zFNATgw(X?T2#-DUa_r8xH{m9w<1Dk-rA|;AzEuF<0jOzqy`M_364UE%c0-|~g(A&R zWFg9lm{e5K6r+K-D!2UYxyF!I=QI)y$~yM$S}svBpSA@JQlzWgk723y5WXRzYNrqn z_w5#GM=c}k63Q$eRrkLmP~vdY;PiijeT;o%LCAHQrJJ3m+irbw&0a_YrCe>Z%=>!J z_U=!B3>~>Qc9kt_pO~szPL>WUTT=A{8$fdbTkF#Am7yZ{gA+S)2sy#L7t+byAxuLVo01Xj}B|WqYxn$&qanJiQ)f{BLNacp;`cA z``^0%5LBz%inV$264!r7E%-6Ic?e>ACIS5qIXawjNdvzBmh>Mo1o?8d^%Pamy)5@1 zQVZ4?H2vQO|F=v3_o4a!@LKd-x27T#@7BoSa9;SK2Aa0CFCXPPPAeV<*yAcOg~Uu; zlhHMr4Ty}@*b}FzRn4UAaOIdU9is=Bn_lBOYowXaV9 zLB29TdG_|%qQmqn6ECs4Q{XA?uM@zIhgEr~;@SdFVv6ELRcUYUkD0vU9fn;g3O4B@ zk9eL3e7RpYgMkIkp9T#jb$FS#^T}dd7RsES@M$H{i(dtf>;w+XJOX`w(VaoB_de_q zegm1nNLbeN5T8TXKCW&`U9LYA?%Z-P`BqHFUr^deB{4O$H9QgjDG=EIn_f$@*nj0^ylDbi;p;9kRo%w799EAMzz}>yjrN(q`(vStIy-oDbS! z-(~!ZSM;Z1%c)DBP@2a6{I|Zw2_c|G{EYl^G^N}{gP-=IElPuv=cO6(_Fi47z6yPy zxJjFQwD;`sLzPdp^=e9A>EWuxeJ!j#wSvcV7Z7`@n==m>a(I zQ$psUnM4`YRPA}rTfCN05~_54?eXCCovFdNT^;>)E-l9Qd&%KGMCIl@CrigQTh7lk zJUBnnOY24-4^IA&&qRl`nz7fH>Ew`R5U}+$4_J%mMSg5R(OaeRy>dEDgd&PPqTuDJ zl4%pkrXkhLA@4=E{Ggbb&np&cNLmnq6Ft3&zcpI^;Ovd(*gxVMLBGRR`(T9g{Z3wyT+6^Hvj3R8qL literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.sgdiff.hdb b/CPLD/MAX/MAXII/db/RAM2GS.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..77a25c94ae756e1840d6dcfc095980ed0210b3d6 GIT binary patch literal 16455 zcmZv^V{|3Y7qFX5Jh5$C6Wf^B&cw;YoLCdvHYT=h+qQ9Ho#?#zz5n~+uDkB)e)jIF z)m^o#t9y6V?p}=w1_o9I5B?>czZTIitzqWmY-Mjp!phFX!o*4<>S|?UO2Wp@M#93$ z%*w{h#=^=@qH5;kW@TbVqGV)ZO~Oi|DodhiW=tYsYUT2E!H<1^29f@+F8 z+S@BCUZe{>8S}GJjP8NAe6x1~}R{-gmnVEcHiil^9FF(%30M=5mQdeN5W`ao$ zY=R@Z_cZP`1GlKOJmoR{1IfhY?!Oc(_DNWfh@fMLW8{$c(w;@)ahwz8F1Uo9FxFem z!`2c_-Mo$8wtZ4BadOvq*f|8dOf(A71f%}GVeYW~NcbBop;$?%$(Mpz5v21ZMC8Ha znIK&i?vKPzxqEiExcqkrZ;Bs%SpVm?(;^;ItR>?~OIkcFS@!FKitGVuDiXgLw&4SM2l)X7}k2-)%&Dwhy#MuiL#_qDR*I40B@a?}bMy z24Qm1D^iJ46D+ZKC%hS}L*v#$7vvX4-8SP3*M20Cx%Ks7Gl|%u&Oj&Hg)_19n%JL9 zDREDH1URpc4vQD%iLYtI*wqMINt#FKpd7U~p3W}N?xt@H?|%PZ>z5hfH>=07$39VD z$mc%>pGA+HRGC9(pYhSTRr8IlVljz7Es95(<6KhK2&cFIcr}Ph`IS8K?u3s5#vzhn z=#U9~kvpx|E>f3b1dt-+>;(KgXPPJexygk!fipd@0ZMqVL6PHVMJt&`e7*HLp zX@nS>+#jknl2g06@TrgduNbxeZ@FqjqtCrC*4Kl)U|cUo!N1InM;6(vdno>VGpKWZ zaxwuGQW+ukH6hOjIe6*S$Eu|MV2;(jNW1F412enNn!v?A7$}-JO5X&Pv94No=W_4& z;al-4kbBo#;l}?dpdvczj$n|!Og>bR7_85ASljpC4C`~I(&?&vGGUaOEi(U&Tv~7{ zR>ZcsY-I;1!a}Lf-JmGu_Y^J}oM`-cJ+o4Lj&u7mdZ!~Uu<)kRrs z(3d86+uQ|eh>z(VF2)>#`3G5FHigJrwp!a9{+i~oaJV^w#b4kP-)#4qo=>CyLOnbi zH|CS7njWR5#a`xSzC~TMGh4vJ6DWo-UAb%Xe(KS2rU9mzx}t=B9eJyJr(w%XvYT=0 z4oh=~Y1hVJhbMX~_U-AACi3|1Q|u#HtQ_8s;gD+#<2ut>31+3x^SO%vGPZX6A3W?j zP?~>+V}yq7$aJMQoI=LgwTHj5Jts}Jx=s8IM;>n!y3W*VuJjJHvL>(<7f zN_6bqFP*ZZ+D*=&n$wsVmq2@BuM&BPZ#HTEa-uu^X)~(j01UA)rw7)FRgT6zP@WJ^ zD@P~I8Oph$3;*M%MQfwfoaXp35JFkflh4->S>ZiUr(VZ?yFB0`zi-U=ICb9KeKcDQ$suFVVvSmQR>F$N5tMvq2q^Kw~KHy z@mmRicr8cfP20^2(fg~CLv+Pu$TtDnxgkw8 zEX+mCK}|Jif#(hv){BONyH}Vk?=b;GH$sN=SknnP0l@1dTRQd*+4SV=Wqql3E@o3M z?BjbH%ZJs*uXVeNHX6<_*}KQ2M`oLJs}3teK(V`hJlR7;p&&Z7aSqPbsQZ4DGPh>_ z5V%%oS|gP#J+z&H?#8t$Z_6w#=~+A8N8*!V zQ5BZ4X2N(;lcHhtDVWJ5S4ix86(N1ht6Y}&`@fO2*-7WIbP|d8<)bmrYMKMhL1z6J z-CBem_tcEmXHy08F|(aNLY(85*79@h?KgopWBvMnkEW?#+2~cPHx5(BR!5oHACo+8 z2?4sgUPlWUBEunqiAV1WO}}3mbVi-JpGM1VMUGTg$bi`H;}W-I>$#0pm2f+#&Y|O= z`W!Xe&_>^Gp_Tfl(sEGKeWPDDfO`8rEv8xJGuQqjG!vxq&@_PScb#VOHt{EibH@+4 z1yu2Rg6-!mapArHr~4B$F)-`*iQ?LCvzo0?X5fEuYTB-q6|cyzDHH2az>t7l7!;XZ z(ZX7vp2)7fSHI3SCZC{gIGvo?K>vMp{xt$J>rjbKWzas6;Jyh6j7qO)RXxqjiBFWF zbxF_KbL0}RcJP6XQ60=GL(a_-wbN$*q3e(7(bV)7J!5iV8B^83(_DSL+Ma2mduG9& zi^k^v=61D_iH_oZ(L|3USM%){*5*4CJ}QD_pC%iHad!eM>U}aoL|Toh+MqY(0NF7; zE;g$ndH}0hi{$rionj3yEJ2BY{0T@cKZ(gSBe5YZ5q5C0mkd~xz7=tQ*lwzC;x`>K@>(wva$JB5V;`Ugsi0oUV6Nxoz+uTBt< zbWFZvj;JuTSJtC^i`b`IQ^n96^DStVeL|~#;?O7|H<*^%s7%9T#kt+Eu}9UbxFF5X z8o@%*KekEoX`k!p-nqsPG|rDih>P2qvV#U;6x>y7#UAAvRtm@4BC5L(#KCFURxi2nU=l;6m}i9^<@ zPI0nwO|P0fyd2LA6GNTDGqbjQE=e6RR{al-bI@SAbzh7r zqd1rkKxuDYUy!kv9&P2j4VRukd%L~qfmS?HM2DLl(c9?x+~@GW*YmzlPea2(KbOY2 zo@LS+3AlMXGiDtDR%efSnVIUcu{A!W#R%lcdg980YpDQ*`02Dj9wdsugWz4zH!Nz& zJpVYR|hXXj`AIspB$^L%OXa@qK4OZtb}jC!%{B0oZ{9>VVLr~f1cC=IHGH!&#; zDt0R*r_5CzdxNF9_8YQ5!ObjkK^Z=@Zn!>%kbGmn+_+Qg%T6-e9+&HpEYYd)Uz(74 zU&fw36-J-Y#A$$-XLBh({}dhfvd()%*tuG1CqUei5MGH`qy|%R6Xg5uyvCi_pz2(v3BA+lyxxmvluA1 zYa?wdBW)WXZEGNHp9d}QwF{g{8{j*H0-TEAPGsRSgixlW@fmyXj3PC}`gQ{##Xv5N<8}f7sH0SJD=;_wMmR5LC1G+GX29fV({h5!7}- zIrm->WkDRD3OmtB@K1o(&_P_mePjPzqq*8PKF^;svMrr6ivFVNi>WUFUm%vvU8NlM z%q#*o;7>W(;45_mY<=G-<=2(N4l2D;>3T3f=Ao;m0CwyD+uw%uLAUUAqE3VPo| zY!-r9`6my)IQrt`i?c5-z5uXp0-p7xLZY?mT%Oa0x-Qz)*O+fyit?1f!@N*W&d_`~ zK6f~-5X&sT3}s(h!E7DyC(t3(7ulfyPS2ukS({eWYR&Q(zPA@ZRTK)7;s5`p17gZs zAY6}vAq=vi4zqRj#D6sw6S% zEBw^{wJ^%1YdLv^9pY@E2AZcmAVGNs%W|FDia>wwdz@P%VQj@(@8B&pGrs2)n?$!2 zhU;hYcdAlR0on+CPNWcNi*VCe7h8 z4-p7L>r-3&trpjjhwU!aL{iDP;%&_cN@Y6Bcj;Xba?x+dzd4ZLESu0&3HFKz6VoCg zx&D?zGT@stZ=vpM3_~xTTR$M}W43l#yA5^^+n+ zgo(Fa+6Fra+>Fd`{0-TFG_Vu5LB=~$Q$&gh70=95W(~lO(bZmoboGQT37gDLomPN^ zvM5k&L)s)-4DdiK4ILIxFXNJA&g?d|mzGm;bI2{Y?5?kecq3-UVqb;D_XZf#hnE@3 zAj#J~=kLfA*D^&Ja;eV~u>U;kz|5m{PGMyoS?zQNL^sTD?~qt@XBj9L?t4_iftgeXHvMYv?(AOI;fQD z-jUH6B%*a|4bDt7cU(5sDb#A0#Yr@$RsEU%Pq~REg{>*c3P(M%Mt|~aG{$=rXq|pF z5Mf+hJ3S!Gt`*oGGj!mBPi@CbOYD+_3_i498SE^Wt;Sd}zEYr-)pQu-tO(S>_^HI` z32Vuy96h93PIM{ry*uv06GO4gb3LNcsoM6T4z%e&58GT`{krk`j?bv%cyuw+^O zmir>U=6hn7)MGZAQQUvldCJ!%!3F+^Y`_Ap%UQvnpc)gFOrDO>)|!9E=P%RlNB!aU zPutk!fe&ra$K0pX2T=M${A-fZ#vgto-aQPm3=mTzq!&_iREqq}{0IO=f8qdR56v{k zfY8TLK?Jvd%71nt6At>dVK-B|g%b=%o=yf~IhyJ+=#ujCf(bmpVrjzrH5eq5#n_m+ z_Cm7t3t>mMz&YJq?yxLwukkJ~udhdrV9TgHN)^S03bh~D6WDg8h}4t>RF5oLkQi#z z3BcfvFidgorKx?y~xd-oAmu%S(Co~+Wi56=jV6V+hx__FlG!| zdatsB+;2O(8b20x{1A5>J_}S_1lWFVEz5$=bz)g!%DK5a9Ub_G2^i`ngnIQ%8imW;mJqH=_*x+rG_H>!=j z$y-rpuwW_#V&IyXGqMrKi^q@ML#bu}=RYl@;rQ10S#t@*Thb|+*^6p8jTkxxjWNISkv2*A(2oWwFX81VB;V)1MoL7P zyueztOw8Vvfw*xDqc_{E0`5?`qzGjb4a>4ZTT=T$=4`U;J=T4;2!%O5dBhZJwwO8B zV;NbOatMRp-`!ir?xoD(o&ttwUz4=a663>FsE@leA7UlrTRY}Xth{_zFtX8Zpr`yz z8prr$a4j|&77G@`S(8(rHFYExEi@v0re)N+AgF1QlwJS9p~L6NiYDRhTrD~|M&(%F z6=8fN!~Ja1`yC~;jS)vDBg0NSPz3X?Hir1G(LhXeU7fb)Rzt1i6su9j`_5Pu?ApOa z*7}Av(IR^+v3>l#3+^Y+;IA@?GPJbBQue>+96#pEZ?qho;3!Gp(xy#4HYo?sF`)V< zSB6RmYbbAVa4#^LPD^BEl>1vW&M|N&pqs=YR5UBOdq{JBXLG6bSPoTq)Wj0<(HlgY zJP^2_yLfklw^fL6!xQr=`gKFSwhi-~WsTpwxOg*(eWr8o-|9{ldOXH=C9&tE?u89( zQr{Zkirpc;ym#-L@thG*^YA;7^*KNJG1Ybx5Hlu@^uT1Fd0>6G%nmbVjE%f|`R~EX z`f6rK@^s*dMe`G|v{eLd1)db$Zzjp(d4wG)r`G9O@?>bHTD^MG6zrJ%$=&y1vwW`L zAMwAgc)yPam5R=?%IXhn0w$}M|ptF`rGabL}EXFd$fb}9?9TQ;W+KKWj41)+%BRUOio>&^ns`7 zlZAc#%{;jO?V*iOi|Oj7Z|#qIPO6np`uw}SDDE4JqkDJu9gok4vG=;j$+wIcjwx#* z9`2jq{4^HX%EC%k7?w_E_WZg2ruzpZb-N%_e2KZS8|lWYHV=el%jsw}vR|Agb+k+YoNL#P8HUt|0j!{LSG|F~uy z1Q>~1l<)9TGu*V%q4ZcQe2C-&`8`$6?bF=6JI01fg(o!dh+zxv3IXbIO~HK~b2Ujm?-MG1%`*M^ zFkB==2%g))<&|2wNa*Xx73ljGgHG^Vi8;7nJweo>r-wBu(gf9;bJc9CzlFZhY&XC; z_Y|IEOGv11yOmu*)y`~neR{P^7a&dSdmIbGOAe=m67-SNtTlN}SU@#^8I&E?ju>*y z3-A2rAmjY}z{Em!t9v|Xb^a4yO0r#}GWeVKB`a-W>-c=&{#bQPPSqA+HcLTaNmrAt zx|Fr`$awfq4Oh7*Ir&CgC~=C7qOq#qORhuBRhreUP9tt=j++55A>s{3gk`wc22tv| zduW`YGlWThQthO2xY6E_k7!$PX;@)iVo+}3exn_w5?UBOBX)a@?eFr{`NuA`ysMEs`A`84#_gKrmj8I#@kw`IW8Y+_>=mNZE|`sY zPn_YVUKZ2?m+|{&PRQR6n;?K|lGSeC;|&j2$TqZ(=jrzfp_{@C>B0ps=Tp6>QobUE zQ9OcWqvvE3TF%XK>(7C5{8Udz_cHi)6Uk@ui8-?C#&oI{?fkm-(man&z|?n%qsqCV ziFz`j_7`v0*Kr@-wsXXTgN0a~LFVMBi<#o|C%8lzHI082#B-k%RP~)_cP)qGDS&$F zLi>#88Md#qHZmyJ^X1w6#VWdjd9p;V8HmsRs&vdX#QoZ?r%$ny$>#k4F<}bC#NBrB zXpTNM`PuLp-%fcpcZm=!Wm~=n5w_tC6+aJ~xB+ialuPdz_oi+!4is=#GZM4#aM7q$@=^~_WTBuh<6Apg! zr21!ytJ^?Vh#n_ySn;kh6oe2;N5%cB{*ix<9NHl?+NSKw_d}PeX6VRl94PM5ZT?vK zlG0ogxnEgYS-KVZlEIjGKjUqPoy?(|I~Hn1=O^Apd=TZ>oSO^8MX} zZvBwi!QbJQaO{V|7V$E)UG@$5?Ddi>y`=JPBfsW$ePr-MD&59e*p+R#zFdwEYGjzcPZh~=q$$?zSp9p~nNt)$ z`3f}X1t`y1F<@a0zyJFBt^2EgngͥHTa7uaVPvB$3xckEP?KO4a`^Ug1`P*zNJ3tmhbp-r8(PA38 zU!LR^{VduX$u;bDSqJSneWaf5q+jF~@$Y;BUuQH+{SvJWRX)f6z1sKZ>NiL~ zUuQa9C_eaEsea18V2^+Vf%lAx@2NF@t?#k!?|iK^pNK2=-Cwsaa~A0Ch}iDU`|<6v zX8iG;qQ__8nZ_e*}%Q!z;ZvfO46v(w0oc+cfM#ogQfj0MPh0{tW7K2{MV z?(6%;%n9;7dVBb)^fk<#QAq^kZf^&=X_`@CHoSbf0b0~uFBa!az7S3f&gkoqu%oN?9HvVrWBtDZRKb$B}5r!)p(ER)&wF3?>(&kS=@4i%TwS z(U?W3pZt7EGZqawYM~?%F}1m+PZ8;qgTqwE2Nq#fjd-K%bFHGF;E!l}vkyT6Ohqsx z&gmr?f{51Nfs0P%`TC}Z=(>ZG(#eP9jZL@*#(}FG2)L{w?@1dJmVSd_5~P;8`#Q_k z14`K})7p#y-}(?#8N?c33usgfL*e4fmKC*Nmp+!t8tBeEP>N9JH9DGa< z8`!k8qDB|@EwuH-&0&|BQztF)&>!%HOaga;@#;{TlSNxi<(iRFG(Q8U|LC2JidVf5RCF1NC71@l}IHT3bYssb62-}Fyxc*b5W2`_QY~6x!{W{RC zLBb2pvPG*A`>$Jtgcqu1ZiA&jp6g!BSX4AKLxqH4lLNi~TeSoqMB}w~pQ4xwP5Sp`cH=SsXU*>glD$Vw=@ZSFu(zpEukgIcy2lHsRqjy z(K5Hz@*~e@zF?1VcCLg$huLx~Wx7S|FlLGBEPJ5V zKd=Dqvn*~yCI`vCNh0Bl57|+1`(+}~8cdm-_eEdm3e6pRCaU{l%ayyi`6PO674aM^ zY{VsLp#2n^a8ndl8CH#xQUWrk8jX?HU^!EoIoTV0 zJGPuk)*x#mFeY~Kx6_S9p>H+Ol>A3)57FK5tNb_&-VDCpXaB3qbeK&J86nCeOG_FY zhEo-mLud2UvmQlZ_;h$lo0<35lm_5@^jZDEBKLiY-2gS?+@=se_I#@XS45HXhHTN<+N!t>kK8}xJ(5=HX-FTn?yRUBK8DRwn%@;P4Dl5-h$7&8W1+|iANryqx>=xKa$*M+R8?%%DG_s zWOKL&n=G-3fUtxjcJfU^o3Nh;0{_jo>w<(_D&d={%ubPh5aaR&9+MC3yz-tNt4@eo zme;i0&kfiE=0!GtL3)Xogh75BNMEU^qGO2{sQC=R`gOSAc>~!}Vn$M8{(ZV>^EUIR z{HR)&K!CY@xz+l;F`nYG@mkZOZZGY1c^X0TS)=`5jgD|JNK&#C%!6Cupy~cJ?qwv4 ziL)kn)E{4Af|$JE)`h!g*&d z8306IKfTK;340&%T5W;%!X!V;>6#JZqjfTGf1|yM&F?|~3rLZ?fxn49mU$};31dR2 zBdD?d-wg@YJnGfKNoqs25%2Lvg{A*za`q2~NavG!!g|E1%Gv1xi0;Ldt*ybHO8Kxp z{0KApU_J!IL~vQ6zJNH&IsfJUi}6m+Wxx*x9&JJ9|UJTI4$WEBN46GSfxN+PIfUu#;Pmi zoK^~zQrfBulC~<9r1%l7sL>!WY58Z|yeFJWj6sD86GmxH+90a=Kzo22A*?sfLg~N= zj^?qnDUSba3KE10+5v`YNZe{UN_cTZ+-ezYc=DGjg$)n>QYEnAuA;!DA21q&%3ENR zbIC-O57pNve{t~`W?)u`m5@+G-UTpmeR^{vq13%ZsFKYHNEuvS|n$$*65deC;hhCSozJt!HWTD@cI0uc>0*Q z>p9mnETw*FjGev;MFn~3a7?mDy=S1(E45kh`k&_D(Q_L_5m%R>y!Jqw%kOu;E#fMt z)#NM*J$~qR2#gvMiq!M|<)6b{jL{ciT*O=yrT=SMg^;jBSoATTC%8y>_?9eUppUyK zsxRW%1a-Egfm1{M#$;f-g`BV8nq%R};GQls9aL{Jo}`MB7$my#*7gV*N3g z#MW5XXwy>J2wWLQPe+YZ+Ik6H%}7y+{0TC6syy%b3{EU~4F`i$K%{CF9fMO}gk0v& zmv#ME^U;JDdcitYGQsjw8|X-!wpG*3$8W*hn)n?KQ_uwB?PF=w@i#8NxPfeguvxc{ z2*TXB(Yix4=-QplVolc74} z6gChk$qTvwW91)9Q#BKVa`tnrpe75)P>46e3V{e0ZtHlPj*1{8Gw-KLwAbw}Y2Mu} zr82d{9kymh*UO%A15UQeEt6P;fzu!Y-0z-`Ov2o~i(E0$maI>XEryfC-vWDd3L+T~ zqkTzBL^NaDOWsH=UPvuoNiD!tY-W#YQSzdk=jeC=!c@ow6ONk)p_foaTFLYuq6R0J zPF04Zj|QagXU!w~Qtxr-a~zS3zIZ4ekK!oR^KJet^(!_6a)zaL(kZy>@5UOuBq2#c z4#JI8+y)n+o#1iCg+ja7fh$zUuR}^lJ9ii1XrnzRZxp9X`P{=XE%PLcxg72c}b zWxX-|6NL$gtPqx9bUmd)I1vz*N1!WFDbT$4FAN+Go7(2ko_R>ZFOPk?8o_I~dW*lXwL6a& zEjYKoU;f=aswDy)B-aa)x})0ytdxEqSo;0+X)K=WaXa|cHOIEw8MNox+_a;B!}&vU zne$vs3}V1qmj$AQap}aJ)h@arcCPN#!LVzt;y&c{^tAWi zXUf;Bb727iU)-h|=nNsDisu+$pZnu)xH)$Z>sHIokES5YPN8NR?PuGi$x$>RQQ7y=kr#C%6iKl09_6BDbTmc1Yv|TW2T3KuSGA z4@^kO&sb!Hg*}t#fP0pp=tsWnkGCW3;feCc@p=UGqvUm+<`~;wG=BQ62zoi&uXI9( zPQnobe>$-11`Ma7G)IYg;<|iC1?>fDp!>P9+eD2#FQPve)6BE<;1CS?hP{?a<#4kP zcGbb^v)47asX1!Ei&{|);Kh5zmu9bB%hv-pu2xd9Jev$peT5EngbwBX!+3(9?0g(< zj0f7)u=tAm`|P1{{I0?uvuPe5Dmp&ma)G2hH)2;XMcgdM@1it)CbNlPMkSWE>3cfD zcAoY97>x7$lwkL2J-pN}q|~pZ)Iic1@SyD0IYVLs9LCeZna2sdQgm)L8*rpA^h$0u*mX0J?(8Tzb9;;iq<+T|olAyOxo@O?d!d~J z$UtkML;LqQ&_(FGkZ>yl+u{ya?c95?OL>_e0Q&)q&OU{QqY<>vr%76m&N|0QaCY8B9$_{~ufc~Ag?`yEqao?Usm z)>R74u=WD=jzQ?qROoQcchnhdPx!F2!;=Z@$B0j{4-v`J$)pxYyBW~t}l(##HiU@bIhBu zf1Y?vAiiT1Ze_HZc^bQ&c(PsU2OPA3A4v1n*b&*^M5A7vECmu`M z`6z(tE&UTO)GA^3_jv&3okmZbkY=35bHru`7!Q>1p0gMK$*JuF@*{HW63hq$5o*sy zO`jJ5$^q>iHDMgAPT2#ka0JBN0uZ(^jV)&st!<-K?zXPSN7Gww; zcA1(#xG|jnk$Q6+mA{61r0|x!5Hr^8 zpB)ydv^Lnh=qC7pnB_qkdZG%cE;Ul~wTj3T!#Er}0{;4riusNX_>O`LM|91=hfC>xiZU988I)*2 zLk45mNE;%-N>*QH7p6EyAv;u-Q=E`yrrf#RCJ1}eZrqz=iiF0gagyAdcSZku4`D;2W`967XD>F?~i>nbXSGNDL` zonC)Svo7kpQTgSR!5~YbEJy~v_+qW3Xj-XJ?$XrWAy$L7aMk?`xIdrIjb-Vqry@35 zZNP%f-GRO<#_`of7SGW{P>1dk4IvOkuni?dDa=8I8H}s%LvEWzwgTI~-=tyTLA5w# zJFc-zB(7i6oslpY95NW3_=vFidPqi3r(a!z_g}?Mh5F!o)iIXzu$ppHBp<<(;%Gwc z`|cp)K8qQgh01~TXZpw`o)2_g$&2ekIBtA%Oblo(6W%QDbu0$Aj<6$Vg2&)Q%sPd1 z_s#yzb8x5*o;Cr&;tnovU=fi}?sh~THD}Z!N+IO`v(?N_gOqn(w;6Gjh&k{Y`&Y}K zm3l?egj_~^%&^Oft}uH4-NU}J(_~rkJ6*$$go>mvg%07|9?5Wr8myk>NcU{)+gES# zqNdWN>S-wo|MkqSFri1X?R|-NT$FARP!&@YYN%h1VWQFPhX0M8%FY$$9a1RDfc>?L zFoN06Mb!!MyXfZ_IIG;J1hEEgi~5zorj-u@7(2xNP$2%-w?Kd5I}sQP z*(4wh2@f(0nflDExxgEy)3n{ z3Qx-S9&)eU>{@h%eVsmC{~Ph`D&NtQ_qdri(iR|T%Nwa7SkbEejHn~)zA5wmn!z9u z34c!4GW{W@iJWGKIOw&6WKjqvUl1ke^%u&ym`EvdhqCg1 zL~N__u(St~@gO>tW+6fScTPyampi{DtA+?f#tntOX^{Se{^M=B+I0r1lFfMwxbNuV z=pKoD@fU4fIT0#FxsqoCeS-{ve9qpVYGrY-HEAUlA_n{c;Q@LA=pn~^WU*tbFkg8~MFT?T{9U15eEsL$YgR$Fgf zqP_qL{#^(ix*K3LHEuY6G{KMK#Fp>%+g#n+i zrWHGi^|G+Q&db?vK4hMY87UFuZg!lSeYqKR5SmWFSo-~XOZ+@T?k(Ox4VJ!;)-zz$ z-Y=*`28Xtz9rRIM%n+EW!fu9I%btw&?bfdK8B&_C+>nCv?!nIHN3FB$iWvJ;Njwqv z-x)bpJzMnpq77(|-DYGH_wK99Wb?aT0GG1IE~Tr*OFC^zH%Sg{Srp<-+ZZ;YJxvxw z9X=lnno|5$X;Q+Ko*UosqDhNB5`{2kM|4KwS3aT#80SLft;9boVg9>~=)#IHa5o4G zuxD+1^9e9ndJhh{L#nBqt0#3r`i*s7O}9UlmKS!{Ru|`|b^{rvx_{m+k2DrP4P_xd zYg=pl7KH6VFBz}1y}Lsv`M>M*Qnw)CXhN;7O7YfMI9Yz&II%?k7#_OkyPIQ8uOQZ5 zeSHD+jvmp;iYR)# zCa$g_3Oen3Ja8XT207H!zG?lsY|@Tv6h%)=Y*6)jiZWysXnSU}wU5P!I*)4;^LUgQ zRh&||Ovl^d>oSQ@vLoF4-XNVZ#E-@zz}}@fDLUF`owCW{NyJ1#?u5x@#*RZ?#VfsD?xN<^i-459B7zo6A=MO0cW?kqKtdsMjce2- zm%$``@W&bbj%XKxf@ zwk9jujCQQTjGBfu@@XqeES;pr`5RtzRg6>#%;f5<2PS!iOVsKg|0=A#(}&GDlcpH> z`%>eg5lnK8Ftw6c$NyW!=D$|wndV!81-{{DIlva5fHUntFn++gM%|lbp2y+xntlTx zb9VMB>b3J8^Wb{BL@0Yc?+l=3;}5v+QnkCT+dTn-pK*q{4MD# z;hSZB6EEcTJ=}ymPb@S`+6$Pw>}PzKV)J6&B?vNcCG-?U4PPo{@A+10iQ5#Rl@vq{eMf^qf1JoSP%Hnr5A&(T>nCaz=r5tBbueIcFb2Mpl(#3nZaZKN=F z5Zi38qAU1LDBgqQc&e_@0?V;+<*tceYc7mFuYc(5LKx$gQNt+rxVMj=6#OFj}cYIs$!vHK#Yh1_L{?XG{qem!hA_H1I4h}ag89ekPU<1X1HbWg3wq_$dEtR zz2Z(#7dHRJxOddX6%Je4#VKZ6d!C|t?FSR(yAxlHz%yK*jSD{UEb8AcUDNyZ&LVdo zC~g<1zogkpy>|6}Af2XNgmIeb#eJf~e3T%066MrA@a!7Gu0<7l#aX;(RZZJ7a8qB0 zDs6vbIJkltl^SKg!m%jYTY;xt56}B*6aFjfCk<%$#5_IwtXE=kSD18AITh;QMXeQ6jLIFeJ*pX0Z4e9U};3 zAL(@cUhTecWImZUUOHZuw_a(i{;g1H>r((ecAA+zVntNxdJ;rWTc@TeVar&56~2?j z@9WBSbfUu}h3Ts^^AvCf;US0pIIPx$u)!95_Q-U#$v@r1bu_83xguAn$WJ_ZpzR}; zqbL8iIp;Jw_ncufmneVo7(n_uidkNwYksYxXnc=3ysYog9N=JMO0&l%kg3v^c!y}` zB+uPC@+yKqz5c8936PcRA0h7_QsT%v*&<-bMLI2a^UrQodlj+!cQb+SOD5Hv3(kYI znG=MA`T89qBR6=bJ3iPM$cdQ@#dQRNB+#{HZ01}hluy2U>T$IO9`^AjqUxGtC@xNP zO6T0zu*vx6_83#|_G>o2U@+;OMJisvM3D;Xg}-4<%M1_-0mBMy)E`F!(w5B&`t`yP zVH;7*6mG+bKPyoVv1lUjaR4-gi7P@IV0CpOx1}1Q{6p^jWGWGh#Pmn2JPx-}rwI$a zSx|!p*HYIvZd|B;M>tTuPATC2iQS(2U{NOd`9K6CieObRLRo&09W!s(=Q-(tbYO6t z5DE+RQ*$qhRHRvX%ni#%djww)CPe9_-UKP+M34jfuPhQCRhiAa!Jf?8+#Xh=H^N%7 zEh(#TS~>1Z=!7nzR=tg=eGOr;DbZ(%B^-e(>MAw#gjudY%3lP!&X+&tWg;Ci#gU2} zga2Y9_q~Y-Wq3b6YB-}a$;20wi*irqN!ZXmNIe-|4N{hwchhn&EhU6thjl&Z5rtH% z918Ey(5bEnZa=NjrN#aY@%$Z=3@`qV3rux@2i}FGB$aku$6rJ!8xJqw{fl0lYj>P@Tkd{|sip-7+SvU8 zJu)Oxw$FFHyaa+&&YFR=uqY+}Cw9(fmd(F4VL$Yo&jy~ApV%xdThl_6M&F6*ZwiaA zIrisoU}0rwYbJKFde7Oj4FrX3s&PZFacQ|Quoa*;*%G1h~ax1io|PR{ZG%s1Wwp`XGv1fWlH95SB%6KS)FUqx=KcZscC`7y*Ht@A z=8VzpfC5sDIq99_yODJi@(;!59{Sco^YV$T`)s!9LIT8%r)HP;Cw7;*TA@_?vZZRybcv6IwTP7p2Yg+bQsP$IF zcoh&~L)csSCUg{#qJpBeNqw-lR`etDdykE_eo zudMAn)rfm~fHqGNh6)~RH~6gCMDHW2f2R|zOtGvuZd`34LvgKCy&1{uygO{1$WaU# zzM7tFNC~qy#_#>^rcUwlb%}&*Vg9Ya>>Ro%#P!xxDoG*(uG1DtH&%KqG**x{88&}U PPmR?E`ucYO2=spdf2+f2 literal 0 HcmV?d00001 diff --git a/CPLD/AGM-src/db/RAM4GS.sld_design_entry_dsc.sci b/CPLD/MAX/MAXII/db/RAM2GS.sld_design_entry.sci old mode 100755 new mode 100644 similarity index 59% rename from CPLD/AGM-src/db/RAM4GS.sld_design_entry_dsc.sci rename to CPLD/MAX/MAXII/db/RAM2GS.sld_design_entry.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/CPLD/MAXII/db/RAM4GS.sld_design_entry.sci b/CPLD/MAX/MAXII/db/RAM2GS.sld_design_entry_dsc.sci old mode 100755 new mode 100644 similarity index 59% rename from CPLD/MAXII/db/RAM4GS.sld_design_entry.sci rename to CPLD/MAX/MAXII/db/RAM2GS.sld_design_entry_dsc.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/CPLD/AGM-src/db/RAM4GS.smart_action.txt b/CPLD/MAX/MAXII/db/RAM2GS.smart_action.txt old mode 100755 new mode 100644 similarity index 100% rename from CPLD/AGM-src/db/RAM4GS.smart_action.txt rename to CPLD/MAX/MAXII/db/RAM2GS.smart_action.txt diff --git a/CPLD/MAX/MAXII/db/RAM2GS.sta.qmsg b/CPLD/MAX/MAXII/db/RAM2GS.sta.qmsg new file mode 100644 index 0000000..33c9310 --- /dev/null +++ b/CPLD/MAX/MAXII/db/RAM2GS.sta.qmsg @@ -0,0 +1,23 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1629153618889 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1629153618889 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Aug 16 18:40:18 2021 " "Processing started: Mon Aug 16 18:40:18 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1629153618889 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1629153618889 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXII -c RAM2GS " "Command: quartus_sta RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1629153618889 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1629153618967 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1629153619091 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1629153619138 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1629153619138 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1629153619201 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1629153619419 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1629153619466 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619466 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1629153619466 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1629153619481 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.339 -245.761 RCLK " " -8.339 -245.761 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.271 -88.383 PHI2 " " -8.271 -88.383 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.317 -2.784 nCRAS " " -0.317 -2.784 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.858 " "Worst-case hold slack is -16.858" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.858 -16.858 ARCLK " " -16.858 -16.858 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.363 -16.363 DRCLK " " -16.363 -16.363 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.103 -0.195 nCRAS " " -0.103 -0.195 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.060 -0.060 PHI2 " " -0.060 -0.060 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.192 0.000 RCLK " " 1.192 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1629153619481 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1629153619481 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1629153619481 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1629153619559 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1629153619575 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1629153619575 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "374 " "Peak virtual memory: 374 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1629153619622 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Aug 16 18:40:19 2021 " "Processing ended: Mon Aug 16 18:40:19 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1629153619622 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1629153619622 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1629153619622 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1629153619622 ""} diff --git a/CPLD/MAX/MAXII/db/RAM2GS.sta.rdb b/CPLD/MAX/MAXII/db/RAM2GS.sta.rdb new file mode 100644 index 0000000000000000000000000000000000000000..1d009218e1e950ef537174349c457013236bc5ef GIT binary patch literal 18145 zcmXVX1ymeO(=HAP?iSpFySqCC*TCWni@Oto26rd8FYfN{?hxGF{qlbQJ#)@XbSq+%|X)~2Lv>};egT+FO&%xo;I z?4)XDj;@v_W~4uiOhBZpq-t`cT4u(ilBSl&QGoUyo(I^&^%QEdrdPj1K~$kS_G^!^sOvU&96E|c}N3*zExkb-XdXm=0zv(YTRRD?FwB{tu1gwX2}F zEI!lW1Hx`%;yltz5XLX?))%rAr?fCRv++2QCNn)JL27e7AVAcw$jfDF^q*bS6!u?m zj&u#Y?S0XrXubWa@8-}m!q8Nvd1LYQOSUZ_!s>6tudQw}?NHV*c>VdbQg*&9)0g$F zt@`tcTYIFIHqY?gE;)FnrxJKD(~m{~{JQw};ieC=IUheZqK8UiCFvbm+EFGH&z}(A z9xD8Uw26fOg%0KJ!M3~dOxV~qa-ZG9$In1F)3-r;?<(4JEXvl(hrGP3UzNYwzHBD< zZe}{5o^Fj`WxPl_pVMPDUodywvHw#e^n-~C{n*Cn5@1G1dXxWmXzaE|gyacrKl2vQ zQEk+=W!Jjsx~uD2izu{`l}&Ui6z4Bgtyz1rPS}p*4OkKGI{p^z+7%%>tMdT&9m$T# zEE?5m2eOePlu4@?vUpDXlEDQBOTA}i>Z0Brtr+zNA?#_{zKIj>tc`_?>ybOaZ-=n*a7qWE>OyHgm( z!ug|{mV?=vYVyL(@H+ENfahxO1ntPN7V1VyFWvNjIm5@0Sk05$b4O3d)@ur7w|c71 zC%UWMYyFCeLk`m_BD?>aof3*C{~O2$!F<0YV53QRr5~8Y4qH5pmWFbhEpBbg(nsuE zv`Dk82xRf%S|g6?9%V6yTB#G}h(sk7^FHh#pOxj9hFYc^f**Rk$$G1UdC zs3In?i`a-vM<`UnXI3-%1=Bj?DhGt7f7#U73LnYWqv+a#R#tNkfGMiB8Q~R#Qaqh- zi9uYU!m@p^|61Bw550w$_A*x2NNT_h%_;80eRh6FJRvgpvMz-7M)nn;m+pW%0%LP> z-gns>x>(oY|Me}2~p&?txXYiJAmav0kbOjaY-*i;H$OE`l*%%1U2Uz zk8rYZTd}Jzc`kGItzIq-Saf%?1KT@7z75K*Hb4;Y45UtmbS8Vw_Cjy6|MUL4E60w2 zO?|CU*qS0}t#oa%Ui?~PoID!R{f5MaSm8bB2*pyRQ-}Z&viqhCxK^2(>m)kYA>VQF zR{wLz#{UIl!vk8T8X4|yNEsCEBy%#x8-<^~>@oXzf#8i4;Nf;?pj?2l%U*q?7DxRN zcfWs`Wc`<|tf=KgP{M$~8Mah465VKOB~%sS8yV%t%W{7&Dci-rkCE zQ;DJxa&ujkeEK z6{@c4Ha`Q>94r7@scCgJh?t}hBbj(p=;4uy0?YY0+hxr4c_*{?9m^5#wAT0qb-eJ5 zSezXWAMv*T3W*DN+M)EPk+~hw;qf5BUBJUgIKOYGC*d)(tFX8a&D1ld7p%P|K+x_s zq{to0VKR*@(y-vt^;wVS;!jd zdKq!vu1!5np|t?_fyOJvSw!-4zzrcnJj&i0P8ls48~=JP>K*dFzCd429;5K}rZmWw zZIUQg>^_0n=6T8X^*SU2)+V@$C}yiig{l^Jgf>P!@NI>YZBP`=^=Xr96=%ys0%N>R z@H8gdh~J%N!*WeJj!;RlwmTE;e*X#^M%u%DH*5+tT9`j*Zp~4KX4A@g+S_~XLrT!l z$S3C;#pxm` zR`BW>6DyvrO;&sU^ZHUC0X;ZAU8oA>O6U=A+qK{6Cd zX&E{hO|*6N-_L7q8A1m?nFN%eKFV0%NqbsLA3(hSSjWK8>twK?G$=t`Bb}xy{h|dIopwAt`;%Swry_za_ z#2prG-zit@L8Ge^yD8MuNK@$4qBrmg7w6-a#MD_YidSzH{SO?6X6l)@_Ar9q1RtNh zWQp`HC9^4D4c)t7HY=68xnT`0u2if7&vhxBG1M~&eo=5hSo%IqWSX+ji~`#7VLJH3 z4Y}X+d2Bj}qBeOnvRHkvn3m1lrJs20;csAGo%8vjwup z>e0&JrGSp7sKW4(S;d1s$hC77djpcs1)XIlyn?AKcJV9J@-m-T|K1bUX6Sk=j}V(3 zdH*$n2v>ul!o1V4!?VI0Is36`?zg zu8eq$IE=opQv|9Bod*fod9S#T9u5M0hvzOuZ__ONi-gX0!;FN4^h)i3lh}xzzwA-! zW;E3!Q_24}NyL`_p`y_G!M+ykGBn#+{pn(h!%!;4FkgR&v>!o95fnN#AiB+sOeZ_Q z>QgpG6LzSFN;39a%5AD#p_x_Ky45&4jW4ZsEmgT+$%>a93}d zPQ!WQ$$7dv-&NOOi8EvCO%~%ycGkq7p??zT!Fm?mTQC?h>(I$P47Xm^E^5c^b*YQX zl{k*lGJAq*p11W+b76fMp9rPsGY;ih?f$YcegtF}9@^cIT_8F)@15rGbG)%~gKEnd zEjVRZi;MJ%@9n-t|HmtMigN8$oAE-qda*H5abMGYXl|FGSm_pGf71;VI6F%^{-M@NF>V0reQA zRs}3xYZ_IGqqN&;+6~eWt@&3!2TvWU=Q&<$An>tg|8}+h&cR-73F62QxjaTKDp370 z?zz(LQ2@VKx$A$%JhRT5_>->@cG|&@MC^n&bpP34DYLb8bJW21DW}{`*rnig>TK08 z%SOqL=(grnVlSr=+GmRJLArHcfm7J2)+-M_)?1i;a-M_@mk@P*;Kl8Q`?eM0%c^}$mM&S!~at*TTVDf;zw*YktGus99zWqr7gn=5WbY{5w> zetOG2M6yIg=ej3lR!d4tcxg3N38BDJ-jk=@#q3}KB_e6}n#)NEelcf@m4@%>&vZQ~ zeq$~-k;IeV+)8i9z-?tzAG&<$rRgOyUWjz}gVec1Yh?-39SRR8pl5>CAH!~g!y%9@a z6a`~b&WLv1c3ahfOGSaTucia!TB+c9{uAN}6?YSc~ zxvDj`{RPX4SExHv>9T*5lXt&7rm@w!9ZqIThCE=Cp8G_}PvkvLPGvC)@2M2vPAuQ} znCi56ja6imdFH2GqF!p?Ut;oZwztCus%y$Ouf0)yp~<~)Iw2w71DSEP2iJw0o?Jwl zI}9!(b{V-Yv6YM`Mxx#qw=>uTEW`ZXtx8w!So#) zsZ4LZ8=?iiU15-BRbhK&woSH&|JvB*oYXKvd8##K<5YCF7v%LuXwQ-AIyl>QFcYvb z+KsTX_oucOGU&(7*X8~CtI!oi;0a5eu3HG)gnoU_0!JvPQ#7B}pcDMMkzEWo<#K=~ zJoRr2yF2Hr%K%B0j>EN^?DVz@3zu4u@ym1R5ww)Q4(M*l6k^3bk5oJ$SOB{^>iR`S zcAo)w#KmQnoP8ve^&maXQq!o4j3V9IKHNKc(WNC6E4_FWX1+e0-^smpA)1`@m@_e9 zvS&Q zvd80HUSL4fev1$`x4s@!Iy$Qy&|1|o0p(Vw1ZMXb(EOGGBjdRB#1$5qr1c$VL6cmD z&~@>KwZDEo5{~cCkx^L)jp^3<%(!2VIkP=f!_Ui?;jbT+q-A_~(f7<;=dc;yvb0bvY81XojeJPpE`QUdoi9NVn?UGY)^05DCYJUr5Jk;9QBJ0@hn1Y~3WXHgu;AFaKY zv~p$N-+oRp&a%g+Kjkxx3#@gP+`90qRmv&oZr56nj$-n;1t4;zi#GHf47td(BIphqcK* zk)K%^8cm&GUnA|#F}BI0^GP0JT02qPgnvDwOr3Of`ro2@xxTl%%a!fg z?MrPkB23MNZqLj0J)zP=~l!r1)&sgF$c%j zWymy)x!1OH3gb+x8EV- zHw%Rqo?F*y4k%XHwEa*DJ-&(Q!`+0zz1er)m$kqxws7{?v)njE-sGGrK%{pHBM{^_ zH`!Q7A(>O1`yP7xpfTqjeJ>V9@D%nN6OUt~Ec^s6@-7U?dn+W1)nk&(dft_1_^&sc z7hFSCaAo{nG8b`x@V$g)N#aO>-2IXfiR)Y0La9#k6R_YJSg&7Vmh8Dh=(A*cOK$t1 zGtAV^?$zMN*;}rYihVxVvfer^y}vwLHC`mz#c0qtX;AFDLnXdrbMTJ~;M&o;NwOBV z%9P3dpub_2q8FRD4si~3n#x{9=VPWo zh#wq7Y5JtNPf6{c44AZj_y)If_MZRnodX$qc1ka5XuG}l92rl10F?#-@zH8d$&SrG z@^Oqe;pwRNt*pH|r!&lQ2)@wVQ0d2vuiJsAAMmLS=e$IH{B6nA;IJbB)j^yLpnUPu zX^Yc6p0_VI9ZTf)M#uh~?bHZ#-leKP=2pdd3w?R;+Vrq(WY=U;ABCC?-iOQo0Td_e z;$5QVTJ5nh9_KZ6VA|Z}YPHhIR%KdZw0)vHekZr31`+qhwefGtEldsVJ1l*0d3|jN z;VeR96n6R2*ui-q1ph9;+XnfBI2;|pwEOZejX$O%!OjnKxY(OD`oz%%bJtw$56j!y zemB=D6d(V6%S4}{O`A4xbnkTq4hM3qRG-cQ_wQp2Z%AX;mzMsRNXI7^pz~8m-DR&E}OL zZw$5M{@y;tN2!HV++I2@ueG?`62N*yH8}*;$?b*p?fh0Vje4K;;HlJL33R9Ih$p5WO z$i^8JxtSL1w_G%Sw({?rb@Tdn669~fGhbzM6h&eoBSMIX@dOx7F{|vFbL?kdY2X7k zh`pI;DntJ8M*pdsFLVlhIq3aG;A8gNb<*&0Olv~mX7}=+{a1e@fqGQq1fOouCRAEWLpqNwD=H&Hsxy9b$J&)ck7%>{P9{kZd*t~s3k7`fk zi2x- z7uwxd&Gjf~L-B^^FaKhutD@m}-*2R5 zT}F-LhQGecEI}W7mt>gYKxy5GWJ2G9Iq=+Atvfe|`Fs?NK@l(C^2i7K!mn7^4{47K z&CXu}E!XKpgx$WG4kJi{W!|V8-L)fjKcM{!0$Lb%1Q1_~YtlJSa6YCpLOw_$L$xZj zlzq((N*&o^3{5p&!&G4lSNCVEB@+s4MwjR1=36v4tvXanxz1{z_B2Zr8U5m?H@c*U z{E+MTOu3WFB|&pGYW{UkZ}3UTh=-I4qd{d!1d5y#lNG((42Kol5#;%B!q$ z9vWhRByTsdEK5%m^0;PfVhi>e1=4-|RTrIZ^j;EYnjl;&1~OQq@lSOkSid&z{Y!uk zbU+T`-H=Hy1CokqhqrQ_;~$#`*ugI>@~I9~vY zpjZ+>RaPjx)LjBSMpr43r$RA|h-JuW+7!g`Gu=8#m*@m7qUwrE zXznh*Bu2MP?P6JO!Ae`wz+%|84?2%j65s;LG8{$wWu-QB2P)TW!~t%lDVE8U)U!f^ z<}K+YVmbF###L*L6!%}W$@wp#V$#|Re|MGIMmTq|7_tkW(x+@bhk?23B)LjTUf>y4 zYXfmaxmNx2b<1?0O_1)@rn^dQh{)3Cz;bzSQm_qDVL#|Ok8SzC&TCfZws+;Os{>Cs zRy3@*%ZUkhmp3N?%mdIj0#In*_MnrhDQ?IV@$C@nIy(lSyAv(N4cn_YV#tqcSDm3qVOd|Dd`T!SE z@cYfWkvMwVMG)D*bpyQ4%Uf-m)uE4ZhLuSv4CEQ_u-gUlvIJAvm5))R)(**r_O>E9 zkLbSli$64kd%O(kXUcCF^3F-!-;+}+a&vCg&3Y#9yiij0kZDVJ799b}WzZ%#>P zemAk^l_jJsoPi4r8bh_6344FEKsJ-L^m7L>3FjtAWoFarF{T_6wkKePqwWvDUON?m z_NYAS2$5dM3(|e7cm5|J)RfWDG!AUW&%;x0=F2?YohFEM_(P3P1hBgh2ljOnV3{l* z)mSZU9~$xEBhZdN{+8`1r7AcW z!wY*To#N4AB33f|dg6TK1z0%6LI4YZcenr_kOT|izHH>~o?m?T1|h0Kc?{I>_t;l_ zxNuH91US{J*9h~!@8|(86mt7frMad!uhRI|G~_8-76~#PJpjKh>6Il;Y~yDQPJj5g z>DPBc9b#|j^;ZrrMOgpoIDSwhveiEXh(fHVAGM3>Xenu(z%BwA{)t;Xuz;zF@Bg%G zh*X9x?p7K9wDC#i|3D1z!)cl|pR04it7nB4TvR}v*cB#&avOqbxmA(v59>Zot^Efa zK5c#nux5RhgY82(gASN%-n^t#s!%i%i!jT=V^<_Su<$ohUw9;Pjgo(ig8paDcqv6v z1f6f9nmY*&oL-7S{>?j$*-`{1aZiQrv<~%PsvRSHoga4y^1%g$^bXb~Ox}6wG|V5W z1CK&NGikHxp~UoNXTZC(@n6*XmRDUPbsPO~g9OMLA8v3jcK?5NnK6Gv81@b;#0kg2hUUIl!FNvppQMAGK?HN?o0M)L zH1yLA9fi@#gI7Y$z8g7o&-S1nK;;QzUGdUJt<{-^eT_9H)}>7omUR!TdtjSbIqdz{ zfTM}Ls%QjiK@Fny+~3nI8`0IKe72Rag~3-V1z)L}G30jU@h3HOp^aEdYTd0e5UH)! zBF&$jy7Pr`CkcG2*r-g5BRwU6M9^2bLyg7LF~NdK1P&>SHk7(mShE!z7@WuTLuK+@ zhzt4i#uyczu}CmU&RT2TQ#cVW%dawURawGZRdDU5*qf8tQNs!(>LEXPMigc1U4sO=PTpg48J=cS8RV3zB z+KNbR$y@%3mfc627A$LvYp>4a*(H0t2*0L9(l~wK;tPO$lqTgeXUD&9*vr8C&j zW;)>()vfzxkin<9fe@~3X_S-*mZgs{?YlIIJw{si?n!Tse;QyNDQs^IK-T5@zIFWM z4zOA#WoV#aVSUr~$4mCKf-ccIqim_0tCn&X!6103g6jdy+(aY>jQySmCI$tTeRlM( z5)GUxhLEmp3oTec-Cw;7zFY#!sO|-w$gVySW6r;%%K|Kx0y|I3`Yczq+Kev#rdW3c z1szz7zd7294fEy|j7cw|;WUdlg%(8U>bhiV%G!Yd0f&?TPQVTgs+Ryoj%F_ z{b4%-i2@Gs$)CO^0M}M5UwGn3j;U>2m{lEM5S`GXYY32k6~QS86*ujOUdj#?ug;{I zTmlhsErYKVhATQ^wTfE&$wk0m=9++wU&3Q%OhKf!6qT5Ln3t(!3O(xNR&DCxb>`<2 zS&)%0G1#Wm*jSqDO-<7kYWf1GOOAmB)t?=9PqJ!*so}bSTg3j;xfVKZ-^y^I3ze8r z9|0JICiNDzfbpcv?Kq>=XleEsu5mh@VR!5{Z4-uB_~AKs3I<2&+2rOF_rl5a8tq{M zjwC8L3{cV+%AA5u9I#a0CL1m$Dp<569hKTDA`yKDO2>_bwf3wJyQEfPq_(uAYK_p$r%f6Z$k~((q;7gpph{u^Mk#=Gy;74geQUTs3-5 z%f1lxgZKGUPHddLblKPe(mH+epSaJ>SJ`~n8l9|FF()yaSdtc;$VZz)` zCzDi$?rK4HQVY<~J!gg^C;rTf4k02f;T!mCNv6v&J45O>;CK?K(O}#Q17cS=t3@waTXiBm5Z2h^3w6U<_fojfSfmuneZa<1E~$$rq&5DYP#eNC6>~;a>#Ij+cC3^JFVQHq(z9 zzR(M#(;ea6QRHchL(G`vqD~RyX%RXVjJiRtlH$`!w?dESZVDZl*nWX_P&_Y62Pmu` z=@9PCM{5(n3CLs66*uZPxCI$YR>W(!P7izL!@t5`Zpy%c(#u&E<5M2t^&*docAaf> zkF}A3mGJlJkBS7xdvAO@!-TabJKZ!03Ys{&i+@FkJ@}6K+z=m~sp|g-50;`v@FYR{ z0pQCvb|sO^@Klx*&z@&W+tGb4 z*aO++*p(J2HNx!u8NJb06vnT74Fk<@5b<9T#|)sR8N9?!@KmV0zKo6imk1bOo{=}l zNpXS87S#&XAIqKYiZ;#k$9KUaaH&HWAJ82xhW0}A2PO&TEO#3pF~Zyj{wEeLB|d*~ z0KixpMHHX4q`9E~-rm+lY)zU*CJPOgPgT0T+JYZ-#XL`32&WjRxjI z#@Qa{nJ^8xF&GpJik|lR?M|sqg6xY2ren-E`+guIpIfr$=uhSf&cSDRcMMV3lF=(} z29*w>S-|GmNF$69KgsD6e+u)ld@kz1yb{i=mJ}gcsngAc#@{X zi_OVnuRuty@pxN}lu<;3d+XYFOi_{)1Og`&JjMhag_2Y5phn4HJf zYUj@=S5t*S1K}62R+0wSC?La=eh`X-XR_s90jTl&3CVN8@p6XM){3A6|U8#0zv zvRG^0G=7uFQh0m#{P-mJ~3~=eD0beAJ23TRto%?mn95n z8kfpcWDCE+%jPicYv>;Y^I8I^+WZu0br$}9lWv)*^wTLLP-6fkXew6~sy1#ouDeR( z$R8kA!)2*4hu6SBjS#WepKThcn(A8k3R9-S_qsyKfeo|Z_)=H}4Bt;Pg*@5QUO>p5 zvM!AU%h78{M9xqx)Bx#3*kEd#zx(T`dfv0e<$PKqv@uylBX~(qLWvCB;MV_z&R53V z6!?Cm8d7IHI7ION8b_S;&m<*EoPJ5cr#X^O3Tx@p1bD`2oQe8GrUMR$fxR@g~|3f@6E z=^>tx7?I~N@~VL;iA}!J8UAjE1^vaVfF1&AY;v4Xlnp9=c;vvO#6c(};z3#-aX&y- z7j67AjjbbkW7Ryc|niNb-+9XF+o@YE$43Qvchwj>*&hq>Adz*dgK z`g;ue)8DglJp=06bi2rd={Hh)N@Key_4_%{j;+Y?PWvSFY-L=rt0&w8P*D};@EGl! zT`{Wxb(C^l-c=?;6`wuRbK~8|H~YD+hImqsV3YN>djTQN( z68V}5Nr4~mM@`z=Sb$|?Re9zDsD!+!pR-OBX^P~Eq;%$=*#=uPz@vhms2XGd$2KU}nbCr9zBacFB96Y83hUdw_**#Cc z3rW>*+33$v11e-O4n&|j!qjZGRqunk-Hq6 zb^}E;gF7xfj)2tCpoDuqOF9v56DDE5Sm%YE+Jjb zRyK}7VXV95#It&P&Sfq^Pg2AX_Wsr&${(c5Kv4gvgL5#6uK`k26XkP;XUy(MI3p0W=G^=;27wyEWR`V(B=?28_&{ z{c|})vh@w3yp0m!q1w;L3v@=qCxF2a$=RP2{{JY55;=mbuHvks!AZw;8u9aFeMrjiP_9~qta{|ItKvd1U*)Kxwaqt(T#do_r%-Iys>zE^-6(sgrAxW?I6u6k z`7^c**Hj^BwwlWrMo5uB`y3ZCZAAi$D($-WJ&cndy1-A4sP^0!HfSZ>ZzE zI?7ZwbK&UJxLMMVPoIs}yfU}N=E!*joy`_H1XYm#f7%HgIM1aMiSx~)p>I;}EjYnpbzwS~f|AKjGd zv2II@uA@x*8W)a3flqX8y5eUR?*5%T>ADgpa_a-f5E?dUAC4lf9VBE z)Mf~A;xm72bwj>;SD@rQ&C)aZh~$L4V&0m9hFf5d{=OO|Kz<-#bFZ1=*6WxI>>GhGB)v zKDv7Qs7eYGS(^o3-f`Nbucm=4UKQNK>7w5DYp?@whX7P5rzc`KG?2oqV#unXT z@)#Hhno31-`|1ZEgIRr>}TnW@9DGflZwpZ<43KkZfk4 zOgXp4iTzunDATsMgCS`*WH8$0Tf>#rh~#)`-%|>o^8lcmYiQlHwkSn=0*}F1C%vrD3ezg10vrKeVj@vquKs5 z4lE32gc<~FE#r50L|0Wnh>^ZXx^}Ep6R_PsiqEDE5Y7$e?XuWba}xHt1} zoG4EIt0k-q@k^gq`eS&)>e55GVdw;B(SdMC^X#=)8y*rMJIVM}=+JsJdadyV6Y=Gu zIV$SwBiz56xx;<*tA9DjZZtYcgP4;sZM0`$GwsM}nCf$%rwjNp$nOWF$YQjR27dk= zst0IcHGWO}v+Xj-4-K+%lU;$Do89J{ut2Um8Jg2kUrY@T86aGD+0T9B%Pu$TMf_>O z#PGEl=hXP~O*qMn2*rjV$|o5%gOlCiSrJgW8TiFMZK$WmgXF-UBc{T5@n9P$Fy7Fr zw)!_a++9Anx%|ndX`g__4+B%Bjk#b;y29F*wNuKXd%_JK8UWY%(Sw}6MU23;XoYKp zFY)>zqb-fDpliJhbu9ysMpLLdF9n!M@tggY&EonJ?Bac`$U2Xw9*_B3Ewb5%I!eur zVl9hJEdlNsUvtMnQnK5)c&CgNvUlUxQzlz?)FzhXpZp#Zg%X(42W72_@4tuFHfh-< zb?%);VEF5WsMQ>catHFGDNnGXUJ;`ps>Z)BjKLsBf>UBYNfB6Zmh(i zKQQJ(<7H!|{VYO+>Le>rn$uEvplbN`M|@J}$+R#r2gNl9+bsI}aG3vC?rgNV$5=VN zSFtTk62R4^*-__7meb@(QmwIf{Gnia5Y6wuN`4U;d!dBcS{n2Dk-kLJjM~@dkp3U9 zkJ~}vK&W^;q>H;+Wf}j>FtRPeT4&KyaB1Fg)z8&$&dSwKVl95AYHbIU)^Sdw4kZPzc(}7wmMM@b@}?}STt%!!&vdO zzXh!Y@0fO!dAKMSj3%}|q(>YzZ>m4MbO{f4O#mXaYgsTP@`Wi1SAl^qreP0hw8Vpi zh&1FkGKKDysoN#xtgQiXbAz#L=Ru?0N`cYC69z>JRX0Dh_TBy)4~p(0%_>9QazS8g z9$u5qHERSXjqqBQF3C&5?6FBxNyZZuiMU{fH_6MwtX0_c(}tRPSdlB^JnHI{!!g03 z8sYh-uP*3x?s>^ibL*YhU?oh{r>WlMK8{sXaPN^JBci3D9zwAJ!o6sQc1&gdGuMunZyoYr9}cm5X(wtSJXJWo1?`L;e`3fnOd?$Hx$#Fdc%W4kB+H@7e%9x~{HR-6 zuMVQVyIbM3w0vdR=42$sucDC`or(+MCkb>t!!wA}3;lyp5#^DusRYxnY3nkT*N4;X ztpU@I77qhUm11rFw= z*Cea+3w9c%$zuaAA7!&?M#t4R7HDVFDzh)s-|7bOCO8s`Wa{jM+v{;&T*TsG##qrC z(2nbZkUh(RG1Xege@UmKidOx|tr-7EO`!9jBz|rw73i==PzET#$%E|5W?Pm&5I`A>MF-&FVQzK!}JUF_>g_S$2&(9 zjdlUtQa%AO=1_dnSW`W0Rs^wJ)FKNBg4~{YiR26QSeU4mm&)Rxs7VBEgr3_0(m}Ig z>?CYan|)*dwmVEdPZ-z+8=6Q#Z!SIRKQ{h%ROVmC>?nV1oCjX>$JKf}u_MdHPdwt) zc2K*+=bstO|15GR??Ol(TMn*YxMC8$@9@_vRZF>6_H(7T+qWa1Ww98<2lm7Uo)$|+ z3v`Q2cwU^*PDaQV?h1W6$7{^_LS0Vv=_je;1yX;JVAqE0d>jq{Tt z4A<6Lb@i{D=bRMizk!dRyWz{B+l+nTfDEd?f|AQ|hQ(n#Gq7C}*kWJ$xZGTmeJ zmZ)k(r2hAULJB*xy=N zmFtjXgfM(q++IfbDkg(tdEfkAtWm~6t4z6i1&`}i%qRU4N+FcAOxDXY=@utdvf^ma zYCps>anlZCom26eHvCDh=j)Vee&crdkNuW!U^P!v=JZL2e=E?bKl*h=NR8_-0P4M& z6hiU)7m~mMH&kd~#gVb%G-Re9gt|f7nn1cJSF&FH6ePX>aIN`RtL#Z%<1T=8-*OuetrGuQZvBSbWi zvHgSfMoQyAS0RM8=!g1P+lICO>t!vK1z!ZOWFGGr?K!4XZf}a32%WhB<0^zAAI;~B z{(R&8`UXwJS)(yp25ff2Su9#MZ1zg-wu-OQ^70+^Z|4@xTELXrN^%`q&NQVpry=r% zSKvm>L0btK^X|`+;$J=W0&p+4|hRh!w= zyTHdDb=90q^~g;&Ysn=xtZq6Uik$N`Jg)Jc)dLma9T&U%4>rEHzM~c@Ig`2({q#OB zFC{XlHnZT1X+>ccdhi@CpO^b{^eNNvxJIurp7}}ItV%N1xP1rp+Y}J-#dukexe<@o zdRlmrxv8o8POi}N#O+SBqUV*vMW%x)#2|e`(#|mep6wX4Nb)kl)*CX zN0-cdQtCYtPB1d)}t(*KoW>&;*ZDbDt@iN!V}6h*2!)NV?BCs{m=A#Oe;vDG*U$GLD!w zzrrJzhzO2<=b^k#-1x&Zt#BY=$dy~!@803pm0_lc4;wE^#dIGnzyNJ=Z1A7n8KYK% z39yuX_(Jcq#t_)7u72VcyR7=r`2E6=d)#Wubl2O`2 zxeekuFlevv=>6*Vl-PP+nWje{ztXg+!9g-^64Y-BWp1{Q9Ojy-=cveM8BC<`GXzmB z5Wp$M4B)a3h&3Ve@Kv+-gi`MBD>3cF3~B4yUreV`ueC-|Hhmqp_AmiajV~xPHOxU#*CkzooEDKmkU$p}&)|WZ|gQ%3mxD=f$(25c$ehPn; z3j*t%zak~1{fCSH#K|`Oi4$1}dM~*s6X`wO z!;gZ@47o}}H6R>1&yZ+%cEuKE&Y8Xe%QZ7BsS$ojz+L~%!(!mB3$o4XB7^ogvG4iH z-KNL6ccTDzy>QjHvp(IVP zR<*`g=-!q-hs@rVinJ?efi#UX_wzTDF&h4m*91atxqw?3PHECmP}`LXvmTx;ZIDQE z@-^5p zi$Vr<@HMF19eg#PRzxfe|Iy3L9FR78p0HxudlXZh)G6LrCHiyeZpr7$ignp#c2W7V4@&ZCz1qYGa@h#*6s5QV5G zH7yWo;>SQ5`zYiJfG?1p6Co&ro`WMj8GnB*^gl^avV+pqpMz|epICwb;|Xm37~rTr z)qhafpbU^rQ5lMv`F|;x{*2L;zaRV4=h{_RNPfgn`GZn@}t)(zMzfoR+ zX**2WHfsltkGa)aFq2TcXwF%Boem{3;{e6~UoZY8bihR+;;kg@B|J1b0lLzVwwM@(m*Ggnz-@At>95jOyribCs)LzW;D}VIFS4 zYSN>?L(+{oIqWM}>xstUPf`i{D!2vI^z3>V)TZ$lh*vvHu^cG1a=bzjTTa=qk#|yk z*RGN{G~6dRrC(BYoVH-~mThWLPm%wm0DrX*RgoQ=+@c#Z}EVeDqH@Z5Hx9VEWj$rHA76y!z6RjVtt3GMf|JRVS!!&M& zA+2`O&zhGL`2;swq5a~X7Hy-YVyvZ;Fj{)CRt0?3%TVptzYYC?FkR}CNnQg z+mYfJwwF$y^;aB09CJCTz(yGZf@!tF#6wsOckh&B|M1Gz#CQ-u`P(B4tH*JO$qWxg zSOr`YYBZchcw{T`?lP!l=JPR5F|5u%%V&a0;_Dv2CDY@L|fPj*-ryiD}X)(-Khl5tIgy>UC(xD4eOxppRNXCRu1wsguYT)r{6K!!3;%GR$z^8fU zrpj@0FM>o%^=N$FgG9|jnQ27$jXZXzK5!LtU%7a(vB1712@&m$4MRv35pLw*PNI%s?^S*B@K@Wfa|k z%3kn&WOAQ=B;`(@3%9(bk<3q^&@s~oFDB(iC}*qd0F_Dh)o>RtIcFNj-lxZ@bkSoY z&@64!yN6`%rm$ML4S_O;t;xXDqf(;FgIMTCz;_ z;vx6GHCIZ!4c%wXJAOO*Q(h0yl=sti%DmmPXXjqu{7anjmY?z*FU>sZ+EBdsux7Pc zTzgKx*f}nc@J5-Fy)%{P8f*_2Z{>O~c6#ES8J3qgtA88KZ=9R;0>(eQF?Q?eR@X3O z4cjxcB7dBiSl<2ABkzpN%a*-!)oNS&Wh%Ev^gTItW}@GW+uB?F=YX67Go`q?J8p`I zUD1=fO_Fos6kbN8-)lMW&HGDUYr#b2|MQ&MQo2iw*MI@{E#N*o`7gK69&%A#8uWVk;*|C!A1+Vz+N*WCNKC(G-PBoIj?bH6J!`wp z?1M8N_+HhS{c-iwsMOs$KRaShc5*prubzJO>|L9{YY)6^CqLS>ZWZIJc}Jdl^@W}( z4V5mKyghO+NNBCd^sg&oCZ3*j^?xyM_S($%JN-6HdF@4jFqod5RXJ^2y0+!rpmq3& zm~|_kHZBtnK00+yLY~gyeT+r1Cpo8KA)XcS=2U*zR%9ld>i@-jKWE>=_T^f?u1wq~ zy0!23*{_*KcC+61PhKo^{R-RKCw1Q0*{a{8PnAN6*{4fo)n~lEoC0*qL6C!h#7f>M zpiQqzRn;Sa>eOePHr?u2+5BoRU-*TR#Hg*4exL2N7tsnU+P^d=+>3E~k#Ft=uXpC* z>sRtJefR#WaOJ??+iZ!~pZ=-XGXLrQt)?F(%=hlG`E))fKV5wH<=4VHpZ_^C^X+Hj z?<c{;bRDfDf$` zPq*ARpLP5RZ_Kj$!Kp?*TZEo{JWz8%5KH4OMKCd)#bPE3LCw>(uYw{%;RF2Ic?%3dTq23CSD@DG58OejHL$Wn*It zRNyNr?BXx{*2!O3@~N=*d-Q6R=&9K3eF`GDhs=soNH@ko0S6Z z95}%krj@ee7|)UrmQ`1-UO##D=+UdJuY%m<*mxJ5IqLt2dve1Tkr2@%#s?MqJ~Ga$ z`?$_--M)2o0`5y*Hs4vs5VHN}!EMsFba;4#G^%X=%GAatT1iwM)Vk{PY-u_}Xy?L} zJdLX#v#jpE`|-n7#|6R(_nLMpow$5ncHI>IoW!#yClxKo>`-8Bx4W{iXAKXVgMItP z$X`sFY>pz?iiSr{oKaw$^0>HUPS2Vn$FJVK$S!8`CPCGGzilzgFCiJr2PcYqIT}|c tBwb*5W%jMGn{8!->-yRI`@6FRe0c4d*#pilneATL;Pv1lS1BtvoB@ofU_$@^ literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb b/CPLD/MAX/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb new file mode 100644 index 0000000000000000000000000000000000000000..d59ad7a65cda3686b87c8e5cb006da78392a2ba0 GIT binary patch literal 36170 zcmd42`Cn4o8#a87=5fx{oN}PDq%<|N6enmhD^n|{aw0P`Q^R>kgkw3C1E);QM6oor z%qeq91;c^V1hbrSK%m5O0K{Y~2oHUq=ePGCc=7XL@9kRq+V>jvb>H{37RrD9^;eDj z-{S8m@!v`D(IYT2Dj0ERx0$)gVH306Cu4#`Z|pu|eq{Gy3sbWrrbiB&neTQDjEoHq z2;62bKyFCN1@3y}Y94)?6l`kQN`RlKwhyOeLH7WIfkLR=h?=k-GrB101 zb$8x6Iv~^cfO^IQ*#pfIvHnID1`lU+Nq2hhNVV&=%QvWbCxo8?#i$ zrP{|zLW@L`ptMQ8*EoLco0%Q6WM2GvaJ%W-X6M~F@0k1jdEN;#voq?8Z~ezjd_9(C zeFI14I3Z22-hGt!*<P`UxTbl45?G0^h;Zu6o>{(bdiNX23@ax#aYWF&9LCu!u9j3^X zT<|&D1xq?u_jS1a)F>Mw*O1K` z!<^T`H}sbg6?b3daJ6Zl{eGB^f>dGO7_IimP(eA0WFTCqQzj_uF|>=F-F>pZsmAzF z#{)*rE&CxZEWY#eTHical3zT2t9X^<7ONZI03UhF9f=vx&Lu=NRSG+>zaY?x-%o#S z`SPC%D%T0WFn7ze>&zyr=^1zLIDqxW_xd#@*(bVp-ogk+P-h4IOnobtqZ1!`<^>2| z&BXM@7LQe8f05oUR{Yj+5kEJoz3$6qfVVT_xzDj222r~xw(@R(HVf9EZnm0$}JSg~8x%DXDS4n`5v~|#M z7zMI(VDC9vzMTt|m-wOwn+z4|0$nqgys#936>Y}=Lgyq*_jo|Hr2)#?IiT96*_H>Z zT|BcH+)>N-1S0etibaa?Sp^9{mJwfJWL^`uQ{YnLI`cZxU6fNTGMs5mYO|{)^&;Ld zw`@#)lbY;A>bTe}lRF3|;RpJ{`)q+FkyOr$TMk%^3r#>*YG z6;8|QAoI@8R*~ygZjM7p8w!=($Gbp)~~xh43GhqpL4D=~ee_^{hWn*|cCX1sX5&Aw-VhzMRyNDH zHbsDbHu~M~?ypWw-=>M3osG92(|C*e&x$_GnhTrfN91FQCs9@Yh~ZSCQ%N-!j2mPn0aU23RQ?Wi|LKEAQ##!?vveG(lqX{kaQyG z>Lkc9`ivzgUsZHz{1WJHVxkP_dVHePe>8C$pAkE$>#Gr$2o0UE8v^t0)@cLsH;bIB z)GBE3eq$v~LRZo4rVaONwHNmAm;CWVCQDETW_3d!<;k%tNsu6Pw(>MZVNAy;MdLWF zm90Zn&9(X73b3x2l;2~K?X=2m#W5*Vujh>=>>^cc#I##0Mn9}<2$~}@gYtvJN6vWN zIV-j;M>`YU$pI-bS|6}O6yal}OA{VmCYH#8;n|^7cp$Lxywh8bKx9uKl2VnAJ(s4Hh(C3|eIan`y@l>Lc zItokEPFdI6EjZnckX^Pr3vkj%>w0?y6Wb~~9priIn;ZX9m}S|NglwKjnGPyk$g1s( z{ICLdLYcDb9gG;&h%B=>F*s-gQokrI6!~j(NonQ-odl8xXWz2Gr9?T=oeff0s~f zj2f}!sBJUPkX8hSEN@}hXO?Ha0u7zx52)bJTE2;O8t4E9Xveq2GPa^3?BF||1uaC| z4;v@jes%C}8b)Th(;cuDcgCzqN8G(Hv;0)c+pK4OnB`7y!KmsV3-mai=ECv;K4i91 zV3?*cu20k(8~MW4>PDh20uR&Bdf#lW#G7^pB&N%-9?iYu1=F&>wPQH}i69Mh;pw30 z<)o8>m)U6i>g;Ug6ZlAYvpET;F+0TWKbe>=$GQVho_A7Y;a}Mkvl=OJPMiv_;Fl&zfML4p*f@3bnbKXe4(KA~f79;Mn8r6QUt2S(mXYsgD<^BQuFo}Pmy8=wMk)H+}or%f?=o%1HOxX^~eI_3nSse zrm7a9CkAm^3KMi=SafEZlTH2n`z7hGW;5IMsa(TWa{R35S9;(v1LSef(YHmH6KtEl z4JM1)yL1qD{M@EtS+GZATD7l3jG~HYpx4)ipX_VK09qBkX9)3dPl590QJ?%XW z&w?e7X_;)Tj|k5G&a0`RbBtiGWMEpN{fl!w%IRsenMGk2|19{Gw%ZutI zaPAPE0o(nZJ&gc)d34)J zLMB_oh;5$=^L+lr7Z{ckmdmYxwF@kJKNAiaKmYWl59bm>D!4q~?^bz^d7YR9_0gV} z7s-^!ucvIx3GSRiXmnCxBCGz|E95gw*=mst zVT`>+gxoO@{E{m+PKEo&M+oxB>cqOEf`3aJ^Vow%(aq}6!yl{=lV^+RjJ@Z_{K(!F zDg}uP_l_uN2PX!aI7fH2+S7EmT+V5lztK!quG}DS!@f-+vk=4SZ97dNsn%C;X?f!)8?19aMf0o}$ zO2Iv&kHAkbAJ)RYGCtd~OxQOVrv98^QPBg2pfbxv6t{zSnqeA-Y^&4VM<9VwpC=D4 zWIXPDKvtmZg&;|vt$b3;6g)>?_&O1bRJOcw`_8wLHi}j^3yPV@=O~+LKsBV8@jgfk zfNc|^dtqMzR|xzv=0SIx#9IOczQK3`n_!=lL`x|4v6_pwH=xQ^Wa*$=0>9@}XB9kw z)(f#1y$voad)ieSrJJq6gz|Txzm!Hji(a=71dU!YbCi5C`6Zmp*C99;LeoSB;2hkm zPb;;m^HVgsPT=x0>jkW_u(nTR0fea#Ufo020yf$p+@W&$CzGGqkQEJC>IXKaCrY?~ zAT$UF-BU7{f(VGW75bSVjk>|I)>99A5)922R%;h1YH{)dC-Rwk36ox&jz=Wnhyn6Rip-Ea)&MzQo;LUZw{^2-B|0}}5ix5HWwwK^jD+;kzo$gjH_foJKmO#F@=t6 zx@JrrV<((>m&Jic;+I^`hacNC49ivGGbFwq7L;SvIs45E#9Kgy+yaK zZk{asnML6mkqDP>K9eg(q(qTV|ENMY%e6lfn$cUyaRcFk`xMTXh@8A!_XWW|ECc?U zP%uyVX@MRN*UfpZgP}QK19&NF)@T_JK>}QFp#~WT&|d9y+roC^zD`OzozD3=|mP}Wx>p>`PO0TieKcSc}?Yc0>0WelB6h0H!s zxiGw=nC;?PY!GHdOhQ90Jkx^nwN`$FgLVw1+W*BAgweWqJa-71e_o)JCF&eH1q^0V zHja|6%k61Q)q)S z(a1ftI!)n?TL=xB>8@I~Jj#+r(XI_m!*3CU!S;OA)^=f~Ci z6daAd1IDl^Xm#0z>uAZ0Q<(kwAn#U_T8AhPekP`^MMfCDAbQX%>IGb$La{%dpuYlx z|7?{IK^C^_6geX3h|lB;s>kJIe&8+j_}miD+vp$5_i}sdmg#pQSmw~Xv$dl=ZIvgP zd8JXvVXL##?OEuI#g8oiWC}KdVEP~`ol^*3iK_x;jMl3|s#n7L(u)=s0L?WQxlMj% zVkSpPVRJDvDwj5(zSaC(&eCH}Tb_WIpt=Fa(nt}LK7hNLK+L|6=03FuiAg@r4IAA^ z21jy(40Z)gzPb5z#!rx$EjlsG0t-%d z=MAkr;D(I;hE&Q$L}th1IRts@#Hv-TG#7-m*J!-MLUcD{V-`wuIo1(22ih+P@BXQZ z7)KFZERZ8zurt7OHGyOb?iOTMZB#v*p}>4f2c8dr0$Sfnp|En&+Ouz(xGp=$wyI4l z)|poQKHD(XmDTkHv~T-&ZU)Iv%kH7YVW=THDuwlUE^FMLcx-7hs-fTs*m*uLt-6iC zX}_&FTTv;LKev`ewY?HhO?2*Kdd*HHK4+uSK-c(Dg6%3RD4ZvBbA}AeH0kA19o^%+ z8Pq`Q6v)Mm8b$b*=q|(#{3BXNJ3Yd057M#Qmy2dRJ3D$L(k}8ACmO z_lgq?uh17R`M1YkjEU-K+i;86)In4t0zX={#~e={yyC{(Lo?E>tv}NmtoWJ@I21b6C+tNsBwCnP09`>KQS}uWh2kxbj;)O;? zp`37$$gJ+7?u5EX37f;o<|FYC@K3j7%#PUx2{as7QUj!kL1wmng!i#$;-)svEm1H% z2!tSmyE5dcCUEJ&HQW;wvb7B98z+HiHDH|B2CW2L$C~%ENb_;(5yu1C4e#FtPsUUZbQD~0dqlDMMDUzbl@&Ef;7$V+wRmqD%wsNBUWAvxT?SgzfIqa; zRjDQnl!A7$DMsvABCMt>NJSVS1?Kq$SRmoq zne*Cq@2K+ZIUu|{Kt$OuSe8TT(GVVUTv>Fd1l2vF#McbL)DRK+FcBsP1f$|$rM#Q} zM$T$c5{Hw|6h)>wi|N8+AzD?4l$!MgE_UY`&l|H$puQjAUVy5aATSl?@d54!=&QxM z(3Cvqs^tjd>{lmkeah@^0C6atf~5U4CAWn)dj)to_iWAi zW%!55b)sEY7J_N-xeGnkMQ#7E-rI>A%*LegXFN7LaK=^hO#86Amko0f@S$(i)g0db zKD67;@yQc_eVQq#FV2Ccp}AsxfOSaVBW_c4tUGNLUexX^FEYoDmmf= ziB;4__V2@t$B`bQ&!&?5PmriavBi?vly)=}4}L&Ezb=DNRA;Gd6Mr2SH9Usap*?S1 zDNn6F$yA^vmbY#$`-ss|j&<^}=BNnY-6CcN!7-J*pSZPo%K+m#^~j=lUN@VyMXjim z*|X6RD1;v z15Myt%CMB94u|tH=beb-E*yj9qSVCphs?^c+L-LRnZOEa1^9emWYV{o>gX{uC?(vk zWGa0gCDbyl-w9)sr0+Y?Za}0OU{2Jq#Kr|rvlzqzGr{ZOT5zhV`*ZHQ@Y$)eTdO(J ztk`mZOKjKD<4OK6Q!O}^2;VW=bdpIRGA(V%Ed?p2*CDKH@$G)f_=~CADZACHy5Op_ zZ4w}pj$B%=@M1Rd6wr}I;*C&MpmC{W8zS>f7gY`FASHaxE>vXtN+8A)i8h*eN6orN z#2|B@nTu=PVnTV<1yh;DONhjrk;ad}nH673b$NHEs}PyKqS6C|mfD^JBy4zFab{LX}Z?9AK#dr-|re*f@y}-&`5dWcaa` zTZsS1B+R6^&BLo3Q^}@^V(!8DrBW0z5zVT?V5!-2%-rr}WQ>Z`&j|89A*oMv>{EJS zD*EZdVUV?)XG|<_03*UZq5-^RT z^A)TU!Pcj;DT(OX#uUKCbK#Ii^tw}Y$zhZ&)Wk>_pk{5ft$0hdcuya*^CYIS0Jv`y z?V)HbZD6gWDf(X8_z>Xjw?3B5_c{^e{QJhdCsVt;JsWm10TblJVZ9li+`SMjXN|5B zhYq4c3vY~s#%b~sKP z4fy|3$p&Bbn+i`PsF6cU%jnfrZr_QzM~m?BQ^S|iP+fYTsW3goq>@1cr3sk`TP1OXb-ly+vOl^zpxs#+Uq`dI zeND2NP4t|TOkoALdh~W!|B zM6D>}pV7>O4ymR=@wRoSlfX#YqFeRTbQf9p+mj+KTBhe5MGpQ(0__)X*&QG-m1A9x z&*BEs^$&B4M-;j)po(u zp{`QGSL|3>@&-j9M-LuitUL@1%uMH+%{mm%0!nEK!k5t%PSI{P>rL!eMr>FL1`$7v zBB()M(jpQ?e!zD%YnU9uJ6UuH`B+ZiNmF&7Bgo@QB}A@3ycz^8IhZZ`?aC79qrAvf z72FHnL8fvlAZRW>L<;&KTv!YF(V`{{kb<_cSw`%Gsc7$H&>q3rZiX=YHVy7M_eD;Y*t!DI^NO_z-fx=sRj3PM0XSqGyV zDJ++l5Q6(}4=HFJ+tQFtCT=7(xCNb{31Lox*Egnr{y3YynKU;}2E+XZ17jFmZj1<0r{l$o#l#06^zeL$e#kqZeT?Ay+ zfDc4Wb(fMF>Vg!im0f1YHlR7lLj7Ep#c!5Bgdfmc29sVa#IU<{J@t@C4#rhDcbcih z!KkC2(!S|rE_1HX()S_Hjpk^eF5bg{w^UO=w;(9n64g}DHHZ#|>Gp$nE;@s`kH!~I zW-EIDFN=$JR>jBNQ>FYQ@{Z`h^eBJP5_fGUF|52dd{uSnR;K_xabQYT!Fv-)7y_E4LJ7-O4s+3-0i%U4Y?KBXZ#vn1;+#m$= zv%U~L%8vm=-og$_AhAUp!kV9rr>0=$#j5fJHs|gy-+yS{nmHg0Q>-s*i*0Cg-he*X z&haruns*1-j>}Q^iGhC;EuF={CxA2m1HJ^L{juTBCDhF6^mw5@Qc_&iQXc-th8p7d zIdN4d;M@PI`o<%=Y4NskMufXBPT9uEzz5ly6kp=_S)eCMEM}-+u}M+?Wou59@IuT-8D4AEgKUBai+zD5c4 zi3OHAIb4uC%BuSnQ$R{F4H1{ZY6VCBRCbYpfBOSW} z`pAfG8U$UnI`ij2I5qs!Kc(2!%w2yfDC?A1JswzWz_? z!&P}LabpXRkVT$=Agwz>7%~uNfxSyc`vS;6m)ZlA5Xf(=sDhoKkj@aa zJi$$c|FRN=(W11rlQvifV3mKG6izGtQyQ0t>lT-yQ`k9w?oAwsJNySqoRj>yJo_Wa zTzm=IkM!uK{hJHDh*B4~{||*(=;Sa!2dT5-dxZ_`LNOEo9-!QU{MHe<#oKh#F0S`% z?NZ^}Js$tOytNcx;Oz)f#xPeNn~GK49FvVqWCvI;hD}3WFTL>WY$oGXT}A+R4VKD} zI{(cfk}!>UsW`2X#jCGR#QKddCct|V>1EG{nftN?%JJD0o*xaXzAL|JnE{fz7W zf%3{4I{jm?6mzxEj!K7zkSLkN9*xT%nBBI?O7mQ{(`bZlpb=9^z;g1QB${%u%lVu= zZQB_iWBJXa5m>5RP!aEYE8>3U(+`|r_x#iAiBpaTSrATqd&!fTh}InaACRXQM9+iV z8NSND=gHFJ#$z{EPB|dYsUe}Kv3LC}d-p#IUX#;l4b3h8@HWwR44+g}h3wfnympNU zc8>PgE_g(o@XH^IM9(!2yI=JVEd0^ijF^wVkc^n#PK#dsCVR%>qUN^lY1!wNx0(0eyb=WQ`dDq zbx|671u2}~xkj@#IsaVJ<5*q%Y=8Xkf8TsZCHW~nvZIH*zIdz-DQZ@}v47kjbmoHP zt;s`kS$U*{rd4v#8$oNE{hT>|EeK)k`466uez*N_p&UV|6DN6m|F}50Z`y!)HE)MB z#M?>+MnQc@_6MW8UT<;_a(+^rb{noJYak2$Y^Cur#$m z>3oOXAwFTpygytcM-am+9p8@$vi}iy8+vN+`QMnWgsjn*dOob6fm(4V!6xHANK-^tQ1HveP&%3CWKnbIgJQjU0vBZW*JV<+xn?)D#0M+Iv<14|mVp+I8eXI%z2 z#KyPfijDsRolO6NldKXCZYOMu$IuPxsNReUoo9BHqU(ASO6qI!;*xSmpD02v6D1@L zC-4w>B(u0t(*8%(IA2VTn*QY$xfS-L;8lWyv8S}{I`gKu3&Tk-VUsOiZ~}F^{;j*{ zP1YA1vH+;wN^l(xqMpKz2VaFJjDyuT8!`Fb61XVMd8V4zn4&;2yYc1Wz)WE4X^dj& zupiJ(o z43ORUg@{Y$E1Ah(_GN8|s`5<+5@+oV&3Lu?^dHy!|Y9UYoKQ^=D#Y@+@DCCccw*N*WpbgU=2X$i&bpw@Qct7K_W*q z{-3Jv)}KI|jZs7b9Q#^WUNW9-eeLl_BuW)BH>>2@zY=pb5r!;acY-R;0<%i6eMlTL zcRzCT#MeV@RK=9fwRI|3)ZDY%yQ&$;b&as>!2u49{s?r_g2Z&wnv!_1JvOuiOWD+A z2wx$4m=>$?^O<|sTe4jCtTK;5C6zgrSuj5$D^vh$>dr#WOIA>uPEYgw@0}JoFkGH2 z@MCw1EdJ?aWrIZdH+B7|GdE5SQugRIlMsPL^G}Z{xxShMWc)udDesV~bnL0XQ1dIgOjhZUX7_jh=@70Yg!1+a!rc3s zRc`EO!Ygc>rB#F$h5;c#;y7<-S~iuByHv`!b*;#+3%mz)v^hVU_0Kyc!6o89{p)4< z7zwmRUPII9i_qty+JOnYlv^aB_zB74WqyWf<&oyfzw)r6r>ot8-bZMr&yP$gQ$2QD z;Ua=;Hl5ARAXDT}*lY+>L02mJ^{~HAI@nq%)22`zpL9Hu5OsiZY^W-Q>Z?h*h7UX* z35~c@(Mv$g0epJ6g8tC%Va`x*=lO|G63|>F(&xTDo|d`{a`UgL4q}>=(1*z8pHT_2 z^dJ8?Hksm!gIGzUjcMrJD<8bhevu+*XSUbZ7p5dB3ubpO8BGHCo}==&bKgvC{@V6;T5DCp2TKT3t z^91xbF@mgEQTAd#Y0~857l(Z$ty6_>p}+KpIr1qDxP%jfF?+~DkCy7C0d-{GfA5Q) z{=0ZM?Z`2%Z|0DcHLCXTnx*OvQ6EafKlkH2wLKiV2!9v<5a^-b=cz3KZ-^+>=H!1* z>_M$Nst&A!{5h7$SEK}mpKrzX*&3KHz{Z)tX*lA>zlswa?C={i=Tb8g4>Q3L&1OQfEFs$+YDweX*K3_Q#q!1c7)lD8wtsSUoNF&B>T zozF5HHHEEq$YlLP2&fxUw8FsUr394HPQgizw>7&LQkigS8!92*D3U z+?j) z%F9%tq;PhCq$ptH`*b-W|CD&^Qv3wKkNEZUMswf%K|1KF04x`cZ8OjO=p?aSW;0i| zd+f!W=0wbpRlw%Mn?f%umvBeAiab%BlL zzJm|hsXwuG9b5;w#fnYj_%-logics!x`8!MuDdx+xtQ?maA@3qL1KzO!`pBlv#!?G z0$&$dd7N}LK)*(d{x`uJ$!=E_KKu84HCSMijYbez#a}|I4Fpr!7%g;pLC;l(#UjOU zEDG;Fc=v606jCkFTJpe5Z3pAc7Rs5`!_uDT(Nb8}cB;aVKJ-3zooe&H$T{>z7xlDe zGygH9PAzRYLc&$t;l>G}gBhaJ!$EfthO>6W{Oy~rGTqHyFEDx*F13_r3c7I8ElJXrVvu&?;XkhSh?9(@rXWN)E7Qk99ed>bsloU5j?3&J)74yKbHvC-)4VYB(b1YvWoG=}!nWfi2bskKOR9f=krwI@>xT|3O?3q+G(?ci z0_V)rCLqlJUJYybbkFxUzR`lSLGIy#P=B9-$l5WBg(%^Nn1jsQFlk{P@o78kR^dMO z#ug+rH+bV18{w*@P5tND0rvQ%4Y|C^&nGV!-h8k)TjLf#B{n6;q#&#|g%U$nah9|0 zA#XfT%5WW~3Cf*bG`7ghZR0eol3`~i?h;p24(I-Ypq?JyyrXDbCV@+mOyZ^I(_1`x z1F2nzBO7rkZl6@b=$m1-Hp-D$NE?dJ#cT>EUABF=ij{%jjwR8=X1C2t;x0gSV2-=^ zRqT>{(D!c6Os|c*V7pg^3^FH1(ELT(3okD|tIV*;%zq^Tvb(-NNfkH_&Xk8b&6%$( zg>0aPJd-KYH{krt!(tj)pZO%|{?tv7@dW|S5V;azKGXL*B8UH$3x6b?>oy-%u>%ZY zR+M8-Vdo5Q3JO()DO%qA$`nx%CrD8MPuYlie<>OE;As7xV~KN7FbQqywYxBO+{NRt zOcph8FI0u6V=(%Rb?ZN!N@^>dMh%I?G9FUvGLWs|-@w-44V?CsM@lv49lj1Q$?UE7 zk$!5ndSfUd_slKRR-EX7H{0eMj*ENr@A=xs+Hf-Z<`0ua!g$o)#gBq z%AQQ=+$q@HQWez}EW!d&F!L_X%kt!~f5N0&Be2ITT$It5k!-b7wu|!KXC}_?g=*5< zu4*<*8?H4ZJltF?6uv$I;@6zPTQ1zM3lMKM6fXA>Y-mxlslh)|2=_QF7l5THUcqFQ zuYWQYYRFv+pM#gKdedFNeCuQ?Ja7dpFyPlDqwxdNE>AD6|CYdo9IIvAm208ejHpU&nq z`eLiZLE$`LH=uJsFKn;Xo!-zQs{=jy8=*Lg**vfVgYf>8cS-^c%8MH#MiBxeac#%x z@0aSkf=uT4YQ_%Ic%03ly?o_lN@vTL-XxbreMuNOS6>qTQo~^I`x?GI6eNBG@Xmq^b3gdUF))n)zB9Vfl};xgDzdyXd1&Z`LbJt4;^ChD2#u*uqK866 zWSvlQ(nHMx!iK3^REHu%>OALKG%U_r;0c!d*f3>_qEO`hI)^#RzR>bep)XXe@vHm9 zlHs%|v_E2#mNY47N9IIPzEs5^mw?~juZ{syXv3a!-ZmdUwru2!J7$b`gOQj-*#_mm z%6Z%L`EC3h<@u53o5qxXgf@l&;+Xz=GOVCJE|ANTd;RpKR7%X{WQ4?Hok~#J;5=0v z#wR|n>(6g=gnkR@?`x4cM|R+EpUn3>RF?ulXpDI`7xefZ=xJ*{9Et@i$RkURU1LmA zB$7q%4u|UHinqzRYFgm!&qiY7M)Lp5W~t(p*WjvsQ5yJ55X3MJt~sS3URE|@Q`Ifp zEbz&YxH*dP(#=W0gdUPi$f*Avn0Vz1vkQGylc$p?ml;7m7%DmGrvjsA$y4;z&jHlT zMG8+J9v*f~*eGr}@2qsZTZSr9QGaL`ecSs%0Q3(uV6c^7#Q&5`nYdnbii6StxU$_A zCnqi>i_#AFbq0)>tb91Kf(&?69-6-(z2ZbEVYm7HUewJX2X#KzP!XK@*|lZZBb&#R zDGxr0J#Oppm&kx!r`G%+3n7OyWLv7GWpkM<3EccOeJ5q$g&HX&^i2P{oo696!(0P0 zwwzM>nA>K;xDtmNfvIM+oN%sTz)bFVyU%+U?i;`AQ3wiT@Cymz_syPY;7TJ94HP&$ z)jpfoTzS4eJ6)#8#{%DGg_`5-Tz?TN)OA*CTuZ61HB`{ReFu&VbnMoqGDFK$l}H4& zF{jku@51jf0k;8LQ|+nmKr$sF?$RXCw*X3}p3>yoiB_r>r}u_Bo2JCGNzejK@b=9( zxd2>yeLnmibV`oP46H7@p5Kb=jXHpzITtC23arh|W+gbQuT2unsP98I_AE4_1MrHY zN9^ZdFOP7uqaFc@KVQ1dx2Z7q3WtIPWgntWk&W@Po43Nry>0ecxs0=>lXslxqPqGI zZ)?hcN_ozq1EErrLVcme2^_aO{l?UBftCa=@tV;->P?-6>x?CcEnJj?3bA$tEhu>tTNVCf`NY;00rUlE6 z{>74@V!WVo&1+6l@yM2x1lrbr>LaABUc3h`4P6*YmG?fto|2pLu*gZR+a`1|gc}xt z3giXyDG|2ICQF~YSf&?54_VJ1gPlyF8!vmoR>IUHzaGwm%Rd{5 z_srtozZi3!m@6e@0!NO)4u$r1G5w@Qkf+u^bg_&soErWV7wEZNkeOSSci$36X+2CC8dp$X@Z zQky?L=%1~iC*KC?rd32t^yMy%OG0yNb>s22V{4J2MowfPtAWOQW+s4_F{8Q?OouhcQ z#A^F`{!fHz-y_shhw|U4zUqCC_)FMR(@%QZS`L%<0B4N*e}7Im)f}RNQnH#gsT|{Y zs)!=?XnWPya!cz2Z?s=3{tD~q=Pbxh8tfEx>;RL>UiONd1ilg?3l46lNPm(di(FxR zGKBJBg_!`(eM2(O!E1N(02y~v8ErAili75X;a?O4t5LL0h_w982m35zd2)ibl%#!A zmMTy?HdT4dsrl98ct6h#sY&wr&C14KacXGGpDc~F^i0tUpfVF3DISApWwa?rNe0;* zTw6ogA?oQ0Dw_XxSBaw}hpa`z)kQiSjFLdAXPod&%((7r%$AYAm_@{WtNU{7j~wI2 zrRh>nY#xmvrBFH^zuJ)4D93XXGeh0xB;bBN5bmCe?*fOsf>#^A_mRVf5U!F0rw7y+ zZNT?~Al6Z^-GV>?qxjFL0os7wK2G}yNx~AdGrOU4i5YAlQ8B0 z>xLP#tq{#SLK3B+tlTz(7+dsx;QL0bML#E7{D?_H2)S_@z5nYHRV!B5yc+V=8oC_m{Pj@}bf zW8=Nwlw*pUL0`(z@qNYzGDWaGsAdN=BIy1O(L7y+n=q!OCmJQ*xV=)>pXfPEYkS3; z+I6C`446gmwxOnHJ>>;wrfeu(4`^@7J8dPwdicSu3)uF=FS1Uq=Y<45L?efRMW4f4d)l8*ZG^)i3>RSlp&2Zjh$x%HgbvPVqQJ9xoykRWlpp&3sBm*9y>?bqf94U znD9v!l}P9}houC&uW=`qzz*AzHq(J~y7!@cJGwL)#)iEEJ_IQVuDY!YL2AH~ONU6D zS8k_Mz+#2eKil^ zLx@rmFR6b{K@x zyKt_W4KeB!?#f_Z<_GEy+;@&kSyuTorkV&-DylzBXL|o)NN@QOGjObG)%r!Y!r#I8 zhFJr4LfUZwGOjaQB3AgFt}1G$b5DKA5tV*Ypi}nXKS|*@n>t;Oxd}P2&d@H^f3`eR zlJ;aF>O|^m3DQB%SL8Dh^yh2=ZJK4h5~mWQu!$Tj`IF(TZSmK0 z;B}B{ltwDAV9xgGf)DsY#k^W>LN7Ee0VOLs*oM;R?YcvA0AL=iR>vO&Jkn@#G*@@N-pZXcPX4cJcwWO6b~Hl*~e(&PH-!}5CilLFS2 z3cwMoD;GyQRE)XpcU54#eK!X12&%UQFVg~buBAFGr!3o8tbCIacnVX`9^k1|y!lUD z<^Jt4#VIa_ZZQJ7cPOE*v~F)T>tP^6wWXosgV!uG5|fD1;*{^(FR!9)x|>6M+PBhE zgalYyEPBtcPp&_w{!?YXDGg;p49CVs(M%~QbGPkOyS+F*LBF2()M)2Y5?F%OhXQv_ zpw0Z-A4a$4H{KIQ8RCqmE_toXAXn}$8%!lX6lHZWp|j?1i%S8{^XvH~>V4FPT7O9z z@Oa=_yJPk&cp(aA+t`n~JxsU~I6FG0+q5N?y=F&u7wZ7WT^`D#juV-_S|bl+MG=eu z^YiO>Xpx3%d1rbGTQCn{XLl(x?Vb;Lff=8sUl@BjF#O+_d|E0rx|=~EGiEuT^L&5*hwo2w9Os<-KG(Uf$Mv|bbKTc5I}WtY&@VdEdtc`) zwMW0j)!dvmxp4kC81jI07G%byXA#&Bh=y9f0>4nPFVN{VO{+6frRkh$0o-&#;@u9Y z`D8;eG@9~b2ER7MPx+@hx8TY=CbFBTP51#OntkpG@KfIV3tE)^Q;&uLXFod%Y2*F2 z3@dP&Upj8(cGw+UlONQ?uH4>-;Qkdt$J-+|$?8n>&)J-#f|HYk+ zc%xSTX^Vntl@(=V^iozAY6UP(vxxhHWja>8Sum&dU`uL^=6e6~hD)P|_;T+4u z>5iai6AYuZ$y!wIZ2nwQ@*g2iKP$3NnoYTB@kQh)N{W;udxwbB7-*i;a{qNFlAf~{ zQ~P=tEUR^HGV$fys`UtP9`_bjMLfep+IV{FU*|y!R;lo*KEuq~Sh$ngtl2jmo0AeK zDYw{>B1blVcV@HzB9@XZU-tNH@|u=x*?UjH=lO_RwXxW@i(hvNzo_dry|zdTobJ|B z-fAk+vaG-Pjd*bjCIlus0u2#QW~h^qbgqpMqP~^XC`dj5xuDHYQEKoneF|=05)eWds5f~&Fq)6dL9b3wBhV2hqC3$i2qSGpWQ}G-gcrC1i;lkI z1=j^QLOXbnuqYhOP+KNS$8p#P+TeWbeXBR>SH!_MpWSlfvPvxU&bZlI#1EcDNlK8Z z+VdHS^6)Ci6$lsI43N^*VhNxQw^h z7)E!@P7?!hkjt5)n$8}aGdsnQRlv-501w#2{Rl)|B#Cc68h5CS6=r`%3&yb9RqZIz zipC1IsRj&BhebHgbMAbmnpyJ@{vMUkR0Tn@1<9Cs0M>Mibou0aDsjlV?B>`Xsh$T4 zpw=__D&asf=4faR!~~JvN^~4gyKZ`Ay|fi-e5#%tk?Z!kPIy6((7##}rIO%~8djto zt459?e|_MQBn=n)JYe|(57v32?(fBi$-)cG*wx^xE7G>6{~+`Zl<^3 zP-vLxakPE6X~Wa%rg7jZ@ZK#$=j_`0Z4|aa%c-8!fq*VkwdO8R#6-Zx82+%$gA~|t z#GM&x;Af5q!sfqs1<@T)*lqS@Zc!`AC+M{hww&RA2rkHM)?ufOOgDgqI?Pa;dSueB z_(hIsbzKrq51~&@0KZ*)&=w+p9iK!@`6L5ph+>_^J`0lGYBH8ci!M(v9D9RJVow`t{VgUg{AAwf{Cjg5CUyV& zzs))9@g3IDq*v6mIps5qW}Fhfj%K~m2+r>^c%Tdi57~lQq?zxIAi(w>Cq`ul_6_4p zmI30z$xx5X%Rx;tmUp-R99TpY=a9)Q>$0q*+o1PXh~#P|EJ$k$VR4!;Ja-qH zbT9BL!z}771uxS5ZVsEWqe5~;$3O#zE5u*memnd$1;SQdB3yrU_#CEyi_l8g6{qG% zEm=1^QFa)KV-)K2K-L^WiPifSEIYNEOWG6+tq}W<(vP+RrtIl1?5(XJ=%%5`h4q0P;3s3UaL>_}+)BOx*7wN>y$+edDuY{a zk$Te^AM`G`qC&{Ifkzv66D0wCYTJQ|u{~qPdlr$t zB*%?k(b@=wSvukp@W0N+@K@UR+1cOJf|hR8u)T%;1=L>6W|m)S@z87fq0E-|m9O{% z7C7-ID3?Yf0%rU-a}aeQ9Y{)O`E^E=RQ7W#n2E<`(VKeSJy;al+#|mOtF=rGbn%WcZqL*Kt-b5SOZy2V#xNF!Xp2Yn-hmeYY{|+ALY#akEm+7P21|B&#hfoTZ zW-q=a8tgTPRADQ@qJZEPs2ta@O@NOb@j*$6`?f7XfbHC7Rq*=V)$$m|%H5Y{*{S#I zTfV7IohH8yt!83~c{MM;>L#s1@@m|SR}ps#>{msR~UGISJWY?tXS?W^tOyZPPr z$`M~M=HEOu7b?}U>(kLgQp?E7ojj5?joW_G=a#H8lpZQK_b}+L$ z8?#*+RXQ4Nqx}CICsFz57MQhUy*qOC==Y=J@>*PzM+*{z;W#QwKC9*Imd~?R(i^)1 ze{iih`|Ri`cXq~P6#AbIl*{k8K!4UM@$W*m^qDQ#^H$imeLy)+XvN{uJ@+RE;E_CR z+?g$R&sLyfAEJbZEpcY65WE!J*SbmOc`HhXq-PzviL{wbI*kZg>7;Oa7|7pKeY6G_Y zybo%t5#FUtCrhG8hi1;lA^&`y`Tk2)5%q7=%sq9o?vE7a1hQ{qY!P85akVzS%i~r3 z-GEElS7@`JT{u-eq&mAXmV%w@Hr?l0LJtYx*Ca5srmO7y8~X~BQhOYP0*ChW%f-g_ z+{(qO>K;B?Ee0e+rybXF?GEhDK?^QEltFtwr9w==!cMim7o4wS>oNEGo=xcWoo?G5 z2@W4?mg!KqZ8s{8*BA>l@x1PKuGYave^KJ3sl)p^r`v0h`^`Ep#T)iTmp3;fT9Dm! zu;y%>!m9GO24}i)K^-{>^3}$5la1#)iIk4ZNC_H1?(ok zI+StOcyhOOauc(3(5m;!TCKQL{JI(Z`VD}Zc{*8^8~B8Z>0Gz74kC+r`Zn#b{n{i_ z#0e6FD+KxHCQ5-N_lZ||9Sg{fzUs3K7nt%CnPf&l8f`Yvv(#= z%~AsLfyq6k`TUTQU~z(fn5RlU*I#@$?HJpZU6)KUd&=Xnk~SV(dfz@8^&^O*Q~H!4 z=;16r=tP#-`|?4VOzy;Op*(-uQj>}ARp#;)f4W8(e3(OyEag8A-?Xr%Po zu@OXEt}K3M`_7k(-a_0JpJ5GV$?MX}T5%b!RlNO3f7aJL=vK+k$Mzne?OI(UWIsx( z|7|k?*qlL**AMxn_1ZrV3o1f-g(!*f5$rthPUbCkXE)-|A-MI40HST~wGzSvx z4;f&p-MvG!(3}HaYxDJTV=?C>ghe;vF3ywIp1C5@WOie<+Lo?mptFS6G(_?7>odhl zU&2_o6_(^QarnpIit9qlPxbf<=vh{cSH1y5N!w{vba&FEiK zP#Q$ScRM?;<-`}i(2$rn{kMiG!SPG-wC^>${hOES#hKV+bS?uC#`#S1IpZ$a-Y|0K z33qp-E#2Q>Y;rryBnaO1dE3E`=UJMgtehqq8kH-a5wLJ#%Ltf0XF|l6^Oae7WA6fTZQ1CZ7fLaqAn{4wQPVXj%eK5&0JUngD z_tQ?`CPy-boEKq3n5`Q+GhJyLrxteK5mS#OrvKW)Lc=2)P|y{c3uC`LM?)NLQ4 z#uM%zt6J}`?L5QQlIHwycm^2AL%$v3T(Pqiv^kxrWo)2yp!S@PuhOtgccbYqF3eZ+ z#h>y8NL{w&>pAzx8Cn%l4sfi+*nH2nLnepWmm>!+J)kDq*-~SUdIY%EFIk`LH8{1| zOo=0F!6cqGQ~tn{%MZB+?`Q@KpK_|%mZ;Z$xY0WOggt_01x_6`iOh11BLs=3ra~~T z<&M)%NRruKU+&O%vIm+Bhj=@Q#QQcSG?=w#cak=!uY)Ex$){rb`YMP|XtMX#4bE&f zAN|8Eq}QahU>&-d>{r6RArsvM=JU{Ln0FvT8`<0|QMOuZ}$|{_#{@{@GZ=MPz|pjrPD^FfEHu@`?>vpabV@UoY4Jr25XuT79EqmI1*3C|%^^dTreow6xy-R(DzPeI^ zX;pEJ`nB<_DO!JU%AQ(Z_Dp8Q^h21B13^b|^1$z#a$(Yfiw_HQFZZ1Ln(Rj&nU7M} zG_N;UfQLLk@P{QVL!apr3WW6v?OqpMy=gfc(-4=$5=CHTmy(}`34WOlc-)Y^<%>RZ zcusZ{={2Ff`o#jPVrqe>8>`FO?LJ!BXWt`LU9F$|18b3eJK0yYgye#CWz3PpQ~6%vud?XLMNQ`b%DHoJWIr*6@|{Ss7I2Tp$% ztRGA1EfN!TN;rixU1dqzPz1z+G;y_zql-6Mx0j)6JvU{>z)}l#oI(@kDxwt9(6n*jU^>MW+64x)U1y zGzEe#sk`QpH*IV@tqs-mtRszv$Q49L*3l@VfjOl57pj9gO<4NCZw8fv5!)r%6A;m*I!R}TcLL@9Oicq>Qjx&3S3Z=${ybI%$Z_6!t+UNhV3hCxRm?7wm?ncH- z(@n{rdmBgmthiHZfDvM>0VzaJT@8_w!0zq-TDW4$QS_-jRJ$H+DO_;Zev(*qGsf+H zI&imT&lgReYCfFy_s&C~K3wiELuLz~@+dtttR)y>O^}dR;>1H#Q2gS3UvaeA_6lT~ zRqoAr&+8MTA6TWUM?IVQZYrq(!_gPE^U+&yH@R!@qLm;A$eMeS-4;R?;A%yE6fH976iJ;c`b@)sug4SrG@Q_ zbrD~rryJzco-L{RSUlHrdsSWz+K*n}Zi=sqg7KRox=qPQ!}+Jr^Z5$`ztX}S3k+Fy z*SpUd2ee!ly;Q29J+p>purz6EAtgQ*ValTfZjaMmL*93Kw^lu3RCBetuiH#86)(?T z)YpDp=6|+7vHU)5e~9oB>uX3)LuU2i(}iP}eefVd&S#%^?>@S+Q?HlfvbOZ}>TLT= zom~=_XtTz4&qx?4XFs@Mz zPWp#fzb{QID}VjanuM;NZaAfo&1#>OG;XDR%!KCMav8y6tYJe@SvkBom$+9eMr5N58Ij(ebyg`y-N1tvE#3 zUb*6#sXQiObLf;XxtWkvI@1F8G*kTgEH62vl{j5ua}yRt{jRZOA8~l~yjAj`L)Bx; z&l4`ryX$u2MS<@;`FMu}|CDx|K(DWnpV(in$!$|xxWDRK_tn6}qp`O?t@-b7>X<{s z^n=`L{gHXYmlMVlO|`n)N&(RF(%^S#&6%EVs4QEC^^~yKaAXnk%y8CQXv?_ZKi3ED zrpL8&hWpdR1E!UqZFeZ*J56N-==-57cCzA%qi;DY}Xun?)_+daG}SoLYFYnl){;)svOE2 zMnxGCCiqez4DZ}wzZ*mSkP*Tb_n8Iq_n@L=$hX>~h2E##SF)yCbn3N4jk02c;|>r) zoRFd^l2g^PZ)ys5%r|B220r1xrFHkHLJ*FS;D)$G_B+ET?3WHJxrkq2@;4&BC{S_r z1T7*!mAjjpDZIF=(~`C3d5+&7G5-3qlJYe@wZA#Q zL@JS(8iYJ>P<4$(+OrLv6hHF#a_Zz=MgQI}9>dy}6HN*t(yQ0x3zh}~>Rov=(zCOE zk?tF<=TblG7XK+NsGU_&zLs7Pze{P55UsP7PAVcPuliOnZvVPdp!GUu+tAr{0QW7p zQKmj4_IH$jV%tZf!nOkzuFCmO1s>8)D-K-nlc7nST=k_*6^Xl#1U*^rQ*ZxcT$JoC zcBkGV*YNBrLA%>FD96eDn!n(kFuM~s#mBCA?rbVWY+RlgQ}8U>^0qlGi*U|NHJ_+{ zoag6u;Hg|5)JExPxk&%Fw6 zhV_S5S5rtRwjx(6BL0K|T6atF1a+pM8qGY52FqKlm#U7FE=I(QQeye`Cr8yxYHS6@ zdomm4#P2aDE<>=5Mg@oWsUBW)my%EVJ$5f5WGLu~HeCI0_gLPSUJ;k2>1h8_9`3Uf zsNFxp_qETs&0jccb2;8+wtVXPm)IDgs>*If*V#8uq$kG7OX>1Y)sE;I%Id_x~>Hg==y zM%XUnh7a(%J#lD^ZA{3lqlN0$-&;UhD6cbV}kot2Hy2@9d6%qx|D#bJ+6Qi zXoJ10!Lc9mty)Jb7=Mws7rFb5>ua{|NuGG=`ZzskkLbB|^sfEtzo8clz$W$TH2(QV zmBvT)PpJ>&Wey#D+9Djv#{f>f4O`!*5uew%QjL9?ZYG~@a!BCbspfa|p@p`4htl@n z9pK!Hc$+?HN=@HR-YDYT-~Lu%?9)X{nbAbGgH`hpN93T^$E2Loob9d~1=Oi87Jk8d zpE#_2I@qVATem>;!F~~!U(3dD^CRAFeQ}xkpH}B)=bURPGnY*JdeuI4nyi@-(=e{9`ofAM5pXs4Tp_02dPZf`!oLHSm)Oj51f5~;! z?&O$2K&G1WsI<}gpGp+DC-uLvj z$Fq)^%K!fK2DAKu{m4~!3vyX%`AuEbqJsZ5IdW zH)Tq%my61aI!7;bb**GJbMM`W*for>ZLHQkS9P!JEKKX72xb25tQ4Hb^^B4@bgefx z+l(jKaSA^JUSzrv7VoEPHEsH<;e!7)!Jg4aDtY;}p5+nOiwD{#_g}p_Z1r8K?AD-e zi6p{P+(r5J(rX>QZExMH)o<>7sLB0ufFED7qjRL&NX{D3Vw9zDIXP_ejqB-Axeqp~ zUyQ3xnU>wCED@qOed{FLPTTs9A8tE9(R*2qwh_8pvexT$@-i<*EzL}FH1Xoj=h36E zf|h+}C2JC`e&mL~4L4LernGy75o~1LEFxy^C|A0YcwIS5C)?`Y-^1ZnJG^BrpQ~vL z(O&n8W<*$pVc{ijCi=sd`Fg);&~3A3)u9sXR_N1nJ8GzOf9&#^_fqeTf%&*K*kaY8G+uFcDVyDns2KIGmNC&1>b>4k7qy>)PG;X_ zIz&|>e_)cNcMBEjmqTqV-q5y-*y%+KD~}U=Ja>OhReD$9-!1T(hH3^F4<>fNGp&vZ zAH>}5V&rbSl;{*ve6I!0uKg6vv%EPo?XJ={V6%2F!XtU2+HnYyw4N!*$+IS*?55B66%D zasp!C(jH$HF*+#N)0FGo<#HiAuq@!y(&u9yqTWN4d53Shi9gh4!&{rFkbN%S-W_R8 z>>53O$*e>&Ao<9 z^2DV{H&)no-mWy*((|B{cq=~f**&9oznQ0t2c%&nDg8VoNnd;PSNd{ss!^)< zDVMuPaL+D^R1_UOA;}jsJTAQ4Uo=zo<9fM_TTHlOZLdP2>}X@$S>7Pa^KbuEw5D4f zFFGNjB|0_}aBav_Xzjeq;b6pi?u&)6MxlDk*@XRFHN*eB$G^l`#-33cSbco4v;4fJ z#rscm!~Ji^=RO>E@oX~Szbk*TMdgf;`Sz99LTjQ^@roZsyHDOyoGx`7B#VP%}XO~=H^;;tsU=h?wzT_|rJyd+Ek*d`XLF1{R156H_NF+A_aQ8;i z_`|^_Yui?XJ48Dr``ZUJD|=f$AFAA+9~y@^v3U3#;i1lR{?GAtzrO;K{P#CsLB@Tb zguO;sq>H3qlq^4EH=ix#@HaJVb)tU1lDi-B+6sSCWLz*d!|6e=3e0e6^kZCq?Qy|M zj(_~S^6*2FF%MO{LarxPolu(RJu$JE8kKUy>y-jB**xm<8M#YWZ{!W+e(bW}XU`kr z)!rLbXYl+9Yb~*OP(Sd&3iR3I^U^@T{CNGl?7!A8y6gAq$q((x^#wOD%F+C5jRC>t zY4XTinIKz2!}NXfYm0yN$xdtL$4{K%+tq3tI{8B}?%1QKr!2AIN0s8H#;ZfY*+)-o z^;Le)D;c@de%JQdJ%!3Hi}x$_?GG=6PfUD_J9Fyo2I0NxN7~<`WxiRJ*Du9rt2qsp z@~3Hej%-EkOqV6fL0&w5rl`2cF2rn9_BcU#|E+wCxWYd^*jK3|74V^}>mKg<>v)AU z{PyeCFKv=z|NKM~%ib#gHF>5tv3fnGP{4P={-%=7;oHd=n z|2Ga>bZdSTl#l0+hZm_Q%-p@7e;#|r8)2dR@%3ywxWCL}O{p<)LrlZBp^e)1QSvr{ zH@K=dN>GpRYVmxnZd4rM{^~|g$eOPp*E2bfJ29bnm#|%T&e(GIemCV}7<6}j@3ejk zgdV&f@p|g-x~tYWGc8Lh>yQA6th2lEW&`02?C+{-I4J(dmi(5cGao&r1bcEV$lHzh zq&}<$m1`ok>s~9|8p|H1AbYeM+g0QU^lM<5IG3*-2t-q>Ghyg@r(^@P*Csi|Z#pDK@u%-^tuq}~4d zx;l4q_m(^Bk6hC`Y?Aubv(2Z!*iSj1BdDfh??HFj|85(4-M@9qPb(Ws+j`D*PBrxG zcU!ANiu9j?GLF})J=hL3(8B+kZN4(Vd~ zDBAReO)Te^L)1qiEXjWzF#8+sgWkv z+f={g#9bq3P;Pxp*qGhFRw;^j=z}TAi7?SmFs=I*AN_OYLhKouV%)Aa zRAetk9+MJA5+Vo?4(XdTN~-e!P(grh&crI+=v;8oPEGcYM>t^>kssSDx${DD`ttDJ6SoRou(Fk?NVzQa z!+l=+j@N7$aj(2*6E9#R8xwGP9qemD7||;3vv7&vAB&lacQJ2cxp*43xWO4jlKQcAnjg@~*|NA?1}d8rLiI8L+~xbj~+C5#{$s6S@5W(y7a!OQ9P z$}id(iysQMWOnP!xgO`<{2wIv)UEnaIo3csrf&r+$_W8GR+er71B1wq)9rKh=&(Tr zQv=*3gbf2L#)Vkz%0-4@KETD6A(>}ysRgJ|Bv?aTe>%{S@3eB~$go%D+1y)$*hqP- z@>}fLXaL^vHnP(TCW1A71WbNphkYXIxe<2 znl`sVmP^WwA?hXiXt4vrp@Ojlj6A$MueG#_%>R2fx7x>goqBYSaGn!Xv>u|llRbSY z?5PK_p^D7QC_Rb*pC?%djuB-!#fCu?y;&uUtSCp=TcCZGsCN_i=M|2flfVxmBYUCp z&cuLa?4>>WReP3c8-^fBME?G7;I&U{p34&NrrSSz!P5fDrXtIk5Cx)67l z$+ve%&h@)t=UCnb0CWhvS9oC?%&`KYxTy6DJck%Rj_>QUz&xaAo@Z)a0@M~jeKBxb z#vjKvoxqBNQ`M~Uxw+nSh80{iW|RL2)^aTD!7@Z~Wta0mmVhigL>MbB&)VtKZm+~i zk9W5>w&}Fzpu)NTa-o8U8nvLo`&r(`0Q4_7FjV5L)8=~&-;Vf~SFC?N2|O;a40kQ7 z-SY4-)yKr`aXcvC#6hG!f|LgZ@4y;9l}H=X+`%e?PkGN~7u^}~{<&7uOGV^vP|^1L za^x9bfu?Y+S?3Bg!Yu@FmJ80p(UMw*-bWf?d*Q-Aps!}mI4!lQ$bm9Wk3n=(ULNKS zfh9!(0PSkR*s5PxSdBJ3;G~&C^Y5_zf+=j4Fut)Fs1*Y0x|eoI+C`u_wdZ*B(1>#5 zzw|^9nzM-MfbQksZ!yr2WH!$LfPN~zRr#$O#?KJH4PT28*RtZ4u0KHjfPZ1}b^9ZJ z>5XEmQIIcSe@4Q42GyK~UiZLmoc+Zfi&fh)r@@9dfb)AH0<4BsuDF1~=8tmzo#~&d zcI2)hyDU2*{jpQV{m{BLGl&(Zl-6yuhc@KezC9;iLhJTh#&tl&Z<~qBbFJ9pr6Je? z;QR_vPekJHELsBxl(}Rr2H#UB9`s^A z>IACqHJt_(vx4Z<#vaS0xPzJ&gAv>@xD8y|5tnC*Lpma0lTAH%&~iwwvmEG7r*Y z>wsVi2NUkCL}gXLSKnNfI0Bi}48Pg|bwDAY6++u3Zk86lux4&xP}L6t2!Itl!(uE!UscZ{Nb`6`&Jr3(4zG4?@Y?te5 z3#OV&G_>?g4kuubGO8jQcTw>RU25Eat$6kRD!~hpk@6TPH?ZeH=-NuRo(PO6Y%^6w zythtrK1Y67xC0GmGp}xEMTCl%FrQ!M)@73BUr<6bBVWL)QQfpQ9?9SB;DfVbJD9Tj zrQiwSW`fp0s;*cACw%(uOQEq?{P3Hi0SVO;x7I3s^w-y%C&wS!Oj53iiucYE!7j6) zEki#dVE4H{L?Ca97HpUeH+NC@f%0V{sLtEy%~vyyVhI&urR=Mx_EbBFQtaP)DH8ID zFuOcJ4m?H#t8}VCn^JWO3hJ7{1byE+K@fa51tRg@a|3ux2)Fh;i0Z<&86BelKXblb zp@TX4zuVAzgCq_3=-%?Fx%oru{x^0h=1dgFQ)81E<+TkvmpFA%tMrhzj?%O61R%Ahyzz&RQRO4z{)T-HUS3cXMeDPXeeNqvmH z77~=k_HtW7p8pD{UIT(okysB4$0SH6pmdeP0WRl2Ir;0qf9{al_>ndbdjt(G8W2Rz z?RiLSlf1T8oP9|?7vYzJd+ffy){J4-zyHB)j%F%7AU@=}I!FiX#>D)P!O|I}y3=ul z5$1ymyum6PN?5~kqDluQiM7OBuwfG0?bjp6jb#nbmbc53e!tseJ1xaz`y-MAzLu5pL$Wegw4J_VsmS~?Qf%)94C@lmcn;ojSoAogaVzq{~SXg8=LdT+6t{@UY*SW5l z0#;m0O2HT?E?YU#Wida%^CTUo6*oV%I>PKu=vUr7_D_N$C@6y14aNU&iFCUkC;qe# z6_)zOeh;_9QUpx{OG-=Kqp}BR%M*I~2t_}iC-542&YL2}17@caxTJqq{RjjkE5xkm zzFRY79nc~CK|@Z{&o1}rkaJ^jo+vXdI|m7Q{jiW7>V?o?MhFV1fdI0#s%Txr=n%;5 zun%?UKJ5IH+}Yf1W!6E0X9b`tGXSxoz8S#? zw|aabf{ceLi&24tE|R7|;Vj@wIPeY=n}ee1^}&Qkc&HQIXs1@Mb~=8Lb#9 zT=vm!klbbcKv33zDs0j{N|;oSvGNuMr}YRNI^*oySGd$bImIyYTX?*k6WNP+I&(|h zaRjvuV(aWg2i|;4HY{2gw8O>$1Tp|jBC7=ksvkX3z97jY%%_`y06j~F=Z`N{IeE3LUfad()1Vx6{p0Tki zTypL(d4=)Eq)f2FC2R)#L%Sa~{Zq;geVOy{sgri1atHKJNR(Fp>#(d1np_>mNr$VR zz{rY0fhgI1X2<6A9xey;qJk{UnDZd+?X+G1!dYM*dY`Kq%O>T{VNdMvoyK0A4=G~! z90fdb8;HMxix`nwL&AFm(EG+?Whstt*^hlUL2e*F-{8jXKJ_1oT{oMoaAP~3Ch8Rc z*vAdIgY<}LJ!zl@oLh(&x&W$Rvn^OSb$9_8ydVf-6jTMyzbRP$Bx@5KQBh!~1+NrL z%;3PUduK>jn5l-NSG4ecQgjPQ+!|rk4+1Ts1JfVFzPO-%j(6+1p+uK`E;4g3f;za3 z^CZH?&!6w&U`(bYFlYAkY!S^LK?=nzm-OA33XI?E1~)Hp19<0w_Y{Z~mRxq%yYU0o zd22TJC&mSK5J;vOi;OA%hY_PpbTBlqg86_#y+kD>F%TYX(Ahyr&h+PlgpkWxR+O5r zl(UZk{c$4b4{;Z{L=yYLU)F{-9Py6%Tw}-J%dSNaESvr4Bb&+(U(WrEQ1`>%Mf44# zB8|AIv%vM`NDu}-Bc%514+8u%M7>UISnrO)dV`7|2qCCrQzm6(w61`lHFxb7jVW4& zM!AM<$4iwd=cr16C9xy%0rQ^9Dl{NvgeGn$h3uo z-jvXZY1jiimZTr|q}7Ju4HIFLAFy$H0dSjP6vB!W={jUQNtU(dR?>sR2KH)%)e>lFzYg&aF|Ef6s&Tv-C5deG%9&6{nh0u*ZK6EMSKgpn@Vm%Pe#s zV2^o9&Pm5EJMn+fWJ4Fr|A#<1C@b$b7K!(EWhhElHLcl%y*Yy_GVyMR^6NH8jtNRe3Q^u3R6 zSBN$MP5RA2Wz5Mv`~U1Ea$?~yIWTRAd~@EVd({fA#>0y1);?c}{J*oH=~dhqf@<}Z z#&q_}1Abr>b<2Mc;X3AC^9Z!N^}-5rF>Q*-aGZFt{(ztLriiV48(x;cE(K#xX#`}z z=gW1tV;9}L2YY5uH4a=J1hLvCgw3mGLdCl@MnR!kjioxOL4TlYkw#pNIBeXSLLJB( zrGcq34}*4uJL5mY{NK-(M|d0w3}J6_?c<19lno6eNE0$BEbV2oDj3ZU0~$N^5<67z zc6wmKTpL~3fne|<-MS4u&9uJ=QVQ;72T_25h8$i6wG=VuqeQ7upv;4$osamq^>1_92N0|-QGh) z&w!7cmO2F_5b94*ksP?Px29Ib=bxQr$QHW5U9x09ZO_aRkZWh#igUbmu;RQFpaAbSAo9sqO~umSe9gL{3T^i}}b9pXU_P8Seg(f~@R zK5jrz2ib29ElT+hLHTwN;oQT^5G%5oISD`CBZ&45n?GLR^9IZfhzBv@6|lfLjoQc; zTnke7gcEe&A)=QX13X<*Qr{#Pn zq8|Lj64wIP%|E|K?s33|#RP;$t}=hr-`8<_1oeEvnQ^XI#5!mJjZJU3PYS;3l=ALP zb#l%ZU~x0hO?n!W&euVNYVu>_2_vjStGvNi%Dpg|j2~&Q#Y?EA4bB6xrI)8i_Iyq- z3ogzpKLMkpJ?;UpH$I1JnT7IvI;nm6SGL}+IR*NT5|SkGF-Zbs`2>Fznk@kTw9h(p zagTF^$>{eAotD`~rxPnaVuUIFQ26@nghN9VL45AtT?dXKBVcmu3kB!Oz7|K$odf>o z%b_7SEGa?`L5(AE+{W;Hs;SG0v2(+ZjRr0!W)6;cV2C6WL*U9QyEar-VHpa2>F^}j zi}q(za8ublp5f=aw>yZ8nx$%!;R9M#aqVLA-6;zW(5PvPp_+I z#RJd}KuFOTDRu}=Z~C@OH+m6_WBv7YP2L?KS^ELtm~6uOZyKl&%zMitTZi z>A^c|&h<anLxI~`24I>8^*%mZf8q8d zf@RNTLB9O zIkhJOm5U)$4Ho_hGMC(RL?j^5Xs<-F`vNGeNC*OuViw=zfC*LDFDULwBJBvc&GtV! zzi9}6gJRF*#vrA~8zkAtdsxUgf+#68&#_E<)N7k0yXa!6idX8Dg0uby&dL5#b$CQA$+@MF?U3i&O<>x=j20Rq&91pYLJ|GBD!$ylcV4r~W2JF&uP4gNqhm{KU~7pVHqxAT(|? znd2`2u>bQr6&>_PgV19wAl&zqNd#AFV-A4Xm<5TuaB?g+G7kX)uspVi@iUHslf`Vx zV6^%JLW^lQ*9CIgIO)jxeD1K1(z4BCR60oK3Wy&W-2-K0Y;QOi|IA4=TtzsxJ*z$&YfM81KYi>h28y3$dJJ)ZI>R@=bz>l(NS#3{+hZ1fB!vDejk}I}koa_qb zU3~j)9bp<>Bw|7OFHPL+Slo}nO+*EJiJa(7Ek|H>hnD^*=K=X(9@z+ExX>b*hALEn zf+)K^+75TDBDRc!r=cLSlLwhkFQWcC$%`F-8-x2wR7gUBW5!?Nf)Rvp754wT-mXJu z!_->f(AvaGw|;M`2-m<_)D#PeygTA9C_Pc-m9Uhgb} zt>()L{`Y2tq~}9^OE;$=ZoTrV!nuNS)JN>&h6r|WXBnx?^sb6@PSgA2OzV4sn^S83R z>W=+7^5}E7uV~@#yklnzKdI3=hB`->iUmU7#0##U2&}Dp5&Dg$0ZL*zJ9>_peJ@vDrJWLa)Eb4w9AlH zdUQ@Bc6C22=BFvG>_`47VU}a}@jLnUNxFr|eU5%f$4D4>lGSNml`7hN=f?-DmBj|d z_d7-l>f_Y6-2#ukqreZ{9_$l+KA$22wz60dc6Ptw{&Qes5Bk9N70(nU#x#4v>l4w+ z{6nm0gdI-_pStn9^yn{3?F~aVY=#s}@CutLF;;EU!v8Rt_GK zmfP>L5bK#-avZN0Gx%*;SL|&;WzARTBz_&9nCFiUUi+)TLPiPU3+=@yr{l7r^1~)& zI*&F*aOOQ%JclZx2$!0k_uNcTV2!E6F1m>%{LnR-n=7h(&6g%A_6=IT72xq|O?z%r9)GddoZ^E%TTrrfx_{ z-|$^uUc++#M@C*kfyvTA4)`=>xtGHaT_o#l)f8?VD0nl4h%ONNb?5dMBaxp+X01NR z6o)Nwiw;&>y~>S^X2QVc)H#<)3RpX1{lMhVzGFN;zM2_-!X+#!9Cj$wx@mj%5YkYF z+vDzfSxfq|mfExHpU86Nr>^AbK0E&ynX~yL$4w1-OyKG_KBNqf-m%4bp;x=g-0@Ap z;NlaXQUzx|{crEEBT?v;==C?|=3?SE1rr921P5t7#yGwlQMiCrxgfYAz;`6UD0l6A z?fThQKd(N18QeP4vX2?EZ);YUFwL7@E6B5Vp@`3IS>&qktE;*hIk?e%Q;)TKTb*og zNnUQ&cqTN)eCh+RE+;cL%=;_=lcSEu*g?(5m7T=yG;7^Bn{(}t#Ic0DP2GQxqxAv= zWPXLKCH6rvpQKXN)pd^xVey5Ik>6h9EX01Ax>$^R|8GP5n%T>Oe|21Z$2ZUX zgWPGVN&0^Q=?510+L_B76Y}(4*seeA9;V${N$p;sE_Ck1`(eAQY4-^2&P-}&{v3v2 zVoBJpAMGa4u3b_)i@_tqaX$##T}8Wzv^yiI-3;o&RFGI2w!4yckJ9e+q;@Y+*DUPs z!?4{Iw6hO>woPhRk>hn7-$$D+Vf%%YHKfcOYa3u6j^E|@6OPAW)641STlRMh+n-E* zGs>UAKVGEWhaA@dEnCzBmweqo4!*&l)cTQ5j zpHo+fy6NDNV0SXtNc@0ig|_X`!%yg;8*T0h+q?{2Q|K@J6{95i1*QyTmpyoz== zh3y_>g!b_A1Nes|o^0Sp`8%Dm-Jn|o-Ok`w;APMcf&N*>J)UuG>Ir8WBipiVpsqZ1 z(Qz{FPCuW}PgM2_Z4Xasy94!`lIo*&>G%b7_K~Kjd_Bh%!sA2Pf0h0E;9{@=xCpET zE)Uxn-GLmx5gxDN_z}jw6xj|0-$>%&BkCqn_Lop^HK1Dw-OrS7i;fPU?#-n36ek|y z@9a>gkFnpD{YjvG7_2<)H_-lJj?W6)uv=)W$v7(*=igu{@F#G)kl#A&e;DpZgfCIn zjItisR*7SmC?diU)tKG|IL)O1dpQZ63Sj?h#+ z81VTt9+LZ3`*rDiX`0>?jny}RZV_~Qg72r%??c(Ul-c~eAgo&f%@Z779HWP#jB9O< zMdoQ4WZs`K-U`RGwZObI-n=nZ(O>lp{dw&Vrr&?j?}^Yo4c$rLKSTPJ5SW~Oex;nV zp$pem3Hz|vpF^LV!+yBhO?=5XyCm^>Cv_Kv?OtQw7Th0$k1>94#<#_Jxb{!H&b}?4 zZE0w0mtNF=MSnXd^=AvWLuq5nT$7Jhn5KzuC@Ygz_AO;QhGpNe z|HriY?Yyx*kYx^T*+ozQoeWq=1`kf#4JCa7- zLtWY_=Qd$K_L25hVfjDUKPB9s!hXwe|0(uQ4)_1b{z>8f)9jxZ?mxqRxB^d%X1{Y- zK8F4C!hLqP-0|(q_)X!fQ&PFrZ4bXchWy%b+*Xmc>u7rgdFXH0@evxInbu!AeHCav zg{BWQw?VTb$MzAx{QGeHl$-=x2xY zhp|6rEBo3D+V|3E>r=Kkt!!)f{1g4W{U7M(4hHXmUETog@BC1Yx3k}q@;AfsZejTd zj(bu5R#@IOEFaEsZ_3{e%e#c-w{hHu@_Au-=dk=%jxVPCov{48uzVQDmr!mWHZ^`a zh2=xp?@Rgou>9PxyfwCelKq*KA3^;q;NjqBU}bO(xEr_@+!g#BtOTwDD}w963g8CN zu1S0WmILjicNg$0aA$BMSQh*m+zI?6<9^4ue*k{~%Yfg26~Oi2j^MZ8Y2f2v8*ma> zlek$y+|&Y>gSEkB;QtE%00960cma%*O=uHA6vtoEreCJD-&UKNT2a)K_F^qX-0n`3 zHJhEdnY6~sh+YJ5LQ(LbAc94q7tciy4x|lIh{4;}zsF#N-!MAuDkXx(7CUHysfTawT!O`5 z(IOB!DKnaF|G(Bwdce%(EBX7pP#+6UDDu^Cgts~czfkHUQI*Zt>Jf>&X_y2`Lvox@O%$PoE46CEM*zrn1&4h_v4Fa z)qJs&8t~2hn2DahUswg-!BQ?B6m*XBy_>j?$+Z`Vg%ttvi3biRq(GduqX(V+2gk75r) MW&WN20RRC1|I;=*V*mgE literal 0 HcmV?d00001 diff --git a/CPLD/AGM-src/constraints.sdc b/CPLD/MAX/MAXII/db/RAM2GS.syn_hier_info old mode 100755 new mode 100644 similarity index 100% rename from CPLD/AGM-src/constraints.sdc rename to CPLD/MAX/MAXII/db/RAM2GS.syn_hier_info diff --git a/CPLD/AGM-src/db/RAM4GS.pti_db_list.ddb b/CPLD/MAX/MAXII/db/RAM2GS.tis_db_list.ddb old mode 100755 new mode 100644 similarity index 67% rename from CPLD/AGM-src/db/RAM4GS.pti_db_list.ddb rename to CPLD/MAX/MAXII/db/RAM2GS.tis_db_list.ddb index 61ca8da4304b6742ed6ab43c2fd0dc55e7764c60..91bbe104745429a84af8ec3fe7aa1f8ec8927ccf GIT binary patch delta 62 zcmV-E0Kxyi0lxu|h&Pa6U|{%ac+LPwF~|dPh-YxTi&MO>qo1R@YfyZEYf!LfaEPm4 UNW7c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JTO000000021x00000 z001li00000003tK00000004LayjDF+13?hosE7d-|AFcK0YbpaNGoN@(A(@ejQ*9V3K#B|LrT8^(Vl`-Z}O!{#gGB`bVwG-v;9K%R2j2;Im;B ze_hDK+IX!0j(A_nzXkiSr2HNsPt@NQ^kaP_UJhq}Ea&VQzefB8jX!{F_5ZE@GyJtf z*3z#R$fedN7mw@HG~b-QolmKMAN;)X4!D69N({1*|=`uhp{V3q2__G{3OyHbBXA32=k@jSjF{|gmMK0MEy z&obpZq-2?9l>J3<5yx=<6Hr7~=06@9Xap@8Sd!W?%wh00000|NmU~ BB>4aU literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/db/logic_util_heursitic.dat b/CPLD/MAX/MAXII/db/logic_util_heursitic.dat new file mode 100644 index 0000000000000000000000000000000000000000..ff5fe7f07c6c4ae58758247adb1a137bd6e666b9 GIT binary patch literal 10208 zcmb8lb#o5@0D$2iCZ=P$b7s1`yE}&IK6$!19d~--jyX1Fa@gta?(S|r0=~hX_wN1g z2?PRxWdBY||6NjTa^VAFsN2AdXaIK)J zAz-tE%>gzi*j!+9gUtgrFW7uw^Mfq_wjkI-U<-pS0=6jFVqlAdEde$ZY)PRl!yRTODi-ur~OFnz>Wkv3hZdGW5A9D zI}Yr4uoJ*e1Um`rWUy1fP6ay+>~yd*z|I6a3+!yLbHL68I}hx9unWL01iJ|AVz5iV zE(N;`>~gRxz^(+l3hZjIYrw7ryAEs=*!5sHfZYgo6WGmQw}6cXyA|v>jXt!R`aQAM63J31AO`Jp}eJ*hH{Lz#avA4D4~RC%~QrdkXAn zuxG%Y1$z$cd9WA2UIcpy>}9Z5z+MG=4eWKWH^ANmdkgGsuy?@T1$z(deXtL}J_P#+ z>|?M`z&-{04D55TFTlP8`wHx9uy4S=1^W){d$1qCegyjo>}RlFzuG0001Zob6rFZrer>eD7BfdT+!fMM?ym0J7z@Zkslh(56687_!Br zf-TCW=rjR}etSvUwG>n_|7a&KP)d}=k@&Z$`NPwjq@hHa84J?EdONh#TSlqbQOO( zzgaJnY;(SeGbj6Wldmt0-^FR1T*f`;w`H8>S8ks7`sD|9KjJJG`FeW~A9}ysWN~`` zw)~C7&Av#cC$pn|`OmwL_a8pL*PaLLoh8d`8qXJ>7^6NgI$i%UejEPEm>d9;zx*&A zy*mAhF&WfZrBAIg1SVh3-UgJxAvP$SBS*mE@jSVDE0oCyIdVKpea4`;H7t6RMTDF3 zwz<6#gq`VFT*@HA&70|jgpDBF6anOMCJ}DVW^Y~2B7)6NFJHY**YDH$iZYA9lkbmE z1`&3W>6=r^AVN-_&Bt6iN60Bch}&-uL1!{O`R-fFA_UIym`p()g3g#;WDVqyjzqxu z?sRhcJ!KIA=VZ0Wv2&WvH!)=wA!j_D{kD9UQ8p2HPGoj_v07$XyrAqN4CVX8bVcQ zw>PJpI}wc7Lg^z66*tW#P9MRiY>c?Q86W`V9Rjz?L9OP8=ZX+`%2jfntkK5NBtZnb zxO{uK9wDm1rdDR)o&T2nefiqwFWrAZmd=yS9q+%x>HpbNSb4WjSMlPhnWwjhkgVeJ zBgpRZWWKlv%?dhS+*%`cE{ls><8VgO#%_&{k|g!TMW|NL`r^VN2T$xnRMX79TWdnd z?h))NS#IC0b21}$9qJ?$>^@XV@bo?uN+|h#sF$D#ekhmV>2ra43A!{26im=;w?MH3 zO?L~FOVE6`K*5BP@D`|>P%z#Cl@m(JTcCh~=DY<8C^V$EKoNz4^%kh3U}GYP(h(!zXhr&X!={Afp) zb%C-9mS7hssNflPfqDuh#V$}(QOs+2MRuW zy+Dx#&EE@DS?~nDK$V4p!565p;3<588Vj1k7bvmNkoW>+7Ah8BpwxoyqM*=1NxBP^ zTkx#AK*5D3n?S)u@%R{@q@m(MOTG&fUeN5jKgX;=4qmYGf+yhxiZ5suUZDD-l-%$*h4Kppy)RIJLG$}kOMk(cg!&7f;TNdC zP*D5=B^U~hU!VqqCiw-5FjR9`D8!&?et|-aJ2@baCaA{X`F??F44&{8sK?+Lf5CDL z1?4YTl2JYw$Zr*tX7FMHf!YkDCfH*V_I$AZ>I)vE1oJ|aa3?a@qbT_NZ4Y05 zb+h;93jVo%|Bh^)#m+KW#2=sc+?|W%dT>izTI9h| zmtt_+ZQJC*E>)845zrzJZV#DV@Su%(FgR@6oAqE|qX)O7Q3pIYIIOiYhRtjPYtRFuy#D4^R&ZL z#zQKy!%ymiM1wto9iCDjBpS@;cJv4%^F2bP^0GUgQn$y=c`DSlzAbyod`t~3nYn0( zXbt8m?Pj%{(am@0o*j9rQt8stY}gJ@RSGg%s(p4$(JOVhE#)aYJSFDylxxStQ%xW> zn5XRM(bdgNgPo@xF;)5GSxYfxM~@zvpQ1;0%!WhrF%{S`by06(T5)iomxK1nipDBKAO=TnE2)sif6~Mqw)gK7-T=ibVn1?-Ne)ZB4{J)#}m zbmi}uv^1NvK}e;d4z91 zqpNj3EuT8fOF`8SA-3!(^XOCmoKeeNf_X)RI#sr;SU1n}s~^B`8GXhb<=RpD)WZ7a zJf$AEw;WRD!B_dGTDs#Y^OeuFV=}20O*Q8!v7<*&FSEgzvIKk`I3G7x`X0Y>|L16n Q4`t_zix*$~4M3Qi(EYw10ssI2 literal 0 HcmV?d00001 diff --git a/CPLD/AGM-src/output_files/RAM4GS.asm.rpt b/CPLD/MAX/MAXII/output_files/RAM2GS.asm.rpt old mode 100755 new mode 100644 similarity index 73% rename from CPLD/AGM-src/output_files/RAM4GS.asm.rpt rename to CPLD/MAX/MAXII/output_files/RAM2GS.asm.rpt index 1915f58..878a98d --- a/CPLD/AGM-src/output_files/RAM4GS.asm.rpt +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.asm.rpt @@ -1,6 +1,6 @@ -Assembler report for RAM4GS -Thu Jul 23 02:20:55 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Assembler report for RAM2GS +Mon Aug 16 18:40:17 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -10,7 +10,7 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: /Repos/RAM4GS/cpld/output_files/RAM4GS.pof + 5. Assembler Device Options: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.pof 6. Assembler Messages @@ -37,9 +37,9 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Jul 23 02:20:55 2020 ; -; Revision Name ; RAM4GS ; -; Top-level Entity Name ; RAM4GS ; +; Assembler Status ; Successful - Mon Aug 16 18:40:17 2021 ; +; Revision Name ; RAM2GS ; +; Top-level Entity Name ; RAM2GS ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; +-----------------------+---------------------------------------+ @@ -75,40 +75,40 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+-----------+---------------+ -+--------------------------------------------+ -; Assembler Generated Files ; -+--------------------------------------------+ -; File Name ; -+--------------------------------------------+ -; /Repos/RAM4GS/cpld/output_files/RAM4GS.pof ; -+--------------------------------------------+ ++-----------------------------------------------------------------------------+ +; Assembler Generated Files ; ++-----------------------------------------------------------------------------+ +; File Name ; ++-----------------------------------------------------------------------------+ +; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.pof ; ++-----------------------------------------------------------------------------+ -+----------------------------------------------------------------------+ -; Assembler Device Options: /Repos/RAM4GS/cpld/output_files/RAM4GS.pof ; -+----------------+-----------------------------------------------------+ -; Option ; Setting ; -+----------------+-----------------------------------------------------+ -; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x00173F26 ; -; Checksum ; 0x0017428E ; -+----------------+-----------------------------------------------------+ ++-------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.pof ; ++----------------+--------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+--------------------------------------------------------------------------------------+ +; Device ; EPM240T100C5 ; +; JTAG usercode ; 0x001737AB ; +; Checksum ; 0x00173A1B ; ++----------------+--------------------------------------------------------------------------------------+ +--------------------+ ; Assembler Messages ; +--------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit Assembler +Info: Running Quartus II 64-Bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Jul 23 02:20:53 2020 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS + Info: Processing started: Mon Aug 16 18:40:17 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files -Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 296 megabytes - Info: Processing ended: Thu Jul 23 02:20:55 2020 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 +Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 381 megabytes + Info: Processing ended: Mon Aug 16 18:40:17 2021 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAX/MAXII/output_files/RAM2GS.done b/CPLD/MAX/MAXII/output_files/RAM2GS.done new file mode 100644 index 0000000..ad0c117 --- /dev/null +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.done @@ -0,0 +1 @@ +Mon Aug 16 18:40:20 2021 diff --git a/CPLD/MAXII/output_files/RAM4GS.fit.rpt b/CPLD/MAX/MAXII/output_files/RAM2GS.fit.rpt old mode 100755 new mode 100644 similarity index 86% rename from CPLD/MAXII/output_files/RAM4GS.fit.rpt rename to CPLD/MAX/MAXII/output_files/RAM2GS.fit.rpt index 15a6629..29e0daf --- a/CPLD/MAXII/output_files/RAM4GS.fit.rpt +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.fit.rpt @@ -1,6 +1,6 @@ -Fitter report for RAM4GS -Thu Jul 23 02:20:50 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Fitter report for RAM2GS +Mon Aug 16 18:40:16 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -59,15 +59,15 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Thu Jul 23 02:20:50 2020 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM4GS ; -; Top-level Entity Name ; RAM4GS ; +; Fitter Status ; Successful - Mon Aug 16 18:40:16 2021 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; RAM2GS ; +; Top-level Entity Name ; RAM2GS ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 170 / 240 ( 71 % ) ; -; Total pins ; 62 / 80 ( 78 % ) ; +; Total logic elements ; 168 / 240 ( 70 % ) ; +; Total pins ; 63 / 80 ( 79 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +---------------------------+-------------------------------------------------+ @@ -122,27 +122,21 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 2 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.33 ; -; Maximum used ; 2 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; 33.3% ; -+----------------------------+-------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 12 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. +The pin-out file can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.pin. +------------------------------------------------------------------+ @@ -150,43 +144,43 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 170 / 240 ( 71 % ) ; -; -- Combinational with no register ; 74 ; -; -- Register only ; 21 ; -; -- Combinational with a register ; 75 ; +; Total logic elements ; 168 / 240 ( 70 % ) ; +; -- Combinational with no register ; 71 ; +; -- Register only ; 20 ; +; -- Combinational with a register ; 77 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 57 ; -; -- 3 input functions ; 41 ; -; -- 2 input functions ; 42 ; +; -- 4 input functions ; 58 ; +; -- 3 input functions ; 40 ; +; -- 2 input functions ; 41 ; ; -- 1 input functions ; 8 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 154 ; +; -- normal mode ; 152 ; ; -- arithmetic mode ; 16 ; -; -- qfbk mode ; 6 ; +; -- qfbk mode ; 7 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 25 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 96 / 240 ( 40 % ) ; -; Total LABs ; 22 / 24 ( 92 % ) ; +; Total registers ; 97 / 240 ( 40 % ) ; +; Total LABs ; 23 / 24 ( 96 % ) ; ; Logic elements in carry chains ; 17 ; ; Virtual pins ; 0 ; -; I/O pins ; 62 / 80 ( 78 % ) ; +; I/O pins ; 63 / 80 ( 79 % ) ; ; -- Clock pins ; 2 / 4 ( 50 % ) ; ; ; ; ; Global signals ; 4 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; ; Global clocks ; 4 / 4 ( 100 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 25% / 27% / 23% ; -; Peak interconnect usage (total/H/V) ; 25% / 27% / 23% ; -; Maximum fan-out ; 54 ; -; Highest non-global fan-out ; 38 ; -; Total fan-out ; 644 ; -; Average fan-out ; 2.76 ; +; Average interconnect usage (total/H/V) ; 21% / 23% / 19% ; +; Peak interconnect usage (total/H/V) ; 21% / 23% / 19% ; +; Maximum fan-out ; 55 ; +; Highest non-global fan-out ; 39 ; +; Total fan-out ; 643 ; +; Average fan-out ; 2.77 ; +---------------------------------------------+--------------------+ @@ -216,9 +210,9 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. ; MAin[8] ; 73 ; 2 ; 8 ; 4 ; 1 ; 2 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; ; MAin[9] ; 74 ; 2 ; 8 ; 4 ; 0 ; 4 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; ; PHI2 ; 52 ; 2 ; 8 ; 1 ; 4 ; 21 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; RCLK ; 12 ; 1 ; 1 ; 3 ; 3 ; 54 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; +; RCLK ; 12 ; 1 ; 1 ; 3 ; 3 ; 55 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; ; nCCAS ; 53 ; 2 ; 8 ; 1 ; 3 ; 11 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; -; nCRAS ; 67 ; 2 ; 8 ; 3 ; 2 ; 15 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; +; nCRAS ; 67 ; 2 ; 8 ; 3 ; 2 ; 16 ; 0 ; yes ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; ; nFWE ; 48 ; 1 ; 6 ; 0 ; 0 ; 3 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; User ; +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ @@ -236,6 +230,7 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. ; Dout[5] ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; Dout[6] ; 34 ; 1 ; 3 ; 0 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; Dout[7] ; 43 ; 1 ; 6 ; 0 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; +; LED ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 16mA ; yes ; Fitter ; 10 pF ; - ; - ; ; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; @@ -249,11 +244,11 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. ; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RBA[0] ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RBA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; RCKE ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RBA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RCKE ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RDQMH ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RDQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; nRCAS ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nRCAS ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nRCS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nRRAS ; 6 ; 1 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nRWE ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -265,14 +260,14 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; RD[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[2] ; 89 ; 2 ; 4 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~2 ; - ; -; RD[3] ; 99 ; 2 ; 2 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[4] ; 92 ; 2 ; 3 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[6] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[7] ; 97 ; 2 ; 3 ; 5 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[2] ; 89 ; 2 ; 4 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[3] ; 99 ; 2 ; 2 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[4] ; 92 ; 2 ; 3 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[6] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[7] ; 97 ; 2 ; 3 ; 5 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -282,7 +277,7 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+-------------------+---------------+--------------+ ; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ; -; 2 ; 24 / 42 ( 57 % ) ; 3.3V ; -- ; +; 2 ; 25 / 42 ( 60 % ) ; 3.3V ; -- ; +----------+-------------------+---------------+--------------+ @@ -356,7 +351,7 @@ The pin-out file can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.pin. ; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; ; 64 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 66 ; 52 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 66 ; 52 ; 2 ; LED ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; yes ; Off ; ; 67 ; 53 ; 2 ; nCRAS ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; ; 68 ; 54 ; 2 ; MAin[7] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; ; 69 ; 55 ; 2 ; MAin[5] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; yes ; Off ; @@ -416,9 +411,9 @@ Note: User assignments will override these defaults. The user specified values a +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |RAM4GS ; 170 (170) ; 96 ; 1 ; 62 ; 0 ; 74 (74) ; 21 (21) ; 75 (75) ; 17 (17) ; 6 (6) ; |RAM4GS ; work ; -; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM4GS|UFM:UFM_inst ; work ; -; |UFM_altufm_none_1br:UFM_altufm_none_1br_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM4GS|UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component ; work ; +; |RAM2GS ; 168 (168) ; 97 ; 1 ; 63 ; 0 ; 71 (71) ; 20 (20) ; 77 (77) ; 17 (17) ; 7 (7) ; |RAM2GS ; work ; +; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst ; work ; +; |UFM_altufm_none_imr:UFM_altufm_none_imr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -428,6 +423,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+ ; Name ; Pin Type ; Pad to Core 0 ; +---------+----------+---------------+ +; nCRAS ; Input ; (0) ; ; MAin[0] ; Input ; (0) ; ; MAin[1] ; Input ; (0) ; ; MAin[2] ; Input ; (0) ; @@ -438,10 +434,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; MAin[7] ; Input ; (0) ; ; MAin[8] ; Input ; (0) ; ; MAin[9] ; Input ; (0) ; -; CROW[0] ; Input ; (1) ; -; nCRAS ; Input ; (0) ; -; CROW[1] ; Input ; (1) ; ; RCLK ; Input ; (0) ; +; CROW[0] ; Input ; (1) ; +; CROW[1] ; Input ; (1) ; ; PHI2 ; Input ; (0) ; ; Din[6] ; Input ; (1) ; ; nFWE ; Input ; (1) ; @@ -461,6 +456,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Dout[5] ; Output ; -- ; ; Dout[6] ; Output ; -- ; ; Dout[7] ; Output ; -- ; +; LED ; Output ; -- ; ; RBA[0] ; Output ; -- ; ; RBA[1] ; Output ; -- ; ; RA[0] ; Output ; -- ; @@ -498,16 +494,16 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; CmdDRDIn~1 ; LC_X6_Y3_N3 ; 4 ; Clock enable ; no ; -- ; -- ; -; CmdSubmitted~0 ; LC_X6_Y3_N9 ; 2 ; Clock enable ; no ; -- ; -- ; -; DRDIn~1 ; LC_X2_Y1_N3 ; 2 ; Clock enable ; no ; -- ; -- ; +; CmdDRDIn~1 ; LC_X6_Y2_N5 ; 4 ; Clock enable ; no ; -- ; -- ; +; CmdSubmitted~0 ; LC_X5_Y2_N5 ; 2 ; Clock enable ; no ; -- ; -- ; +; DRDIn~1 ; LC_X4_Y1_N2 ; 2 ; Clock enable ; no ; -- ; -- ; ; PHI2 ; PIN_52 ; 21 ; Clock ; yes ; Global Clock ; GCLK3 ; -; RCLK ; PIN_12 ; 54 ; Clock ; yes ; Global Clock ; GCLK0 ; -; Ready ; LC_X3_Y2_N1 ; 38 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; always8~5 ; LC_X5_Y3_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -; comb~2 ; LC_X4_Y4_N6 ; 8 ; Output enable ; no ; -- ; -- ; +; RCLK ; PIN_12 ; 55 ; Clock ; yes ; Global Clock ; GCLK0 ; +; Ready ; LC_X3_Y4_N6 ; 39 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; always8~5 ; LC_X6_Y2_N2 ; 3 ; Clock enable ; no ; -- ; -- ; +; comb~3 ; LC_X4_Y4_N9 ; 8 ; Output enable ; no ; -- ; -- ; ; nCCAS ; PIN_53 ; 11 ; Clock ; yes ; Global Clock ; GCLK2 ; -; nCRAS ; PIN_67 ; 15 ; Clock ; yes ; Global Clock ; GCLK1 ; +; nCRAS ; PIN_67 ; 16 ; Clock ; yes ; Global Clock ; GCLK1 ; +----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ @@ -517,9 +513,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+----------+---------+----------------------+------------------+ ; PHI2 ; PIN_52 ; 21 ; Global Clock ; GCLK3 ; -; RCLK ; PIN_12 ; 54 ; Global Clock ; GCLK0 ; +; RCLK ; PIN_12 ; 55 ; Global Clock ; GCLK0 ; ; nCCAS ; PIN_53 ; 11 ; Global Clock ; GCLK2 ; -; nCRAS ; PIN_67 ; 15 ; Global Clock ; GCLK1 ; +; nCRAS ; PIN_67 ; 16 ; Global Clock ; GCLK1 ; +-------+----------+---------+----------------------+------------------+ @@ -528,99 +524,99 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------------------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +---------------------------------------------------------------------------------------------+---------+ -; Ready ; 38 ; +; Ready ; 39 ; ; nRowColSel ; 13 ; ; S[1] ; 12 ; ; S[0] ; 12 ; ; RASr2 ; 9 ; ; Din[6] ; 8 ; -; comb~2 ; 8 ; -; FS[4] ; 8 ; +; comb~3 ; 8 ; ; Din[5] ; 7 ; ; Din[4] ; 7 ; -; FS[5] ; 7 ; ; IS[0]~0 ; 7 ; ; Din[7] ; 6 ; ; Din[0] ; 6 ; ; MAin[1] ; 6 ; -; FS[6] ; 6 ; -; always9~1 ; 6 ; ; IS[0] ; 6 ; +; FS[4] ; 6 ; ; Din[3] ; 5 ; ; Din[2] ; 5 ; ; MAin[0] ; 5 ; -; FS[8]~27 ; 5 ; +; FS[8]~31 ; 5 ; ; FS[3]~13 ; 5 ; ; FS[3] ; 5 ; -; always9~2 ; 5 ; -; FS[17] ; 5 ; -; FS[16] ; 5 ; ; IS[1] ; 5 ; ; CBR ; 5 ; ; FWEr ; 5 ; +; FS[6] ; 5 ; +; FS[5] ; 5 ; +; FS[17] ; 5 ; +; FS[16] ; 5 ; +; UFMD[15] ; 5 ; ; Din[1] ; 4 ; ; MAin[9] ; 4 ; ; MAin[7] ; 4 ; ; MAin[6] ; 4 ; ; CmdDRDIn~1 ; 4 ; -; UFMD ; 4 ; -; FS[13]~21 ; 4 ; +; FS[13]~27 ; 4 ; ; CMDWR~2 ; 4 ; ; UFMReqErase ; 4 ; +; always9~3 ; 4 ; +; DRCLK~0 ; 4 ; +; always9~2 ; 4 ; ; Equal9~0 ; 4 ; -; n8MEGEN ; 4 ; ; IS[3] ; 4 ; ; IS[2] ; 4 ; ; InitReady ; 4 ; +; always9~0 ; 4 ; ; nFWE ; 3 ; ; MAin[5] ; 3 ; ; MAin[4] ; 3 ; ; MAin[3] ; 3 ; ; MAin[2] ; 3 ; -; FS[0] ; 3 ; ; always8~5 ; 3 ; ; CMDWR ; 3 ; ; CmdEnable ; 3 ; +; FS[0] ; 3 ; ; always8~4 ; 3 ; ; always8~2 ; 3 ; ; Equal0~0 ; 3 ; -; always9~3 ; 3 ; -; UFMInitDone ; 3 ; ; nRCS~3 ; 3 ; +; n8MEGEN ; 3 ; +; UFMInitDone~0 ; 3 ; +; UFMInitDone ; 3 ; ; RCKE~reg0 ; 3 ; +; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; 3 ; ; MAin[8] ; 2 ; -; FS[1] ; 2 ; -; FS[2] ; 2 ; -; Equal25~0 ; 2 ; -; FS[9] ; 2 ; -; FS[8] ; 2 ; ; CmdSubmitted~0 ; 2 ; ; Equal17~0 ; 2 ; ; CmdDRDIn~0 ; 2 ; ; XOR8MEG~0 ; 2 ; ; Equal0~3 ; 2 ; +; Ready~0 ; 2 ; +; Equal26~0 ; 2 ; +; FS[9] ; 2 ; +; FS[8] ; 2 ; ; Equal5~1 ; 2 ; -; FS[15] ; 2 ; ; FS[14] ; 2 ; ; FS[13] ; 2 ; ; FS[12] ; 2 ; ; FS[11] ; 2 ; ; FS[10] ; 2 ; -; Ready~0 ; 2 ; +; FS[15] ; 2 ; +; Equal24~0 ; 2 ; +; FS[2] ; 2 ; +; FS[1] ; 2 ; ; UFMOscEN~0 ; 2 ; ; C1Submitted ; 2 ; ; Equal0~1 ; 2 ; ; always8~0 ; 2 ; ; CmdUFMErase ; 2 ; ; CmdUFMPrgm ; 2 ; -; always9~6 ; 2 ; -; always9~5 ; 2 ; -; ARCLK~1 ; 2 ; ; always9~4 ; 2 ; -; DRDIn~1 ; 2 ; -; FS[7] ; 2 ; -; always9~0 ; 2 ; ; PHI2r2 ; 2 ; +; DRDIn~1 ; 2 ; +; CmdSubmitted ; 2 ; ; RASr ; 2 ; ; RCKEEN ; 2 ; ; CASr2 ; 2 ; @@ -630,13 +626,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; XOR8MEG ; 2 ; ; RA10~0 ; 2 ; ; nRowColSel~0 ; 2 ; +; always9~1 ; 2 ; +; FS[7] ; 2 ; ; UFMOscEN ; 2 ; ; UFMErase ; 2 ; ; UFMProgram ; 2 ; -; ARShift ; 2 ; -; ARCLK ; 2 ; -; DRShift ; 2 ; -; UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component|wire_maxii_ufm_block1_drdout ; 2 ; +; LEDEN ; 2 ; ; UFMProgram~_wirecell ; 1 ; ; UFMOscEN~_wirecell ; 1 ; ; UFMErase~_wirecell ; 1 ; @@ -652,37 +647,38 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; CROW[0] ; 1 ; ; CmdEnable~1 ; 1 ; ; CmdEnable~0 ; 1 ; -; UFMD~1 ; 1 ; -; FS[1]~33COUT1_50 ; 1 ; -; FS[1]~33 ; 1 ; -; UFMD~0 ; 1 ; -; UFMReqErase~0 ; 1 ; -; FS[2]~31COUT1_52 ; 1 ; -; FS[2]~31 ; 1 ; -; FS[9]~29COUT1_62 ; 1 ; -; FS[9]~29 ; 1 ; -; UFMInitDone~0 ; 1 ; ; PHI2r ; 1 ; ; RCKEEN~2 ; 1 ; ; RCKEEN~1 ; 1 ; ; RCKEEN~0 ; 1 ; ; CASr ; 1 ; ; Equal16~0 ; 1 ; +; n8MEGEN~3 ; 1 ; +; PHI2r3 ; 1 ; +; n8MEGEN~2 ; 1 ; +; n8MEGEN~1 ; 1 ; ; n8MEGEN~0 ; 1 ; ; Cmdn8MEGEN ; 1 ; ; IS[0]~3 ; 1 ; -; FS[15]~25COUT1_72 ; 1 ; -; FS[15]~25 ; 1 ; -; FS[14]~23COUT1_70 ; 1 ; -; FS[14]~23 ; 1 ; -; Equal5~0 ; 1 ; -; FS[12]~19COUT1_68 ; 1 ; -; FS[12]~19 ; 1 ; -; FS[11]~17COUT1_66 ; 1 ; -; FS[11]~17 ; 1 ; -; FS[10]~15COUT1_64 ; 1 ; -; FS[10]~15 ; 1 ; ; Ready~1 ; 1 ; +; FS[9]~33COUT1_62 ; 1 ; +; FS[9]~33 ; 1 ; +; FS[14]~29COUT1_70 ; 1 ; +; FS[14]~29 ; 1 ; +; Equal5~0 ; 1 ; +; FS[12]~25COUT1_68 ; 1 ; +; FS[12]~25 ; 1 ; +; FS[11]~23COUT1_66 ; 1 ; +; FS[11]~23 ; 1 ; +; FS[10]~21COUT1_64 ; 1 ; +; FS[10]~21 ; 1 ; +; FS[15]~19COUT1_72 ; 1 ; +; FS[15]~19 ; 1 ; +; UFMD[15]~0 ; 1 ; +; FS[2]~17COUT1_52 ; 1 ; +; FS[2]~17 ; 1 ; +; FS[1]~15COUT1_50 ; 1 ; +; FS[1]~15 ; 1 ; ; WRD[7] ; 1 ; ; WRD[6] ; 1 ; ; WRD[5] ; 1 ; @@ -704,23 +700,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Bank[2] ; 1 ; ; Bank[3] ; 1 ; ; Bank[1] ; 1 ; -; ARShift~0 ; 1 ; -; ARCLK~3 ; 1 ; -; ARCLK~2 ; 1 ; +; always9~5 ; 1 ; ; ARCLK~0 ; 1 ; ; CmdDRCLK ; 1 ; -; DRCLK~0 ; 1 ; -; FS[6]~11COUT1_58 ; 1 ; -; FS[6]~11 ; 1 ; -; FS[7]~9COUT1_60 ; 1 ; -; FS[7]~9 ; 1 ; -; FS[16]~5COUT1_74 ; 1 ; -; FS[16]~5 ; 1 ; -; FS[4]~3COUT1_54 ; 1 ; -; FS[4]~3 ; 1 ; -; FS[5]~1COUT1_56 ; 1 ; -; FS[5]~1 ; 1 ; -; CmdSubmitted ; 1 ; ; CmdDRDIn ; 1 ; ; nRCAS~1 ; 1 ; ; nRCAS~0 ; 1 ; @@ -729,10 +711,23 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nRCS~4 ; 1 ; ; nRCS~2 ; 1 ; ; nRowColSel~1 ; 1 ; +; FS[4]~11COUT1_54 ; 1 ; +; FS[4]~11 ; 1 ; +; FS[6]~9COUT1_58 ; 1 ; +; FS[6]~9 ; 1 ; +; FS[5]~7COUT1_56 ; 1 ; +; FS[5]~7 ; 1 ; +; FS[7]~5COUT1_60 ; 1 ; +; FS[7]~5 ; 1 ; +; FS[16]~1COUT1_74 ; 1 ; +; FS[16]~1 ; 1 ; +; ARShift ; 1 ; +; ARCLK ; 1 ; +; DRShift ; 1 ; ; DRCLK ; 1 ; ; DRDIn ; 1 ; +; comb~2 ; 1 ; ; comb~1 ; 1 ; -; comb~0 ; 1 ; ; nRCAS~reg0 ; 1 ; ; nRRAS~reg0 ; 1 ; ; nRWE~reg0 ; 1 ; @@ -761,6 +756,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RowA[0] ; 1 ; ; RBA[1]~reg0 ; 1 ; ; RBA[0]~reg0 ; 1 ; +; comb~0 ; 1 ; +---------------------------------------------------------------------------------------------+---------+ @@ -769,64 +765,64 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 152 / 784 ( 19 % ) ; -; Direct links ; 45 / 888 ( 5 % ) ; +; C4s ; 126 / 784 ( 16 % ) ; +; Direct links ; 41 / 888 ( 5 % ) ; ; Global clocks ; 4 / 4 ( 100 % ) ; -; LAB clocks ; 15 / 32 ( 47 % ) ; -; LUT chains ; 22 / 216 ( 10 % ) ; -; Local interconnects ; 270 / 888 ( 30 % ) ; -; R4s ; 155 / 704 ( 22 % ) ; +; LAB clocks ; 16 / 32 ( 50 % ) ; +; LUT chains ; 17 / 216 ( 8 % ) ; +; Local interconnects ; 252 / 888 ( 28 % ) ; +; R4s ; 134 / 704 ( 19 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 7.73) ; Number of LABs (Total = 22) ; +; Number of Logic Elements (Average = 7.30) ; Number of LABs (Total = 23) ; +--------------------------------------------+------------------------------+ -; 1 ; 0 ; -; 2 ; 2 ; -; 3 ; 2 ; -; 4 ; 2 ; -; 5 ; 0 ; -; 6 ; 1 ; -; 7 ; 0 ; -; 8 ; 2 ; +; 1 ; 2 ; +; 2 ; 3 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 3 ; ; 9 ; 0 ; -; 10 ; 13 ; +; 10 ; 12 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.18) ; Number of LABs (Total = 22) ; +; LAB-wide Signals (Average = 1.26) ; Number of LABs (Total = 23) ; +------------------------------------+------------------------------+ -; 1 Clock ; 14 ; +; 1 Clock ; 17 ; ; 1 Clock enable ; 2 ; -; 1 Sync. clear ; 3 ; +; 1 Sync. clear ; 4 ; ; 1 Sync. load ; 1 ; -; 2 Clocks ; 6 ; +; 2 Clocks ; 5 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 7.91) ; Number of LABs (Total = 22) ; +; Number of Signals Sourced (Average = 7.57) ; Number of LABs (Total = 23) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 2 ; -; 3 ; 2 ; -; 4 ; 2 ; +; 1 ; 2 ; +; 2 ; 3 ; +; 3 ; 0 ; +; 4 ; 1 ; ; 5 ; 0 ; -; 6 ; 1 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 1 ; -; 10 ; 11 ; -; 11 ; 1 ; +; 6 ; 0 ; +; 7 ; 2 ; +; 8 ; 3 ; +; 9 ; 0 ; +; 10 ; 9 ; +; 11 ; 2 ; ; 12 ; 1 ; +---------------------------------------------+------------------------------+ @@ -834,48 +830,47 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 5.73) ; Number of LABs (Total = 22) ; +; Number of Signals Sourced Out (Average = 5.17) ; Number of LABs (Total = 23) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 2 ; +; 1 ; 3 ; ; 2 ; 2 ; -; 3 ; 3 ; -; 4 ; 2 ; -; 5 ; 0 ; -; 6 ; 4 ; -; 7 ; 2 ; -; 8 ; 1 ; -; 9 ; 3 ; -; 10 ; 3 ; +; 3 ; 0 ; +; 4 ; 4 ; +; 5 ; 3 ; +; 6 ; 3 ; +; 7 ; 4 ; +; 8 ; 2 ; +; 9 ; 1 ; +; 10 ; 1 ; +-------------------------------------------------+------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 10.18) ; Number of LABs (Total = 22) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 2 ; -; 4 ; 1 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 2 ; -; 9 ; 4 ; -; 10 ; 1 ; -; 11 ; 1 ; -; 12 ; 2 ; -; 13 ; 3 ; -; 14 ; 1 ; -; 15 ; 0 ; -; 16 ; 1 ; -; 17 ; 1 ; -; 18 ; 0 ; -; 19 ; 1 ; -+----------------------------------------------+------------------------------+ ++----------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+------------------------------+ +; Number of Distinct Inputs (Average = 9.04) ; Number of LABs (Total = 23) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; +; 2 ; 1 ; +; 3 ; 3 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 3 ; +; 8 ; 1 ; +; 9 ; 2 ; +; 10 ; 1 ; +; 11 ; 0 ; +; 12 ; 1 ; +; 13 ; 2 ; +; 14 ; 2 ; +; 15 ; 1 ; +; 16 ; 1 ; +; 17 ; 1 ; +; 18 ; 1 ; ++---------------------------------------------+------------------------------+ +-------------------------------------------------------------------------+ @@ -920,8 +915,8 @@ Note: This table only shows the top 3 path(s) that have the largest delay added +-----------------+ ; Fitter Messages ; +-----------------+ -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected -Info (119006): Selected device EPM240T100C5 for design "RAM4GS" +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (119006): Selected device EPM240T100C5 for design "RAM2GS" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance @@ -932,7 +927,9 @@ Info (176444): Device migration not selected. If you intend to use device migrat Info (176445): Device EPM570T100C5 is compatible Info (176445): Device EPM570T100I5 is compatible Info (176445): Device EPM570T100A5 is compatible -Info (332104): Reading SDC File: 'constraints.sdc' +Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 63 total pins + Info (169086): Pin LED not assigned to an exact location on the device +Critical Warning (332012): Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (332144): No user constrained base clocks found in the design Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements Info (332127): Assuming a default timing requirement @@ -951,11 +948,12 @@ Info (186216): Automatically promoted some destinations of signal "PHI2" to use Info (186217): Destination "PHI2r" may be non-global or may not use global clock Info (186228): Pin "PHI2" drives global clock, but is not placed in a dedicated clock pin position Info (186216): Automatically promoted some destinations of signal "nCRAS" to use Global clock + Info (186217): Destination "comb~0" may be non-global or may not use global clock Info (186217): Destination "RASr" may be non-global or may not use global clock Info (186228): Pin "nCRAS" drives global clock, but is not placed in a dedicated clock pin position Info (186216): Automatically promoted some destinations of signal "nCCAS" to use Global clock Info (186217): Destination "CBR" may be non-global or may not use global clock - Info (186217): Destination "comb~2" may be non-global or may not use global clock + Info (186217): Destination "comb~3" may be non-global or may not use global clock Info (186217): Destination "CASr" may be non-global or may not use global clock Info (186228): Pin "nCCAS" drives global clock, but is not placed in a dedicated clock pin position Info (186079): Completed Auto Global Promotion Operation @@ -964,6 +962,13 @@ Info (186391): Fitter is using Normal packing mode for logic elements with Auto Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional) + Info (176212): I/O standards used: 3.3-V LVTTL. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 24 total pin(s) used -- 18 pins available Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 @@ -971,23 +976,23 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 20% of the available device resources - Info (170196): Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 18% of the available device resources + Info (170196): Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.53 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.28 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file /Repos/RAM4GS/cpld/output_files/RAM4GS.fit.smsg -Info: Quartus II 32-bit Fitter was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 376 megabytes - Info: Processing ended: Thu Jul 23 02:20:50 2020 - Info: Elapsed time: 00:00:08 - Info: Total CPU time (on all processors): 00:00:08 +Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.fit.smsg +Info: Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings + Info: Peak virtual memory: 548 megabytes + Info: Processing ended: Mon Aug 16 18:40:16 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.fit.smsg. +The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.fit.smsg. diff --git a/CPLD/AGM-src/output_files/RAM4GS.fit.smsg b/CPLD/MAX/MAXII/output_files/RAM2GS.fit.smsg old mode 100755 new mode 100644 similarity index 100% rename from CPLD/AGM-src/output_files/RAM4GS.fit.smsg rename to CPLD/MAX/MAXII/output_files/RAM2GS.fit.smsg diff --git a/CPLD/MAX/MAXII/output_files/RAM2GS.fit.summary b/CPLD/MAX/MAXII/output_files/RAM2GS.fit.summary new file mode 100644 index 0000000..6659118 --- /dev/null +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.fit.summary @@ -0,0 +1,11 @@ +Fitter Status : Successful - Mon Aug 16 18:40:16 2021 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : RAM2GS +Top-level Entity Name : RAM2GS +Family : MAX II +Device : EPM240T100C5 +Timing Models : Final +Total logic elements : 168 / 240 ( 70 % ) +Total pins : 63 / 80 ( 79 % ) +Total virtual pins : 0 +UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM4GS.flow.rpt b/CPLD/MAX/MAXII/output_files/RAM2GS.flow.rpt old mode 100755 new mode 100644 similarity index 57% rename from CPLD/MAXII/output_files/RAM4GS.flow.rpt rename to CPLD/MAX/MAXII/output_files/RAM2GS.flow.rpt index cab50ca..cd5d6a1 --- a/CPLD/MAXII/output_files/RAM4GS.flow.rpt +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.flow.rpt @@ -1,6 +1,6 @@ -Flow report for RAM4GS -Thu Jul 23 02:21:02 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Flow report for RAM2GS +Mon Aug 16 18:40:19 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -40,15 +40,15 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Thu Jul 23 02:20:55 2020 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM4GS ; -; Top-level Entity Name ; RAM4GS ; +; Flow Status ; Successful - Mon Aug 16 18:40:17 2021 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; RAM2GS ; +; Top-level Entity Name ; RAM2GS ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 170 / 240 ( 71 % ) ; -; Total pins ; 62 / 80 ( 78 % ) ; +; Total logic elements ; 168 / 240 ( 70 % ) ; +; Total pins ; 63 / 80 ( 79 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +---------------------------+-------------------------------------------------+ @@ -59,34 +59,34 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 07/23/2020 02:20:37 ; +; Start date & time ; 08/16/2021 18:40:12 ; ; Main task ; Compilation ; -; Revision Name ; RAM4GS ; +; Revision Name ; RAM2GS ; +-------------------+---------------------+ -+------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+--------------------------------------------+--------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+--------------------------------------------+--------------------------------+---------------+-------------+------------+ -; ALLOW_POWER_UP_DONT_CARE ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 44085571633675.159548523602288 ; -- ; -- ; -- ; -; ENABLE_BUS_HOLD_CIRCUITRY ; On ; Off ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ; Pack All IO Registers ; Normal ; -- ; -- ; -; OPTIMIZE_MULTI_CORNER_TIMING ; On ; Off ; -- ; -- ; -; PLACEMENT_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; -; POWER_DEFAULT_INPUT_IO_TOGGLE_RATE ; 12.5 % ; 12.5% ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; ROUTER_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; -; SAFE_STATE_MACHINE ; On ; Off ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+--------------------------------------------+--------------------------------+---------------+-------------+------------+ ++----------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++--------------------------------------------+------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++--------------------------------------------+------------------------------+---------------+-------------+------------+ +; ALLOW_POWER_UP_DONT_CARE ; Off ; On ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 962837114763.162915361100164 ; -- ; -- ; -- ; +; ENABLE_BUS_HOLD_CIRCUITRY ; On ; Off ; -- ; -- ; +; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; +; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ; Pack All IO Registers ; Normal ; -- ; -- ; +; OPTIMIZE_MULTI_CORNER_TIMING ; On ; Off ; -- ; -- ; +; PLACEMENT_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; +; POWER_DEFAULT_INPUT_IO_TOGGLE_RATE ; 12.5 % ; 12.5% ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; ROUTER_EFFORT_MULTIPLIER ; 10 ; 1.0 ; -- ; -- ; +; SAFE_STATE_MACHINE ; On ; Off ; -- ; -- ; +; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; ++--------------------------------------------+------------------------------+---------------+-------------+------------+ +-------------------------------------------------------------------------------------------------------------------------------+ @@ -94,33 +94,33 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 303 MB ; 00:00:05 ; -; Fitter ; 00:00:08 ; 1.3 ; 376 MB ; 00:00:07 ; -; Assembler ; 00:00:02 ; 1.0 ; 295 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:05 ; 1.0 ; 288 MB ; 00:00:04 ; -; Total ; 00:00:20 ; -- ; -- ; 00:00:18 ; +; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 421 MB ; 00:00:01 ; +; Fitter ; 00:00:03 ; 1.0 ; 548 MB ; 00:00:03 ; +; Assembler ; 00:00:00 ; 1.0 ; 381 MB ; 00:00:01 ; +; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 374 MB ; 00:00:01 ; +; Total ; 00:00:05 ; -- ; -- ; 00:00:06 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -+-----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+------------+------------+----------------+ -; Analysis & Synthesis ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -; Fitter ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -; Assembler ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -; TimeQuest Timing Analyzer ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -+---------------------------+------------------+------------+------------+----------------+ ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+-----------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+-----------+------------+----------------+ +; Analysis & Synthesis ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; +; Fitter ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; +; Assembler ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; +; TimeQuest Timing Analyzer ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; ++---------------------------+------------------+-----------+------------+----------------+ ------------ ; Flow Log ; ------------ -quartus_map --read_settings_files=on --write_settings_files=off RAM4GS -c RAM4GS -quartus_fit --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS -quartus_asm --read_settings_files=off --write_settings_files=off RAM4GS -c RAM4GS -quartus_sta RAM4GS -c RAM4GS +quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS +quartus_fit --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS +quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS +quartus_sta RAM2GS-MAXII -c RAM2GS diff --git a/CPLD/MAX/MAXII/output_files/RAM2GS.jdi b/CPLD/MAX/MAXII/output_files/RAM2GS.jdi new file mode 100644 index 0000000..8f5f174 --- /dev/null +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/CPLD/MAXII/output_files/RAM4GS.map.rpt b/CPLD/MAX/MAXII/output_files/RAM2GS.map.rpt old mode 100755 new mode 100644 similarity index 80% rename from CPLD/MAXII/output_files/RAM4GS.map.rpt rename to CPLD/MAX/MAXII/output_files/RAM2GS.map.rpt index 9d88205..d013013 --- a/CPLD/MAXII/output_files/RAM4GS.map.rpt +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.map.rpt @@ -1,6 +1,6 @@ -Analysis & Synthesis report for RAM4GS -Thu Jul 23 02:20:40 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Analysis & Synthesis report for RAM2GS +Mon Aug 16 18:40:12 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -45,13 +45,13 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Jul 23 02:20:40 2020 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM4GS ; -; Top-level Entity Name ; RAM4GS ; +; Analysis & Synthesis Status ; Successful - Mon Aug 16 18:40:12 2021 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; RAM2GS ; +; Top-level Entity Name ; RAM2GS ; ; Family ; MAX II ; -; Total logic elements ; 178 ; -; Total pins ; 62 ; +; Total logic elements ; 177 ; +; Total pins ; 63 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +-----------------------------+-------------------------------------------------+ @@ -63,7 +63,7 @@ applicable agreement for further details. ; Option ; Setting ; Default Value ; +----------------------------------------------------------------------------+--------------------+--------------------+ ; Device ; EPM240T100C5 ; ; -; Top-level entity name ; RAM4GS ; RAM4GS ; +; Top-level entity name ; RAM2GS ; RAM2GS ; ; Family name ; MAX II ; Cyclone IV GX ; ; Safe State Machine ; On ; Off ; ; Power-Up Don't Care ; Off ; On ; @@ -130,32 +130,25 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------+--------------------+ -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 2 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 1 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; 0.0% ; -+----------------------------+-------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 12 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------+---------+ -; RAM4GS.v ; yes ; User Verilog HDL File ; //vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.v ; ; -; RAM4GS.mif ; yes ; User Memory Initialization File ; //vmware-host/Shared Folders/Repos/RAM4GS/cpld/RAM4GS.mif ; ; -; UFM.v ; yes ; User Wizard-Generated File ; //vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v ; ; -+----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------+---------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ +; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/RAM2GS-MAX.v ; ; +; UFM.v ; yes ; User Wizard-Generated File ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/UFM.v ; ; ++----------------------------------+-----------------+-----------------------------+------------------------------------------------------------+---------+ +-----------------------------------------------------+ @@ -163,32 +156,32 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 178 ; -; -- Combinational with no register ; 82 ; +; Total logic elements ; 177 ; +; -- Combinational with no register ; 80 ; ; -- Register only ; 29 ; -; -- Combinational with a register ; 67 ; +; -- Combinational with a register ; 68 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 57 ; -; -- 3 input functions ; 41 ; -; -- 2 input functions ; 42 ; +; -- 4 input functions ; 58 ; +; -- 3 input functions ; 40 ; +; -- 2 input functions ; 41 ; ; -- 1 input functions ; 8 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 162 ; +; -- normal mode ; 161 ; ; -- arithmetic mode ; 16 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 9 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 96 ; +; Total registers ; 97 ; ; Total logic cells in carry chains ; 17 ; -; I/O pins ; 62 ; +; I/O pins ; 63 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; RCLK ; -; Maximum fan-out ; 54 ; +; Maximum fan-out ; 55 ; ; Total fan-out ; 643 ; ; Average fan-out ; 2.67 ; +---------------------------------------------+-------+ @@ -199,20 +192,20 @@ applicable agreement for further details. +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |RAM4GS ; 178 (178) ; 96 ; 1 ; 62 ; 0 ; 82 (82) ; 29 (29) ; 67 (67) ; 17 (17) ; 0 (0) ; |RAM4GS ; work ; -; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM4GS|UFM:UFM_inst ; work ; -; |UFM_altufm_none_1br:UFM_altufm_none_1br_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM4GS|UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component ; work ; +; |RAM2GS ; 177 (177) ; 97 ; 1 ; 63 ; 0 ; 80 (80) ; 29 (29) ; 68 (68) ; 17 (17) ; 0 (0) ; |RAM2GS ; work ; +; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst ; work ; +; |UFM_altufm_none_imr:UFM_altufm_none_imr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+---------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis IP Cores Summary ; -+--------+--------------+---------+--------------+--------------+----------------------+------------------------------------------------------+ -; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; -+--------+--------------+---------+--------------+--------------+----------------------+------------------------------------------------------+ -; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM4GS|UFM:UFM_inst ; //vmware-host/Shared Folders/Repos/RAM4GS/cpld/UFM.v ; -+--------+--------------+---------+--------------+--------------+----------------------+------------------------------------------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis IP Cores Summary ; ++--------+--------------+---------+--------------+--------------+----------------------+-----------------------------------------------------------+ +; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; ++--------+--------------+---------+--------------+--------------+----------------------+-----------------------------------------------------------+ +; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM2GS|UFM:UFM_inst ; C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/UFM.v ; ++--------+--------------+---------+--------------+--------------+----------------------+-----------------------------------------------------------+ +------------------------------------------------------+ @@ -220,7 +213,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 96 ; +; Total registers ; 97 ; ; Number of registers using Synchronous Clear ; 6 ; ; Number of registers using Synchronous Load ; 3 ; ; Number of registers using Asynchronous Clear ; 0 ; @@ -248,8 +241,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM4GS|S[0] ; -; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM4GS|C1Submitted ; +; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|S[0] ; +; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|ADSubmitted ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -269,22 +262,23 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis +Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Jul 23 02:20:35 2020 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM4GS -c RAM4GS -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected -Info (12021): Found 1 design units, including 1 entities, in source file ram4gs.v - Info (12023): Found entity 1: RAM4GS + Info: Processing started: Mon Aug 16 18:40:11 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (12021): Found 1 design units, including 1 entities, in source file /users/dog/documents/github/ram2gs/cpld/max/ram2gs-max.v + Info (12023): Found entity 1: RAM2GS Info (12021): Found 2 design units, including 2 entities, in source file ufm.v - Info (12023): Found entity 1: UFM_altufm_none_1br + Info (12023): Found entity 1: UFM_altufm_none_imr Info (12023): Found entity 2: UFM -Info (12127): Elaborating entity "RAM4GS" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at RAM4GS.v(154): truncated value with size 32 to match size of target (2) -Warning (10230): Verilog HDL assignment warning at RAM4GS.v(159): truncated value with size 32 to match size of target (18) -Warning (10230): Verilog HDL assignment warning at RAM4GS.v(286): truncated value with size 32 to match size of target (4) +Info (12127): Elaborating entity "RAM2GS" for the top level hierarchy +Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(158): truncated value with size 32 to match size of target (2) +Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(163): truncated value with size 32 to match size of target (18) +Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(290): truncated value with size 32 to match size of target (4) Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" -Info (12128): Elaborating entity "UFM_altufm_none_1br" for hierarchy "UFM:UFM_inst|UFM_altufm_none_1br:UFM_altufm_none_1br_component" +Info (12128): Elaborating entity "UFM_altufm_none_imr" for hierarchy "UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component" +Critical Warning (127003): Can't find Memory Initialization File or Hexadecimal (Intel-Format) File C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/RAM2GS-MAX.mif -- setting all initial values to 0 Warning (18029): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated Warning (18029): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated Warning (18029): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated @@ -295,21 +289,21 @@ Warning (18029): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot Warning (18029): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated Info (21057): Implemented 241 device resources after synthesis - the final resource count might be different Info (21058): Implemented 25 input pins - Info (21059): Implemented 29 output pins + Info (21059): Implemented 30 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 178 logic cells + Info (21061): Implemented 177 logic cells Info (21070): Implemented 1 User Flash Memory blocks -Info (144001): Generated suppressed messages file /Repos/RAM4GS/cpld/output_files/RAM4GS.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 11 warnings - Info: Peak virtual memory: 303 megabytes - Info: Processing ended: Thu Jul 23 02:20:41 2020 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:05 +Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.map.smsg +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 13 warnings + Info: Peak virtual memory: 421 megabytes + Info: Processing ended: Mon Aug 16 18:40:12 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in /Repos/RAM4GS/cpld/output_files/RAM4GS.map.smsg. +The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/MAX/MAXII/output_files/RAM2GS.map.smsg. diff --git a/CPLD/AGM-src/output_files/RAM4GS.map.smsg b/CPLD/MAX/MAXII/output_files/RAM2GS.map.smsg old mode 100755 new mode 100644 similarity index 71% rename from CPLD/AGM-src/output_files/RAM4GS.map.smsg rename to CPLD/MAX/MAXII/output_files/RAM2GS.map.smsg index 4c14264..a8e8eb9 --- a/CPLD/AGM-src/output_files/RAM4GS.map.smsg +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at RAM4GS.v(52): extended using "x" or "z" +Warning (10273): Verilog HDL warning at RAM2GS-MAX.v(56): extended using "x" or "z" Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword diff --git a/CPLD/MAX/MAXII/output_files/RAM2GS.map.summary b/CPLD/MAX/MAXII/output_files/RAM2GS.map.summary new file mode 100644 index 0000000..4c4a5bb --- /dev/null +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.map.summary @@ -0,0 +1,9 @@ +Analysis & Synthesis Status : Successful - Mon Aug 16 18:40:12 2021 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : RAM2GS +Top-level Entity Name : RAM2GS +Family : MAX II +Total logic elements : 177 +Total pins : 63 +Total virtual pins : 0 +UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/AGM-src/output_files/RAM4GS.pin b/CPLD/MAX/MAXII/output_files/RAM2GS.pin old mode 100755 new mode 100644 similarity index 98% rename from CPLD/AGM-src/output_files/RAM4GS.pin rename to CPLD/MAX/MAXII/output_files/RAM2GS.pin index 86ba0f4..4acd586 --- a/CPLD/AGM-src/output_files/RAM4GS.pin +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.pin @@ -57,8 +57,8 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -CHIP "RAM4GS" ASSIGNED TO AN: EPM240T100C5 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +CHIP "RAM2GS" ASSIGNED TO AN: EPM240T100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- @@ -127,7 +127,7 @@ GND* : 62 : : : VCCINT : 63 : power : : 2.5V/3.3V : : GND* : 64 : : : : 2 : GNDINT : 65 : gnd : : : : -GND* : 66 : : : : 2 : +LED : 66 : output : 3.3-V LVTTL : : 2 : N nCRAS : 67 : input : 3.3-V LVCMOS : : 2 : Y MAin[7] : 68 : input : 3.3-V LVCMOS : : 2 : Y MAin[5] : 69 : input : 3.3-V LVCMOS : : 2 : Y diff --git a/CPLD/MAX/MAXII/output_files/RAM2GS.pof b/CPLD/MAX/MAXII/output_files/RAM2GS.pof new file mode 100644 index 0000000000000000000000000000000000000000..f288ab0dfb2c6e99db0de99f100b49770bc48f9c GIT binary patch literal 7879 zcmeHMZE&2`bzXsGy9374nM`45WmJA-GSjKCtw3rVtRaL_ii@2gt|#>ffx%MU#@5sy zS{!-z!cZ#-69zhr9TZnm`C&7e7Pf>KMPBb-cZ}VcL?4}|*um!A8v?96h~3vhv-@iI z-Fr`;bMLz=2~wv2`lA@6t9#FRo^$TG=bU?2+OqjiW3gCMY?=MuiSOSy@aW$C2llnz ze}C&8U;g8-?b_eEWp7XS-bZ)u-nqB+FL&)N)}YgVsq{n~+D-+sJxUE8|W zHFw;$cHM33)~s!7eQ4+2-d&IFY~AwcV}IMaw)LSuZ{4QO=`%j+$Uia^n!rrs<94C9y*$29P360G(1rwD$&YWX3~$nCoG;Q zw4)&E4Fl4K$|mqlA`w#A;v+lw*+3C;wqrE;;!|?Q(?#EqPukb4hq-9*7b$>!rqPrL zP2D~j$^IKvh8$*n6Ua&eiu3#m2)1kSVObGnu)l^JbCxq+Yl@pt>ICA_kVB?Jqu8GV zZofe$MBI3tBzS$z`^qt%1m=#4{8EPuhuX$W#QWk?1Zhqgz!b?CEQ_|5Y$G(D6q`1QDB zy}nN?uOOb24|aeg*^7NKZjuNlEWSWu(gd}Ver<~Lq20bU z7rej3#%oQ!`^kfc?>56-hvuC9M=XEJ?(dHFY_Yq4*~zPZPuHQUlkc!{S2xr$b|GFo zaqgW#zxV4K_YKYr3{1?ny*D^8;9s!*k9~AvM?SxM;`(#>;^__E@l)yChV%okq|+{b zC5h0|h({EdIP=1PpM1pUfZIRMC(~Lh{%4CT=N<{IW#*&C@6{N}mDexGFlU82h zbEQDpxB}~uAcGj7!U;6=7FEHo63h>=<mfN_Zz9YPlSeDxNDYqSc33|u_qw$mF8DYJQviQq! zE4SLL%!r46UQ&yB zDfKFNcT*?5LB)iZQnK8;2#nL~nL16~_OKPzIuZnD+ zQmI_(*q3ywDjxg=&llStq-!eKd-}U?Kk{$Oe(&5I;>71|>-)ALjJrkbiDF0d6Su$h z<{w$PaCq(?c7LV2E}dWYjJW+mZRNy+jBoI#a`<_0_nFIPc*#%i%FnHw*tAG)?Pz*u zQ-4oa{#y?2s7>1n&#})kkMPG}8t*VhOWsF$cNN`H$oDWu(t_tu$3+uIS=lwmkKI zSyg4)P8qB@eXiF}##x6f--wQ*NnTzo7tjyt{j}o2Un9BCy86kGgj}cs1*p3+GKge^ z$GmQ*pqc;Skb(?(xcTStySZO9^sIhp-vbxx{&Kx4SVJ5`-lxatV#V@+9yIc^;=Y@=+Bb@9+^WwZihv)S&o^KxUd7+9ZP%QU|qWpI}4$pl_P+95k01qDc z7unH8>>n$dE=T=!fjk5MVZaFax<{3voS31(D^8w)@96g#FQj&3Dq7Pr0bcBrwz>Kv z!aFgqeZ&z@6DWk`=Xh{<4~3L}TI9#iY`!rWTOMnk`(A8m=hc}Nzoyg$=yB z_g8`I)cDc+K;fM_i~EG-gmXj&;@wN8kMScoD!Xp+h>tM7AT41J4GH@E4nlqag`e%5 z@V)_0*3JdvaGcaRFGoI&z@M6r>VZoV`A?Gd>Ue2+wqveKoL8Jjey$<+wHG()VccOE z5{wT#QV~AdCv;t%OZf&^!Dk}=ut*;Gxt;w3_%t$`@qE8#r0EMnU8wgT z`}smy|6t=4ygRZQ{7PE><5fKU*iVb+{RqE^FeciC%`dz!P;JG3@lZ1cUB;7?PKF`g zzg%ZTc)vz|VAK-(SLja!Kb6IA1!Yk%FY779O;A?(S?!A`|M6i5e!o>hosg8!>oji| zx=R=8yjZ7l2^=|aXzl|AMOxPP=Z_b~)K3awD2+}*-Y%1WH7zpPX^Zcm*{q>tk0;rF z821!SlySNVFW#s>-bV>_mX)3GpZbPgmRG!~(@}hGtexna`)>2}*&nVN6m88{R>f97 z`Sq*gO|d9GH-0src;b=fuZ$f_CjO+YdG40j(%6!pwmiSf+JB`=SM#DP+5G!8(Y51C zZHFtj#O{d2{LH(LTD+#SYas7x4$g>GH*bWzed!mQVskCeH(9)qs=cy{!K2#H7QF@*3{*iuIAd7MCGuM`xG#eni50thaC-`htw&e8ajDnAhbQ zmoIj1LXT)TiQZjm@YnTt43)#hF-n<5^=j$-$9mLHxc)djH1Z-9YJ4nm{x0O<`pP7d zc#-LJV;y?Dg?<_ z!FjxzLSH1`o&$n@lJ8~lGI`|L_t{p|R&lat*4amYFo^R->?6ELS>UlQtJvAWz`on1 zhr8@37SX2(rA-yB+Ry18XdiM=8XM?++TJ`FWATQCihpNr7B?s4!UAHQ6(~9O_oxJ;orY*tN zr()(OkpH;4Ice_edjB83Pvc9Ry57g zANIFCPY0h`Qv1-#-yHjScUSPXH#pfFZ{INb%VPu2?3;a0541>@S7=YM|L2>!zW=@D zM{d3K)a!#U{p{GK^&9U0etJ|fKgX{c+BgUJs4qtGgu(B3rV5@4d4C9X5}Ag)BAHbr zJS{tn%-H;K@#nhH7c1z`z?PTkMN}Sm8gsXf-&;w(|8m52s`)*Kd!+6!kM4727CFQlU3mXvs=yB% z>v_yCvLwOc@UH$!(dQB|!uZG^nS+k|e2sS*IAF(QkkVXti}CP^;~K5^I)5yFD|PY{ z26fAF1^P^+7w|g)JUCw|(vDy9zM}PczB;cR+g-dHb%`yTU_bjeS*6se3e|=uEU&|N zfFJQ5clxleRo_M@-{$hS6aGw(xcgrOo+MPKLf*Q5eZ0D@mc6H?Ur*DrDy@ti{OcE? zpF$rlMfcyfTJ_$R{>n66XCP1fYGC*V$V>Q-^8)o(GyhI+>dRdJw_H6;1MgO;2mG_q z{RjDdbARvTD`y~oX*J}UW?_fpGd6xc-uwReJ3n}?t83%m4bFN46K(tO`eY`KMKUA&K+qy!&tzTPzM35uSkiU(uBJus_;?MFbeMdQdiKxh`$X;WtW`e-+;Nw@sk8#@aKsk#ugFR(rA8|cL{0`6cEo~g= z6tIrR&FglmxMP0%dR-syU}x9n^CEt?Za;@%J^XB*Pui{X|8LjL|KLCKAaC10i-+%V z?jrU0h_3P^K38<-xn5NP!Kl)3WqtJf*#&Wyzh%D*c-n^>e;=qh`=71<>GQ3h!{_7T p^ZUPw$4#;8mo)w&)XIMmLehNx@AC+J9)bU-5m;{jR{X#p{5N+4U7P>_ literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXII/output_files/RAM2GS.sta.rpt b/CPLD/MAX/MAXII/output_files/RAM2GS.sta.rpt new file mode 100644 index 0000000..b9aa2bf --- /dev/null +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.sta.rpt @@ -0,0 +1,1576 @@ +TimeQuest Timing Analyzer report for RAM2GS +Mon Aug 16 18:40:19 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Fmax Summary + 6. Setup Summary + 7. Hold Summary + 8. Recovery Summary + 9. Removal Summary + 10. Minimum Pulse Width Summary + 11. Setup: 'ARCLK' + 12. Setup: 'DRCLK' + 13. Setup: 'RCLK' + 14. Setup: 'PHI2' + 15. Setup: 'nCRAS' + 16. Hold: 'ARCLK' + 17. Hold: 'DRCLK' + 18. Hold: 'nCRAS' + 19. Hold: 'PHI2' + 20. Hold: 'RCLK' + 21. Minimum Pulse Width: 'ARCLK' + 22. Minimum Pulse Width: 'DRCLK' + 23. Minimum Pulse Width: 'PHI2' + 24. Minimum Pulse Width: 'RCLK' + 25. Minimum Pulse Width: 'nCCAS' + 26. Minimum Pulse Width: 'nCRAS' + 27. Setup Times + 28. Hold Times + 29. Clock to Output Times + 30. Minimum Clock to Output Times + 31. Propagation Delay + 32. Minimum Propagation Delay + 33. Output Enable Times + 34. Minimum Output Enable Times + 35. Output Disable Times + 36. Minimum Output Disable Times + 37. Setup Transfers + 38. Hold Transfers + 39. Report TCCS + 40. Report RSKM + 41. Unconstrained Paths + 42. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++----------------------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++--------------------+-------------------------------------------------------------------+ +; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; +; Revision Name ; RAM2GS ; +; Device Family ; MAX II ; +; Device Name ; EPM240T100C5 ; +; Timing Models ; Final ; +; Delay Model ; Slow Model ; +; Rise/Fall Delays ; Unavailable ; ++--------------------+-------------------------------------------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 12 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clocks ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ +; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ +; ARCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { ARCLK } ; +; DRCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { DRCLK } ; +; nCCAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCCAS } ; +; nCRAS ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { nCRAS } ; +; PHI2 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { PHI2 } ; +; RCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { RCLK } ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ + + ++--------------------------------------------------+ +; Fmax Summary ; ++------------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++------------+-----------------+------------+------+ +; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; +; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; +; 57.01 MHz ; 57.01 MHz ; PHI2 ; ; +; 121.57 MHz ; 121.57 MHz ; RCLK ; ; ++------------+-----------------+------------+------+ +This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. + + ++---------------------------------+ +; Setup Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; ARCLK ; -99.000 ; -99.000 ; +; DRCLK ; -99.000 ; -99.000 ; +; RCLK ; -8.339 ; -245.761 ; +; PHI2 ; -8.271 ; -88.383 ; +; nCRAS ; -0.317 ; -2.784 ; ++-------+---------+---------------+ + + ++---------------------------------+ +; Hold Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; ARCLK ; -16.858 ; -16.858 ; +; DRCLK ; -16.363 ; -16.363 ; +; nCRAS ; -0.103 ; -0.195 ; +; PHI2 ; -0.060 ; -0.060 ; +; RCLK ; 1.192 ; 0.000 ; ++-------+---------+---------------+ + + +-------------------- +; Recovery Summary ; +-------------------- +No paths to report. + + +------------------- +; Removal Summary ; +------------------- +No paths to report. + + ++---------------------------------+ +; Minimum Pulse Width Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; ARCLK ; -29.500 ; -59.000 ; +; DRCLK ; -29.500 ; -59.000 ; +; PHI2 ; -2.289 ; -2.289 ; +; RCLK ; -2.289 ; -2.289 ; +; nCCAS ; -2.289 ; -2.289 ; +; nCRAS ; -2.289 ; -2.289 ; ++-------+---------+---------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'ARCLK' ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -99.000 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ; +; -22.142 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 1.000 ; -1.613 ; 1.529 ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'DRCLK' ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -99.000 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ; +; -22.699 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -1.595 ; 2.104 ; +; -22.637 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 1.000 ; -1.595 ; 2.042 ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'RCLK' ; ++--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ +; -8.339 ; CmdSubmitted ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 5.262 ; +; -7.863 ; CmdSubmitted ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 4.786 ; +; -7.540 ; FWEr ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 5.138 ; +; -7.536 ; FWEr ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 5.134 ; +; -7.431 ; CmdSubmitted ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 4.354 ; +; -7.397 ; Cmdn8MEGEN ; n8MEGEN ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 4.320 ; +; -7.226 ; FS[12] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.893 ; +; -7.147 ; IS[2] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.814 ; +; -7.078 ; FS[11] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.745 ; +; -7.033 ; CBR ; nRCAS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 4.631 ; +; -7.000 ; CBR ; nRowColSel ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 4.598 ; +; -6.983 ; S[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.650 ; +; -6.966 ; IS[3] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.633 ; +; -6.929 ; FWEr ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 4.527 ; +; -6.898 ; FS[13] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.565 ; +; -6.794 ; InitReady ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.461 ; +; -6.759 ; FS[12] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.426 ; +; -6.748 ; FWEr ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 4.346 ; +; -6.664 ; FS[16] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.331 ; +; -6.657 ; FS[16] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.324 ; +; -6.657 ; CBR ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 4.255 ; +; -6.654 ; FS[16] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.321 ; +; -6.621 ; IS[1] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.288 ; +; -6.620 ; CmdDRDIn ; DRDIn ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 3.543 ; +; -6.611 ; FS[11] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.278 ; +; -6.559 ; FS[17] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.226 ; +; -6.552 ; FS[17] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.219 ; +; -6.549 ; FS[17] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.216 ; +; -6.541 ; FS[10] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.208 ; +; -6.499 ; RASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.166 ; +; -6.451 ; FS[7] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.118 ; +; -6.444 ; FS[7] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.111 ; +; -6.441 ; FS[7] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.108 ; +; -6.431 ; FS[13] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.098 ; +; -6.416 ; IS[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.083 ; +; -6.389 ; CBR ; nRWE~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 3.987 ; +; -6.373 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; n8MEGEN ; DRCLK ; RCLK ; 1.000 ; 1.595 ; 8.635 ; +; -6.359 ; FS[16] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.026 ; +; -6.351 ; FS[12] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 7.018 ; +; -6.312 ; CmdDRCLK ; DRCLK ; PHI2 ; RCLK ; 0.500 ; -3.244 ; 3.235 ; +; -6.282 ; S[0] ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.949 ; +; -6.257 ; FWEr ; RCKEEN ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 3.855 ; +; -6.254 ; FS[17] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.921 ; +; -6.250 ; CBR ; nRCS~reg0 ; nCRAS ; RCLK ; 0.500 ; -2.569 ; 3.848 ; +; -6.203 ; FS[11] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.870 ; +; -6.195 ; FS[16] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.862 ; +; -6.159 ; FS[16] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.826 ; +; -6.146 ; FS[7] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.813 ; +; -6.099 ; RCKE~reg0 ; nRCS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.766 ; +; -6.090 ; FS[17] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.757 ; +; -6.074 ; FS[10] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.741 ; +; -6.054 ; FS[17] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.721 ; +; -6.023 ; FS[13] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.690 ; +; -5.982 ; FS[7] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.649 ; +; -5.946 ; FS[7] ; ARCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.613 ; +; -5.885 ; FS[2] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.552 ; +; -5.827 ; Ready ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.494 ; +; -5.783 ; S[1] ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.450 ; +; -5.753 ; FS[0] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.420 ; +; -5.751 ; RASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.418 ; +; -5.703 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; LEDEN ; DRCLK ; RCLK ; 1.000 ; 1.595 ; 7.965 ; +; -5.684 ; FS[2] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.351 ; +; -5.666 ; FS[10] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.333 ; +; -5.664 ; S[0] ; nRCAS~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.331 ; +; -5.663 ; FS[17] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.330 ; +; -5.657 ; FS[6] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.324 ; +; -5.655 ; FS[4] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.322 ; +; -5.650 ; FS[6] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.317 ; +; -5.648 ; FS[4] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.315 ; +; -5.647 ; FS[6] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.314 ; +; -5.645 ; FS[4] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.312 ; +; -5.626 ; FS[6] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.293 ; +; -5.604 ; FS[14] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.271 ; +; -5.594 ; InitReady ; nRWE~reg0 ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.261 ; +; -5.578 ; Ready ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.245 ; +; -5.571 ; Ready ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.238 ; +; -5.568 ; Ready ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.235 ; +; -5.558 ; FS[3] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.225 ; +; -5.555 ; UFMInitDone ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.222 ; +; -5.552 ; FS[0] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.219 ; +; -5.548 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.215 ; +; -5.545 ; UFMInitDone ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.212 ; +; -5.544 ; S[0] ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.211 ; +; -5.535 ; FS[16] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.202 ; +; -5.451 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; UFMD[15] ; DRCLK ; RCLK ; 1.000 ; 1.595 ; 7.713 ; +; -5.438 ; FS[16] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.105 ; +; -5.430 ; FS[17] ; DRShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.097 ; +; -5.398 ; Ready ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.065 ; +; -5.395 ; Ready ; DRDIn ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.062 ; +; -5.386 ; RASr2 ; RCKEEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.053 ; +; -5.363 ; FS[5] ; UFMReqErase ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.030 ; +; -5.357 ; FS[3] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.024 ; +; -5.356 ; FS[5] ; UFMInitDone ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.023 ; +; -5.353 ; FS[5] ; LEDEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.020 ; +; -5.345 ; FS[16] ; n8MEGEN ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.012 ; +; -5.333 ; FS[17] ; DRCLK ; RCLK ; RCLK ; 1.000 ; 0.000 ; 6.000 ; +; -5.332 ; FS[5] ; UFMD[15] ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.999 ; +; -5.329 ; FS[4] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.996 ; +; -5.329 ; FS[6] ; ARShift ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.996 ; +; -5.325 ; FS[15] ; InitReady ; RCLK ; RCLK ; 1.000 ; 0.000 ; 5.992 ; ++--------+---------------------------------------------------------------------------------------------+-------------+--------------+-------------+--------------+------------+------------+ + + ++-----------------------------------------------------------------------------------------------------------+ +; Setup: 'PHI2' ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ +; -8.271 ; Bank[3] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.438 ; +; -8.271 ; Bank[3] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.438 ; +; -8.271 ; Bank[3] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.438 ; +; -8.271 ; Bank[3] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.438 ; +; -8.251 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.418 ; +; -8.115 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.282 ; +; -8.115 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.282 ; +; -8.115 ; Bank[2] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.282 ; +; -8.115 ; Bank[2] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.282 ; +; -8.095 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 8.262 ; +; -7.799 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.966 ; +; -7.799 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.966 ; +; -7.643 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.810 ; +; -7.643 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.810 ; +; -7.577 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.744 ; +; -7.577 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.744 ; +; -7.577 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.744 ; +; -7.577 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.744 ; +; -7.557 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.724 ; +; -7.105 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.272 ; +; -7.105 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.272 ; +; -7.088 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.255 ; +; -7.088 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.255 ; +; -7.075 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.242 ; +; -7.075 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.242 ; +; -7.075 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.242 ; +; -7.075 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.242 ; +; -7.055 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.222 ; +; -7.054 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.221 ; +; -7.054 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.221 ; +; -7.054 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.221 ; +; -7.054 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.221 ; +; -7.034 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.201 ; +; -6.998 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.165 ; +; -6.932 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.099 ; +; -6.932 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.099 ; +; -6.900 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.067 ; +; -6.900 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.067 ; +; -6.900 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.067 ; +; -6.900 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.067 ; +; -6.880 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.047 ; +; -6.872 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.039 ; +; -6.842 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 7.009 ; +; -6.716 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.883 ; +; -6.603 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.770 ; +; -6.603 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.770 ; +; -6.582 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.749 ; +; -6.582 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.749 ; +; -6.428 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.595 ; +; -6.428 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.595 ; +; -6.394 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.561 ; +; -6.394 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.561 ; +; -6.362 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.529 ; +; -6.362 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.529 ; +; -6.362 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.529 ; +; -6.362 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.529 ; +; -6.342 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.509 ; +; -6.304 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.471 ; +; -6.178 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.345 ; +; -5.892 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.059 ; +; -5.892 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.059 ; +; -5.890 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.057 ; +; -5.890 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.057 ; +; -5.871 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.038 ; +; -5.871 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.038 ; +; -5.847 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.014 ; +; -5.847 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.014 ; +; -5.847 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.014 ; +; -5.847 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 6.014 ; +; -5.827 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.994 ; +; -5.802 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.969 ; +; -5.781 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.948 ; +; -5.717 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.884 ; +; -5.717 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.884 ; +; -5.676 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.843 ; +; -5.655 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.822 ; +; -5.627 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.794 ; +; -5.501 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.668 ; +; -5.375 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.542 ; +; -5.375 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.542 ; +; -5.179 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.346 ; +; -5.179 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.346 ; +; -5.089 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.256 ; +; -4.963 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 5.130 ; +; -4.664 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 4.831 ; +; -4.664 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 4.831 ; +; -4.574 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 4.741 ; +; -4.448 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 4.615 ; +; -4.234 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.901 ; +; -4.234 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.901 ; +; -3.754 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.421 ; +; -3.695 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.362 ; +; -3.674 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.341 ; +; -3.674 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.341 ; +; -3.674 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.341 ; +; -3.674 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 4.341 ; +; -3.404 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; 0.500 ; 0.000 ; 3.571 ; +; -3.307 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 3.974 ; +; -3.297 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 3.964 ; +; -2.824 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 1.000 ; 0.000 ; 3.491 ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Setup: 'nCRAS' ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; -0.317 ; Ready ; RowA[4] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.053 ; +; -0.311 ; Ready ; RowA[2] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.047 ; +; -0.310 ; Ready ; RowA[5] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.046 ; +; -0.277 ; Ready ; RowA[9] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.013 ; +; -0.276 ; Ready ; RowA[7] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.012 ; +; -0.275 ; Ready ; RowA[6] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.011 ; +; -0.267 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 3.003 ; +; -0.253 ; Ready ; RowA[1] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 2.989 ; +; -0.252 ; Ready ; RowA[8] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 2.988 ; +; -0.246 ; Ready ; RowA[0] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 2.982 ; +; 0.038 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.500 ; 5.917 ; 6.046 ; +; 0.079 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 2.657 ; +; 0.538 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 1.000 ; 5.917 ; 6.046 ; +; 0.549 ; Ready ; RowA[3] ; RCLK ; nCRAS ; 0.500 ; 2.569 ; 2.187 ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'ARCLK' ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -16.858 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RCLK ; ARCLK ; 0.000 ; -1.613 ; 1.529 ; +; 60.000 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'DRCLK' ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -16.363 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -1.595 ; 2.042 ; +; -16.301 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; RCLK ; DRCLK ; 0.000 ; -1.595 ; 2.104 ; +; 60.000 ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; ++---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Hold: 'nCRAS' ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; -0.103 ; Ready ; RowA[3] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 2.187 ; +; -0.092 ; nCCAS ; CBR ; nCCAS ; nCRAS ; 0.000 ; 5.917 ; 6.046 ; +; 0.367 ; Ready ; RBA[0]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 2.657 ; +; 0.408 ; nCCAS ; CBR ; nCCAS ; nCRAS ; -0.500 ; 5.917 ; 6.046 ; +; 0.692 ; Ready ; RowA[0] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 2.982 ; +; 0.698 ; Ready ; RowA[8] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 2.988 ; +; 0.699 ; Ready ; RowA[1] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 2.989 ; +; 0.713 ; Ready ; RBA[1]~reg0 ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.003 ; +; 0.721 ; Ready ; RowA[6] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.011 ; +; 0.722 ; Ready ; RowA[7] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.012 ; +; 0.723 ; Ready ; RowA[9] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.013 ; +; 0.756 ; Ready ; RowA[5] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.046 ; +; 0.757 ; Ready ; RowA[2] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.047 ; +; 0.763 ; Ready ; RowA[4] ; RCLK ; nCRAS ; -0.500 ; 2.569 ; 3.053 ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ + + ++-----------------------------------------------------------------------------------------------------------+ +; Hold: 'PHI2' ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ +; -0.060 ; Ready ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.244 ; 3.405 ; +; 0.172 ; n8MEGEN ; Cmdn8MEGEN ; RCLK ; PHI2 ; -0.500 ; 3.244 ; 3.137 ; +; 0.206 ; n8MEGEN ; RA11 ; RCLK ; PHI2 ; 0.000 ; 3.244 ; 3.671 ; +; 2.578 ; XOR8MEG ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.799 ; +; 2.676 ; C1Submitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 2.897 ; +; 3.054 ; ADSubmitted ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.275 ; +; 3.270 ; UFMOscEN ; UFMOscEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.491 ; +; 3.565 ; Bank[4] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.286 ; +; 3.566 ; Bank[4] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.287 ; +; 3.743 ; ADSubmitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.964 ; +; 3.753 ; CmdEnable ; XOR8MEG ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 3.974 ; +; 3.850 ; XOR8MEG ; RA11 ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.571 ; +; 4.080 ; Bank[6] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.801 ; +; 4.081 ; Bank[6] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 3.802 ; +; 4.120 ; CmdEnable ; CmdUFMErase ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.341 ; +; 4.120 ; CmdEnable ; CmdUFMPrgm ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.341 ; +; 4.120 ; CmdEnable ; CmdDRDIn ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.341 ; +; 4.120 ; CmdEnable ; CmdDRCLK ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.341 ; +; 4.141 ; CmdEnable ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.362 ; +; 4.200 ; C1Submitted ; CmdEnable ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.421 ; +; 4.618 ; Bank[5] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.339 ; +; 4.619 ; Bank[5] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.340 ; +; 4.680 ; CmdEnable ; CmdSubmitted ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.901 ; +; 4.680 ; CmdEnable ; Cmdn8MEGEN ; PHI2 ; PHI2 ; 0.000 ; 0.000 ; 4.901 ; +; 4.772 ; Bank[7] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.493 ; +; 4.773 ; Bank[7] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.494 ; +; 4.774 ; Bank[4] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.495 ; +; 4.793 ; Bank[0] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.514 ; +; 4.794 ; Bank[0] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.515 ; +; 4.894 ; Bank[4] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.615 ; +; 5.025 ; Bank[4] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 4.746 ; +; 5.289 ; Bank[6] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.010 ; +; 5.295 ; Bank[1] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.016 ; +; 5.296 ; Bank[1] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.017 ; +; 5.409 ; Bank[6] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.130 ; +; 5.540 ; Bank[6] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.261 ; +; 5.821 ; Bank[4] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.542 ; +; 5.821 ; Bank[4] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.542 ; +; 5.827 ; Bank[5] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.548 ; +; 5.833 ; Bank[2] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.554 ; +; 5.834 ; Bank[2] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.555 ; +; 5.947 ; Bank[5] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.668 ; +; 5.981 ; Bank[7] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.702 ; +; 5.989 ; Bank[3] ; ADSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.710 ; +; 5.990 ; Bank[3] ; C1Submitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.711 ; +; 6.002 ; Bank[0] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.723 ; +; 6.078 ; Bank[5] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.799 ; +; 6.101 ; Bank[7] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.822 ; +; 6.122 ; Bank[0] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.843 ; +; 6.232 ; Bank[7] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.953 ; +; 6.253 ; Bank[0] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 5.974 ; +; 6.293 ; Bank[4] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.014 ; +; 6.293 ; Bank[4] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.014 ; +; 6.293 ; Bank[4] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.014 ; +; 6.293 ; Bank[4] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.014 ; +; 6.336 ; Bank[6] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.057 ; +; 6.336 ; Bank[6] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.057 ; +; 6.504 ; Bank[1] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.225 ; +; 6.624 ; Bank[1] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.345 ; +; 6.755 ; Bank[1] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.476 ; +; 6.808 ; Bank[6] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.529 ; +; 6.808 ; Bank[6] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.529 ; +; 6.808 ; Bank[6] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.529 ; +; 6.808 ; Bank[6] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.529 ; +; 6.874 ; Bank[5] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.595 ; +; 6.874 ; Bank[5] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.595 ; +; 7.028 ; Bank[7] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.749 ; +; 7.028 ; Bank[7] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.749 ; +; 7.042 ; Bank[2] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.763 ; +; 7.049 ; Bank[0] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.770 ; +; 7.049 ; Bank[0] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.770 ; +; 7.162 ; Bank[2] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.883 ; +; 7.198 ; Bank[3] ; UFMOscEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 6.919 ; +; 7.293 ; Bank[2] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.014 ; +; 7.318 ; Bank[3] ; XOR8MEG ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.039 ; +; 7.346 ; Bank[5] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.067 ; +; 7.346 ; Bank[5] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.067 ; +; 7.346 ; Bank[5] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.067 ; +; 7.346 ; Bank[5] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.067 ; +; 7.449 ; Bank[3] ; CmdEnable ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.170 ; +; 7.500 ; Bank[7] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.221 ; +; 7.500 ; Bank[7] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.221 ; +; 7.500 ; Bank[7] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.221 ; +; 7.500 ; Bank[7] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.221 ; +; 7.521 ; Bank[0] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.242 ; +; 7.521 ; Bank[0] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.242 ; +; 7.521 ; Bank[0] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.242 ; +; 7.521 ; Bank[0] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.242 ; +; 7.551 ; Bank[1] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.272 ; +; 7.551 ; Bank[1] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.272 ; +; 8.023 ; Bank[1] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.744 ; +; 8.023 ; Bank[1] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.744 ; +; 8.023 ; Bank[1] ; CmdDRDIn ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.744 ; +; 8.023 ; Bank[1] ; CmdDRCLK ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.744 ; +; 8.089 ; Bank[2] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.810 ; +; 8.089 ; Bank[2] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.810 ; +; 8.245 ; Bank[3] ; CmdSubmitted ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.966 ; +; 8.245 ; Bank[3] ; Cmdn8MEGEN ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 7.966 ; +; 8.561 ; Bank[2] ; CmdUFMErase ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.282 ; +; 8.561 ; Bank[2] ; CmdUFMPrgm ; PHI2 ; PHI2 ; -0.500 ; 0.000 ; 8.282 ; ++--------+-------------+--------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------+ +; Hold: 'RCLK' ; ++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ +; 1.192 ; nCCAS ; CASr ; nCCAS ; RCLK ; 0.000 ; 3.348 ; 4.761 ; +; 1.245 ; nCRAS ; RASr ; nCRAS ; RCLK ; 0.000 ; 3.348 ; 4.814 ; +; 1.338 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; 0.000 ; 3.348 ; 4.907 ; +; 1.659 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.880 ; +; 1.692 ; nCCAS ; CASr ; nCCAS ; RCLK ; -0.500 ; 3.348 ; 4.761 ; +; 1.693 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.914 ; +; 1.703 ; IS[1] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.924 ; +; 1.704 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.925 ; +; 1.706 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.927 ; +; 1.745 ; nCRAS ; RASr ; nCRAS ; RCLK ; -0.500 ; 3.348 ; 4.814 ; +; 1.809 ; PHI2r2 ; PHI2r3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.030 ; +; 1.829 ; CASr2 ; CASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.050 ; +; 1.838 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; -0.500 ; 3.348 ; 4.907 ; +; 1.952 ; IS[3] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.173 ; +; 1.961 ; S[1] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.182 ; +; 1.966 ; S[1] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.187 ; +; 2.108 ; FS[6] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.329 ; +; 2.116 ; FS[9] ; FS[9] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.337 ; +; 2.117 ; FS[16] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; UFMInitDone ; UFMInitDone ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.338 ; +; 2.124 ; RASr2 ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.345 ; +; 2.126 ; UFMD[15] ; UFMD[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.347 ; +; 2.143 ; FS[8] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.364 ; +; 2.144 ; FS[10] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.365 ; +; 2.144 ; FS[11] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.365 ; +; 2.145 ; InitReady ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.366 ; +; 2.148 ; IS[0] ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.369 ; +; 2.151 ; CASr2 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.372 ; +; 2.160 ; IS[2] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.381 ; +; 2.164 ; FS[0] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.385 ; +; 2.215 ; RASr3 ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.436 ; +; 2.230 ; LEDEN ; LEDEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; +; 2.230 ; FS[7] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.451 ; +; 2.239 ; FS[5] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.460 ; +; 2.239 ; FS[4] ; FS[4] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.460 ; +; 2.239 ; FS[15] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.460 ; +; 2.239 ; FS[14] ; FS[14] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.460 ; +; 2.241 ; FS[12] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.462 ; +; 2.242 ; FS[2] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.463 ; +; 2.250 ; FS[3] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.471 ; +; 2.250 ; FS[13] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.471 ; +; 2.267 ; Ready ; Ready ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.488 ; +; 2.270 ; UFMProgram ; UFMProgram ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.491 ; +; 2.271 ; UFMErase ; UFMErase ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.492 ; +; 2.282 ; IS[1] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.503 ; +; 2.332 ; CASr2 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.553 ; +; 2.385 ; RASr ; RASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.606 ; +; 2.395 ; IS[0] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.616 ; +; 2.414 ; PHI2r ; PHI2r2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.635 ; +; 2.596 ; RASr2 ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.817 ; +; 2.605 ; FS[1] ; FS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.826 ; +; 2.647 ; RASr ; RCKE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.868 ; +; 2.674 ; PHI2r2 ; DRDIn ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.895 ; +; 2.689 ; S[0] ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.910 ; +; 2.704 ; IS[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.925 ; +; 2.741 ; Ready ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.962 ; +; 2.744 ; Ready ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.965 ; +; 2.748 ; Ready ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.969 ; +; 2.797 ; IS[3] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.018 ; +; 2.799 ; RASr2 ; IS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.020 ; +; 2.825 ; RASr2 ; RASr3 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.046 ; +; 2.939 ; CASr2 ; nRCAS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.160 ; +; 2.940 ; FS[6] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.161 ; +; 2.948 ; FS[9] ; FS[10] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.169 ; +; 2.949 ; FS[16] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.170 ; +; 2.974 ; Ready ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.195 ; +; 2.976 ; FS[10] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.197 ; +; 2.976 ; FS[11] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.197 ; +; 2.996 ; FS[0] ; FS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.217 ; +; 3.051 ; FS[6] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.272 ; +; 3.059 ; FS[9] ; FS[11] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.280 ; +; 3.060 ; CASr ; CASr2 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.281 ; +; 3.076 ; CASr3 ; nRCS~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.297 ; +; 3.087 ; FS[11] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.308 ; +; 3.087 ; FS[10] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.308 ; +; 3.089 ; n8MEGEN ; n8MEGEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.310 ; +; 3.106 ; PHI2r2 ; DRCLK ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.327 ; +; 3.107 ; FS[0] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.328 ; +; 3.112 ; FS[17] ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.333 ; +; 3.117 ; RCKEEN ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.338 ; +; 3.161 ; S[0] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.382 ; +; 3.170 ; FS[7] ; FS[8] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; +; 3.170 ; FS[9] ; FS[12] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.391 ; +; 3.174 ; RASr2 ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.395 ; +; 3.179 ; FS[5] ; FS[6] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; +; 3.179 ; FS[4] ; FS[5] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; +; 3.179 ; FS[15] ; FS[16] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; +; 3.179 ; FS[14] ; FS[15] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.400 ; +; 3.181 ; FS[12] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.402 ; +; 3.182 ; FS[2] ; FS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.403 ; +; 3.184 ; Ready ; nRowColSel ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.405 ; +; 3.198 ; FS[10] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.419 ; +; 3.199 ; IS[0] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.420 ; +; 3.201 ; InitReady ; IS[3] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.422 ; +; 3.226 ; Ready ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.447 ; +; 3.257 ; CASr3 ; nRWE~reg0 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.478 ; +; 3.281 ; FS[9] ; FS[13] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.502 ; +; 3.285 ; RASr2 ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.506 ; +; 3.289 ; RASr2 ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.510 ; +; 3.290 ; FS[5] ; FS[7] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.511 ; ++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'ARCLK' ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ +; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; +; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; ARCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_imr_component|maxii_ufm_block1|arclk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_imr_component|maxii_ufm_block1|arclk ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'DRCLK' ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ +; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; +; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_imr:UFM_altufm_none_imr_component|wire_maxii_ufm_block1_drdout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; DRCLK|regout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_imr_component|maxii_ufm_block1|drclk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_imr_component|maxii_ufm_block1|drclk ; ++---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'PHI2' ; ++--------+--------------+----------------+------------------+-------+------------+------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+------------------+ +; -2.289 ; 1.000 ; 3.289 ; Port Rate ; PHI2 ; Rise ; PHI2 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; ADSubmitted ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; ADSubmitted ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[0] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[1] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[2] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[3] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[4] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[5] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[6] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; Bank[7] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; C1Submitted ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; C1Submitted ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRCLK ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdDRDIn ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdEnable ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdEnable ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdSubmitted ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMErase ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; CmdUFMPrgm ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; Cmdn8MEGEN ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Rise ; RA11 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Rise ; RA11 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; UFMOscEN ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; UFMOscEN ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI2 ; Fall ; XOR8MEG ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI2 ; Fall ; XOR8MEG ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; ADSubmitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Bank[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; C1Submitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRCLK|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdDRDIn|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdEnable|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdSubmitted|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMErase|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; CmdUFMPrgm|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; Cmdn8MEGEN|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; PHI2|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; PHI2|combout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; RA11|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; RA11|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; UFMOscEN|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI2 ; Rise ; XOR8MEG|clk ; ++--------+--------------+----------------+------------------+-------+------------+------------------+ + + ++----------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'RCLK' ; ++--------+--------------+----------------+------------------+-------+------------+-------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+-------------+ +; -2.289 ; 1.000 ; 3.289 ; Port Rate ; RCLK ; Rise ; RCLK ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; ARCLK ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; ARCLK ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; ARShift ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; ARShift ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr2 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr2 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; CASr3 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; CASr3 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRCLK ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRCLK ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRDIn ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRDIn ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; DRShift ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; DRShift ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[0] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[0] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[10] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[10] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[11] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[11] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[12] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[12] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[13] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[13] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[14] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[14] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[15] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[15] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[16] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[16] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[17] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[17] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[1] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[1] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[2] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[2] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[3] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[3] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[4] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[4] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[5] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[5] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[6] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[6] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[7] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[7] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[8] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[8] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; FS[9] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; FS[9] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[0] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[0] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[1] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[1] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[2] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[2] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; IS[3] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; IS[3] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; InitReady ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; InitReady ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; LEDEN ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; LEDEN ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r2 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r2 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; PHI2r3 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; PHI2r3 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RA10 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RA10 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr2 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr2 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RASr3 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RASr3 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RCKEEN ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RCKEEN ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; RCKE~reg0 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; Ready ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; Ready ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; S[0] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; S[0] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; S[1] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; S[1] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMD[15] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMD[15] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMErase ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMErase ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMInitDone ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMInitDone ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMProgram ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMProgram ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; UFMReqErase ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; RCLK ; Rise ; UFMReqErase ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; RCLK ; Rise ; n8MEGEN ; ++--------+--------------+----------------+------------------+-------+------------+-------------+ + + ++------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'nCCAS' ; ++--------+--------------+----------------+------------------+-------+------------+---------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+---------------+ +; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCCAS ; Rise ; nCCAS ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[0] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[0] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[1] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[1] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[2] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[2] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[3] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[3] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[4] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[4] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[5] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[5] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[6] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[6] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCCAS ; Fall ; WRD[7] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCCAS ; Fall ; WRD[7] ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; WRD[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCCAS ; Rise ; nCCAS|combout ; ++--------+--------------+----------------+------------------+-------+------------+---------------+ + + ++--------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'nCRAS' ; ++--------+--------------+----------------+------------------+-------+------------+-----------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+-----------------+ +; -2.289 ; 1.000 ; 3.289 ; Port Rate ; nCRAS ; Rise ; nCRAS ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; CBR ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; CBR ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; FWEr ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; FWEr ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RBA[0]~reg0 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RBA[1]~reg0 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[0] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[0] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[1] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[1] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[2] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[2] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[3] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[3] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[4] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[4] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[5] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[5] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[6] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[6] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[7] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[7] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[8] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[8] ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; nCRAS ; Fall ; RowA[9] ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; nCRAS ; Fall ; RowA[9] ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; CBR|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; CBR|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; FWEr|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; FWEr|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[0]~reg0|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RBA[1]~reg0|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[4]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[5]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[6]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[7]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[8]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; RowA[9]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; nCRAS ; Rise ; nCRAS|combout ; ++--------+--------------+----------------+------------------+-------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; Din[*] ; PHI2 ; 1.258 ; 1.258 ; Rise ; PHI2 ; +; Din[0] ; PHI2 ; -0.399 ; -0.399 ; Rise ; PHI2 ; +; Din[1] ; PHI2 ; -0.465 ; -0.465 ; Rise ; PHI2 ; +; Din[2] ; PHI2 ; -0.419 ; -0.419 ; Rise ; PHI2 ; +; Din[3] ; PHI2 ; -0.432 ; -0.432 ; Rise ; PHI2 ; +; Din[4] ; PHI2 ; -0.403 ; -0.403 ; Rise ; PHI2 ; +; Din[5] ; PHI2 ; -0.284 ; -0.284 ; Rise ; PHI2 ; +; Din[6] ; PHI2 ; 1.258 ; 1.258 ; Rise ; PHI2 ; +; Din[7] ; PHI2 ; -0.455 ; -0.455 ; Rise ; PHI2 ; +; Din[*] ; PHI2 ; 6.350 ; 6.350 ; Fall ; PHI2 ; +; Din[0] ; PHI2 ; 6.350 ; 6.350 ; Fall ; PHI2 ; +; Din[1] ; PHI2 ; 5.955 ; 5.955 ; Fall ; PHI2 ; +; Din[2] ; PHI2 ; 5.770 ; 5.770 ; Fall ; PHI2 ; +; Din[3] ; PHI2 ; 6.091 ; 6.091 ; Fall ; PHI2 ; +; Din[4] ; PHI2 ; 6.121 ; 6.121 ; Fall ; PHI2 ; +; Din[5] ; PHI2 ; 5.943 ; 5.943 ; Fall ; PHI2 ; +; Din[6] ; PHI2 ; 5.355 ; 5.355 ; Fall ; PHI2 ; +; Din[7] ; PHI2 ; 5.526 ; 5.526 ; Fall ; PHI2 ; +; MAin[*] ; PHI2 ; 6.395 ; 6.395 ; Fall ; PHI2 ; +; MAin[0] ; PHI2 ; 3.121 ; 3.121 ; Fall ; PHI2 ; +; MAin[1] ; PHI2 ; 3.011 ; 3.011 ; Fall ; PHI2 ; +; MAin[2] ; PHI2 ; 6.395 ; 6.395 ; Fall ; PHI2 ; +; MAin[3] ; PHI2 ; 5.274 ; 5.274 ; Fall ; PHI2 ; +; MAin[4] ; PHI2 ; 5.540 ; 5.540 ; Fall ; PHI2 ; +; MAin[5] ; PHI2 ; 6.213 ; 6.213 ; Fall ; PHI2 ; +; MAin[6] ; PHI2 ; 4.745 ; 4.745 ; Fall ; PHI2 ; +; MAin[7] ; PHI2 ; 5.629 ; 5.629 ; Fall ; PHI2 ; +; nFWE ; PHI2 ; 4.554 ; 4.554 ; Fall ; PHI2 ; +; PHI2 ; RCLK ; 1.892 ; 1.892 ; Rise ; RCLK ; +; nCCAS ; RCLK ; 1.746 ; 1.746 ; Rise ; RCLK ; +; nCRAS ; RCLK ; 1.799 ; 1.799 ; Rise ; RCLK ; +; Din[*] ; nCCAS ; -0.186 ; -0.186 ; Fall ; nCCAS ; +; Din[0] ; nCCAS ; -0.211 ; -0.211 ; Fall ; nCCAS ; +; Din[1] ; nCCAS ; -0.524 ; -0.524 ; Fall ; nCCAS ; +; Din[2] ; nCCAS ; -0.467 ; -0.467 ; Fall ; nCCAS ; +; Din[3] ; nCCAS ; -0.495 ; -0.495 ; Fall ; nCCAS ; +; Din[4] ; nCCAS ; -0.201 ; -0.201 ; Fall ; nCCAS ; +; Din[5] ; nCCAS ; -0.387 ; -0.387 ; Fall ; nCCAS ; +; Din[6] ; nCCAS ; -0.186 ; -0.186 ; Fall ; nCCAS ; +; Din[7] ; nCCAS ; -0.459 ; -0.459 ; Fall ; nCCAS ; +; CROW[*] ; nCRAS ; 1.569 ; 1.569 ; Fall ; nCRAS ; +; CROW[0] ; nCRAS ; 1.396 ; 1.396 ; Fall ; nCRAS ; +; CROW[1] ; nCRAS ; 1.569 ; 1.569 ; Fall ; nCRAS ; +; MAin[*] ; nCRAS ; -0.660 ; -0.660 ; Fall ; nCRAS ; +; MAin[0] ; nCRAS ; -0.660 ; -0.660 ; Fall ; nCRAS ; +; MAin[1] ; nCRAS ; -0.783 ; -0.783 ; Fall ; nCRAS ; +; MAin[2] ; nCRAS ; -1.185 ; -1.185 ; Fall ; nCRAS ; +; MAin[3] ; nCRAS ; -1.355 ; -1.355 ; Fall ; nCRAS ; +; MAin[4] ; nCRAS ; -1.507 ; -1.507 ; Fall ; nCRAS ; +; MAin[5] ; nCRAS ; -1.728 ; -1.728 ; Fall ; nCRAS ; +; MAin[6] ; nCRAS ; -1.433 ; -1.433 ; Fall ; nCRAS ; +; MAin[7] ; nCRAS ; -1.123 ; -1.123 ; Fall ; nCRAS ; +; MAin[8] ; nCRAS ; -1.416 ; -1.416 ; Fall ; nCRAS ; +; MAin[9] ; nCRAS ; -1.500 ; -1.500 ; Fall ; nCRAS ; +; nCCAS ; nCRAS ; 0.462 ; 0.462 ; Fall ; nCRAS ; +; nFWE ; nCRAS ; 1.077 ; 1.077 ; Fall ; nCRAS ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; Din[*] ; PHI2 ; 1.019 ; 1.019 ; Rise ; PHI2 ; +; Din[0] ; PHI2 ; 0.953 ; 0.953 ; Rise ; PHI2 ; +; Din[1] ; PHI2 ; 1.019 ; 1.019 ; Rise ; PHI2 ; +; Din[2] ; PHI2 ; 0.973 ; 0.973 ; Rise ; PHI2 ; +; Din[3] ; PHI2 ; 0.986 ; 0.986 ; Rise ; PHI2 ; +; Din[4] ; PHI2 ; 0.957 ; 0.957 ; Rise ; PHI2 ; +; Din[5] ; PHI2 ; 0.838 ; 0.838 ; Rise ; PHI2 ; +; Din[6] ; PHI2 ; 0.033 ; 0.033 ; Rise ; PHI2 ; +; Din[7] ; PHI2 ; 1.009 ; 1.009 ; Rise ; PHI2 ; +; Din[*] ; PHI2 ; 0.456 ; 0.456 ; Fall ; PHI2 ; +; Din[0] ; PHI2 ; 0.456 ; 0.456 ; Fall ; PHI2 ; +; Din[1] ; PHI2 ; 0.037 ; 0.037 ; Fall ; PHI2 ; +; Din[2] ; PHI2 ; -0.029 ; -0.029 ; Fall ; PHI2 ; +; Din[3] ; PHI2 ; -0.577 ; -0.577 ; Fall ; PHI2 ; +; Din[4] ; PHI2 ; 0.113 ; 0.113 ; Fall ; PHI2 ; +; Din[5] ; PHI2 ; -1.945 ; -1.945 ; Fall ; PHI2 ; +; Din[6] ; PHI2 ; -1.358 ; -1.358 ; Fall ; PHI2 ; +; Din[7] ; PHI2 ; -1.521 ; -1.521 ; Fall ; PHI2 ; +; MAin[*] ; PHI2 ; 0.373 ; 0.373 ; Fall ; PHI2 ; +; MAin[0] ; PHI2 ; 0.263 ; 0.263 ; Fall ; PHI2 ; +; MAin[1] ; PHI2 ; 0.373 ; 0.373 ; Fall ; PHI2 ; +; MAin[2] ; PHI2 ; -1.645 ; -1.645 ; Fall ; PHI2 ; +; MAin[3] ; PHI2 ; -0.524 ; -0.524 ; Fall ; PHI2 ; +; MAin[4] ; PHI2 ; -0.790 ; -0.790 ; Fall ; PHI2 ; +; MAin[5] ; PHI2 ; -1.463 ; -1.463 ; Fall ; PHI2 ; +; MAin[6] ; PHI2 ; -1.361 ; -1.361 ; Fall ; PHI2 ; +; MAin[7] ; PHI2 ; -2.245 ; -2.245 ; Fall ; PHI2 ; +; nFWE ; PHI2 ; -1.272 ; -1.272 ; Fall ; PHI2 ; +; PHI2 ; RCLK ; -1.338 ; -1.338 ; Rise ; RCLK ; +; nCCAS ; RCLK ; -1.192 ; -1.192 ; Rise ; RCLK ; +; nCRAS ; RCLK ; -1.245 ; -1.245 ; Rise ; RCLK ; +; Din[*] ; nCCAS ; 1.078 ; 1.078 ; Fall ; nCCAS ; +; Din[0] ; nCCAS ; 0.765 ; 0.765 ; Fall ; nCCAS ; +; Din[1] ; nCCAS ; 1.078 ; 1.078 ; Fall ; nCCAS ; +; Din[2] ; nCCAS ; 1.021 ; 1.021 ; Fall ; nCCAS ; +; Din[3] ; nCCAS ; 1.049 ; 1.049 ; Fall ; nCCAS ; +; Din[4] ; nCCAS ; 0.755 ; 0.755 ; Fall ; nCCAS ; +; Din[5] ; nCCAS ; 0.941 ; 0.941 ; Fall ; nCCAS ; +; Din[6] ; nCCAS ; 0.740 ; 0.740 ; Fall ; nCCAS ; +; Din[7] ; nCCAS ; 1.013 ; 1.013 ; Fall ; nCCAS ; +; CROW[*] ; nCRAS ; -0.842 ; -0.842 ; Fall ; nCRAS ; +; CROW[0] ; nCRAS ; -0.842 ; -0.842 ; Fall ; nCRAS ; +; CROW[1] ; nCRAS ; -1.015 ; -1.015 ; Fall ; nCRAS ; +; MAin[*] ; nCRAS ; 2.282 ; 2.282 ; Fall ; nCRAS ; +; MAin[0] ; nCRAS ; 1.214 ; 1.214 ; Fall ; nCRAS ; +; MAin[1] ; nCRAS ; 1.337 ; 1.337 ; Fall ; nCRAS ; +; MAin[2] ; nCRAS ; 1.739 ; 1.739 ; Fall ; nCRAS ; +; MAin[3] ; nCRAS ; 1.909 ; 1.909 ; Fall ; nCRAS ; +; MAin[4] ; nCRAS ; 2.061 ; 2.061 ; Fall ; nCRAS ; +; MAin[5] ; nCRAS ; 2.282 ; 2.282 ; Fall ; nCRAS ; +; MAin[6] ; nCRAS ; 1.987 ; 1.987 ; Fall ; nCRAS ; +; MAin[7] ; nCRAS ; 1.677 ; 1.677 ; Fall ; nCRAS ; +; MAin[8] ; nCRAS ; 1.970 ; 1.970 ; Fall ; nCRAS ; +; MAin[9] ; nCRAS ; 2.054 ; 2.054 ; Fall ; nCRAS ; +; nCCAS ; nCRAS ; 0.092 ; 0.092 ; Fall ; nCRAS ; +; nFWE ; nCRAS ; -0.523 ; -0.523 ; Fall ; nCRAS ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; RA[*] ; PHI2 ; 12.060 ; 12.060 ; Rise ; PHI2 ; +; RA[11] ; PHI2 ; 12.060 ; 12.060 ; Rise ; PHI2 ; +; LED ; RCLK ; 9.813 ; 9.813 ; Rise ; RCLK ; +; RA[*] ; RCLK ; 12.293 ; 12.293 ; Rise ; RCLK ; +; RA[0] ; RCLK ; 12.293 ; 12.293 ; Rise ; RCLK ; +; RA[1] ; RCLK ; 11.412 ; 11.412 ; Rise ; RCLK ; +; RA[2] ; RCLK ; 11.273 ; 11.273 ; Rise ; RCLK ; +; RA[3] ; RCLK ; 10.539 ; 10.539 ; Rise ; RCLK ; +; RA[4] ; RCLK ; 11.236 ; 11.236 ; Rise ; RCLK ; +; RA[5] ; RCLK ; 11.157 ; 11.157 ; Rise ; RCLK ; +; RA[6] ; RCLK ; 11.290 ; 11.290 ; Rise ; RCLK ; +; RA[7] ; RCLK ; 11.217 ; 11.217 ; Rise ; RCLK ; +; RA[8] ; RCLK ; 11.381 ; 11.381 ; Rise ; RCLK ; +; RA[9] ; RCLK ; 11.302 ; 11.302 ; Rise ; RCLK ; +; RA[10] ; RCLK ; 8.195 ; 8.195 ; Rise ; RCLK ; +; RCKE ; RCLK ; 7.518 ; 7.518 ; Rise ; RCLK ; +; RDQMH ; RCLK ; 10.547 ; 10.547 ; Rise ; RCLK ; +; RDQML ; RCLK ; 11.010 ; 11.010 ; Rise ; RCLK ; +; nRCAS ; RCLK ; 7.518 ; 7.518 ; Rise ; RCLK ; +; nRCS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; +; nRRAS ; RCLK ; 7.536 ; 7.536 ; Rise ; RCLK ; +; nRWE ; RCLK ; 8.637 ; 8.637 ; Rise ; RCLK ; +; RD[*] ; nCCAS ; 19.663 ; 19.663 ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 18.824 ; 18.824 ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 18.920 ; 18.920 ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 18.917 ; 18.917 ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 19.501 ; 19.501 ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 18.823 ; 18.823 ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 18.946 ; 18.946 ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 19.663 ; 19.663 ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 18.951 ; 18.951 ; Fall ; nCCAS ; +; LED ; nCRAS ; 6.153 ; 6.153 ; Rise ; nCRAS ; +; LED ; nCRAS ; 6.153 ; 6.153 ; Fall ; nCRAS ; +; RA[*] ; nCRAS ; 13.196 ; 13.196 ; Fall ; nCRAS ; +; RA[0] ; nCRAS ; 12.954 ; 12.954 ; Fall ; nCRAS ; +; RA[1] ; nCRAS ; 12.928 ; 12.928 ; Fall ; nCRAS ; +; RA[2] ; nCRAS ; 12.374 ; 12.374 ; Fall ; nCRAS ; +; RA[3] ; nCRAS ; 13.196 ; 13.196 ; Fall ; nCRAS ; +; RA[4] ; nCRAS ; 12.862 ; 12.862 ; Fall ; nCRAS ; +; RA[5] ; nCRAS ; 12.781 ; 12.781 ; Fall ; nCRAS ; +; RA[6] ; nCRAS ; 13.093 ; 13.093 ; Fall ; nCRAS ; +; RA[7] ; nCRAS ; 13.020 ; 13.020 ; Fall ; nCRAS ; +; RA[8] ; nCRAS ; 13.070 ; 13.070 ; Fall ; nCRAS ; +; RA[9] ; nCRAS ; 13.106 ; 13.106 ; Fall ; nCRAS ; +; RBA[*] ; nCRAS ; 10.091 ; 10.091 ; Fall ; nCRAS ; +; RBA[0] ; nCRAS ; 10.087 ; 10.087 ; Fall ; nCRAS ; +; RBA[1] ; nCRAS ; 10.091 ; 10.091 ; Fall ; nCRAS ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; RA[*] ; PHI2 ; 12.060 ; 12.060 ; Rise ; PHI2 ; +; RA[11] ; PHI2 ; 12.060 ; 12.060 ; Rise ; PHI2 ; +; LED ; RCLK ; 9.813 ; 9.813 ; Rise ; RCLK ; +; RA[*] ; RCLK ; 8.195 ; 8.195 ; Rise ; RCLK ; +; RA[0] ; RCLK ; 12.293 ; 12.293 ; Rise ; RCLK ; +; RA[1] ; RCLK ; 11.412 ; 11.412 ; Rise ; RCLK ; +; RA[2] ; RCLK ; 11.273 ; 11.273 ; Rise ; RCLK ; +; RA[3] ; RCLK ; 10.539 ; 10.539 ; Rise ; RCLK ; +; RA[4] ; RCLK ; 11.236 ; 11.236 ; Rise ; RCLK ; +; RA[5] ; RCLK ; 11.157 ; 11.157 ; Rise ; RCLK ; +; RA[6] ; RCLK ; 11.290 ; 11.290 ; Rise ; RCLK ; +; RA[7] ; RCLK ; 11.217 ; 11.217 ; Rise ; RCLK ; +; RA[8] ; RCLK ; 11.381 ; 11.381 ; Rise ; RCLK ; +; RA[9] ; RCLK ; 11.302 ; 11.302 ; Rise ; RCLK ; +; RA[10] ; RCLK ; 8.195 ; 8.195 ; Rise ; RCLK ; +; RCKE ; RCLK ; 7.518 ; 7.518 ; Rise ; RCLK ; +; RDQMH ; RCLK ; 10.547 ; 10.547 ; Rise ; RCLK ; +; RDQML ; RCLK ; 11.010 ; 11.010 ; Rise ; RCLK ; +; nRCAS ; RCLK ; 7.518 ; 7.518 ; Rise ; RCLK ; +; nRCS ; RCLK ; 8.142 ; 8.142 ; Rise ; RCLK ; +; nRRAS ; RCLK ; 7.536 ; 7.536 ; Rise ; RCLK ; +; nRWE ; RCLK ; 8.637 ; 8.637 ; Rise ; RCLK ; +; RD[*] ; nCCAS ; 18.823 ; 18.823 ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 18.824 ; 18.824 ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 18.920 ; 18.920 ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 18.917 ; 18.917 ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 19.501 ; 19.501 ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 18.823 ; 18.823 ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 18.946 ; 18.946 ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 19.663 ; 19.663 ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 18.951 ; 18.951 ; Fall ; nCCAS ; +; LED ; nCRAS ; 6.153 ; 6.153 ; Rise ; nCRAS ; +; LED ; nCRAS ; 6.153 ; 6.153 ; Fall ; nCRAS ; +; RA[*] ; nCRAS ; 12.374 ; 12.374 ; Fall ; nCRAS ; +; RA[0] ; nCRAS ; 12.954 ; 12.954 ; Fall ; nCRAS ; +; RA[1] ; nCRAS ; 12.928 ; 12.928 ; Fall ; nCRAS ; +; RA[2] ; nCRAS ; 12.374 ; 12.374 ; Fall ; nCRAS ; +; RA[3] ; nCRAS ; 13.196 ; 13.196 ; Fall ; nCRAS ; +; RA[4] ; nCRAS ; 12.862 ; 12.862 ; Fall ; nCRAS ; +; RA[5] ; nCRAS ; 12.781 ; 12.781 ; Fall ; nCRAS ; +; RA[6] ; nCRAS ; 13.093 ; 13.093 ; Fall ; nCRAS ; +; RA[7] ; nCRAS ; 13.020 ; 13.020 ; Fall ; nCRAS ; +; RA[8] ; nCRAS ; 13.070 ; 13.070 ; Fall ; nCRAS ; +; RA[9] ; nCRAS ; 13.106 ; 13.106 ; Fall ; nCRAS ; +; RBA[*] ; nCRAS ; 10.087 ; 10.087 ; Fall ; nCRAS ; +; RBA[0] ; nCRAS ; 10.087 ; 10.087 ; Fall ; nCRAS ; +; RBA[1] ; nCRAS ; 10.091 ; 10.091 ; Fall ; nCRAS ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++------------------------------------------------------+ +; Propagation Delay ; ++------------+-------------+--------+----+----+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+----+----+--------+ +; MAin[0] ; RA[0] ; 10.197 ; ; ; 10.197 ; +; MAin[1] ; RA[1] ; 9.846 ; ; ; 9.846 ; +; MAin[2] ; RA[2] ; 9.051 ; ; ; 9.051 ; +; MAin[3] ; RA[3] ; 8.214 ; ; ; 8.214 ; +; MAin[4] ; RA[4] ; 8.144 ; ; ; 8.144 ; +; MAin[5] ; RA[5] ; 8.753 ; ; ; 8.753 ; +; MAin[6] ; RA[6] ; 8.281 ; ; ; 8.281 ; +; MAin[7] ; RA[7] ; 9.251 ; ; ; 9.251 ; +; MAin[8] ; RA[8] ; 8.196 ; ; ; 8.196 ; +; MAin[9] ; RA[9] ; 8.221 ; ; ; 8.221 ; +; MAin[9] ; RDQMH ; 7.373 ; ; ; 7.373 ; +; MAin[9] ; RDQML ; 7.833 ; ; ; 7.833 ; +; RD[0] ; Dout[0] ; 6.115 ; ; ; 6.115 ; +; RD[1] ; Dout[1] ; 6.297 ; ; ; 6.297 ; +; RD[2] ; Dout[2] ; 6.244 ; ; ; 6.244 ; +; RD[3] ; Dout[3] ; 6.825 ; ; ; 6.825 ; +; RD[4] ; Dout[4] ; 6.717 ; ; ; 6.717 ; +; RD[5] ; Dout[5] ; 6.723 ; ; ; 6.723 ; +; RD[6] ; Dout[6] ; 6.184 ; ; ; 6.184 ; +; RD[7] ; Dout[7] ; 6.756 ; ; ; 6.756 ; +; nFWE ; RD[0] ; 16.365 ; ; ; 16.365 ; +; nFWE ; RD[1] ; 16.324 ; ; ; 16.324 ; +; nFWE ; RD[2] ; 16.324 ; ; ; 16.324 ; +; nFWE ; RD[3] ; 16.365 ; ; ; 16.365 ; +; nFWE ; RD[4] ; 16.365 ; ; ; 16.365 ; +; nFWE ; RD[5] ; 16.324 ; ; ; 16.324 ; +; nFWE ; RD[6] ; 16.365 ; ; ; 16.365 ; +; nFWE ; RD[7] ; 16.365 ; ; ; 16.365 ; ++------------+-------------+--------+----+----+--------+ + + ++------------------------------------------------------+ +; Minimum Propagation Delay ; ++------------+-------------+--------+----+----+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+----+----+--------+ +; MAin[0] ; RA[0] ; 10.197 ; ; ; 10.197 ; +; MAin[1] ; RA[1] ; 9.846 ; ; ; 9.846 ; +; MAin[2] ; RA[2] ; 9.051 ; ; ; 9.051 ; +; MAin[3] ; RA[3] ; 8.214 ; ; ; 8.214 ; +; MAin[4] ; RA[4] ; 8.144 ; ; ; 8.144 ; +; MAin[5] ; RA[5] ; 8.753 ; ; ; 8.753 ; +; MAin[6] ; RA[6] ; 8.281 ; ; ; 8.281 ; +; MAin[7] ; RA[7] ; 9.251 ; ; ; 9.251 ; +; MAin[8] ; RA[8] ; 8.196 ; ; ; 8.196 ; +; MAin[9] ; RA[9] ; 8.221 ; ; ; 8.221 ; +; MAin[9] ; RDQMH ; 7.373 ; ; ; 7.373 ; +; MAin[9] ; RDQML ; 7.833 ; ; ; 7.833 ; +; RD[0] ; Dout[0] ; 6.115 ; ; ; 6.115 ; +; RD[1] ; Dout[1] ; 6.297 ; ; ; 6.297 ; +; RD[2] ; Dout[2] ; 6.244 ; ; ; 6.244 ; +; RD[3] ; Dout[3] ; 6.825 ; ; ; 6.825 ; +; RD[4] ; Dout[4] ; 6.717 ; ; ; 6.717 ; +; RD[5] ; Dout[5] ; 6.723 ; ; ; 6.723 ; +; RD[6] ; Dout[6] ; 6.184 ; ; ; 6.184 ; +; RD[7] ; Dout[7] ; 6.756 ; ; ; 6.756 ; +; nFWE ; RD[0] ; 16.365 ; ; ; 16.365 ; +; nFWE ; RD[1] ; 16.324 ; ; ; 16.324 ; +; nFWE ; RD[2] ; 16.324 ; ; ; 16.324 ; +; nFWE ; RD[3] ; 16.365 ; ; ; 16.365 ; +; nFWE ; RD[4] ; 16.365 ; ; ; 16.365 ; +; nFWE ; RD[5] ; 16.324 ; ; ; 16.324 ; +; nFWE ; RD[6] ; 16.365 ; ; ; 16.365 ; +; nFWE ; RD[7] ; 16.365 ; ; ; 16.365 ; ++------------+-------------+--------+----+----+--------+ + + ++-----------------------------------------------------------------------+ +; Output Enable Times ; ++-----------+------------+--------+------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+------+------------+-----------------+ +; RD[*] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[0] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[1] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[2] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[3] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[4] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[5] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[6] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[7] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[*] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; ++-----------+------------+--------+------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Minimum Output Enable Times ; ++-----------+------------+--------+------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+------+------------+-----------------+ +; RD[*] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[0] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[1] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[2] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[3] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[4] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[5] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[6] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[7] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[*] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; ++-----------+------------+--------+------+------------+-----------------+ + + ++-------------------------------------------------------------------------------+ +; Output Disable Times ; ++-----------+------------+-----------+-----------+------------+-----------------+ +; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; ++-----------+------------+-----------+-----------+------------+-----------------+ +; RD[*] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[0] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[1] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[2] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[3] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[4] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[5] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[6] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[7] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[*] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; ++-----------+------------+-----------+-----------+------------+-----------------+ + + ++-------------------------------------------------------------------------------+ +; Minimum Output Disable Times ; ++-----------+------------+-----------+-----------+------------+-----------------+ +; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; ++-----------+------------+-----------+-----------+------------+-----------------+ +; RD[*] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[0] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[1] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[2] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[3] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[4] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[5] ; nCCAS ; 13.659 ; ; Rise ; nCCAS ; +; RD[6] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[7] ; nCCAS ; 13.700 ; ; Rise ; nCCAS ; +; RD[*] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[0] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[1] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[2] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[3] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[4] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[5] ; nCCAS ; 13.659 ; ; Fall ; nCCAS ; +; RD[6] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; +; RD[7] ; nCCAS ; 13.700 ; ; Fall ; nCCAS ; ++-----------+------------+-----------+-----------+------------+-----------------+ + + ++-------------------------------------------------------------------+ +; Setup Transfers ; ++------------+----------+----------+----------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+----------+----------+----------+----------+ +; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; +; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; +; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; +; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; +; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; +; DRCLK ; RCLK ; 3 ; 0 ; 0 ; 0 ; +; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; +; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; +; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; +; RCLK ; RCLK ; 620 ; 0 ; 0 ; 0 ; ++------------+----------+----------+----------+----------+----------+ +Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. + + ++-------------------------------------------------------------------+ +; Hold Transfers ; ++------------+----------+----------+----------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+----------+----------+----------+----------+ +; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; RCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; +; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +; RCLK ; DRCLK ; 2 ; 0 ; 0 ; 0 ; +; nCCAS ; nCRAS ; 0 ; 0 ; 1 ; 1 ; +; RCLK ; nCRAS ; 0 ; 0 ; 12 ; 0 ; +; PHI2 ; PHI2 ; 0 ; 1 ; 160 ; 14 ; +; RCLK ; PHI2 ; 2 ; 0 ; 1 ; 0 ; +; DRCLK ; RCLK ; 3 ; 0 ; 0 ; 0 ; +; nCCAS ; RCLK ; 1 ; 1 ; 0 ; 0 ; +; nCRAS ; RCLK ; 1 ; 17 ; 0 ; 0 ; +; PHI2 ; RCLK ; 1 ; 11 ; 0 ; 0 ; +; RCLK ; RCLK ; 620 ; 0 ; 0 ; 0 ; ++------------+----------+----------+----------+----------+----------+ +Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 31 ; 31 ; +; Unconstrained Input Port Paths ; 232 ; 232 ; +; Unconstrained Output Ports ; 38 ; 38 ; +; Unconstrained Output Port Paths ; 77 ; 77 ; ++---------------------------------+-------+------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon Aug 16 18:40:18 2021 +Info: Command: quartus_sta RAM2GS-MAXII -c RAM2GS +Info: qsta_default_script.tcl version: #1 +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (306004): Started post-fitting delay annotation +Info (306005): Delay annotation completed successfully +Critical Warning (332012): Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332105): Deriving Clocks + Info (332105): create_clock -period 1.000 -name DRCLK DRCLK + Info (332105): create_clock -period 1.000 -name ARCLK ARCLK + Info (332105): create_clock -period 1.000 -name RCLK RCLK + Info (332105): create_clock -period 1.000 -name nCRAS nCRAS + Info (332105): create_clock -period 1.000 -name PHI2 PHI2 + Info (332105): create_clock -period 1.000 -name nCCAS nCCAS +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Critical Warning (332148): Timing requirements not met +Info (332146): Worst-case setup slack is -99.000 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -99.000 -99.000 ARCLK + Info (332119): -99.000 -99.000 DRCLK + Info (332119): -8.339 -245.761 RCLK + Info (332119): -8.271 -88.383 PHI2 + Info (332119): -0.317 -2.784 nCRAS +Info (332146): Worst-case hold slack is -16.858 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -16.858 -16.858 ARCLK + Info (332119): -16.363 -16.363 DRCLK + Info (332119): -0.103 -0.195 nCRAS + Info (332119): -0.060 -0.060 PHI2 + Info (332119): 1.192 0.000 RCLK +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332146): Worst-case minimum pulse width slack is -29.500 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -29.500 -59.000 ARCLK + Info (332119): -29.500 -59.000 DRCLK + Info (332119): -2.289 -2.289 PHI2 + Info (332119): -2.289 -2.289 RCLK + Info (332119): -2.289 -2.289 nCCAS + Info (332119): -2.289 -2.289 nCRAS +Info (332001): The selected device family is not supported by the report_metastability command. +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 374 megabytes + Info: Processing ended: Mon Aug 16 18:40:19 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/CPLD/MAXII/output_files/RAM4GS.sta.summary b/CPLD/MAX/MAXII/output_files/RAM2GS.sta.summary old mode 100755 new mode 100644 similarity index 78% rename from CPLD/MAXII/output_files/RAM4GS.sta.summary rename to CPLD/MAX/MAXII/output_files/RAM2GS.sta.summary index a4c9ebf..adebd09 --- a/CPLD/MAXII/output_files/RAM4GS.sta.summary +++ b/CPLD/MAX/MAXII/output_files/RAM2GS.sta.summary @@ -10,37 +10,37 @@ Type : Setup 'DRCLK' Slack : -99.000 TNS : -99.000 -Type : Setup 'PHI2' -Slack : -9.292 -TNS : -92.804 - Type : Setup 'RCLK' -Slack : -8.365 -TNS : -253.063 +Slack : -8.339 +TNS : -245.761 + +Type : Setup 'PHI2' +Slack : -8.271 +TNS : -88.383 Type : Setup 'nCRAS' -Slack : -0.490 -TNS : -0.577 - -Type : Hold 'DRCLK' -Slack : -16.306 -TNS : -16.306 +Slack : -0.317 +TNS : -2.784 Type : Hold 'ARCLK' -Slack : -16.272 -TNS : -16.272 +Slack : -16.858 +TNS : -16.858 -Type : Hold 'RCLK' -Slack : -0.874 -TNS : -0.874 - -Type : Hold 'PHI2' -Slack : -0.396 -TNS : -0.396 +Type : Hold 'DRCLK' +Slack : -16.363 +TNS : -16.363 Type : Hold 'nCRAS' -Slack : -0.125 -TNS : -0.125 +Slack : -0.103 +TNS : -0.195 + +Type : Hold 'PHI2' +Slack : -0.060 +TNS : -0.060 + +Type : Hold 'RCLK' +Slack : 1.192 +TNS : 0.000 Type : Minimum Pulse Width 'ARCLK' Slack : -29.500 diff --git a/CPLD/MAXII/RAM4GS.qpf b/CPLD/MAX/MAXV/RAM2GS-MAXV.qpf old mode 100755 new mode 100644 similarity index 84% rename from CPLD/MAXII/RAM4GS.qpf rename to CPLD/MAX/MAXV/RAM2GS-MAXV.qpf index aceec8c..8fb201a --- a/CPLD/MAXII/RAM4GS.qpf +++ b/CPLD/MAX/MAXV/RAM2GS-MAXV.qpf @@ -16,15 +16,15 @@ # # -------------------------------------------------------------------------- # # -# Quartus II 32-bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version -# Date created = 21:16:34 March 08, 2020 +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 18:33:17 August 16, 2021 # # -------------------------------------------------------------------------- # QUARTUS_VERSION = "13.0" -DATE = "21:16:34 March 08, 2020" +DATE = "18:33:17 August 16, 2021" # Revisions -PROJECT_REVISION = "RAM4GS" +PROJECT_REVISION = "RAM2GS" diff --git a/CPLD/AGM-src/RAM4GS.qsf b/CPLD/MAX/MAXV/RAM2GS.qsf old mode 100755 new mode 100644 similarity index 96% rename from CPLD/AGM-src/RAM4GS.qsf rename to CPLD/MAX/MAXV/RAM2GS.qsf index ed8578e..ecad28a --- a/CPLD/AGM-src/RAM4GS.qsf +++ b/CPLD/MAX/MAXV/RAM2GS.qsf @@ -36,14 +36,12 @@ # -------------------------------------------------------------------------- # -set_global_assignment -name FAMILY "MAX II" -set_global_assignment -name DEVICE EPM240T100C5 -set_global_assignment -name TOP_LEVEL_ENTITY RAM4GS +set_global_assignment -name FAMILY "MAX V" +set_global_assignment -name DEVICE 5M240ZT100C5 +set_global_assignment -name TOP_LEVEL_ENTITY RAM2GS set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:16:34 MARCH 08, 2020" set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" -set_global_assignment -name SDC_FILE constraints.sdc -set_global_assignment -name VERILOG_FILE RAM4GS.v set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 @@ -174,7 +172,6 @@ set_location_assignment PIN_95 -to RD[6] set_location_assignment PIN_97 -to RD[7] set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RD -set_global_assignment -name MIF_FILE RAM4GS.mif set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nCRAS set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nCCAS set_instance_assignment -name PAD_TO_CORE_DELAY 1 -to nFWE @@ -210,4 +207,6 @@ set_instance_assignment -name SLOW_SLEW_RATE OFF -to RDQML set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RD set_instance_assignment -name SLOW_SLEW_RATE ON -to RD set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to RD +set_global_assignment -name VERILOG_FILE "../RAM2GS-MAX.v" +set_global_assignment -name MIF_FILE "../RAM2GS-MAX.mif" set_global_assignment -name QIP_FILE UFM.qip \ No newline at end of file diff --git a/CPLD/MAX/MAXV/RAM2GS.qws b/CPLD/MAX/MAXV/RAM2GS.qws new file mode 100644 index 0000000000000000000000000000000000000000..9b197653715f02733573d21d56802889fdc64823 GIT binary patch literal 613 zcmbV}%}T>S6opS>Te|cCTm%s*LbRb&Le0X})5gq#;=75N9R)junjD3eg(-!kxsCh3NYuywZU+ZPsPz8; zE*SBDi1Yn_=na37i`q>i8E^%PGrf=QwN_Y+5>#a1XmlzmES3FWC!=7 zPX$~1yT(UL#lJWXb5qu~QzEPUd1^;vc;7A&PaCtB;JSUKrvU@NYSjW}PTj1W9r-*& zJi0m|eK1(dqwCS}@o}9Npnc{1RTM~Umj?0h-Ap!gihgs9HTW5g@JTMTPzH zR0TZN4{3#`M5V=eC<=0*C+PajmvZ}wbV`LrD-UI?JWmot^_hk|zc76a+J3ip)%Feg zN)Q#)k8p#fPw^(2vR{`aeDwTd*r-3B?d?q>1i~}u;!3T+f7Mzfws0K#+$|o;GL1M=%&$zyQqxrM1+;8D; zeVG@1HcOSMz|+-p_B_vv)4@Hb1Hd|D)?$`1-cO@RRaUm$EbYyiYvP)^ zQ{rYg|2WBtrj@3IOjzTd!sD55^6D#SE>MYe2lMJP|GUr`H2j8}(npu0O}&My#3)u= zr{Cm1s8dtGO1*AbojEt$$<_25JeBq1#!#jhRIT&>X)@X7#UlY-wv7!)2WuMAWCh|+ zA@r2w*pbP#A^0PC&Los_ywgeoNK*F1fpgt{Xg((@ks*Zh)T`1&u6(BGdXjb_!muPT zm|ydcIozAR&l}kt9Kejs&p4^!Bdj2i3<5FL{UikZb!4W<6*;-t~s#iZ}_s) zbnYxL7>IO2Wx7zx2D-^NlTbm2eF+N*K0IE@S)C zXmgHXKS8NMFyQv>T~MpBOoR zB9X7bs+6PXz~a*HjF0GWyFmKVj(vb~a&>aeyDcm?c2-Dj_ZK%Tb6HxRNmKC8ZOm%V zmmr%zTXW|@9eS%&P7Y4{ki#@BCT#1A>}<~{+0y#?{Y5LD1AU|(k zNmZ=8C?W?fFq#GsclRG7sVtDct6K`7^LEg74^3yu-C2qd7?{k<-LYs(x+XxQ^{rZw zXS`upYq2_i{`1BY%l&-fW_uhqB;l@i8OxzRDT6WM6hKzap3rd z^8+A}yao6(%8fN~-ATqrC@~at7_IKxV`Oz-(_1CvBLp%cyNrXjIbXUQ4^uex_4fD$ zZ0t;9c0ji&}EZfyEnCteE27?HApPy zDtRZr_;HMkIJyU?$V|G5S8&xux)SE#Zg!%q(CfVzL~~9)`*q!_e%o8>qKoJ{hfsJz z3V2p0@857&6<%kBg8MqQvH@G`3~Z|I3+1`hh8bUK-B%sI2V9Z6dKb*V%Lo1?yj~6r z3EKJ}yb)f{m!uuPGwa{*MtUVkfM@kvzBl4R?uKd%^=Vs(7~-Nm+qJmfYq|+Rz73~Z zH;`%H)q&5qaRdCHKcohTgw;(MEwlQ-@;H&ZpRO2z!B1~AWWZDuFffGIXY|K^XPY;t zH!*7z?kHMQ*#j)SGH;drU;jF#4VK(2(%-(bd&4|FidIB!XVx?E3B0t&9mIdqVANA| z_7iohfMePp|?5yR~z=b7%FZ>PM61uP;9jz4p^g8;kv)BCTy)rbz zkOVR5xo+7@y1I=IYF#heN2=4c%6JwESSmv@yA~1mTGHVOxVkv&?p>WW<|lg17ZBJo z>J<(YWs`!@F<-ZRQZ%OyQemSlJ4OrGucG7%a|+SoFLpTzlD)=xV4qcRT+p-fub$mT1PB+{0M1~o1WN%fSy>3J(r*4ik+6&Vco`Oqr zv}4zp5_^3D`2Mefs0p1Le9xem-q}G&sJ3m9ndfq^9dqmoFPLR@M}#!j<$`4Q7}0JA zrYZz^jK(qebr61>ND{FA?opzSK2c6?E063gH?+jT8nAM`5+XpLJGO116dhtrHuwBYAyh3AUvf0{UeB@Q=>2JkG64U$ct_{Sw0NC8rzC znNAlXO%=DVywVW)xUiw|cpoInT5JBO-Vo!~LHla*7JnTeAVp5%gmOS4MYoP&1Gz=N z>)LBF;Vr-@5&4n74&2M>b&!rhG3A{eM}>6?&l`@}aSa^vS92wv*^pe#r73GDb%xWu z-Zna)KV2@SiG|L5vE$9P2R)VA3SJ;2$k&HnT+$jp^o4hwEn-yh));tANTqVl_iWM3 zpL;b_p)xHcJ~&`DpNK|lO#rDv5)Jf80t zwu#~>XTM$zc%5n~yI2U5lzCqnVzSj3bC+d5vpszJerkGnthk zmO%+zk#)0kA`dI!cDzq{+h_3iUpTNM7e8V!wf4Nz3BNpir%cRX{teb3bINvd3bkSJ z-lmRa&(84MI_J&rRO-HhQ%P62rxBMpHev7apt?}a_|m`B zzo>!2!71qWOp9u4kfFkXvx4=dzygb!htzxVOk9hcnexo)I37-#>NfI=gf0L5?=BhW zH)^5Joj>|guulf_D-^EoD7cqV=+&N-CzjEgNqcUpj|-|Wg+aHk>s@5-{dGPoh($)2 zb6-Cn)sguxHs~qwY3QQ%T4$I@I5Lkm)<#utHF};)srba&I(O2HCK}1c^`$efyO(X9 zI|Y_5k%(k(jaiQ&KkSF6@?`O0fJ$KcIoeZu@G7MBoyQ#3hlr7SV1M?GALlzNmwhAG z`S`zeVdIAC_4i8SFuO7~`ikRMg_+4c|MpxSDqxf*phu@Jjdh2=xof)RD!zAxU;+{5qkXPkImizeU|JeVRX$;0PB9MD&o?L}_(d4^!M6xFiu2qkDl7 zoVA$Xyz<}e{OyAK^NJtb1w(Mgg7YE((Vq)rN;6hIJWwZdetNuLPoFsBkX7;CwRYTMlMeG#7hj%~7yMMWe$d z8W|i9e{68(D5zliXX5_P^gV3Kmw#RtamM09Y!P#wOLq$qxo7r$a#^Uf;99&l{HwT` zgo9XHpt|;#kic>66JQ;_7k4K7UviQVB`@-=Wjw$H80iHo?nm7hqh~MN5dY8#yr&dg zcgzPvIoJp%>-sT=#wq;G+&d-xyG#*ZSZ4l!MxEEx@f1=(McgbV@gRyuM5)~$$yI$s zMdR9hLQ4|?jR=a~v~ach{H5}wpCJWZIpKNapO9R?oJaoVqz3F|=6z*2F@_B-D zt8qVn^H8tX%cfb?>5JGZz)tL(^$R|@J=gNY2Bh%4e#cM7Ga>a$wF{-0>8)KnI4L$w z+3@nterbohd$^pW{<;2lxbkVc=F^S9mr`YSM^?PDF#P@s7@)Rn%I^v=aLT`T-;c_- z)Dr-sF;s?xWQxECb!umlpUU;r(PKTWzz@AXu(OZ7KB%+nw|v~3Ajau**@!ZldNyw` zjbvQ;H~qZcYR&}-ujw@$)YHW*B;h(&l4@>suTmx+#43dcb+3Nm^BTvVF67oD-d>2U z;ehzKXNR=>Mm+oKE&=Oyz6qt77|k`)WAQ=K-xySzL*kk5aXVLKBCK1mDCYqzrhA(V z*XQXLhz-Hb1_qhnyEX3beTB@KE!b!1k(HnO90#P$`jq~z`t5Q3tE(Pl{+Bbm+G{>d z+ZUr+@`7nvi&^CFlt)>f`{-w4-V^k4sri03Hf{TljIwiC@)rTQ1Qy1Dtxwmz$Le2> z13B+M+;Sk&PkCfn9W@9(?~dAx+fxox_mcseHjIMMuj zdEc)iZWLQ69b-_NBJu>$!7Ctd0;dso*=IkSS6c#&A0UgkM2rY&tPM7}CX=JMkB{ax z)zRV&Zo*<0-S@v_b&esX8ea-%Qt;iKqPj0+{cM(crX7WZ{}g|eHM3px+pd*wFut&) zHP(7^_U*K;k9_$S3nb@)r~6ayYH8i)a)m#FnHOw8YJ3Q;_9D=qjzCEmIMZN;FU8nu zgrF}%;JkOLf~(WxUvVvjVRvXzajr3}lq++fm> zftu7k^OBmcS3>YqzQ=D4XUV!xY9-30%hR+wnr#QSQIoIi`EjY9Q377Q+*NPDN+FvF z)F8*|K+Z2!?)gU4P4p)ZWa&$URr!kj6MKbf1h9Qn!iKA=cEWWa>l3p28s5tm64ch~ z2e;!8x3h`J2%XtO&5wG9x|w@S@vxqTPIm;&oywJ2B?av*%aD)cr1TXJC8*wW;vLeI zLOP7N+<;8m{LEm3%lh9sL#Fj(8r+)X2JfqG^&j_{F}u9QG&!4qx!&_;_fvzxI6Xr> z59&NMEE&O{6f+~?V+^|0Z8H`Lb48Gg(kzL&xP?@hjs-yVQJz^{=rX-4``#8*;;G}<@$U%pbWVuPY zonPt!j?7jIT zC{1bd*yNio9jPU3c*iIajfxd)+=6Hp&X;kWIeWx%tX@6qR$7T)=x|-L3_OO<>dUx( z<39NYaOB>*`yPL4J;jqMgU`KRw+icWfm~imyZNg6AWsH5h0$i6ym?c7&d*OApvxb? zIU>p#besr7uTc8m)YLM|$^`rvXd@<-r9b{t~0uQ0wF;B(Ve{6MuN<7F7vLE-_J zQXzV-ZPfTaPN8bG*n7P~k@@~{e6iCV-I3YWhH~yZMe-Af_q$nKFB>w|Ru&wP$EHYk zJ>E_u7PUZm^is{t*-k}1B|22s_qavHE)MXIGH7fuhP@o+ZaqiR?s`1KfD5?^zlJN{ zdW?LWe7SI`3uvr9AM>})ApkRqAjlz6IcxZgbx~{yCNIlWIA3%IL}G6ix<^<(xJ1*-jPB z{pY$4mR{0IMvbqC=*t3(NYya>X7fC|N#yR&fQQkqbjqLb@3U0xzh6e~rBaM!7P6jv zKcWxc`i%#gOXWc==dBj~%H>p^rFz{vp-LB0s~OQZ^Fcm%Ubq2StBY?;a`rO;Q{YP+ zT8=-DZ$PavX{O8c1JA#hdvz7jn5&cJaSf&9E}E}g`_+Me?N@&7iQ>u^U^As4=-t}y zId6u{Z^pjUfgbLO;k8&a8K|wSSm^`XymeN92_;p508a&j20j1vq7^^)Tf(fLe|*b7 zd^+7$o(I17STFZt)9JCtSJQM_+Mnw<{L~OMgvb*ry1RbeEcaXE2kdk^fflBu6bOUA z78a8xI$TklI6J?+>GFWeHF83Hd-TD`wN7_t!hg9A8WIsGgCKC~A2-kaSPcZFT!7N1 zy?LXoJAJ#Rb3@x|E6u8V*cHgoROaih51Mzu@XuGR{dX#bINhx=gEGMghGadHD&fcA z{onS;b-BMOp-;Ly;Aab2GT%*{*Dh2;;eZk>k12z+KcT`HY5RGv9d;u?=dI%NxjgI- z&pbYVu}zM*t7U&YrI%e@7D3MREou`PK~m$zWn)#7s$FEXBKK?jK4k|t_e&y3FU}6i z89?QP%{_a(Z`^0IV_;q>|plDmmGuGV|yopg#d4%_&<-JZ1 z{qV1)wgF=Ag)0N_x-@+ftQ4rH3lQ1T->2-ndSSZjUop}rsN3hTOmbgj zFn;;xD7}%qPMI7yeR?(K$tUqPlBjLmFeZ|^u-8Ho>8SynNDXLE5osyQwA@&Cv$2Gc z){#fO62GSAM+(|q%sxD_FY3RIG$v>>UCz{bG4b&IofAQIaRs`Aj?QfWzvHU}0v+q@ z{Mx~l5jp&`Eu`w7g#s^9!UKb#4pyGI$iL^mh$9fwWfznxRdHJiIds$sXozUE()m4bu)LPy&;;FlUTv3squ2tvwaU(qxWf71V-fHnoGFPmp~d^UCYqp3|8Bb7eA z4(l&_(N%##WB%BB+D6Km-o#eIEvqZ6fq?N9z+7&#_Oj7_wcY(sVYhL%G}g46^_LOg zuIWvzuzK5dpx|iHo7O+RRhEZXi(Zq`RYOlhrq>Q9R#xrs*NpV-e@WiB%graP4M+cG znJW#W)S#fUhg+KhWqQzg>k?$-<&g1fZ3Z0^exEnE#|Xa&3Nkvlglxp>zx^0d?t2Z? z@!WAk{S(yc6I!duEkSRe{ScK1Q?{piF^iN&AM1KO4BFyJ?z1_DR9DIu)L&kL$O>+> z<6c3nF+qWZ3GCq@u|Gd=K#3QtL{Fnuus5NQ7hzyIY!^%sD@}U78t1VAcq4duKFmMw zVh1M1em45^_vS8dG0^GaPWe>C%T_q3+@OB*vTt=I_0Ktv-RARpoy}I&J+;KZFXiUaGM4+1EbMwNof1= zCTN*5F@MAn=0GC?63HoV#ap@ZShRn7Ueml?!Qn;QFnD{KbX<7Qs+&KCKLV72iO-FX zLoXd+BV;0KVDaWfr>5JtWv;-Sccyb2#m7$~WjDC!i}lJ}Iu(H%prF!6*0qkuZyM=h zCbmIS^_S~T4x4AD@m_dTr~jn3)zAKeq}i*)J28<5!O@X{Q{Be?R1*uM>U$GHGjuJ^D!u6VUW8x|V^Y}CT? zM`3J&U3O|O(q>#2Y_BuPu|Got{wzgomR|DeS`vw{h?zEE)BUwA3M3Ml<5+lh!N!S= z=X22_et@Oy0Z-e1KQ%#x{><60ItaiL)4=(7B3oAr$K?74z&+1sSa#`^!fnzeuiZAH zrryJ!x&Y;1-(Hb#!XW95Cyes+zQHHucB^g_IJw2UO`+Za61%;iGcSTSRf`>A(%!@; zqJfPbtD+(h3#g~!JJvRDnJ;MN?~~hfFDuHnIF52Jm6h*JT>q;^AA>>Dm3MjIR&QH} zy65V7OfQr{0cmei%dG8-4Ke`#I2n%)R_|dGl%?jJUu$(v{P2Mf_izQN_3ZR@oH++{bz7Od2 z$@Q>k9h?v-QTan!fl&U?wkuClt6mrXs1tASL+pEqry}&0^`T?CZoWlEfa>>tOmaqblItkvlQ&}HeiV^W=O&$)iL32)f3u3R@A;EBrO;KqO2 zarV}I%5mqVebABrHNOh8>oigeA%K<6v&r{mrmT&aBlD+a8{n1DDIE>j59fUBYWJ|~ zj4nFDsqX}h)L0&JmM%@?QkY*>k@io-u?WNAe@+Y@+ZYfC)U z+mkoCe>j+6t+aQ6OBfNk<$74spgHq+|2P18^sioedgXi1`hwm+l+yaaA$blvoBwwD z;mXTdLAJgJO(U^Jq?}5hS~|ErAhaVj;nir3-%sai2$jMBYr8BGE;F%E>_C;Y!%^b7 z;B#RA_Y(VzDrjw9AdwRF;^Hysj|ikITb2DFX`F|;f|$7K4H;V0 z`EECVj^AK&bBY0T<8m>`D?pYqLh=ViL-h}&z-STt2`BCZib1PWdDn8>r#?Ogh7ZSu z^zMq}ic$lU*7jS9g~jBvpOP^2Jy27k0;1fi^>ApQ8RH?+H30XAxk6_Y(boag&^qT! zW)8{U5+C446C;?yRGwu&UJ=}lv#nHyY5l(2;GOi16kRBB!GjZlc1B)vhY)=v-@1~) zZC2Z88=zk>qJHY=JZuK3uy^JNIA3FvmZKMwl>0n)^e5B4eG5dbdkTe$U z2#tS789`8|9MW^U)88SS#?K+|wf!b^?DFd$C`?j5R!w6%DNBz#?KlcWlGAG6;rsBN$f%5hF5d(BpeqgfyQ(m&03Ncbr=Z)6h79x zFXLS^Q}kzBpsO3pAdiu#5)M7uevD2dlt#BZ zz+&2=Ldb0M{77~6HyW*_eo1fHakCsh8Kzyia?mZY2QvT6mq`m{LVc|U+!|d_qJ#8< z35x>>OdRf1mj>sOT_O?Wf^F2}1OwlT2r;1|aX7V;0D*}$p`$rouCkEPD8X|0T#^=D z^r>{Ney9TxR~Xo(@aH64E78pR&r>nY3(4r$yhi$8Vi2kVWLV2?aCr0NdgLvKO&FdF zBJ$tek6)X>kQ+u62+S|CX|*ZGcs?A{eo$>h7#-{ny(S=2u>fs4-+df3j#rU_qWA|t z^M^e0%@%eOqI?g=$><?c^OP({G)DV2oX*K#$~|yG*18W^&NI&PzzV`G;;P z`kxf&bz`$V@LVyx$-7}MmBRq1=$%M%MFLpLyJOT}*I-2! z0@BpFPs>TyautmT(x4|MpdZO|<&P^>X`uHPthJd-nav4x5Psr}xLkdz(%3^9-LYj` zSB1aMhqIjHWHuBywGGKadCo8L``n{F)SGtC^N)Gn{ok~qK zx_B%Ojcx?e0}*v;xPK01XV)ylenhMB1zy45=?>EfFfAoA*0KTd$qk^jTldVX1mX3Z zWx)FrSWOW*4~2UsM41{K(yAx5*r%2$kYBE~QQ=Q&aW-yn_5eO03IqX6>zlxv8+YSM zN=_(F5M!$^+g?cKuc z&o=b`FtD+9RlU(!QldiU`DKH!z_wrvfDzxPr;ys@w{ z(dH<0ieW8+3eG5|90IyM6@z zGza#9oIMXUYjzkpRxZ*=+afeeJCFIiyDZGFd9aG#jF4b|hKKedAtlk6E{9I1+f)O+ z-=slW#6zO^HA}WIzuuR#&S|TkuyNGC+lZvHrAW|eTvFN*vbS>qY1$nq^Y%)KK-Z`T zh~R~gbP1GOjE;UTl~8Q=E{v4kid_?g^7{&mfa2h(+E3-v3;ktTuJAC$izM>2fbY#p z=Uj><`0|F|Feb`-z06MitP4Z$elS}!$}#Sc-`&-y9b0GHB}`7=KI2mbNpWx(X3Q0A zSwHJl$4&MJeUna!WXIR8F=IG^w6Ajy*tHpdGrEf&3t2Xk&f+VxU!kwU`Uc$L^wxt# zL!X}*Z#p4hnSaBqL`k!w1$n8r@|E@C9ZRA0&YA?i%dI<=A&rLg;l3WcjBzcQA@1~j z^*$E~LE*{I&dM44U~o!;!^6{ORMNPnW4Ap4RSH0GdQJjIwV;iD)9WU}a<(qBL>Xm| z72j#-JGJmnx+7UCA~=^Ux;p)SwUP8dyy(Y2o#!L`cn?59>9qur$0Uq=N%Rzw`0S&- z?ZZC}SoG^1<~+W>zCAy(CXTF2I$3YAJ@ri8$9J21sCf3v zW_VnT=i629?u0Bl+LV5=6M+4(5$2*+dvTwRny~_(OH*bt*1DrNL^}wj-W7HG@ujZC zmu)DPv>JRn_?DN<Wi6) z_7;%8*Q3+qMi7?#b*P=<-$ar&eU}NG&L_w_Eq9pz`MZFvqwjV*r`hKG^Q*2$uzP5( z>u>_hWlJZ!Ek}XmkA$cTZfE(g>aPXkOB4F+<5LhbWao7^l5gbhc~Z?jxWPrJAzw3d z)58giRX@Pfvn4`rof%k=nu!->XwlZc)lkd3E;Z;~6yRZ4NdEXPwTwpk_?2O3ygCcd zwGdkV?T73gTT|RAahFzVm#2S~A?3~Kq1+>(`r&#gL%C?6B3f$pB%kMoOrjP~vd<6p z`z(B@=+{Lmtah4de7%5kbluVUjZ=(r^~dWG5pfI19F^qk zFL%~9E`dU&bK8!+r^}{PK2R;%BmO`&f6HHaNO%X=v-Hz&Ltiic&S^v-oNIF;?A{Gb z!66FA;pZZn5{8(>+&hH>#PDvdH3^9DM8mOYu$i)mU1VsU29q|E+e*Npz`_p4gktVf zSrf-YLxY_}@X&Q0w;LNEyqy?&~RXhR(sNSBgc(tpG^gP z{U86Zi!|Y}WP`tpaEIV(Oue`iEKbj=J3Ya4#G$Zm>VBF`1eM@=A|qOO|Jz#;-U764 zgwcbiU8=t|kDUJahh*Cg+uwTR>v>;oP);0p*dD{w#CurR^|~xxzZU3O35+^k;8>3^ z5-Y72yILa`^0y&XzHjiHT*f8GUAB}jq2#BS6R#F@JI8fDnS=cfQgjHrDKG-(9ygi9 z?uX&PqX0S^!BhQKnU!liZs`h0hV^Tc^*{Znux`!>(Nz~xzV%G&t*9m14{ItaJP`BN z9O7)`A2_21<>IIF>YJs|WWXc3I81&n%k0D=;Mb5&hD!l3A-N$OXRww`d>~=Q`S}U? zjmYAx4!tgLl+o`Io}nml!GRppyDIUS`?xZZ2uh^nLQzE&6wl#4rfH<6vPl+dWd_&# z1^|l)cn9U@xS(pI%}6wTDJv5vu=W?u1=0vOEzV8eu>)7GUBQ>Vg)3hNXNcv*$*LAz z_I&S|=f=9Mey7NPyVQS*T!IwVwAhle!b53bQglchZbFy ztEp5=ar6g`4iLMX_3hl>x=eC6g(PmE2nvi6XgAJ1_}3&JWDQhlZ>sr&G%VwHcl%CH z2qVf!PZwFLxVF)pLa)6`%iMa4%zQ;7J9mNX47a%j!jfonf6c-C9N4OC*D4jvh|zcZs?%9OY+U$bb8i6~E^m z+}!pxLVi)07_du`%;x)~83%;QJ#hD;UK(cVp|U5R+O{z?x^tet;@4BOePmSUWQ2sV z*fqj=0QE#8jpt3>ZC)FA`QaI(Jo#l@65aiFs+rS4jXp4g22v`iZ{* zpyIgD+RnfG2cgLR4jq-F>H{0wiAMXYus(gkTmL$z>zWSkXW!>nnjiu}n9Q|QOINP+ z#;&Jq;QAAvr*~rt@cdyFU$7bv%3&aGh2G}LR#+cDu#`wJXFE3+O z)82HZO$z4(DnY2LiTj8K>u*_1v>_=ZuNcENq@mgAW8|AqR+O%SZ`$b;4Mw>&D;0Sow15A9vF5 zEaYPf$QqM<@>JdZmiyL@B9D~U1!Me_VZUz&c@nuch*yOUJX>W$knW2G7P~}}g#f}7 z1a?=Abz&uG5#HjYBGkL@scy2>2p2=QzeC*sTNaRh8JTwbhf}LsVGHn1Mihx4bK*4-rnG80}Z+{>Jv60Q6kH~KM;Z#`$#&xwf5>A-k6-j@(Kqbe>K@6#= zT<4^}}TLeb}pV*liS!}(Gml&gj9(_$hj-Xf})aoq&&>jc@qhpi^fC=$d5rnUwpxLiY%Fyhl>z&+qgKmu)0M9P7w~oes@9lK zzIXF4&e6Q~mXK=3PU^ep7IPVrFRDME3lantr=tw1H_w!cc(lZCPq1W9O7moQX8V{} zdcSTONGLpu9NhqBqQ(m16Slro7Aa?N;hsv6dU7b2JvQZZW<<2)YasthNKJT&p=5rD zXkES>QH$sp03Z26KJr#QZh&HTFOT0ijJE={sXpT>|0(3P6Cs#*KTf*4L)_0z`7YW& z`9#XZj#z522*y=F94E`hhWmTzSyTNKiXX!R6))xGO?70FzUmxkU$yeq*QcpEbTNtW zbBa|%74XBK78GAH@iluUp!Uzk$j~~he^a}Ry9R*k+u5*Z>5bsckP6{kZm7U|Me}$c zr;!8WCY-m4AMFa_KczD*h-{I&I;iDhm{4t>6 zUtaZzFbt=jm8r9(8d*a=IOdPZv1|v?2c6Ho%jcc|IQ=>`wO6uK+egq!oKygRYRfVqxiovpK|D$|H!$l>dC3mZ1@7YXEU=Ec;c3P+aYrv_t8)2j> z>S#G{7#gPCh^RjziltJ@5vHh5+^Vtl8ruQ6BjP)GxwfTD_GKNDagJ2aKfk*r9=~uw zLL$4pettSNnTqK;@Ab$Y-6%4oyw8Gep9wQ9YQD zW6u?gx9Y0xp*&u2cCH96wW7pLKJ^pd`XJ7;%jnmkcY&jGPq#T=eH;s+hKG}bxshyt zH6kYGdczQN%f7zY-T2-KlIOkcOFYT!)~i@v^@vB(M9$q@+kZ66FA-RORo?L-Gp-tv zZGR8~TI&eoYF_Vk$gyi^Mge^Ur_XBEug~2W@pgBu1f`J28dxKjTF1>+x^6ycYM6Bn zQfxy^u?@A#9_A#}1QjknGPAV|En;pV@vSZzpkv*<2PM{j)b#vzxr zcSwDC54Y`~oR_NWK&20Jc!E)+kQ+~l7*x~p$F#FFmSo@Tu7g`x!`WKqa6+H^i?{&B zo${F?%Y@v+<&kzBOzyKnxjc*^Xm4TxVjWjOTIv~vpRv9xWgY4JzN-6n^O(*;AQ134 zvRd_wiRwF&@hfP2;@ITol&=fA6 zshY(*^1UL;_16f1m2FQACm?0_{Sm&`K4CUYHLSYhXuGYz?s^Y>Oi%|67Oag9`Z#@sHR`>=^PI0-mawL^_HNzo2a40gnS~}f&?zYzSl4+)V zxb8CzfUwO?tON+E3g7Pg9k@5Dp`VM^f_=lv04uSbkp%aoWm|nK9u9k89J-XJqGS12 zGh9%Wd-Ds^*f=AF5bo~o9NNpBe|X(W4!sbyM9<2~j+>zUmG6P{V={E?wn!oH;By(E z?JkisphvhPZ3CBV%h3`g@5}weSl#w{b%q+mH^pt|Xr7+RR4?^ZE*)j_T} z({1QI@=V_LW(>?Igsu)@ns2?~_CbDN_}zP2EuGTUXgTS2{lx>&Ompr5M29Z0a&a)W zH1zoQgN|MpL#HY-sPpBM51gVqycqBGjj4Pj0fMEJLj1=I?J?E5e?R$j;@u=l+~pZ1 z|MvWJbhC!%AZR+MV-w>3=+8S5>4o}4{O0}i^34_1hb120W-M4}r5M7)Urpv?Na;P6 zCB&=6Kh|Ul+eJ84nTRM8T@(!6-D>zeLb!$<;hFFCb2lpeYaeZd16F$w7e{S$+q(`T z3o7t4LfC45~+F3SecU|t&l2Fefd+p{MtK#&`Z5XfvzxK!Ur}3{9lUeRbETX?e z?;+uK&(NM>%puIFDH_jklP)`{?uC+X_8!x8$)a)XpOKn)vU9e6I!gTFwTr3VYU6Aw z%<37a*Rs~omK;|+ z>KH#d1m7^4Pc7Xo>^;prfg!+Lx=u8VgiUBRFxLk?j5;VX!2fe~k*L&tXtU8D1;10~ zkWD*kK}^Rv{XA|Khs%F-SMHj0*9HFE zsOv1H7QRKBi%I*%K5)u0c{2^%?QjFK>9AY)exO!42!oJM^J9j3q<(mG`_7=bBKxfq zw|0X=M|(F%K(!br1|IP19u)CuC;yAjqQejPfgxghp;5;E7hhJ;{yiUj0R3hzv!1JW z5D(LSI%I9TBpq32PggjR+vUbqG+EiIqt-1 z+e=le>A&x(1!?6fPH(0!he8jL`ErerOF0Oy3|p%UH9Tl9HxNriuMf!eQ6S4#vmfk` zB3&9df0UsgnSlA}utdOiT3r{wMSF=4YxSQcTwCoe=l)TS-+KQ>K#l!2 zMm_XW4Eys`zWU;l<+|C0=Yb`FA5!w^kXnF18E zNznVmtE@PCZF6Lr&Aox86XX8C!w>w;{OF7Ste!dfBDJ60lDUNzkh-tt22Z}N6 zu;M5bcZvJb-lpn057`m{S9Y6EOWDHa7DJc*#V)^|&_RB=9^3RnzC~-af&s|3`dlD~ zQ$kf*M*}LR0+0B*@MZ2!9Nm>wIcozd#(##Ya8F~Pvw}4Wf3ph|ztHY5>#&z|?}5Rw zpjT^GjGl2GIe8b%2M)wH^s&mp^-0+wrvwmS?(Vxpd1GDGrB0|li&hN}u-My_#_EmM z+Y*|*^i_RTFpY(JTf9#-9mc2*rf2tqCf~j!1Ld*BC^n>p5LKbfi9;h}v#K8ZALle{ zgla{~Zy}p})=ivP!e{k>b+8zfyF}g;mq%WaazP8~(}T$O$JV7 zIm}AAl{KGP>tz>BS$e*BzOeaH%D*i1LQEGCDihpJkFfMEToCxG>l7{F zBsn%3-xGQQW1VyFLTcetm8Nh6wcCP?MZ%YxGE`c;LeIxvg~ zh+th&D`sG%uyf(N>AYQk)qVC;C(*TeaITlXrj7xFdi5ksAA^VS(`{Grk8M6ns$tcP zALRBEnf?3!et2`d=6l7ub5o8|w5zuKJGAQU132-#Fo~?QX6sOk?1g{neJC9=TjMOg z0y}f59-ePZ%!;V26>1-g<6bLk;D78`9Z_>aeGWQb)e?3?@)yQuy287r#gQJq?Y&!( zv)D9~t(B=gpZzX`W;`fK?dNSV_mDvSj^MActQqyn#;EEhpf`P#A2!f?7VPv=slR+21NL2EF( zais2`pxTpnZnoQOOaB=gpoq^Y*by} zwX(REDS4>!>FmQ*LY9|}LoHFbNdUHMzjCbls^C0uc%Y${K96opd<@EjKfbyYk@M-P z^}Nus%TH?Ol`TQMa5Yb}aXr0Mcve!o4L3^GwlF>Hl9JDDn-PgU=LEWw=?_d1YA6o) zi8KuH`0)|f?dPM8k4Fm1LsdFu#Tu0S-|6yHS|MTYJJN8c~(}3t>yB zVnP{zTe?&mQO%meM0jfZzc;2>zPO6V7&?8{G>4o=wKgMrF2+tGQ-Lu?1a#!zRqu+k z=EYtTx+lW`ygA~m7NFyE(@}yns=oLTrVW=%H25Dlei7FOfHiMN{{ozNmmq=6$oh~ zTk{Oa;~|hINqslVr&KP8Tx<6fe_pa=Da0h^z>;OzzDQ^6}#behw~-ksWOaOxaCY?dw{TOwptM<)=4hCPkX z=0u~F{Eu7tH386Hs$?KQ(#Yc=NmfLPCrDk|o2dHzzvq0Syt^^&-V9wD0Wb+T zGHz@$OjDgyN0h8Yzk!w-Y%6u(wTSkG0XL(L?Pp^nN8&v+|57c1WE#h*OBjL(+mhS+ zg6k+?pC?g{pVG7kbKUJY6h7vrMZaUAW1O}==b3qwkkiA4iIuk0e5MXott~z8{#khp zd3R0dMYr)-kE*hCPl@t}-dLy?&L+z(tdQzeSaAOMG7s-1^_1(P+zKi%4qA9;aDn*B za(gk4ooD6WHRjfqa1VB9Wx2gOu_Jii6r6BVl!n~r8XWkjOF#2^{yN4cJ?-nF3K)H4 zf!wOg5BVqxn^y^mCaBOp70dDzB3 z^QlabxHN?ITn09raG$@O^mdeRp9yl0R^GxsMF72prQO7p{8RESsOz(KJW>K&?w%t3 z#;3Q2WLVG5+qm{EYpM`=6tB`~s1*ws@#Y)WVG`9&WoN2>H$S5KDq=2TQU4>hK`RPa3iU9QwZ386{j7Pu#UcuU%^fB4DVJAYEHixUROLk^3Q3gmZnWB+30D?cCs$oEl=&{#{j&Ph@grxnon*&Zw&5*bqeU>^JAM~!|o06Qm9xXFqB=xb*9a~K?)5TSylHT+G9FY5_f_RFsA?z5mh#hj zkrWL$Xr@iBf!GFyE1A9duV^9}<*l3e%j}0|m0M%--mkX=sD=%~TqgNlA0A_6$>Oub zajS#?UDUrn^sC&AX1Bz!8;?^ha16|mz56E+bx@gsS_N?+k9K%f((pTq{|kT*fAIfw znuQVzj2uEGk4*AsC;PBU>O%jg9p&M{prujvYNYT2;A<{j;2H6{!1INeF7WD~<__*S zi@Qghv5uuLw(nwpV6SiwdycXxx~}ga4{as&$z#b6{8Kv2T9Lnt{gZ8KHPznc>yvV! zA;0iPr3U;cU|pZWXGYSjpU2n^Idkh1+?DE#5n%z^?8A=D(UQk2N2*a|gcG-8wyt;8 zo!ih))UJhJIU21+BpM}^M+TO=A*v&fOu%<-6aLQFCJ+b$-;H?-Bnpd z^2h}IW!qVP<}4C~JTf6q*Z6sFhd!J6%?Ta)Y~)EV+f^fl7Xa|O`wA?1WLhe?WgXz5 zy*=gWDnIaDcJkQiVV)zyR3nWe0O)s_lR}?)pis#pQ&;+o$#+vu^J?T9nvnhdPTx^u zEb_BX5z%TW;dGHXJv{y;!7Dz7rgw;TTHjUxj=J-Io#4CJlQm73_y-;zNEGtOG%38k zq*_6I=?D(cf0lH9a)KY_-**Z2W6HKB8@0zkUoIIci9s&DA3?n({Ck#Q(|esGpX^{w z>~{)B`4`)Fu|H1*vF?z3C!$^l~z^4}`Dk@4(J1|xPHXq(9^-U50AQzMV~#$on#;S3FxT(4^8?qhk0Q{hOD6sIt14$HTUU-`?_crQa2v_+gI1SC_Gk+QZOvso-cc0wRyRheIrM zxl@PyUB+wt+hzX4{F$dcB|3RzV!pAo13dDeg9wuc(*Mb71lwCBSjGV2TKK&?stlOq z3@N<=S^u!irKAz$;Dq?~=K)Lj)~|6*xkA27{`xzC6XMq&3@qV~p@045z!Lt4WIy7D zYsw}0>rV*ki?Q>5oCO%$-qZ^MET>d*atjp=UET)aN zwMmUxX=irz(P~!f1zY-3@!yGrcPV8OqOIS2>ble-;S%c8KXK#dqXt@J3;OE>PDf&i z@_Ul-Z3<}NmHk@GqY64a*O@@fD>$?Wah@*aLcDzpA>8K}WR0g4gg8f3=pSLslgBzV zgy3aDp>p|1uDOIEk(*^^30*@+Z|x~(t$o7Q1ClF#6kO4FMuHJkX?ves7 zFW?}z=S!J1sI@kB8VT;|WjfeRpZyqVH`712+uL;^x7%Nf`!`zbCcDrb9=m1p30u~l zit*H7z5IyJ8(rfYKtUo3eJYhGc(>me%Y=*UfGGwW;)tJ?dSQsQ@DKDJ9=W~TDLaOy zU=7hXg_V5Bfp88O{eR#xOV@5_u^AE0D`$^8@ubI`A#C4xp#e6{|C%A#Gc|UJH?Q{p zumwAf%&WJo-O8^p!ptYmxk2*H;pn>M8V2@_A&#d}=vx~ev1l2XYmNAk!}Y-zeV^iv zPiy}reKoqbn_L5kLG(LD`$>mVG~G#9>}-Rj)zT0OK)`dYa$vG#nF`B=qwZ$zuIWhm zhRhNnNVU!iOO~nhz(0~Srnw0-_{&$fm3;=Sc!_G+H+;q)Np6#Ou~_j0*=f*`YuQxh(%jykY$I9zv^s#@54n2#nH#*cA9p0d~de+|Xu(-DRHv2F$EcyLEMaSqH z4USrT6y?#SssxWbR`30mbQ+M;?fH7PJ^WRY6FQ}O?){tHm=75-;sIHIp0ycfA||K7 za^#+U7dmOFTzMLluNB{|qLtS}8=Slz|1s0a>si!VJM!0{V{-cXH0vLr1N{;_ZK71) zM*nv$bZFNlI%;2Y570Gad{#PA#_u|?=H%GhDfLUhd;j)5S>H$lTgT8&zog@eP%lYh zs;rP5r}fbwQ#(xU5G^pZ!_*Gm0^2nn(g36WdXQzPY1G>|#rSe(J>$Z*-4V+6E2-4m z_?5<>KqQr+73kS`?O_1igMTrFF^zGOIfkbXj?G7Q(#uGsK{|cCm;>3^_?6uvyq{}C zcJ=?NE;S3&`e=`-9j11O7MR*$Y6owDKSDg%uYA+L+qi=mv6l}5RpEd7=f@X=Kg^9U zj0=}(ykI=}TODnEHwk^lomYqstZn>)4)ixDzcO9pI{a=%y^epTqtl?`dLdPXI;y7i z(J)gx>`->-&&OzEpI6>z8t2hN&HvN5-J#;PcFvf7>Bo7E84C4?l+lUs_P*7vwWfO2 zv|Th!7tb=g)gaUQMPZ+QyWn|*wd(($JdN`@h+SbC&x<0SYmbfTuYUa3n6AKmOr3`J z6mP3Bz+DRWQ+}p-DVy<>ZzmwUl& z8JX^qYDv4fvFJZ;-_Y$5Rp!-@$*B;Mh*pqI^1BgNBK5KfI-JX^EXMy-%2<-DV*P9>@GCcL*qgeTsL=z^^Lgf~svG{2UwV5>cgYu?`^84cszZ=_ zz#jV!w+B+LRSpU-(VhR^t0X^nmwCJ&+3^zG@Df%67_4rdJQP)KM5KThD)myolMOG3sVyV{q8s}GbUI#zbI<_OV14e!7eyRYAT<{4Z-f;vH_ z$&y#PQ4J8TuCu}t&M=j~TC)TyT2>I@ME_EAu~UDn{D~_sus&!Gxs1*{b)+i%AN*IW zm&RFr8~vJK1|RtfE2}TVi(j48O@wey6?$3#T70dfN49f3OXi%cr<8iHGb!z;`jB)j zCMX=F*b+c!U)$pY)vfb!)k^9;h1bmUo}KUb?}45erKs?P7H^B?GZg^v8l>51w5 zQ@Zw2!SF%}`*bf-hCVbuq2qx%8o&Gqf1Z)xS+2*59@lxELw=}^&=KA|E5X=vZymp< z^MiC$pIh-1;^$O;pN{v_@ukXoO{d~l6#iPr&nq)-^IaP3`BdfqtMWlQ@~qI6D(|Ty z@W<+SA06qZfJH7kpvSAsQ`y=B4g9dtUMgcVWK5$D-yRB4FFVb@css;%DIO-RyO-q7 z{ov2n$kx#2`6%k~0q59~IRtUYSirc)`>NQA^Xrs}0e*#JSHW2wc#LS|A1FUl*H-Iz zx{h??*l~AdF9S5O^)l5%M`P|B^8A-FaMUp}{;M+I;NhK|gH`4^GP@2Ayuo9hqgUdB zY&>6t9<*b!`OwWIwyDZfWq!%RiIGIu&kxbk<1E%`sl1YNG(Ceh}Z zDC}oEkiVzQvrnH@evz*6D*(uh&g7S4cKuu`?=Lwh!-wbHIN}H5fJ~WqLqBMc&6iiG zd}m$5F4z#-#1L^uTSn${mFXYByFmGnbp2A5_fN6i)^;Ab9osJcgD<{$yyW0}Ydm8| zU-k`^@2%rgbmY4k`0l>SJ;Cj+^Y^O^Jnijlo$sQ2p=4dA>)%uzcDq=|&nxSvZmZLj zKcg~n@*y1qJ(c;6hs7i9@mgi@@2dK*>xd2M>u5K$FWL=uMn39+y{C@5W-#>Gmnq*% z_21L+?mFHj!C3p_O()t5{U>b%9X_Zd{qZF_@>~S|!>5`Rhw>U7i4~sTgFbRtED{rB zlM`LgnIkboCQhvFp%Xsf2%e8E{PO<+00960cmZ@%zfTlF6n+CJoC+KV*BBBhj4@~d z2}VFcZujPHH@VwAXP0;+Boiu4u+qYgP*7kjBr$aDwkP2Rql_kC~Q&&`scc_Lcfc{dG38Awp{v?s%o8-!YVp_*cVnjl>?+)PyK zvjtmjK^})CZB2T%BlFtx^YWpcw{%d1kPHpueSav^%9f-*2fWyy zJ({SB_s0cij1%aAMByA)s+_W&DBCnGf;O{T{|=(5EMotyj{#FzH}&DPb+5P1mK%_W zYy0I&E_AJu)JhhSq*MX3%yWenp`X5)t)-#4eUW3RTr}qo_YkSH(Ffq!`lrtvpgYF( zD@Z@|mAGbVw0`_-0Z!M?k#xmZ|Ig($7_E&Yc5&;7`IINCqM6oUTYmEL!6WGQ81DL_ zla^o_P5&BegYHVeD4`%O8_MjGelY_$9wA>Sh;~Y&!0O7k1E|DgxPQKfO%fe+8|c~b z**a9BHfAMj>jW!hBHx@pxzmpuTcQTT#}Zem2IH&Kuh#kOk$OQY(PR3vdFZTwnfL~8 zt++(b0Bpbia&n6Nd6H2OAM-A=AMN8GXrT$5-cF3{&0(YCOt-;Ip+i}-?1M)e&)N6i Rv>R)xtz00*ZvX%Q|NjE)&*lIC literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.(0).cnf.hdb b/CPLD/MAX/MAXV/db/RAM2GS.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..54a1d9d647d7d080066a2c4bd2eb7b74a916fdf3 GIT binary patch literal 3649 zcmZvecT5wG62~cfTFR!ZQZ_}VjLJsJ2H9Xy_THl`88QVF5E()%8yPA~KsG|9jIvRN zh?JrXktI_=g~!V;dCALrcc0w%lFMB#xql8sLPAnU2e^tMSFUxX)^5QeUIG3P897O5 zNg0S%sF$xRL{?4~BCQ}LBP%55F0mV2*TA1b=7$y zcQxh8{OSMECDMOX^V&Ze0oX>42i(;M@ky18wlOl%Q58@le6J-%1`M7kRVx;Aid1|G zIvC{A)qWpLQz*>VS0U@aFbr5?zJv+NJJ3Bks5%p_#DLmJpvTd`j*CzFXeOjb92Y&h>BOYj$ zev#vRB&zO>1W)D~T$Y~vyP}j9pTlt#hLkA@<##W_&&`lhF>$ez5_N?Y%j=CCxBD(R z_AhkybkAUU?gnyvD&SMAUz{ zG$jNuL1|0Z2zCTJGL?S1x6L|vvx|N}^46PC(`{Ln|D@1ldv?iNE6_!I2S+}RIkMb0 zGB(kUqH5ACd>oH}^aE56KXCPrP4C9K1>b97wxcF^NP(6-(-|=A4fM)5L&6 zTSYn^n;;<)_w0_~mY;v~r&6rg)hc{Ft5M;YDCH&WwL^WZ7i0Exj#ht0aasER$I0zh zLjHMhX}zO8wlLuig*=57#mfZk_+}%{81!|4w=OMPk)sIZEbaehj3w(tWBmnH(|X(? zODp$KB&}iQHGG{Ghq)+GrQtjXmp?T)j945%9fxf3_MEEYiRc>>4`4f+c zaH86<&S;L_@c2?}*Y~AQoTaqT_jD`V(~`IqZZj2$Ctj{!u>K{{`vOpT-kB3g(ynRb z3wU>J>_He*&Q z1VU%10ZoY0CI_TZlQMzGalyKw)T!41)BL6j8no44GO+w>Uo&bSDjskUhNVp_vgrj| zxv%Ya1B3^pnXjjMCfL;amxh_B#5_%8_Nfu0(@5blUWr)Jj69S}#A|P{I7F*_|fsCaUEa#OcSq z+)`qYfCq`uP+5-~$L~IYpcw)s`_4$V)J({vBJwC3J`k-io$@Q=D~pvX)hd`4w)W;A zHR=SS>CFJe61j;q>d)7oKky8B??QOPh*`zajB=H0ubp%*wpv7;U!(!5mKIXNgu5Ei zSy6?}g@ke(nN(zo67g61GGewr6C2pBkWI_R_lu@LH29_k#grI~2_E*>{%zO%o=0#0 z-{N0)`Zg#^#G-d?e|m`v)@Gr!ptN|gs|2Uz{5*V9iu*1g+2uNS=*lUbuCtQx09ahF zHb=Q%^@d0ne9xHG(VNUdrr_p#OZKYuEO?oO&T}4R4!v`XnXVo3_KVN} zIu}Gg2b!230DT&U2l_2(V1~!NF!9UZ*|(di2{qfRb%>sI9t@zE)>z|Dd;(QUCz~H5 zGQwqAM!yO|qR)l6_9yUz%h1no7A66h+);+G4=2~b(DG*ck$Mo~N%4eq6f?L$G zP@M)nE0W8lp{C-^F?dtU_k5n*D}%3~f1AjWI`vFG*Y5Erz8nLuBK0WFmq>4b%WTa# zFTN*j^dBX%TeigED#kDL`a@D!^UWXdgFPVV{bd1cNM(|>g(D&_LpG4%&xmK^J(-M_ z3zE^}0U^@w?X;#0lJ7Q+Y`}?HoV6`%8{YhuD?}rnO-EzCt*SB@_uDe~$2_-P7RQBV z9u(?bV!TYUPwgur?nF*NJlD8NspX{#RoG?(kUrgTg0rEb*0sM`FnSs`WzL=|K&c@> z{t1!P1oC_I1{e=|8l7SWkW_dSv;Y{?siY`J>WX!=#(({2vm0WNEnXiESpm42XdK}@ zn5k`Dp&2#eq67|9pO*l4SS=+Tq?_%U-Ylv6b+2MU#y+@O#Rj}l1a1n~1dM@<}MjvYR4$#~U)S7`j*V8nSC3!>_(Jt#% z=i<|m)43P2;dP`mx*a*4w3VZ0Q&Vf64S4A7@qwKxz2bD~dWyFr%lhTdXp|u!!9z~W zfa<|dnZszV6wn_1VhTg&`@@qI@Byhvy5m#>2pDGY{g9H5*+i^N49LhcG7sQMggbRB zetCR2C?XtvH#NHWhh&(rn^>@DjKusqr4r+Dvyq+X`8OZMhH}_V5bRsd<);&mOo(!s zvX0cW(Mt>o!Y-hx)%yS;@N)SkvXRZeJFhh9ZYz$0(OeKv7QpfX;NbfDr+xjsEK#B6 zxHEn(;rPIyjU;DDO_dTduzM1xY;6WpvdURQDvj`g{ zbtbC4i=O~ZH7Wk)M}3c%{#{3AR71;Lg6-YK6RfrOdx?ML_-2@doH;!)c0jSJxH zieIdYP>DH@?eFBg=nN%q898L-Z^qsx%5sH<9CBd6K4b1^_Hd$S;Q22(>)$=iIGp%J z=wyshulpkL0gsyY^AbCG?M^i`X}62k#&#(=cT7{%>lm}p??s${YG{0AVq_^I__+4{ zlzSW$B~_e(jb6ui-e68EzP;^z!9a%(B2m*5$BMbedt*eguXZ}Qp%VKRK08*Qjm5{* z%2Ejn%+FGxYT1AKUd2o@Ew@$mx(J<@koS$ox(fBSzC(C@(}$fE`F(83F0sYG%KOrS zv$NjmvJPHk3b1`U+&5~?v@L>Qy***zHu$L%h$<2oo2j(H=@2 zR=mL88=^KJ4_q6%7oYpW2MOZ`FBCuX8jakp_F$v{?*2p_&z#Quy$LTW{87>wu3l}y zRC1wJaz0}n}gE}xyb z_0K=n%{}~DjyGXaoj-9~w{MK5CU8b)cQh??8J`TTnRrk#Uu2J=@1!QQ19>A=W zN3%CqBV4ssg@at^G{FJidYIoS=cBpKJ!K%Aojxy>?@{|BXH2m7rl3qoY+Bc8N!e+4 zNdMB^eH$#Ixr`o-ddIemUQu3#hfJWEpO!K}&)YjX(-Wb6Lg*63HxeyaL{|dO3?<53 zaU0(w1(yp4%&m{ytg*C?u(2%?;C%6(_%dr<15P|7-}6R%@7W> za>~~o64AY+D^N_!v?Il3m>w~otyA9wlZ=enz!8d-rIRoZOo$aG`^%>TY)a|*rr7rR zXc`&!3{`IA*mejj`&Xo=qr7VDxPP(5<~p}c^B(y!tMFm?&s8~?E@s3M2Jd7`_hlzIxrAD{o7UtEa{^slYq@Y!9KqEk1ecnxHbR$HY@1cU!4HU nC2IJ%JG>e=ZcM(_aG~PJ+5mWcBc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KDw00000003|U00000 z004jj00000008X-00000004La>{vT*)IbpS@eCjkfdbJXfoN!WbW~f>rKF;;oU;QV zIs4?hQ$)l4QGNju0R)6M=KJ>L^~(3~UW!DzjmF-c*>7e%-d%r}s;a7gkgHJ?{l&ej z0A|}!FN%8j+t3;eqd)M;`)}N-KFbT*1xQl%_xI#T;dIw(7NOJK+(H<`ZS!JZ#Kfc= zz1kUDL>D+yPo316e6!69uF$Kbp01X9Rwkp&=G!H8)~y)zvpflcb6E__;$@i(g7D{o z+MbNwNHFv6ntNKN#U$*VpF=o2x(v-rm(YCfay+!DTPM1Mol$+QswuY$RDx>yukN$g z-e4E*{PtP3c0IqYMO!bVKCU0WLwD@=>EGAQ8z1-lje9B@*I8TKS@BM~{>nSG6`3bL zF?vtfM_g6^?XGh53%)+p;D_Z%VF_F4ZvQKaY+RNz)V^afnaQS(uxEG29^{c z0LyAA0uTVc46y$E1N~kujQY?d|KtK$Bp=iQ65y;;OrH|R#k5F#9AMD{UeF#xoTMsztHhEQh@gZxqKeD^5+Jcv?^-h$+;xbQ8WP)}N)EjpQ6o^%0IJ z)>%z6Z@>{nnmh{GaOcsiL%5?z9MY5RI}})lLJ#fDII;@eIZb-j&(9xYdt7_A6R+oa zF`8`02fJnV#7SP@C+d{n5$gDD@S6+d);3LCLp2Q0DBZgYeCQZD0Uvb%I&&kg&0A`1 z3hHsHRIc6cJ8M(;wzlzlep^*)T}XZOo)fi~xjnPz*7MuTbgcDx;l#k+lK+L$sw1_v z1o;fbj17q}K50wYd8S+~B0JH7}z$pG4V`DUapqBCH`&eeoIR60v z0RR7Z0pep|WN2VuV3@OOatx4S5CY<0cfWW)*AO4i;E;G%zmT9vkOTu05OXnDFf%aB zP;h1hiKqc_V2Dq=Q>dS_hpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGdUHAr z+(d{SB!aFVWFc<-ARSB$5kT@_i-#@90U|(*u+GENHOMi@*#qHPPKFMkvc!FRo&gnt zeTGmC^&dzA>@yw)1t4pismvabh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLKYz!Sh zI=wr41}BgP1s_6VNRTHma3E?WfHKSs3xMRb@M3FTAPvF@wVwWr>L9xcj z%8&sR+%fyY3uPcJfTF-3=wDx;2qeN-7#x7Ceh16_IzSp#r6*jaHBgQZ9NC7qR_6dY X48lMRvJ@Uo@lKAxu8aTx00960a6~}b literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.(1).cnf.hdb b/CPLD/MAX/MAXV/db/RAM2GS.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..eb76e4a48d1de3844320ad38f9021490ce914e62 GIT binary patch literal 930 zcmV;T16}+P000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I&700000002<}00000 z000OA00000005!`00000004La#8t^o!!Qs{*@eW3BNCs0y4*PR#Em28C~Z;1tS(?`KX8i0~>{L}%1sJ=KSImD($jPw>c>(WV$ustPg0!%2 z2XbR7O!LHNDU_!57-`a z5MpRF9%l}^0ZXKcv(e0f=^T40V&$WV{S=9cio_x2et9uWvdDoq0Z&zas-o1v*MJYo z;@W^K&;xSd7RQ`pmtozt7cO#g&Q_kOOBkd8`OzJP|uMYZzuZ=>ZDH4_t|Ki zBRkKHvzupu`D2AGm?vzD!p@w)i&+*hAo{OE_a^#SPtbRaOP5XeKy8!6(x%fxo79Vt zZniDV>i*isv?vGR)mSBMTj|(J*H(J_ShMuP|ISf)3)#9lG?tAuK|~CvZfpCT?R#8! z8|W?qokgHWpz9BB`5VWDALfNl^_e-H2SUw?f~twuIO@t7$GUn{oBA40U3O!VZkQ|R z5#y&@$C%`j&K0y5l{?4{@_|2jJNxps0O05wR20X;Z=bxgbfek0_HSz@f=dYaaia@I zpI=Ztg<@#mTg)C}`K02fW=_%J2bXUE00960cmZ5dxlY4C5FG~s0S5sg;u1m}5`qe) zqGe+?_9A@9yG0@jHv}nNO6Z{A2k7XLs3?#qDCjAYAAm2Q;t!B`vnC0mcr)uaZw}9t z$)PJEdbsYi;iv*=Kgv#GPZ$;Bp|s%u6@W1+!S>gm6K2)`)+RF5P|3(-+N3J-Gd$B4 zOK1lu&>Zacd*y|hL5EOkEqwV$yNu{0v2Abe)mGt`fV$AKiFthGWnLVigHTaE5*MGg z#ScXH4IeZ=Oc+U>2?{`ex*v*bx|RSY=l1pHegVu15Nba& zvWeP*LufCMq2LU=qOu*LSG&7lrV4P%KeaPu54L#rwZ*F0UzIw-fm8znF>5FI0RRC1 E|E@Qq3jhEB literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.(2).cnf.cdb b/CPLD/MAX/MAXV/db/RAM2GS.(2).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..0d881683cea55a10ecabe7684df98ba8ab6148a4 GIT binary patch literal 1267 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Id600000001%r00000 z008v@00000008p@00000004La>{rcd6hRQ~sL{lzkeeuxfZ{<=&?o4eJmsQ@USyg5 zi(|6cFq=hl+Ps-h;0uVaAP6do#*E+BJvCi3JH+kiMcE2HUEN(@{q$B>ck(>XkI^n0 zV}7EKZGe@udd8Rq{4EtT3+Ruall>3$oIcAB=sa4Ib#}Jh$m0sOocSHPo9>nn+R${8 z?F$f0veAqFeu;>IF9Ovbj}9D|z!)&&?v`H!DAg~I`3t}tt=ThJU=n66QAwxRZ@mJE~1V5gI0MA za76OYc|PHp!J0*LhVt9w0tKMT1bAdMFo*|k1+2HIlYbUu(BWLNdQ7+Vn7p_cQXP|Z zL-kFp0wZH1Scry%XW!(Z?1jo`NXEEWN#;6Gn{VP{TvWL`ql=dy#~-?uwtw5nrCUBU zChaUiicCHDmG}NYab7M8%6_?NTxQkf|8+f25D@7*67!?lJ9Un%jv4o=P-XrooI48{ zD?+pA(ek{}3aaQY+~iV4|FN>G{k#IfWwU6$6%~P105xfX;e_@ykBiXa;V~heb&D4x z9tT!rnFr)0AMRkCw2C%JUZ-h`3EtircDC=k1%7x%X*$H_nKA3S_~cXQ*}y+PVH>&-WTSJSA5{iY&+91GpjSRt_wnAW?*J67hE717ziq8V4{hU ziHL}g*yOmtz#ky~3;n8B9rwhBud4g&uez$bJ3|KjCZhfGtwlI$2O=j>frvdB#YzNm zSm6z-1DYvcPqf=-8_e_rbFrtaQeeBHpn_sSOxOiWMUEK@y&TBqhK8nCOpQPbIRa)awU@Gila)wysyP+ z*l%Jk`De!$yYO;>F5W1 zZQPP^q_?uU;e7QQaBy0GgWUb`3a_|CKI-iOm1Rc4Xwvxxdw%uv#df`jUQhPXrjq`w d2fjKol)~iZ$Hq9X+Wc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DsY00000005){00000 z000OA00000008s@00000004La#8tUY13?VkaEqg$1O%UeL`$_59VKytL_Y^{>L#bN3?$@tQ=(GceH#~p3lcbVVe)Z>7WX|&X_0=oVLqMH`)- zLDyi(^1)?mXy6sVwb9_ggG)X_qcm}4Jn*LAqw>YV?b)L0IG}u zA(?=WswcB9ICSiyv=W)%kI>xK(qYkT&}aU1(PQC&(#a7`v1kajh-Iq2kKR$c;vHRQ zsD>7L&w>h_0moDr2=7<0N>do-CVh$nn9#EolhoA41NxQnfI%@8$cMz4<{Zv&V9GHTqlC~b<>Ku8 z`1TtB0RR7Z0bEhJPQySD9R~sd2LVyUEyN)qs8A|eHfCcl!iT(DB%*LbkkX}u4hnvN zjvk4M0*Qixo+9}H_yQ{a0Estil1M4u%=*on!!u=a=!S@%ZaZx_ssP%Lva{F|M#Xq2 zZ8$&$V1i1p{ms{enGJxoiA*(AGBTMqsfzpz&$Pu7+5-x-0K5BHd1GeKA(UDRUyf;~ zX$6}0_Cak8ei^6>Et{CfS6=4D5jqGJbM^o&!aeNdgCcgbP?pFGSpMms8kpQJ6=-)jFEj<{p4 z43tbo>IJRL=BTIHRr!5;ts0RR8oS;iOu literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.asm.qmsg b/CPLD/MAX/MAXV/db/RAM2GS.asm.qmsg new file mode 100644 index 0000000..1db2993 --- /dev/null +++ b/CPLD/MAX/MAXV/db/RAM2GS.asm.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1629153622162 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1629153622162 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Aug 16 18:40:21 2021 " "Processing started: Mon Aug 16 18:40:21 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1629153622162 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1629153622162 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXV -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1629153622162 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1629153622381 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1629153622381 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1629153622537 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Aug 16 18:40:22 2021 " "Processing ended: Mon Aug 16 18:40:22 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1629153622537 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1629153622537 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1629153622537 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1629153622537 ""} diff --git a/CPLD/MAX/MAXV/db/RAM2GS.asm.rdb b/CPLD/MAX/MAXV/db/RAM2GS.asm.rdb new file mode 100644 index 0000000000000000000000000000000000000000..d5c4ae9717c5a7a8962904fb558bdb7b475afff7 GIT binary patch literal 1391 zcmV-#1(5m?000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IyA00000005T+00000 z0027y00000003_V00000004La>{eTE8$}ehfl}^>N`OE-oCkywMB=qc07X31wR35R zNt$&d)E6|~oprX|i#wMP_l5rrl<=LMb-bI}L5+~$fstnCoHKL2IWy-ndvS4b@h5tt z(YTA=Xf_*fV(4lu(@`Q7%dWQOEl8e2>5oeP9W?r{0QdmYmjLA3G!<%k6@+=lX}*Hb zZ&2ob69y2B)wqkD?_jL#ERBh?v3?EG2VH-_tE%l|FwIx-nQdQ~@W0?+xDV$RcHt)= z=PulpnN-5akaprkYWB?nM{)>3)j9H#0FTC)A;vjoU!KK*q{E4c^Gt71Z>#lCOQl== ze2gC0RAxrEc4M<=N3G-TptI|@dPfKS)}Z?n_mfs`&BU6YBetnru|CP8CFXJb-7Kf1@y3pzR(^QW+(_FifoJJU{-OLvld>aHnk8DC~G{`gR+A+1i zq4sxM8{Sr@LmjWvcFW(xaEzi~V>QMGKf8AluFa3MNg25=nxi&I3+lAr0eHKCn_7 zrcjiqn~7xlOezYkV*Z8QIG7I0ovZX`^SxL{1csEHqH2{TYNx(Vn(6?9LYi%%Kpf=a6d&Xo;2#tM5@BEhVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j z{!U)5&JZn}Kn1K|EkcHC%s^U1pjupgom^d9TwS258QB;VfHJW=9=?hM(lCP|1_p-& zdHT7>yZX5Ly81y3jshxTXOIArH785TffR!f5QE$T(E?S=$iiR%v^9*)(w7-1FNmTB x;!Z{;1`VJRV;{F@ko#c9xcU3IxCX&o$HBk>l$><)C=XBxJZzu_00000|Np*IifjM? literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.asm_labs.ddb b/CPLD/MAX/MAXV/db/RAM2GS.asm_labs.ddb new file mode 100644 index 0000000000000000000000000000000000000000..f0193bacfd5f98585636a038fd18c8b2733d66af GIT binary patch literal 2681 zcmV-<3WoI&000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FK300000001@$00000 z002|~00000004Ok00000004La)K^Vx8^;y?W;9$58N^)Cv8WoUJCZGu&cP^pN^=N? zvYjaDk7*-FlOBqVVAE<)7(NsQBPh&>P#s!_WNid-PQ^w|9sAOAdt-te+MIaop(t2G zbp@jcik@7-k^9XqCAqMi^x7v6=e>C|Z@zi+&FuL8{rmSPk;xWyLG}N!mc;F`Kgn`z zq_1I(nwPU>L=yr_U2kY0j1a}j8?Y=b7%1QFB^U80^EK#>VA#`KWaBIgMY3E1j!TZU zxgc$8^7libmv6&CTmAc_+%L(b6BJPb+NTSznb1k4dliJ7r(aRHtzoMkaVEQ3whm<2 z9mKq}Q5Cq?gez-ZN0{UtI%NUQ905s5(*i9*ow-?|@2U@G?}kY7@ILl>5IgZ7r@v}( z=yG%F9X}51HGxIINrxLsY}ACIb(z>b+LH8WP1Q+g(LW4aQ0UJia-)pxjon?-SQ6MM zCFUP7x|fYRn5z#9z@KCE-aTu62bgof#4;!G(&W1ARw(onI<)lZ69|aCp!`C;5Vy&& z52la=t58~?&CM-d!BY*m^IfLyYF*lTacbQC9fi}fxGdlfb>V7d43zTNzo?&M9ua>v z_B_Wy&IE}(1nbTi)S;P8%WMwv5tfP=md0?NE58H*?$FDK*DYL8Au)KpWU%O933`@= zLfpPE??W*cACz%dU}chfDQxnSu5g7WKA3|ot5;j&TxN*q1$FDNvYlL@*f5BKi|+%6 zmXnoQjFT^B9Ql&xHI2@nY!B9`FhZDPHuON-(`F?`r2=EOK<-ZyF3h73<_kbx{qiu_+eOf8F zmL!9ye|6rck*hO>`6fC69M@SV!##@wKQL^UVSHpx^fBf(Rk&V~W{C;XDtW4aVb0*^ zkKp@fr!0PDn`T1#B;6^;>ew&M${EOn41Xt%zpzoCjvlF95qis*{;3cPMRn^u+#&*3 z$ui6?qg;D!TlXPBYL_jBQ`$aRG>%97^|FbMkk~f$Z8VXLhiIMFmqR9O8cD5(U*tqD zk8p{+D4fJMWtv>+qdmx(!D*H22z+#ncM0$rk7Z}II9IlVS_AH-eXrE(o#` zm|w-pxbc}@FG4@H8p{33)rro_?kcwR6no~3SSd{R$|~%Vg5Os-!TwA(9nn6?dr}g) z;gYhRKBnK_2sWYPBGs{!CGbnQl-`ksl$xHEE)V%e1NN{w*-13Y)}*&sJwdsR zpg$!z1EvxA;}br32NC<9Y6v^k=FO7KEd*G9tRSD2MW|3UmXAyYy>3~E zZtZ;s84qg9*s{_I+nj{_>|^G=fqvkyFzdrT3j2T?v^j^H2UPK`A`0ajsE>22l|9CM zT>|cs)8|8cX|&5rEJ|~`lG1x64?`B$(FobgGCpS+RbD0b^_s$FC~=)m?!t97cN?L} zRyWL}(COlG-r^0&VcPT6-iJ-nB$}&^g)NbMln;4Mm@>-Vd1rDtlfU^$Xh+qtE3F)P zaV3vln>TZ<9Cx4@#n5*nFAPuMNFvtkX<5y(P#D4&Vjot3MXhK!whi-IZ6dtv+3yEf za^?)%6P7R9uF z$T_K-{AO7Gaa`6j+cd`5kxXBsJvadMiVHU?sK2!u;u^1dembha?Gtb%fgg9x@Leh1 zl(RkuA84_0>TI}9{V;?%V~39!J8h0wMq&pKw9AUZyf5(cAXDQifB$ezh8-CUD^5K9 z;&K>tCF0VD@^Jvn|ot-`yo1yyI~FbUNdwp zQP^Z|Yh!jv&fsy4dZB4l(@u~u`+;wBX=A{%kT;GXoU?qeSbKqIn&2{+X+@RTed9bS zbshR3-=>_Om(|J%h|l4Md5D9ss7h9D+ozQLoU@NXKLdj?h3|-jK_10-mnSK+c~*2G z)C8w1M*m0AG$SzlM9nx6j$OJHFvTaaP{v z6wN!H3d`*2{Qa2*-5a~%dMBqiKW{0Ro9r~yNLY1%)K?h!;_8EZM{)$n_>K$NW;~3Y zQRW%fAlKFyz6Knm#rftDa9y+_@;zb|u_w=hQ|d#17RDf-Vb7HBtSR*GNT#pt*o?9V z6WA8B?D{zGwl%u#M?POYgx#`{BS^v=wUI^5i)HcAGO?^^F+z_^9a)(x!~H+<{W*?_ zSTgq8y)5f}2efU%n4GmQX9GC17hka)-@(UK@(3d$IOg4zn2!*aEe~O5MjhKTUz6I7 z?45U4bNJU2g8w&)@8MPVBk|rA@3T@5_mo)83$Y~JP$W1zZi2GJ)BN5Q1&+*e zWpnGic0Ut=kI8e~Iy_|61k}Ue|HQFFM^z&zUU;zN8KLKKMaut?~oe zK7dauaGgtq{*1s~=LdWZXXd$N2IdP3?Ho^;&|fk;%$Muo98c%T8Mu8e*o?*X(&55= zX#djZduIJHOn%o)M2t`DmcYBrGlh9KKG|KYT&LMU$TYX6u|m)s_wN$q;+0&ta@1|G zTT8`Nj*T%-6ph5X0HV)NKpT#OzXp564rx+gsqI=c9r>6BsK1$@m!>c|eMR7l@IR27|;H)PNWO00960UfCZS literal 0 HcmV?d00001 diff --git a/CPLD/MAX/MAXV/db/RAM2GS.cmp.cdb b/CPLD/MAX/MAXV/db/RAM2GS.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..79584697cfb59d79d4238ed375f76a823552f7d9 GIT binary patch literal 42303 zcmeEthf`Be)V2*lQ29w!5F*m0N$;Rk0RaJ}NQp?7-g{z0M3hLE8hQ~S(rbtyz4uTP zdVm0-C4qz_pYQkm7vH>dXZFnO-aWgs_uMn*JkQx%7cN|=WV-mT4gB|L{gak10f8RA zKKG<$B_B#k-_r{A@N&KPJIXZdXlfGwRc+bk^ z^*vo@kDz}ubw#fHQ*+_Me*XUz7hYWbe^Rsf|H-h6yOe9^(bKau2vnoE==**4OgF&? z`9AgLAD=s0ipurbIXB(#ZRBp0mQ9oqXf`euU}I+#z0YQh(>eZHVv0Y5=VDu`0%uTe zxWEz2Bsq{o#nBufy}4Dvm7Xt=!Ikw$6giuYi9_7F0Et~%>upuO)MO+K4_Aqk0lrnO zU&`ML@#g-ys-TJb>9j17m?c$hhf_7eN6^f>HMtd*y@Qt_KLIZktM=fP2*oxmX$GdP zkWfu$au<8h#2Z}X-Tcnh0iwd(vfLG32f?}nkly&m{0Z-Xz5t~E^){t6zmX5XYs`yE z3e&m^e=<&Hb>bTex9aONQrvia&9)`HS{0&}i=X1LH3`QGD#2}L$mEG1Y_N6tpTlJ> zdE*}~V*Pne@2<5Za4H3dO$IObNW1sQ_eQk7o%R=k^Y#|5b2bTzpUQRg^mCjTwy|*3 zac<5|X}r3-rsn={r)ffAb>#rz?i@CliDp&SJkrq)={VjF`nRzeY_r2ui_pvDKkos( zN|2CXYuo5QgHCncAHG#E+g@?#d(QhIQL{!nAhj4F`-_Z#-6M<`8ql#T(cm z?B3;Xen+^Q9_I1El(nr$wMxTTV&RH-0=`8k^W6eZh0~thTp@}+P&bq<$4pIs`f+V# z`wiXzxoLXwgyiL`CY0N9tJW|GwuB^Py=)rrs}Tm&F1%R*Opnr=P7N~-y3Fx!+*dIj zIk_yIeuUzz%k-MMV*7k(73+HkTNgfLGfZd8jf zPZ)$#ULg`vWe?JCI`fp5O3pumvdi-Xn&6uOB3a7Rwsc8Or3xuWI%&iDM?qz)s zTRqzYZ3jzYP@fA6#Yf}-OL`2c*A-4bqnCPROcLkra{$g?j`oqzSgLpY+08Aw;^ z00wt#6^bka&1PFin9pBaveBPUI}MKh0F>(p)on*ZJ-0GXCHFLKryzy;kx?aHnsJCS z1IfA@JQwpuI~#x|-iFk4$alm#9pXT9M6|Cqvmps}ModDHPpQ0SIC+57uHYCh^@n_;IugSq3WU z6}gp8xpRW|lIGkw9PPU}hWPy*>iJrQaqlvxb(d!!lZKL6&o;dV1>ka5qd^=KS;veT z`%y%3i65ioA1hIlXE7@bJ1#8YxXSjz&B){fDU53TYg|CPj0brFt(sibnD}6xxd;u5KB`_`Q(rn>brS)_5+mx{MO-&FyA?#Pu8tAo#mwL z5VMlMLf)h?QRpi3VrfYTEzJ`NJm4N*Mpfh0w*!by-?fJynCj!C^0UK>~00Og3 zuu2j0=>|m+$;nRXmRBpd0?#wx`7M&2PVlNh!w=oIkiAaM*TOT8w3VT{&_C1Lv(&3# z$4%|oLOYnx8mG)#V3n{VEFkJ0Xqty|dI?JXB6E!rshXQ|oS^{XGqnJx6C;yPdj-za zcIn{}YAawfNE`mlbc!!9xs4d2UR#8Ah5gCb#O+0}Dtzt?AGY1^f=!W7@}gQjSnulRY0e6GY+&6`0Y`SGOCwJe2j__FVvt z)0?Idi))RuZ;pF)*W4IL*SzgMupjJ;d?*VF+1edD>o{4x7hvXgygk=8=x?8ZUG-K% zwb;pdB}-ZbH5UxBPeS4)IgQbq_Ep#b`*iH5wW>kgzY5y{y6IvqcG8rlt$^_pZ`4MM zBr>?!M`{0mr~dCT{jW*<|M}-Ugkc2}jNY_{cf6uA9CbiMy&G#^nMp6MQ$BwJ*1`EH@1hy%#)KH--LW{Q&DOw$M7YZBdrL z8k-_jckF7z{|Y=9wIAS97(^d=BLq&r9t{iiG;YK+@52J#c(;Jj!BC(lph0=pXOaS1 zwb4t2=QhJ&z|t8FuVXfxs=iT`Nxb*W(VO23=a<-OhXt!5mlUM@7Q9q@6f|!; zWzm8b#HA998^RyxnR!f7%9)DEZ}hyJpT2$F0vszKGuab`6UHe=s=v?+=c_-ZLH;SB z?pZ*!A?$+J@fGHq|I|mt1I4qwt9+}6UduBIxq#Mqtw->~vdlYOXQ@nY1 zNG5I+3x#a+i!%@uFG}sj9;9PEL z3g+4^h^b&S`0KuOPs2vCQ*YN0&@N74q0Q~9H;1IFWsvtBdwy3vp2xjf(u(DP^ohle zqa)TwFU~R=&SGduk!gGQi6admHEXkg3S@kM`Rp=wyOdUQ`c5yISsk^|*o{noxqJJt zHg@5#qX3A!edjJSfuHGI)Vg!T3-PLh5+Ss@M6@18Jg13!NV#`j3i$YITT1=qyj*k8 zE%|pKcT2;W5LC&vQ^@EywT+Xp_40|2)B-IDaQMu{i;(hYCn_{GT zG>4#EN@De<4xKTU!hQptVXAO2_@cO6?~BHUdU5?-;XUzmx(zMw{c3d^;byk&$$fkL z9Sip(k>}4v<;PtvZ7O9aNEFJ2hYpe_YTz^6U?l0`fi`W6#sQ zM=;ZqDjjD5oK3Afp`)})c529M(n!N@@( z;hj5f$p-2`*sy16y?29p&O&q%@hBV3Ogr07tlB=mYCJ9Ay&n=|ResSjkIMVtc^>*X zO%V4zLOg1i?5zun?TKeRQx}@7(iqvD)}q~H-el!k*Qa4x&dY3eOqqXo-+h;>MXhnW zr2oQ+iDZ$vdgy*@AAhqyGCpL?iXAf`v)%7zY>=+eHc27XkU3ifk*;>f&MQQ;WKXs(!Z9>V5 z2UL_ADREFJAz>!sc6VIU7CvQ!G*c1Cz|@!|^+3;`s*!Oap#cyF0gZ-UKG0Zy**Eq1&K(^5M=vpXGg57VCL}2TAx;r=Nn8Ok_IKq zM`VZ2tjX(8tMfR-qE$s5wN?zh1r^PVE)~;`4uGYWfQetL{Adx6sVP~Evd@OC;Baw_ zoNDX-0xhs^9~geGt_K#EIU(abGwf1ZGVfIWXB-;qcP8-`O(xT->jE*?AwmWZ#8%4eD$t)3DXiq7HQ%VV z_u=(}8p?f68r*=Y!Z^N!TVcel#f;;;?)2k79#I9os z-aGgC$eRy#epzBB3Z{(MSQ9^srXdf_g`xYKE+@xHJ8tJ(K1Xk3V5%1;d$Ga(b!~~D9!a}X1+<$Kb`Tf+ZzV4_G>ToN^{TAiYfpz=e<=pva zx>W_f$t^mw&o66rsW8P zke-*!4f;NkAAZ-q?Qr2vKEa!_MZJGpF+A?)M2^$^;K@$v3PZ~f|B0uMt;`Vrm-ZK{ zPsYFqx7+S={f*_5u}|T-UV+UaY^188XJl1cXjtjnKx9TB=vwf!2! zM}mlwIJoX-I9Pp62&PWa3i{rX42E1g~> z9QA@DOAh+z_H9N&slcl$9i+6+|)j?l4 zI%N3vb{aTEh66ozY6}ipwHJMzTrWt#D#k8r{Crg6?RxNO(a$FR+%-~w`@^`DQ$`+nGG3CTrz`EcWQ{0~pHk|6^C&7T?(rJi3j z4a-uvJwktbl9&+OX_mhxiP-uAV_HdXOG#}@neBVh+2=AD;7QgF8lA*cU65H^2&bsD zh%CekDnjpZO4HA)Vb8+N^4T6|!p5uay78vxt8P*bl(g+C9z;t_PTA{x@ad!Bq}PU6 ze!nQkBqgh-N1?i%v*)U}R7r8({LN`*?(I;ujt$RRO5jT`MDeNSixa7k1y(wo*eNS^ zH7nsrq^uWvC`r3ak-UK>;qD{7aeK_-QB09Bk^q15r-0g@B4m{RSmSm1l=rba{@eb+#6;EA=)y*wL{ZLg(1gLuMwh!P0~^4RwRZ2jq}`8e-@}aF zC);QFH`GT?ejX$#)wK?WBtf*jL(4R|r1k%VDiE6fG`J8#R7({W+HD$&qfdFRQULvI z;m41r!8#2Z3-y&4yKm|S#^xD;`NGzcv8^EPmc0c_DU;{?hU$_zmLw@iRzuTw$oeWg zad*IVExUKtBSOdSVcIPlX;62#9erv;A=7jzZ`d!xIn}C))}=75Ur9#}t*4~dK(N-L zYr3&re!~x&-aSi5#_t6#RQs?`mhmgap8HwB_WP@l+65)@x5kBw5+U)J^Tveg1@1*j z261zW-K~(bc+Uw}Bz?=$rr1Q*JZ@U%CLN`;`HOc5cuQ-J5`QB^DmpkD4i5)yc7*F_ z|DmV0tlVxzEbW1cGZp4GmYh&9>yZ4D_C7jsiud6b{N)GKx@)mZl(2603_fJA)M}2u zkfhq$2Zho%-*;keGKPm1jBlPf+asJ)KR!id6+J&8;;c2+3SZez91Ib^Zs!}I-tzI^ zO_K=uX>=^Lp|}r?Qj3qwK4Ad^g!j2V=I-5sZw>eI&hNg*@TS z@Ls4mM*SH8o4Xk@Hyx)WYHSd~CYgYd+{-{=YCAo?#8~un zb$;V@_O3oqMut2WzXvtImcsx{Qz;pJwaUwOJIITn`LQzidmJH>y9Jh_FZY*2lp}}E zH{z;7;hg9O=aSTf;9Ej*JLZ4C^Cx&`KJ!(mo%V^86n$0jsixBN$PHwzsKygBqddJIW!5z1;6@;%llA9Z!WCAFiqBMyy7{a3Smg+!e` zg{|Mt0!$>OgRx?!p%IONv6Yi`aW;9H$uCO?38rE33FS(FNXD#&JDZpQ&(LE~+ShY4 z-p6fbZ&oSjR5H?YSGEOYJ1o?) zi!kE|xg+-JKfSqid`9nrXZHJu@V3V6+#lCIik~*M`(&TJJt@{o&MnfOAC`5p-Bc?T z{F0bLNLT()K-@e5>8nMk)?mHA6F-Ejr%UQqiH`EfBV&y(b8y6E|B)W4za&|?dM2bi zUu*As!$sIw=UBFGBi^5t`BP090rv#*clN4h?&XMR3P{AOC3B(ex5tc5rwdqaXN~C2 zl{sBKtlIttKHI9Dsqu(w;10_VcYeN!ZR*ME_8oS08w)kzp0}s=v7}!Ta^b0(_6)`a zQc68Pz3ppCux6RFjBa7{qmK=Zzgupa;3V2J7)IQ0C+)vTW)sz#KX@n9zw_HHX}&c! zO8sd?%W$IJa$P;Fu0Fh1l?lgi?QgFC4T?XVfv7QWfMeQWM0(0C5*MDzsG$YK@}FMo zX1L*2=tfpBxa6=3N$PSA%TE^a8wu8Q-WM*By;RKIMm6N&N#nC>F48=jejs<&I`6fe zd7wb#pQ4%sGv3I9!J1hyVvPDmMjVIF%ZA=m=&MN|>s!6kVDdFfX7g3?-i_9SY8nRd zkNh!94gwiLf!jx#vkPlWZAF}n0{@4$EN zso?&;5+pa`dr>n=FfQWy``~cQe~Z7T$X}llJ_;H6EQc5Q^dIL?_3F1`LdxyKO?U+B z#Ic6P+S-3daCBQ!bbF4+@#?N&tPjf63x0>!eQoLcei0C?lhktM9XRvp6xL&L3UqKY z+Ha-rm*VeU9({imsbjyj3EMMI0~$eUG~{_Y>iLPoWEg=-(GyPm{#;;EMiZtE`V5lR_r4ghrJvmnbIA#{M{^F&(two}=LsQ}VZmAb{^rio zZTtcBg!aeP!@=iXvrCD^a>AP_qQWV{gUH*-@ZHk*tF6TD;j~VL|NR2LY4gmz)G+np$n-I zrmNR3l@8}I=(!zc2`;odBs5`Ym%si#GA(JF5ACkrl;DqyPUZ}! zj9sKGxLzc??1n*7nKr@JNynNkC00$s-=i(9cpUWLpe*6-?y7)!!`XTM$M|rP~ zI}7fO4pdjuKghGL&w&my(S@pduDQ-~2XGT#X;^#4Xp$ntT%9o@Iu`&}vt@sMiCfCX z9*Y7y6r@*)?T_h5NL0222e;`E>@9lrIm5Tii8p01!78IpW$}-Am#c1)7URClI`5xk z-Df@(vFJa=MnZS1LRjP*V|!$mRM(KIkXw|0aV+Y_QeSdoWOgUQOUl>eesY>;|7BET zDh7D)O)J_!YT3KjEZPUh?qw<0J)q%=S4b@JBf}M*8AAVH%i<-T`0-%yPERJ*Sv3dt zst7ad?X6#wA5uzQnGA9SzKWT;ez$LUG2aOpSQl@;sgX#hs6HWv^(Xjwkn~sDCIS`R znWtMkoPRNGBy;bm$XK3s9c?m6lS*3Q(H`Z$pWU_pNLAeNx9437<}TE47st+?Hz3JX zBRGE}$NaG%H)7RyxiVkzxVVH#d-Hi{xkm4oR$K^d_neYCc^%u z)LeDEm^+X&^RE+QJ&eb758yHSoM{D%g`b(3{N=Vzqfei+ZZgRn1Um%By32Hql|B)< zJY4p`el0vt!elhWNUNtZOLWy&#X#WI1$>6%6auYU>(g&_dJI4PnW}cAeD;HQ0U?{Y zjoS(@+OK^KmcaF^P`sxtx`ur}KFKdVOZaxTzkb~qacd+$rnKw9+}wMqJ;Ge~Hq9KT zDPHODCG~DVwFCKyCpnNRrkjipaOcmOsY`xt3Lu)@CIfe*byaWdH%o1*f=}YBzY-v! zWV^MaNh(tJ>H81gLPSVXM@kfIMTmzDOus6E_L#q2Bd=Z5t?5*oqN)l@pAzui$$d(e z-{)PwPFxLQV1M9Z;y#%BX|4^B%G#d7uQxHMXKB6iWtZKb-B&|z$njfQR>hA(&PaH& zUD8-ayNI&!fOpD>;lqiU9DZ13GX1uhz@NWkv;z*@gm2~h^!=NcTCAUiSv`r&TTU7K zc-*|N9w%a~Hblz)egS;bV6)S;=JOW@H%&)W^cSTIYr`7fat#7^PE?_xtap49zvWi7 zd|hj%rTf%=|DnRTZ2e(HLcx|!O&*DlzynuL)RIOf{B+)BUKz}8;;66t(y)99F9y$T z3;25UA)=JlD(S*Jp3BluTQN>n0Iq#2l@FMVZCx@n>EKJ~aC+|BA-cYwt7DMDS* zpqul(_T9qnf`AF7JeHXaI|S}~;8mN{;A`81OKy_+fqqhu1ev2TS9>mx3yC8U4<@CM zPgqDl)NYpIrUb&PmhYvT`=Nv%t~#d(WR`#K5kjd1Z3a{++X#HZf3V0gDz^xCu<}jO zw_$$S@N2U=kHu~+V#+@SpPS76sn_o?63-v~L;;kf#%Lm)o2HU}H|ym3wZWDa0T&$` z9@+bbeyeRo&vGsFZLCdZAYAAgoCL_E$2ZU7Unqeuz}6`#P2@Bc}IR_O7xt2hv(%Ad}(!Tmo}$BQB+dAWCQ?TqnK zA1epW(+HXM7y_P*JC6QDv#|+8PCYF zGhYYqtFN>@Wfml$0P2B*rwuf0r8HZ7yB0+ zBFb$UO^nqK6#*eTX*Z2CbCY%KyP!`X65g2;2gUz#2^DYg3;)?EeR93yKjgzEY@wjX z!w{{*E8)I+*F;4iQZ>RlscDTaG)cxV3FhVi@s~N-&qdWjkY=gP#IRdTDPr}?;*CoB zYZy0y?cv-o589jhg-(yM$!4dgVojk#KT{)hKwKY$E*1Oo7Z}2cL^yQV92tnarU}u= zFf!Ur6#PxJKJq9wbr3VSVtnW#ehUH7S3~Tv_)a4bVTPX?)jDu9sTTvtu}x5>1b@$pa*hVU_MVky^AAf9_cwyVGJXYYiUMiYJ>=_N0mgugCU(a$L zHfm??ma#C?wt-WPA_KuKg6sJr?pj3!B|umHcR% zj(^vPg0s^Ynq8l)2#Zc0tuT(rSTG$X7e1tLgon)S((N7RjKO>~L3?HblRhH=GWc1G z^y?0!i?Pv{TW#1q9Byw-v-Zzf`aC~3CNdHpUF>91OkTv-wAxv}kg}>F&a;eOED?6u;b$gLeMrQ(r6= zj}KA^V!F9O3nd8A#7`P;9v4ll|vrH7+g&8`o${29roJ zhbPS2thkzYCl;lqWLm1J49C)&;bRadGJ|P2Ecxa(+(&b_wrT;R-pNE&tRJdGZJbyg z-?^yFbcU{M80(GCt;FIYM_y)Y3{Sq_<%u@(u?d^7nPBk{S&k9NR*f1dz=CBVTe3>K zJ4tO?A#>f)T7MsjzRIZ0Wcbe|NQaMmU6ea*!twsi!?;8KJkd4*c5dD?1<;dLM3Sv8 z1Li$9^dN<*xXN!JCi`V%mk0hR3mt&f6$fLQL^cW&z9`L%HcQ3}&*vw`ww?sbt}bNX z&(17U=rFwLkLrnwV9Gi8+CAE@T~AxQz_Vl9XTQVwTdBa})aTEtyV`wEag&^(RKuK8 z-k(*b<@({gM?L;+CJOow&;P17@IF5+X$@(RY*#i+TAmNOyCIEngVmu08^-geL`T*b z@JDSU-fX3K*?@A392R7-b&j^(KWDCPO>lZv1^6&u_K%dY#eB;BE&SyYSKT&4X@kgb z(1YL>uS*bXHj@(AH(nb!s^iEwKxpBo#=v&!BnXA%jF9~@cqPQTY1b_ZDRP4l+`of- zr#wJv;63q97m(3@@{IQbSFi4hGjVfc$H_o&WbO9iKTHKsJV~roI3&1iu}LUv)tfYf zcuotIq*phkrHE^*7&cEmzlnwXXb8jH+K=vuDiF_snTk!+l^&UiU2MDgpR6nmgaIw( zSgX=QPgvwz@d<vLERUd-lJpDOwyt&uRX@rb;Z3}(8ZG5T|-PYJ0Mc{yrYFY8W@u9tf zj*eKtC!fnkR(vLCnm<@>@wtJ620^k~_a8s5s08HOq;1KIKkv+Vyq9t@E9^#QoB2!! z;P}T&zZS2nfFMG_5(TM3xFqT`gk5&_%&^*lvSEK5 zb}atbU_c}%ZSYFxf{{r_%$k7RpF7<_Tt|CndtuU;5@}o`D5t`+S&Mxnb z8bfZ{V2-Ajb4$C+6_ZQFR*})_pZQQL1yU|V8a zoEEi*rRWn{#{*?uCp^-OHL{Q?`N8GKrC=kfkrGWxXY40+|2=J>3ZZD_4GT?$@MP1B z+J9<)0lVJUcs-m$^7J0Q?```_YG$L9VuL~i)7COB;KAv9BC}vCJh**q-wThYYjLRh zO>>9=Ls!lLJB)I8#FJ7nLke6yB-mz>wsj>pn6@4XcsEJ51CelTouIKiQ0*;>KIO;( zSUT$K`wW1%A4?O%4l|?;>TP1T1Ax&h8NFX(qN%?cJQ+&QVi3^c@egL45^?;glg2&+R zMBFdG%yUeZ1T`@082y*bNy4y^d@YO*SezI(sHeF<%*0c1-5o+)*1Cd@ns z$rb!Wi3M3ZpScb}&s30UjJLp&np8ylBoZ7tba#_Lc9hn*D+zLo1X(r;RzLL(rTMO* zIlxify5w*=FmW428n|3#6w!E$){8Qvq!9^Lsv<#u<3$J3}!D0_x8 z1dad$SJxIy(kce&DZq@8?^ti=s0r>I>aSPDQIEku=;8FEDNT(LdxlIv)9Lx*!$0V^kzC)m3U_CV6Y=K$>z14B9-CgnguuW5910Onsow zQ$u_X(S2ym0}SgaF00ZQCs9ycaZD?1>;*TP!9iyQM>nV9G(aO?Y?3JrA!q+E1Pe;* z%YB}GDe7zWV;2bUg#7lDKg$~LcG6q775ZxsTuG+iL)&)|0TMSS5>V~N)YRTSuwVRn zXaq>P#bLRT-T#&xLaZrzppu2^#ks+C}21Y7uR}#{Sf_ z3#pclKv6l;HTQ4yOVJyFsM|Nff0)6FsNp5#&}b9WDrki=9IhTwpwxNi!=m>bf~f7W z?vTpMH!WiICeXTFV^WrNc6`PR zVyWFTQXp9rv2#9MMSOjndU6#pd4Yh|rI6dGvOZwf*AThPJc7>)U_ah<6?y4hrTG+k z7eA7_gYwrEN^UdAtGmzcATaPW+etNNZH=-zCk$lD4eE5Cky=e%?JWE;ea}F{T6j5I zB~>~8#OBsyI`q3`M(N&Xt>n|#He0)?h$31SMs0!oL$By~n!8Tq9F%@@I|yk`?exbF;WV^}J9ff1>dCuihn9_5IC?_H|}ozqPDQ(se3k^MfdR zLi0CQ_+!oU&6`eWAn<6g9oL0#;`hp&8M3C-;&8didmco_m6dcur^c7_K||KGVreHz z#Ql#c`0M^v=bQL16INl7GF@o)4$k?zg{A0GlQ(Jm0SImk=S%(nVw_$Tn-k;XzrGV!+WY??r-}DFH<9;4Ag)jbo6D!@9d^nG95n->Z1ND&%^P4!{JdnJI-2O zceY`q(kIR|vVFEo;^KV85=%CJ{S3Y9{Z3BI-TroF6l;USYUE={sc%D@X}2-&YTkRf zjMlN47TkM8$a^}heqglEXH3%)y6Mq;U)Irc{t^-O^d#Bnu`NwHu7)#%Nma?J8{$_KaN{>yDB z=1;%i^HzFS$gh=A=CB2O+@;rlgJK$eYgBKQg@zW#!fknEFB*(6dz!_fM^*#! zQj(;cwm9~(Ed&l)-2<6YbXJM55>Jmnoc|?nfc3jRBn7LqY;n3q{dd^}z&A&R1K%>q zBb^i>IYneN=$h?C2C1*1>eWUT6x)|=Y~0wTrHs&U%IbiH^SZFx4UODA55%e(mRE~z ze&@T7&mC3CMEa^yQd33o4O7*Fm*U|Ck?!X(b^JN*W^i^aeGfRRz}b(*bkkzov+R`j^pd3Hm^XDd_! zNg}013D6kv_ykssV>{xU*b6DMz<;Z}4CFZ9cb3k-N7L}pt&);f*>-f=d>+{vx07*r zy_6=6WF~bQjFmi0p5n7#2`o_{-;ICmV0fmDSSv8^Eg=co(HedzPi#~S(xi!XvEgt4 zY4JZM*sC>z_VB(H2jJ+vHbv2Y_^N}cE96#fcI|cr)AV(S>Bq&|&Ycb8!p>=tizC0>;}huc!8I+O+wkROSS0)NQcJluD%Fupw?GN3hQQCm;4g z{S5Nih>!k3tD2d2F-apXpg4FijN{c zcHKPpL{UX05%G^-NG4UXNVPa6e$hfAhH!@gX#7jHBI}gNvT?xJSqny6;*Rd8W9SS^kg}_%ud- zjc5A?=sUsRDIr;x;H&s4w1Cq zu6*Io~-VwQ$ zlDm$Q2U;8Bg(Juj*?{FE@AtMpmzN;2nP-`;(K$u+QE4(2@j|3guD{?t4ysfr6?RA{ zrnHNo4iQZBEfl}cjeQ!i6$y6Bp}yOpxxx#sW>SY+Kd~@@G#wfE;u$7YVt8>`A`B;# z?kfxNAz{83fZM&oJY0wn1?r)Tdk*;g{;5&6CPA|>kLFcMy9ypq6U)fl1@lQ(nU6}Y z02-Z?QiJPK_s$dy^XlF$^fF(v$+uA+l$G9V%sMSzd{F;FK;q81y3aSU7eEw{HUM=_ z0Zw@pm)=gqYtO6dtBEFk6a`t_^mgJfSda?$?f&wxa0VsGx@UJhfS{zzjLDu0BvC?N z9+j0c1T`Oh{Y(-*y<3FJ;zP8rj1=$1=bQ>vZ^!evrvP`qqxr6$J8ze&27|0hfVnu5 z88~xCK7O@bFVl7<}R_FWs%wozizcncn zIjJf0A`O`P!R}0QxqjcZZOxv5j_bT7Lg`q*wh^z_cWCBC$0_Wn&zA33=11(z28AYG zc^xu=r1_7q+6})CjIN`#{+;Q0>1;l+j~SyuOZ@(jma)K#EKY^QE!y>4sZ6LX+DZ)W{^w;l0Zsok%%-DwDX7 zY;$@BuZMm*g38?9t3p}uk7B1LThU(S9>s*HMw01I)x+VGYbYvOVlVWFz)U1~Q$sVw z#@4|s{LxF;g&M~N#1;s>L2e^T(N-fZ9HDUScIiX^LRIUmDAPca_fhmX7MUnhOw)<_ zW!4uO$cJ{2L~AaAYU>FyMl2$G`-R5bV7P6HQ4aVNdFTV&Ei9cG8`=oN(CX8unsaBq zIGoOkrW@xTdSwsEGf7i~RO7Bmg0n!<^V4|M?hRY?LLs`<>(h7q8fQ%Pio7H`^?ll5 zbMbakZqY`Qh}+qzUTbr@n}vv+^966xsC#c(gfc47?HtScCynM zu_UjHKQwtTb)ktn$hxRRST`)Rxl!|FK$q8!=2Cm@PbrGjx@}=MID{}a<+KDEaeevy#X>2JDN`rvfPtr8H4 zNU4*2(J~)hBDrnGYf;fublTqMr<<~Y^P~kMl);GdRB7e-(5rA**yPX02f@(O znqvs9<|8e=oAw+-1B`&Pu2M_cNOZIoP^>B?m5I_WNNRKZsJ5WE)tEl)RKCB5QCPVj* z00MK+eQ6jFpX!3IIGGP&*}ai#(P=RnM2#sWAfMRLXF?=C&oyYgesDf5xZ-etq}Hv7 z@cgy#{ZDmvN`l99^6y00fbZ15^jo{OrO0)Atka@uvi=o(@=NY%Os|)%Y4&&6O zF1)U3l&ShHT%w1>O@~zQ#P}rZtLD4AxhHRL6@K_RQJPJadBdKT{>0-25?7lG=OZz1 zn{bZ+cJMV$4FEzo;#l(;$@ANlwmK_EXnZimkSGxL4AISSRtEOugAz0Tz)|`+ptfFp zAyVV`5T51|HDT5J)uFU;3{sy9VJj?rW+7Cy)UiqLVG5~hq1kO7<*X!riMA9cVXV_} zl8wxXs|x+%X$gc&dgCk~~&TVPU`-%_d!-MP&QD5mKO#m|MRC%;p*a;n-b zsBu9p{Ai6YtL3=-^|BSm=#@e=UP54@~q0d8q|mohY8OrXee4ZO=-Qm_ct=ME`n{f{lj;K=qR%BHvA{$XqK%m8Msi zEVG%C4>I)oCRSuuCV@nZNHBC^>RfecSJvl*{BHhlJg_rv{A~9YsYA0JTRGTR0U3#H zG1a0a*PyuyB$wW**HAW(a~lOzUwl*j$nDx4y?ANRG{ zv6WwrH-=iPvDxq~&XLv<4LSIM>fK|a0S(Ynr@Qyt18l38VWCnrUVX&KanLE&b))su$zNdV6Q<*U)`&6_)U8-(jk?fWWF<3v>WW#65z0Z2xZGwp= z`?t3@5>v|D0B}^KTB*jW+Q_dL$a*vhPnAe2wVwNf?lRv!$tcD~bqT*MXlEUd~ zBf;HONEz3v&Amo3*HP04yMfuG9wR60IV3B)(tgb*+tYfO^+9SXs@qto(h>lth2E~d z{}I8fJo<7Bv5~!%FNsDj(f+jP$;3baIO-XCAJ~Nf(Sd1ny+HQOst+B=Jhmmq`}93zntXEY<44>f`7L3mnC7yrhG zMyutXUF!SDYymQ7_C!EeM&%Z>c7^i8+5wxxTt?0hSaNlSs+iwedr*w(Z%2)zPjc72 z*BqwDn+n%7xtlcoF6{?o#4Lk6W8(e6Z=39F-ev7qnEZ)e34-moFODA;T-}d)thz;P z{(b(9U9yM5w;JG2=hFg{#Vo?A{G|7pInx6l{L|ijWs$QAT|x0&+y){Rq#uno(R%-H zm!f!pF^rgID1M0_hf)%iK-z8IXo zHVCUSdhM6IUOlmTq#-Fkt6LZsB@aCbZFC{EJRL*6P5!lGRq!lzOvvcT>9Qe0wqR>d zz#t$=WN`*2YY>vYE_VBAN`~(N_r!|<1*Ug3daoUIr8DIFGi@f9``=K77-m}no}}`! z_%kbCmuCVBC<7m4fHD@)85=;q$R!y{v&9!-aYFYWqYdT9XR0=`lP56~@v0xz$Q%zn z4y)w5;=uY=FoV}vRZ#7wHY${`-dRGX?q|!7kRJmolNVxV(m!(_Z#wDB<)3*_BeZKT}p)Xd#3Yz?h@u=hAub*eNQ(+FS1 z@sQe~xdTOxe;1+dSoa6|)~KjE5`6gU)$=X$ZrG49#u#X~JCBigWgY9cs zah)9_hZQ#ZSQxfw4cT=oezi6cQ++Mq#=xJSzQ6p`o>vC_s{u!drrZTcFzT!HHgeoO z1NIko9o||kV;^F>TiySb+wF1!u-tD^f3c5l{jX8*b?Bl!Tl>ac;>cZz_R|SW#cETo z(#w%*&|=7yUSBIE4WJ!rI|ZF3nq|5JdsicQxf!!D316?ceB14^J?cgNkQFsi`&Z0T zQUyBMz7`Srcrwfx|KjPZi7JJFddG>G1z~LLPx0Zkyl?-9q^pdIqiMPU7I&A$7ALq% zaCZsr9^4_gySqCC3GTt2;BLX)-539O-tX6(GpD+zW_tS8t(Mzvjb3u%GQi7s(wKJ! zS)k&>kEH!%{OU$m#2O6f6t$G77Re;>q-{pZ@%Y`KMA>+n~ zM9Lb)E|gnrlWWVS&M}THZ@R)~W#<07To`1q(Z05wWh!$kH1Z*KYLI_;I&Id9-u0$m zYJyChi+YLHWjbNtfrrfReDrvU-D+Sr`UaLd7Gb(V<(Xt9@%Kt~u04Ji-|iAgemvR* zW-HqZHiR8*r5Ik!|3MQQ*YKkN$6~iAbBkY}bYj(S<`^ga39>EXH{u zUUaYSBo_$TaLhIVl3N2TGnY+zOV*b`GdG!a; zI;zX&hdFEWKU^&E1<^UZKFFA5((m`>rMLRA%1n9N*4-ErbOV^Vs_rj@Piwvjp&-+m zhB`OolP2`snRoow206cuB+DpU;p;U*NKgoV7er}oDffZj6epfnQotpTMx_Hg_a$kK zc7eC6@KbJO)5uT35g}9p8zDdGUM6Lv6Z!GiDaq}_?xoHV`w00d`h+Ad+;^>%bPnm} z@8gpMeE9cGfC2-%G^`_RUPd|u5(mAFL`rtLYs$ZhU)#>g7C*?tKPr?AEG~={Y77OM zrdOt2m`aSjk&RyixY-#-!S}0O{P|X0ZLK$lil%}eT#~)E%~u4=(?cAGgPsaao0l=! z+F%4+MB3Qzql;Xl^M&mufSMt$Z3a;(HNHhTHd56@smA2$PqPLcNj4N8Qk9LPW4` zM#B{ToGvr!b7rdDy0mBZBXM`RaVuGjIM0=G6GCcq2sd-3$=D3nUp z*OID-p3|Aa-+o^oyu5c^!yEPI%>I!}X7_GVgBt+U2=~~jd(7Z0z{l@xL@K*ZA_|~A zbuQV9eIkqPz7=r!gIlkLKI=^x2hL!Je_bV~D6;}@|6KgA9mSlVW-Bammlzo=i73c* zd0GB|`_FP%og*vyrua5QUO}7cmfc`Q)@OqEuK{3F>50$9BlpgD{})r>X`fa9HuBXQ z;6oScwI{*_#AtdQGQH1d^nss&sK=SaXn;$Y!7$0d*y0A(46^ag7;^?(RcZR=(7L8(kWuSVpzgi~VSg z^Mm9JEve};s(jiu+>-Sg)9<1)FkWspSaS5EQj98$FGZSuLx@^uy4J1PEY1RD7Y zSyD>BGm2}ZHL6BNK=J$TM@_=skf{~bQ)qo_m)lg^sh%Z~ywl>wG4=1GL5jWiZy(NL zo2N*V2*fzkmsnKRh7LdCgnMJ5viv5GFO%PTC=7JKQUY5OYOakOf&e>3v90jSTeZdC zfsAyXmCehS=XaiBfbMCEAxx@47YfdTo3t*H6PXZdqHedWH7zlOI()^LbGJxjKiOXA z{&&0lU23t95ufK0@>A3+>^4pd2W;Nlv^x6)fd^n^$3lsU?a^>erak$xewDB3c#P{L zt_8rad6RBp3QKMX)Aa-8UiO8xr)J57;juWyi!SKadvmj4%$-FPT)l;I)h{G@gjj}5 zpc}&IH=%nD&rRHP5ziRlVfMGt>vbV`L{7-ypp@&tH$AWF8m{*qG34r`ZZd0AbDHN= z!~o~@kB^TW?gsz69tqU|eV%WUaWG>=_+-a86v1;yZ-)Jem8|189>Y;Y0?CkuJDPD7i5k z#~ybeEd!mWC$84UJcC|Ae?5DX-l>`%`I{JkA1@Mpi)ug9f-`fdgX*}v7j?QcuB#8Dr}rBQ zYwK;w^>HXq=k&}LPU(^v7}YWM%kA+bJx4b&9F0sRQx0Rpr@NyBGBf7mFrW{VS1Y;& zK$fDoWHWqZnDdhtu=Y5iE@RH_{>HSCqoyV)w901rZWA> zBk-hGc;0}LKVdwb;&pK>ti-i{FBVn*e8Q3M=R+v zjpWsh?hq}PZyq&tTo&EX0+A6MCbZbbbN^~}jALdG6Y)W?$=<99ZE*ffQyR{K~gjJc*Vs7%SY*PEC_tMSHg(5brsMC#gKpWTVx#0#t^EP zQDcJ~O>NPiOC3J068RxUqWipd-QN95d3r8(x4cJ1S8pt<6TF{kik5Z zU01o1$vx71sgC4sFk^PdvAmmRHytOdO|@-FjtU!#uMZ&n_5Gf~mu>89s4(qz&cLn#hC(XZ6G~fch^NcsG3Gvx8QzLa+9Vi<6vX~;_GsYKh>6bl(S2^Kw zEIAnM3kv+ylT9DZj%*sehst42CN^-U>FUtdMZI zT&uG=!3YoQ@Jx!lgxIjdhaMW3O~u6SYKV`dKCX^`sDEV|wYla3VeAuCU8#ZE-JcQ| zGnJ3L+m}m!1Z&CH-hmJN|Cm3>i1J7gxE`v^eeX4TD|j<6j@(_uaR*~Zi30`8jcwbb zI&_;SJ~KI?Apx4ae^#Lib0>vGT{7x5HPGgZ$B(#+;C50qYdk8>Rn67n*?siZkSeb$ zoz?w6+cR-vBQhkFN06>Qb2NGzONXcf>NXpU-X9nE`H{!&;aBIp4^TvDC$b9biQ872xLi`c(ObSt88$!UgVk-#$f=sOWr3HYOgTI{ z-QCK~yc@25r8hGFP9EDns(yUAk4Lz3vxwiL4m1ybw=+yK5#N;1Z}k3YgbAFRpz46? zr{8Jo8X}~g>zon|HWlrK9qy|G0~_d zE}3*ie+(wr_LIT5Iq)9%)_`*0q&V>)s&Fc=UIqKznX`5zESM>Zs^6!gOuT0K`JA;C zbXj4T)ayl$zXnCjX8e*Oaw<>ZQC!y5Z7*8XP`G73w&vMsZbmELXDcBER^QGFzS@f+ zI6&%PYW!JZij~fAt1vkyb|11#mCA*8$`M<_5539VFD`3FDGh0s1Q|Z_6o;NDWDsui zl?08WNt$;-LNIh6pxnEe;R?a8GrN)Owb;U^#{Z(da9$8?MECtCu5%CE1yo)Fs(D_4 zWHko#jry=(8cn77cTjNT(|AZtPIv83B(d(;g4E!_M5m|5e>^2KMm#y7oIc29q|s|Qv7{< zgVei83T_u0st-SI>D-F@ePnSZ82vds5fxiY!M1P02s&Jw3cC+a&I!2(I`Yw*WQCnE zf*;ztl&+q%$ajb7Gc`je9m^4U#c=*h?^6m%}J zFK{_&N5zxW^|ST^!fg+ctFMol+@H1j?~{U*e*%z}@VjVKz?|DC$_E~9FfBQ8NjTtF zlD#x9oURc+mvyym3xV!=G)W+l7~AoZB4$O<$2jkDXTO`+;ge6UgSvf%x9keeFS zCf4iEYofK)K1a#hSkp&>(&3@pm9S|PxQ$^A`%F=UsSAH!wqGG0yR|q$FD+aI`y2Qn zINcaIX{x_C7`hl+Iw?s(PAm*}el*LTZ8ATH8ho*!M595{FRTc@dsMz+G5b-GJ?xBywmYXk5s_TVB!HE>7ZYGX;d z=C+}!hwB17CHlN}EJ?%HHidZcinvAP1Go01!F~h0J!xPSKV^DLnjb%J+gPp*vAoJV z+7QDP$9LZi@X;+dNN^OO<_&5^B5gTILxS!Wze}-CH1mIvjqIchsiLbpc=DLi-V}355X8T^$K7Zh#7Tv`7Gk>Ib5%FqXrPi z^W`G6**tvNbqf9!vXVP1Z1I(9K{2camA>R-SQ?+>a@^Pe{mtjAL(zv>FYN4Wx74Xg za2Y8>Q9mNxEF@_43dtgjzRK+VDKYg=t)Y$m?~KMf#H+ZwCM7%N0+Np{F#gu#Zrlhv zvhhnVoQ}vYGD7ccCXV$;F3ZHI?9z$o^gXNamGnwC$l9cc?^1y|va`#W=#4RH>sk+F z+jqm1>T~vq`(uK|QwePl%&GS{%ijyGuzHZk?|aKz?en(_70Bdl=~Q-F)0HwASFS{%JQ zw-#Ejzn+-!nQGjhWVs-Xu8yP$P#`=!?EACso}mXq)fp2S6f{eIj}r`^}-z!&2gM8mA&(YDoY)-nQPR*#AQnZUi?2Nv~zD~^i!8T!H7tAVat z`A_wVv9h;yT{eqDA%W2<$-iL{v+Y&Nq3GY~vhIkB5zO!?(2v26CsQQHH@m2axxZ*T%FFWjQ(3Lvv&7M_W_dM;wcwCwRA{bbkr#j_8M zE9Vz=2r{nIm5vGB3)dQ=gI?xeyImz8}8>R|#aK>>gigu=q%S5(;AC-J$sY@e6_czNK{wy+66U@snAv#r(-b zoU*&H{QjIcBfS{;2!T?g)TN(z9MQ3r+m1BTKm$HR^t&2gsJugy)|?3VLFtr_ zdDZVuhfFab^W0q(2&tY){hq*OQ*EWqW5ag*K>&v(K#! zxVooXgGLVOR_gNn0p)!PA|XC%X+EOE$XOKMk>cx8B_v5NkjPl3a)UL$zfHNnj15f7 z6k~^1mxBFE3e_^@#oxUx$!AtCp*|Lu6Dav;bY7Lhc&LG^8-U* z`QIxb@1vAk;2(36v`-YNA9_vyGjSn{^uCEzyH(J*2EvCC@|88Tptg%IHScSZ*S|gG zC%&Y+^`3I?JTFb##Q}OZj$T_+v4if28-l+q_zO2$CteM40i{g&3#r_1HlaM;SG<-A(_0qdtkOyvV<@23BD$7njV(*4Rb`#oP-5}$x?i%PY` z-U$}We>ThQZG%4KZUauFY_GNxJPkVqBbYYzh4~M%FH`x#?rov7nRLTd&P+3UDFijs zhtwz+oj=2G+Qoa{7&G$zh9~3id7-l^ zV_U6R{waA(Fk1c0F1&lHP3#|L)K+@0k|s_k(Wp{i6X`8m>VrndD5j}4kJU63Ym!%}kFJvMTwcH8`70k|cDwpsi)ivU z5i&mKg$cV$PSrxDvIKh*O7q?q;#>-@%y7^hTbMmynJ)Y{D8`G#woB-7(@PNbdvDe0 zAJ+qK;-Jm-9qT@o^YFlmn6H}0g=^$^AvJo&DG>sQu}VJ%cuM{zaM3Vgcwc#0Q^9NX zy=bu0)THrkdj;M1>R-~B)dF)qzSXC#>fM?Hx_h^uAMdbONf^ORC{w{+w>R5Hg`YDJ zL9NJBgMmdxnU69eQ;0Azm6I>-kzrWV!!glFcX>}tO?+M?&8Nj3_EO`d*jESltKQ9*~NH$1$J9B-W1~HSOr#F$w+E7QSgPvA_@++nZ z@6yVMo#2`^{YweU)zePRxB5_K26cZ;!AV@nMF@Y*1e>pWI&*4MFswNq>I}T6cRXb4 z#hCvBy5N6DN1xaA>on!eKI)8Bn8(%jr#-Lj%Rc2=Od}4f?9Z(&RhT|B?&jcMJZl|C z=;IBp@5R35<8G)WoOEJmMW>zciz`kH_k9B-0SE}3b#$BhbE zFb#t+pM+VfxDsX}w9uxA3Ss*E3JI!#+hP6!Tj~!{>yVHz_(J_A>Cu$Ie07`8tr#w z_r1Y`TS%7j1Kp-}7z)T!+ARS&APYklwnwsn-_E(Vgr`aaIn-A|?@Y zlebq5LZV95MF-kB4a$oB(1_|w%1l|0>KG|SE*%n0U)gvk@NXN>xmA?;#YJV~j)(kF zr-hXZ&8C69*t+3$!VE1Z?3QE2QwueRsdNYmd8Z7I@ESM|B{g)!tUlF$HmPx_Fb-ri z+#bFC?Kt$|S1Fs`N}FRE7g}@a-s~gY)%Zh(ucs%L?uqV3S;tefLK+MRo3L+R z)k@1wGiQ~@vO4EVMpMFhR0;pgJId|(^GbSf-^{u&j5{@^3f=x=lh6n)!DN;mrDOIl#cxV2GMA{b$e8A zuWwxL^9Gv(jr<>jJjU2hS*(q$jAK?FNQ$;dedRO3q-gUbc}a&ldp_6SB(S?&Y-wr> zz^S4TDiV!T-BM{C`_x;jE{Ubb~QJcTwe++qg0mt6W7Ayt?$GZ*mGzc;W8D+#~;k?AQ z79aBV(dc(jaIM^Bel(pRe-@{~`!bU(E~J%d2J48&$rUQ*zNwIE1NjNc==@Y4(JvLa zhInNI&KS!;fh!2>0MN!W&bjIddmkDED!JSVdJ;Zl+!j#;b^u83U;?cvyWQQUoqsF?v}#h`F6&u_sNY=FOCue1M(c!}YWMJwr(i|U5)Iohy^ z9T~5`7#9f2tO7#6a1a!^P{`yQ=+69rTW%*Iu*1qs2k}YoO}lqlsg=>o@+*geWU`eH z@d{~`QSb^DsGEd6AVt?`a9ci?q*-NLArLteTd)Ox680Y0$Fn{-omgg(I~R{=XN#Dx zwj0bAqMDWTP}A6$c-xX926O8WlqL8$AokHAoLa{rPJ6sY(cjih$13g8NOeimCmWe3IZ+#ZDvTXrZi;&H(9Nz(&47Fa8ZoKuIF756&^$%YIA39+Etp ztnp)&d@xv)ib-wWx&MR6K7ATa(DDjKH@Oe++qMNs6gpRtpY=V^7_w;~9A5QD;d&pH z)QWsAkm8u4Rk*vsBhN!L1F;X!C|h}GL=wU0&o^m1@bBr1u{E?%O+0eL{LiP~KfovM zsaS3FWC!4rjjJy3M*XTDfbugkPiWM_5|z6^#+4d}k9H^rv@&&qkm|r4!avgo&{h%8 zy9j9eFl9^}1gbxcZmHS&EO)?ut3da6E@91D&+nc=jOPE2(2f1(;c|ipd2&yzP;Pv^imj+LSUfbP88}9kaIG@GlMK8gMk~1Yx0? z47j#GtFsAkG3U(LM=*l3n&CSFYCN(!AQTOcY}g?0!?E0XzuVw=RO&G&=!tY=NwN$Z zl8oIIxUZv8dQV$9EdGE?6AjN6-p}AwFoaVoVv&&r;|JjIawUiUOL%cBYTClG(^f@d z{g)O>6N3hWXULh?gm2sf7x8oY0nst92Q5D}vW#m>?n7 zuwi!#63dpqm|p?_vsyAxn}96!m<95$1tM~Ee#zTmZZW8u&aRf2cL%280^X5dspPG4 zf*gh+-a^k76D8}jVp4W8_}CjbB=wz?(DX+UOxU=VL*UA9Jk#2|SV^hA*U&{k0fdz$ z+(@<`he~Y%u`vH%IKI*Z{v+iM%j!T7<6)`lf37PIp*b8txBh?EqZVm9JA_;7W?)ZE z29ZgE(HR;*#4p||c%s=a^4#pezS?pwZ3v(n1$$<$A@WMWf_0N^r7fgrHWdToJPd>e zucJc3YgK3uCTrrZqr!{0mVd$duOjG{Bzy?bT6sf2c%Tfe7co@61w32jj}D9OFMb-m zn5kP~fd4#^l=?!OWU`KSf11y%mH67iZQ>6fU0cPjH&x}|hkn^xI{~*7AA{wtKnAom z+Hiv-PnP^V=Osvya209W2`+}M6&wh^L@X5Zm!?X8ycHspX7xw-FmiwfL7^Lz(rx%g zWMQFke6Ijsp(^)eZ=`c}|Iqr1+Q;2hw>|E)TV z&a?$ej1-1>ImUzhEkJXDi3d5~$~gepia<#%OJDWrgD-EW5TyQldJmeGW?I}vUQO=b zeMh(0BEG@_I{uyZ!FkU|@oa5LsR2hrk#AY_lKDjZb>X2(V>STVB`Y|}?IdI6{j0pUCVHqUWLwiC>2U5kxDw%|wyL zL)AZM&o@dw+st2^K&12EBaEj{HfWx+k; z$SJ0+L$Zx3|MlEZ3xp7e#UAcmB=iCnizu;5nV59rv;v3|Zc%z0i(n9%Rksg|XalGW z6?zxWCQ)R7W8D{(K32WLVo1**NOE4(1hqhqOfal9Oc(_*Kys3Npde7vYxMj>T^74T z*wnc$v)9+r1FBqS`wXGZ-h-ix1Sgj!4&#~EO0=Q5R#SHa4TLUB+uw^aB0EB=6cP57@paQ<4z_$T${ zgS6S;e*66#4!Knh&F?xprwZw{{F<~M)#r;W7ybuY~Au;GA0i%UosHb;4=jSFd4hW8!LwbyY)yThp2qWELvq)Hfwog9T< ztQ{wph-a9Kg#KTTI?q}%b^7`8#%xsfi6GR& z$WnIPg&yL{K5i1!xsb>Vp?mIoNO$`RY@ppNY$z6^2zs%8_NQWa=ubgYQA7WK^~@_V ztTMskNLe#K9DZ4gv0H0!1rt9_Y;@_7XrL#^KDClPfzZM~IOCL75%iDIQ5_&DWPVg6 z=i?8hOF0t3gqv(d^Ts~HQSi!T=l%YKls`8qrJwaUigP1B}k(p^-`8qiwUxuX5n+5tnxwH(_YJndhY@o z8^2BBb}DK9*YQjS;P!X9d+>a43T9$M#NwteSRHG;#O%~K1{y+swzj2~O9kFF((Do2 zvjN;PDfJt>PydZm#No*b>wZ!%pI~ts17cXsbMqvR{-nVSgZSJYxW}~S3KMQ@EGogz zZ?O`sq>xXDivj;CeTZ6ov(FPu({pCyPO>qbO9TIW-Z+EoD#}hFqf|rJ0U=tpk5qYl z%_+JCzvYjZyUMy-BOQVe;iL}y?@{i6_*uB3WRgbWu5OysGs1{my2&()3Sc2fIk2tX zI$*Z#OmL^DbQMWh4=>1>+K9N93AxNL9QUZ^H|^((_M^Fyk5_@>5iVRsO?k?nAXGI9 z!)Bc^2n>d!$I+fiZ2kv%_#(m`G@+yq3#^-h!$MWW0bI%Mc5=7j8rY%W=vq}6s#-QA z;on}t!b6wjl8q*0Gm9(o;3o(=a}8NKI0*|wf2q(Sk)na92N}^;G@Qr*UBY=mxgnr0 zZPh{42f(P;-mErK5}w@9Kb@ozKj0Z-N;;kERS+E~8qd3{JE<8SN%>uHV{D07QB4Zkt9`)+*@JCz~TGVDF{?~tz;RY(6RT7C= zB2PY*L^H@es728*{C2)c1N#Z$!HTCp^yQC-7*U+H!#-uJApoBP7xo_2C>W2bAI&G2 zg*pj8;3DU05$IdYb;JtMe-3TW0qIIfe28ZhuxV!;Oz91u*H#~l4%rNj_7}r+hYXyL zjyFp}A&-eqXhh_zHo`|R2E1h$G0vw@I{ar;8=*-bh)&_EE&vd#^Bm#V?}vf~BM(Ly z)5W@1!~4>ZNE#+3kMwUpem6&tCIw-H;^Cs~MPZZ`Zye35aTzQ3*neGqyS47o#fWjCmDBWB- zSJNFrY@ni!^eaG|o|LQmvhu^P<|C7%;j!&e7bX4Bd-5!U<2K7L>m!-N`rK!L!K;7a zl6nc>Xx*d%xmH2ibp%5Nl-Yt6X}#B2I^JUUdb-enfPVMXI=AYO6|ANu7)eE`j>snQfj zdxKOcGa#9TAO{pE$!8ZJDMbF@IWGBZ_GwQXCWaJ|ghh=9d33-zFn@?+%#R1D5+l@Z zc?%Ur5|?*?PO|WNMJ^sb_fm<$szChsiy^MZnQU^^Kt=*0tP#86ak1K}`Oj6s)^9Z? zxf1+<&NF^2YIi6?pVO$mXxHSVuszHYaR~=P7pE80_|s^IPRTJ01U>1GV>)O`JO7DG zcrf^BM_>kSKVFChFhHj*@deXyt5+Z6AjFn>9I??E%3TJ(pyNWOG*|p)SFz@M61+r9 z68o}5fgM%N|<`*1ox~+U0L5q%~2mrQ}ddli*@f+(H40 zbuWx=SPTjC2x(!tn1gfr%|sp2D$rJw9-j)>#UiZr+Ckd)dXKqfCo5W}X4D?#(S|ZD zl6xb{^@k(%`{*=N%ua-m83FY+c*6HQdx2Nm68SU)WI_i&O7S789+b10>9^F`0#mp; zdtSvE}e*(X}S=Qjb4(^0=7J6f#K}!EuEbkAZ4c8C!-tp;mc*_ zy_hkUEr4v&sgaA->q2#m1Z0z_RAB>TLnf~8Ln4E~Q8&tf+2HV5-s#EEX??O|*0d*G zmYV1XvXr!cj~!vDdYX3!^ktcaiyaw_q2hw@pqc)=-tPEvyh3lb3$$KeGA{ojF90T~ zj0e6Y(pFgYH6a{90QYPA`Mlfvc6ak}WaF7-&zd_0Vv_mmJG|8>m%V4Mw*@V7|0WyGqztB`u zrA__kI(x7u*~<$c&VdG|jF!8}Mg>{8CT-@MNrGf;O6;no{Zg^ioj9lwNN`TUkyFE@ zPXaTF`ZR}EoI&q{$nf1V7c|e_b@(MB+5lAAGp(si;X?U#$RWsnb?-%cQLO1Hw*M1I zBUmbtUg{EdBw*ble;+Qj#7~~ubTfAV_lqnwbXfKO(F0{40eZn>DP!MxW?JarNfhdQ zGDRlK5H5U3>GVw-pB@`V)N-_ZTOVyMc78|A(pnoM~u*Y zlM>_2&)aS#Fo{(NRC zea4DHrRe*|8m@#PU9cF+~;eiO9 z2ZEGCe{^<(Jwx$^0k`;K^ihzq{x^s`%oRES%s-uur@C@@iLI;&c2IsTQZyYNx~7I_$++r78g+=$h5CRggYn|Btz(4yA^p*5a-@(D2RyzS+Zp)s;ZL7>WH`1@y5_sgJ#gSr4eo^>Hy_V zFvgk>PCr2QW2!oegqHxv;_Q@^tD&x^&xNl2DYRqJi-x+yb^c>1AA1E&~A%`OMpA| zmd;|w=#>3Dq9$KrMEmJ*s_>MRr@T(swU1pyy?y48t_|#CTS{%7tl8O*JUWbSJqI4e z9Crk%V6E&rm(^o_+t0V}C_kmU?Z&4T5E7g*MpVoGv2kh&+wqPYwgq1ed*>4k*B?!! zDvhcN{^MR4%@@`=$-Srd(fnu2HHAFq{*GpC6$@lS+9a?5OV z&pO4I(UwP2!J2VohzmMzc!hx1rk8fdsd&xAuI2{%%~-E;!)t8gk=!-9MwKZb1y*D) zKiN))@5$v~p034J{Uw>}(1#eDstzv?W1VXlUJw2jGz$?So{(iUw2;=JyZN~ul@&!F z_3hEQjee`J;L{oW?aq@TQL#4TU}g#@U>9y5U7&9MfK?cPy`+ozM8>PUq-Y`uIHtlJ zZ0Q`-xGYqO2WcNJSPhRvZo?=_y7%|Bg$isviB`P~5ao7pCfEU?3U@M&dxf-|nQ4m^ zftz-X*V*G7&Z{X!ZK5T`_CI9-QD@-Lfyl~v%^ZqK&hQkqJvD zYj99}vLqksdE}#JNlQ6?zgtt9jq1VQuXq)? zeq!#Q^TlikY58zHaat~brGuOX!8+*6-w8~d=(n2&=CukDcMFMxYQ%n22sL}Y7UMXOl!B~m1CC{;Drfg!_$9Dnuk*ga^jRlz_ z4Td~N;bzcuUusD=q1nkUDm7Vxc^zy099n?Jqdou_I>$zHO&YD0bZHFlsv+7u+!Ev{ zTs4dT{CFA)fF&~G3HfA*!0Q#`9}7+Yo7|tG+%e*oV{ks-+QgyU zjsx4!r)_=WCV931Sn3sKg`;KtndttQg-%vEBzND{LkUoj_UTNq>ZuJO{xf$WcOT&}0VE%C6;KmE;Ps}QR^Qwu z($I+_!-hJ&Jgad+3MMMhtiF8R9vxygrj1+5Wulg3x>RYqf41sOnuVA6rvlE?u}(Ie zRZ+faGy^cBm-2yt=R2M4h#f}XI351uZTOu9X2Hb#lkz}}TC-Y3<^c_kep|CZPo`6+ zq{EO~_hT^#^0fr&i~n&viEtQ;d+*KiDS0+Xy&`eHNOV$L{tUfLt-J{3di(h$jDjF^ zjbR%4Ox(3EhWsSR=(mQ(4&(#!DwUV)EAn5j{3v7;%Q{$Jztsy_0+6=FbVU0!(1r+N z_$YoZ7hA`G7X3s?5EQ27nyy3djln`GQ9Tc~_U65IH^wu9u7Ln5Y-ZAmd4 zN1v&`n+Jlx)WoZB2)!0?Sof^6cvjpev<6xrH@$!SzT%nux`}ekkdRQ+;_#12#s>@pP)b4#j#dRbL?M?}wzgXX|aJFw$S1KfXo?cwTs^rslD;*AX@v!n) zxB>+%J$_-KEp+F^y;oPPC;aaC`l0|yqJ7}MT3Tj`=Gx*yx?{nmAKgMrq&)z8A@(AxtWFU6VtEvFC7e|%&GA7X;D7cS9bnLJd}6i~aRgnlO9 z@5kNdJ?X~{NfOp~K!Sp|WfGZX0qB4jJV1u68@`VfrH!tQjfTw4f8hD7qtpwMat4jx z*w=0)+aFzk`u)>Z15H^w_Y2hyVSByEWa`NNlf>1Ao2Ux|uAc&z2u7VeGbGs-#K}yn zGbHzK?taIZ-aY)!v5^(g{{?gY-jqid7|r+$yWoaFzq#o6lp(Y`modyWsaR3F+RIv8 z0_HxICnMUB@6?}7*>l=CUZX=RK|0=DwV{yhhG`Xu?yHgME0}batTfiKrlsu6T_(U2 zs%i@N+2RJ+CBk=sza{e5+3mpv@mwm|hT*6c-`pCBi?~<-2^))Mb7+*4b#!!l)rhx& z?Elh}sI~ynTh5S6G%{NFYnpa6|7*lZRQE@KB5f8%i3j96t!;IT$M)INqCXetkS~2k}-lBC|@zQn18uH0W({Rd`+e@RJJ6&zIJ&> zB`|dw!`#T-AX#1&YgY2H$F+L)-@GiwSg?+V9bqvY&UqiyaMI_ol+gYZm%8>8mRai9G0F-P8+9=Bc?pX;kmYhF>D2PM>zI(c<(`@M&f%vV&#O3b zQ&7HJ_%$JO>rh4FCvZWY+G`|r?bJ2Zt?EPJVu$Wxt`#GOv@L#XnaLoVSy6u&M%gQD zvaavlMkwIL@zZ$ZipUU<%;$FgS6)5VJoi