From 6d223e4e23d76fe6e7c42d9b44cdcecd20f00101 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sat, 12 Aug 2023 18:41:26 -0400 Subject: [PATCH] import --- CPLD/MAXII/RAM2GS-MAXII.qpf | 31 ++ CPLD/MAXII/RAM2GS.qsf | 55 +++ CPLD/MAXII/RAM2GS.qws | Bin 0 -> 1217 bytes CPLD/MAXII/db/RAM2GS.cmp.rdb | Bin 0 -> 3657 bytes CPLD/MAXII/db/RAM2GS.db_info | 3 + CPLD/MAXII/db/RAM2GS.hif | Bin 0 -> 178 bytes CPLD/MAXII/db/RAM2GS.map.hdb | Bin 0 -> 8969 bytes CPLD/MAXII/db/RAM2GS.map.qmsg | 9 + CPLD/MAXII/db/RAM2GS.map.rdb | Bin 0 -> 1049 bytes CPLD/MAXII/db/RAM2GS.pre_map.hdb | Bin 0 -> 8902 bytes CPLD/MAXII/db/RAM2GS.sld_design_entry.sci | Bin 0 -> 223 bytes CPLD/MAXII/db/RAM2GS.smart_action.txt | 1 + CPLD/MAXII/db/RAM2GS.tis_db_list.ddb | Bin 0 -> 238 bytes CPLD/MAXII/output_files/RAM2GS.flow.rpt | 104 +++++ CPLD/MAXII/output_files/RAM2GS.map.rpt | 154 ++++++++ CPLD/MAXII/output_files/RAM2GS.map.summary | 5 + CPLD/MAXV/RAM2GS-MAXV.qpf | 31 ++ CPLD/MAXV/RAM2GS.qsf | 53 +++ CPLD/MAXV/RAM2GS.qws | Bin 0 -> 619 bytes CPLD/MAXV/db/RAM2GS.cmp.rdb | Bin 0 -> 3615 bytes CPLD/MAXV/db/RAM2GS.db_info | 3 + CPLD/MAXV/db/RAM2GS.hif | Bin 0 -> 177 bytes CPLD/MAXV/db/RAM2GS.map.hdb | Bin 0 -> 8903 bytes CPLD/MAXV/db/RAM2GS.map.qmsg | 9 + CPLD/MAXV/db/RAM2GS.map.rdb | Bin 0 -> 1049 bytes CPLD/MAXV/db/RAM2GS.pre_map.hdb | Bin 0 -> 8837 bytes CPLD/MAXV/db/RAM2GS.sld_design_entry.sci | Bin 0 -> 223 bytes CPLD/MAXV/db/RAM2GS.smart_action.txt | 1 + CPLD/MAXV/db/RAM2GS.tis_db_list.ddb | Bin 0 -> 238 bytes CPLD/MAXV/output_files/RAM2GS.flow.rpt | 103 +++++ CPLD/MAXV/output_files/RAM2GS.map.rpt | 154 ++++++++ CPLD/MAXV/output_files/RAM2GS.map.summary | 5 + CPLD/RAM2GS-MAX.v | 436 +++++++++++++++++++++ CPLD/RAM2GS.qsf | 213 ++++++++++ 34 files changed, 1370 insertions(+) create mode 100644 CPLD/MAXII/RAM2GS-MAXII.qpf create mode 100644 CPLD/MAXII/RAM2GS.qsf create mode 100644 CPLD/MAXII/RAM2GS.qws create mode 100644 CPLD/MAXII/db/RAM2GS.cmp.rdb create mode 100644 CPLD/MAXII/db/RAM2GS.db_info create mode 100644 CPLD/MAXII/db/RAM2GS.hif create mode 100644 CPLD/MAXII/db/RAM2GS.map.hdb create mode 100644 CPLD/MAXII/db/RAM2GS.map.qmsg create mode 100644 CPLD/MAXII/db/RAM2GS.map.rdb create mode 100644 CPLD/MAXII/db/RAM2GS.pre_map.hdb create mode 100644 CPLD/MAXII/db/RAM2GS.sld_design_entry.sci create mode 100644 CPLD/MAXII/db/RAM2GS.smart_action.txt create mode 100644 CPLD/MAXII/db/RAM2GS.tis_db_list.ddb create mode 100644 CPLD/MAXII/output_files/RAM2GS.flow.rpt create mode 100644 CPLD/MAXII/output_files/RAM2GS.map.rpt create mode 100644 CPLD/MAXII/output_files/RAM2GS.map.summary create mode 100644 CPLD/MAXV/RAM2GS-MAXV.qpf create mode 100644 CPLD/MAXV/RAM2GS.qsf create mode 100644 CPLD/MAXV/RAM2GS.qws create mode 100644 CPLD/MAXV/db/RAM2GS.cmp.rdb create mode 100644 CPLD/MAXV/db/RAM2GS.db_info create mode 100644 CPLD/MAXV/db/RAM2GS.hif create mode 100644 CPLD/MAXV/db/RAM2GS.map.hdb create mode 100644 CPLD/MAXV/db/RAM2GS.map.qmsg create mode 100644 CPLD/MAXV/db/RAM2GS.map.rdb create mode 100644 CPLD/MAXV/db/RAM2GS.pre_map.hdb create mode 100644 CPLD/MAXV/db/RAM2GS.sld_design_entry.sci create mode 100644 CPLD/MAXV/db/RAM2GS.smart_action.txt create mode 100644 CPLD/MAXV/db/RAM2GS.tis_db_list.ddb create mode 100644 CPLD/MAXV/output_files/RAM2GS.flow.rpt create mode 100644 CPLD/MAXV/output_files/RAM2GS.map.rpt create mode 100644 CPLD/MAXV/output_files/RAM2GS.map.summary create mode 100644 CPLD/RAM2GS-MAX.v create mode 100644 CPLD/RAM2GS.qsf diff --git a/CPLD/MAXII/RAM2GS-MAXII.qpf b/CPLD/MAXII/RAM2GS-MAXII.qpf new file mode 100644 index 0000000..abd6bde --- /dev/null +++ b/CPLD/MAXII/RAM2GS-MAXII.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2019 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +# Date created = 18:27:39 August 12, 2023 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "19.1" +DATE = "18:27:39 August 12, 2023" + +# Revisions + +PROJECT_REVISION = "RAM2GS" diff --git a/CPLD/MAXII/RAM2GS.qsf b/CPLD/MAXII/RAM2GS.qsf new file mode 100644 index 0000000..2c99f8d --- /dev/null +++ b/CPLD/MAXII/RAM2GS.qsf @@ -0,0 +1,55 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2019 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +# Date created = 18:27:39 August 12, 2023 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# RAM2GS_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX II" +set_global_assignment -name DEVICE EPM240T100C5 +set_global_assignment -name TOP_LEVEL_ENTITY RAM2GS +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 19.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:27:39 AUGUST 12, 2023" +set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" +set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V +set_global_assignment -name VERILOG_FILE "../RAM2GS-MAX.v" +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" \ No newline at end of file diff --git a/CPLD/MAXII/RAM2GS.qws b/CPLD/MAXII/RAM2GS.qws new file mode 100644 index 0000000000000000000000000000000000000000..f294354fadb00ddc97bc94165b561376a092044e GIT binary patch literal 1217 zcmds$O)o=H5Qg9DBeAry60s0zB(2-3uLV&FHf*r4qwT$DN?Ub(BqH|yjDO${*w~4c zzhJLEo;mHcK!_$b&dHpaIh{N6&YZV%3B{{ld&=ujkv4Urs_H7^)wnAvB*;Sslwyx+ zRXMFFqb02=iIvj^_s2wZAj>*apmAjp(}A{$8pF?!DXBC&K$7J4HRUWHD}&^T-R8`) z)BJY+$|9${D-LHpn`c)|@yIRtN?rF3flf9y%p}2#OJLn?r;?ioNroJ#+V@b2`vkR3tkJVO>`@c_<^6qbM;Bm=pGilCP!pPlCKLDpGmEL?V-4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*He700000001Zr00000007$o0000000000 z002o200000004La>{|J6_@3y?g&#!kp!bW$%LWInmO^10UBANbcliBjl&68qV61 zQ`;3CKXOJA&9$XasC}0NEv^G+I*Y`^`lq5=t{e!_^djksx*vc(MQTaZTvw=Jp$KFs zgG)IsZJ+ybAmUI8e{vJ%K`6#Dbf%t&eBTWp3D=)GBQc4+5x+hZ+qO3rel(MT2>nTP zWd~BQ%M54L_O8Xk4k8a@H28K3|40qy2tSS%aU>>=D?>2}{JDYyO~5TCejum5<4wi( zL&qDr@tF0oyaZ>&BJihyJ)g772N}*hHfy7heY%`u+YUp2yKTi6 z;V5txN@Qx&KuXU2NTJgpU<h9Ex7x%w-C=9J)&37a3)dOh z7p@d|l89}4Ic>_rN8-wfX5c+W%^l4QQe{WtI*|(p>){7#{?G@$MGTSB@{(n}URu2- zp_toVJh4Yn9Dvss*V~$MiUV-4$9xMJAAy-Ms0f^|xG+u_1NF(GjP@*`Nk?l}i?Pz-zMT_v+&dy{pwG$C7IaH3)$e-^>2uq0yno+zX z*S`{0JfGXa^;)e%XW<9@N1(F#eX|W^1%R35UnnVoKShToP(~4CK2$^2{43BXeI24! zaT(4C^s9+GzE?by6FYVzaqRjRFjCfCv?y+U4;T_|WuJxr$``k=;TH)T8m_$vA+Q4| z?CRL0U4U`k`UXEQ!J9nI()=84wKQGpPPtVeZ_Myy!sKZt{$Vn4%bzB%R-c8!8M?mI z_H8(~7ou1Uq&*&nMrel0PJaexS}a}#P9$%l0yJdoTs4E7xqDcUH+_yP$N0ZkaClA%1kj=G#(5Yr_rLQ+d0h?EpxzKN%_L zKs-MZa1gLW9;C$age|^kTgS@9mAC+A9!{?nI$kK3gV6~hyjoidUt>zH6+aWv1%r@v zyt-3(NcPt;DLEM6SScT%4YEjKVo5eHTLB}vT!w{Q`<@-xuB$?xG&)1~+EVxtx^pyr zTa8t6%m@4#{c9H_5rmEGp!#e5C_%kSU(UlR7m5X3rH-I`7^p~9^{)9>P#pLf-jJCL zcmaAVS@&9{zYz%yV9wU_i<6FkY#Req_%b*Q5a0#_mHjn;IS4 zhbjZ5+uSZRdhP1t@}N>K*PrNK(uNHpb5m`9EM3Dk##cuUb}M=madlO4NVhYAu3?*c4N6jmt+Vs-ISmkeE%^zEu`1Dc+Ihf=Tg_65689Ww?o9n;DYpmsvz`;Ft1R&CJjYib$y@0NB?b?ZJ8{3V^B*Kb&j0SNE5nw{gJ)oq=S7aA3} zj2&ORkWg>S@m`5DBo2iYImjv3oZ$ZR{hssnyPr4egJJh%&^s9n51W0oy8UyFg_@+( z!8$W=f7}!>s9B6G<k(etT2rL4xoN9e8hPE-a+JcRsW1Il!?LH4Oe^lQ$vCNZJkawl3YKf_BE;+c zz2TnG{o8XK8Ta)8fd2117kQbkh;c~dUMHSkNTbPrOxrkSiC~`L+acg{EeCjsLQA%< zYAUbPwqN7u1?M&{(&vd5ag14zG?3U@)~K6_7UB%bsGeHbQrRps$0WFyV~DtdAIcKl zvi2VOPnfmiQA7H%YPj-%A8Vyus{6ZmCs5L{!eA$7;LuCuk2N&g8+|o>=^E!pxVz(p ze2@Ys%OGW`aYT}hX_jqT4SiUtgO(MbY~;eApng4aeJJ$lKNTFO1usO1BM)XRS2Acs z-knU;(5+mfhQ5ZU&Y5t=rxS395ab*uPk}lkGtrN-fM20+90!bsZp=X|)%Elg**f*u z%s?2NDdF&vXRe58Fo_1p(Ufb@-Sj1nlwx%lCE7T(a2Bq7jj&V0Qrc=bZd%v+2%U8# zs}XY@%8Ph9CFvama_UTshj2JxO)|r|d2@|F08P&vxr&Lr0vkLaQEOzV$bNFRPWPNY z_G?@s(6Uv$#E?>xO+nCHTHmI4!z?>ErOTUVYL|I2td`40=r{DfIBexHP@GQDJFFZW z?CROqba5RJ!Ge*AFEN@1j%uJy>p*q3?1@CckwKy1a-7aJ-p7E(>nNbiBp;tca0l;| z=q2#{?l%FZYiUkBh(|iN?Xf+wHl z`u@UTBOjlX6YH68@z`J;J`YEFn{bk->Jwv-a}8$UYvZx#;cUG)m<4`3oe7)<;g$eS zYnvX9ZFvL?qjd+fsUvE*8mq4W^zAE+3-3^}dXcTahj(fEKRx(SARA#i-GMl!JoVym zR(x)cUTua^At8KQP&`?PL*IK4i8@YtHUlw--bd(oDMeq7V{go5(+sLhH`x&8Rz26C zCpPehu{zWT!F@T!F?njjvdqZV8Dkk4tk?Seb8M<7Sdcr#j(egM&Z^fA8~25zBQcQ2 zt?u($>%M?$`L(+AyJzCffHU9OsyABqo|Fq}sI|IBgFLNSMrP}@DlOiY5H;Ea8s{l^ zN^#$vdnSuFp+qJz>5)s|(q0B@0f@@Kq z+1TK>q&JOb=tLp4PmQu>>&zn1(@|;@KtpWy1G9A%r4yvPkT~@ZI91Q_?toI8RvGX@ zjW|2EnrG+72&~96N2x)W9L|#3O`H;Vt0=Z+&Lm339+ib_kJKd^BG}s6;D@1j;lR)a*ytnZth1B#Iw?jOPOEivKROpwQu6Tw`AN~^vFff8>00HZat z8Kt2gB{w$LU}Vq9l{ssX5BLJOHb@CB^_WO6MXE(QMVnaaZfc{JBrfHK>V+TqM>eaUN?d2X|HEKT4+h z5t=>vJy?N^)jHIX{EEYU4}&|rNyj*6WgoHW9gP$1>0IBo5GqZJJ`@JGEoN;EX{&82 zxMeO+ENw)N*1n_|tzN9iu`1VKZbk2hsae|pB8o}eE^1s=AcQ?k8DM$=ijoh!@~vIG zUt+he$#;$$xlKW()1=7rYR%5bt zy4dFm>QG-KMYbq40h$PKD1Lh!vJ`#ApIaL2E-u!ey-v1ZvH+lu0in-r7U2jkDk46VumUef+|H(#>yZ7H0COUVm8P% zAQJ_l%6wei9etpNu`wh7rSdp_Nx)P|LRAHa`uaKsMaG9XI{COlRkJfh099`lR8(ex znkfoZ4RR&2N)E8?oI$B^Ae%uL6h0vTgt$h8K#k*M&;ZJ$P2Us>^N9#lk*lwhtBZ@P z3sfN&*xv0Nf9Anl3G)lGo!kr(K<(-Kzg-1V40=GLVF3eC*^Q6!=M0^mI=u} b3W_TrVt{!WqAWNh$kWd~9;zAu00960Qt{?C literal 0 HcmV?d00001 diff --git a/CPLD/MAXII/db/RAM2GS.db_info b/CPLD/MAXII/db/RAM2GS.db_info new file mode 100644 index 0000000..088acb0 --- /dev/null +++ b/CPLD/MAXII/db/RAM2GS.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Version_Index = 503488000 +Creation_Time = Sat Aug 12 18:39:09 2023 diff --git a/CPLD/MAXII/db/RAM2GS.hif b/CPLD/MAXII/db/RAM2GS.hif new file mode 100644 index 0000000000000000000000000000000000000000..8668e73d9428d7debb0dcec9611b0ba13fd4cab1 GIT binary patch literal 178 zcmV;j08Rg_4*>uG0001ZoNZ2B3&JoAd@uMP0zP-P#p(JgZVZ_^9rSff7qGAo={muG zZ|4RIN)qnK-G!X`!q~op)aV+CLeuHC0WLl6G1&CFDq*!|z~n-Rgk;d&z(cXBQioMWY+!Sj$ce+o{$#y)&Zr1 g5;o=E{g_bkHko9N-;rNo4%fE6S7?#UUJVyT`fgEGod5s; literal 0 HcmV?d00001 diff --git a/CPLD/MAXII/db/RAM2GS.map.hdb b/CPLD/MAXII/db/RAM2GS.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..bc60aa197b781765396027640417172b52c687d6 GIT binary patch literal 8969 zcmV+kBlg@B000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*HdX000000012x00000007Yn0000000000 z000Ri00000004La?41dm9YvkTUm_wRARc&d`3M0N$uL(=5$5I1o5>^Z7~e5T`0V;f zNL+)EAS4hDl^cZ+ARHbbDsm_yDk=g(Kz2n4hjNI35RUzDhK=P$=} znMT=aVLIh=k>!{#8OE8$g=M)8TVz=&Q|a={mD`m0L7!}y&p6BY(~@p08CsYS_^YvpsM`Q@rKmSJ4Vk?pjXn;*oJW7r%^B~$DktZs!^Z^8w|Q}4&} zCM=g?>BmBe6YB{-O!R|^{a|7o@QwrXFg9|Tv>E;|3}LCcYi_GB84)i3gk^N7-afU1 zGt8?eL2Ot$%Q7!0U!_ImD;ZofaDSTQ#8RoN+%;D}!ot1ox{w9Q4tvr& zCOd0xQ_hWyxPh{{eCAJoACaq>;pn25dfpj6FG4;dBimxlJyI>K zo$OUiXPR2lh;vcfTr=o;n8P}}6HC8a;?=Ug1@`ce!ZCO=EJ5Kuc;{_y25Xnpb{--* zE~~)97Io38~>g>!; zmwD=F#4_wNJiu;;MYeCd;t)F)eh0(ZGgt}gOX}T!RQV~emA<+Vi{CG4q=hYN{|Z`% zF>%wS9c1J-<1&r$B#U2Gt8J;LRXLT%^2#47jcb+WU&}JGoRs5d)OMUQs;pf5b*gQa zp-e6+O|65{R314MkF!>?fQ^Nxusg{$`*aQ(MQI z+X}YL#lo4n^hK*UijIX_QO~!yTa?jmdj}wsHnMNg$7w7wY=Hi^y9r_aZ-3-dhT_w1 zTy4v?mw6~Q7H)u^ZQL!&YIi;T@=DM_JyWoxvCvkNMYZEp@M?*Yqsnp3vXqg=BEz-t z$JTBFZS2QlGQPZCxsSsi&%sA)u})*5AJtm=U-??51(lQeDjrpi`L>JFrR;3AR%OH1 z%9gPFpmgdBN~bJo3(Kf&Ri0%SmunSQWy032$}vwaQbri(PjAJiM7Rchh~oeQ`%*ua ziT!NC4-@@hVm}y`lFC z^5hgJifXdR!f7mvyLy-P_D-MC-PP5-tn(8~4qDbZb7s%tS<|~_bkCYTYc|WNaK>Nu zxDa+ZhP?xcOP9DxIu2U0ren>ahxDdi-uh+Fm1Kp=wY-82BXMh%o_rL`W>|Q$RF-}M z8}tMfkEQdp#8<_!Jf}1z(s!u!SU4UrUP~?y42-4uB2=VMnRNm-4AB$K(UZ*4FPNjJ z1Etr>oC61jFfXk`X+tlq2O3}L&bUROEISX84`Au;oY~dg+0)fCYex6%88bV&X3XxI zHLJ6;x3jBf`mA16+`pD}d5RluxB~0=1}Tc`Z(%X?!j3Q?3ED?}qv69qw?K4mrjRLR zb38r;23cQOU@v5t)pwdP*^!LArP=6vgA8!gGonT)5x6VQNv5=~De@yAIXL7>nYo2r zGu<2W=oKbckiqe7pk63=iRPAv(`{5y8|RluWn2rBp6{YbQ8 zlnCsc&qkxlV=o4H?72vrNpAz2gXq6n(a*=GUkb0^gFXLhCVzBh$Xg`&NjEB)n%m$4&C(^iZZYubR%3`qRaHDPOD?)3u@g(NyU(IX;E?@7`d8 zB#IJFx7aga=ejQ#msSwpg#9B3zuyjj064{mk0`B_{~DQ5yGkqmL6^^FDlKPQtUTq& z@DLI>I2iQ!hk;UD>q>J!o)XYtj{r@6EczX)5G3ulZZ#rKS&7qR86Hh+NLUwsES?cT z2bN9d=;J`m2g@hT75^S6Z>?~IFJcyv%@hiYq-SM3%x6!xL&zT>gbE@L%bN#u#J@*- z03}kBF{c_1z$@5$B95-auR+fX!mH6ZYet-6WT-`>MA$bf0fyJ(;nzZQ5I$^&FR;TG zM&ooFjrY5)0hDRs!&X>dJvg4yp#A$(=ICmmykNoIEIp8~AsQIMtUeMvnm^TFo#f|w~j`Yqk=B?y*C=Cr+Br{-!I+=lzu4Y`t$W7B7q^SYjlFf&*&0L zZ#<)e8fkh$w69qyb(wHl=uAAUt}`v_Ix8tFF1@QcOY+&^N1&DM9g`GZ+9#odoBmCG z6ewMo_)^inNqr=g?w6Dm{+(-H*Q_~uuQ~b{&;-pY+%yd@aFLvzxN-J&$)3byr!lz? zNW<}Tg*o~ebM!=W^fGhw*Ff=0b#-@4-%T{OCmJ@WJ!k{}2C!L2dDeMKtoIY$aFBm1>znBgRc!kNcV;Q%e$>BM$2aB}9eRlYu7a@O@46 zlw_nZ_taSA67&e(*y0yVocEe@!})Bkfibj}t2Bl(+4-5l9P{^qN4dY(J~lAK?V#Xy z`g>8R-zzX*LhIE_&K13nqC7h!Uh)o-#x%b~em=`9u{xB?^*079nSRI2eL)b4JwEJ) z!gDj#Tw@5PmFqQDJ=Z9g8r4iOH-Kkba*a`iD3>}6jTo^Dv6vd_c0qjffuKgcRIkF$ z%ECsrP|nV8EGSpzXDaYSKY?#1lgpiAsFJJZD#JM?d0}?A(#X!slu9{gEWb2bq~Eza z(cDUB7n)Los?nX5K%gRZ<_)Ft(*JC@y% zT>9P~>7zpX>o^t-l`FM|6C2&J9p{f}+L&AuckVH-hqUFL$;EOSl3fHFOF4Ft-uZeD zxeHRGdkEhy!=c4FYVc`o+<~tw;>eSC`ou6gJhO|L65NBxSa@bEHabM(h%+`R9>lXS)mgcc(2|azq5t;4Lml+(U3Wm7tlZb!ekXG ztUOf97xM?;_=-W+IdUms940)+tX85h1wP^#^mkBN3MvwtJk?LYxbGJY# zt^3_+tn@Bp@@`}D9*~9={iU(edyUEajLG|r$p=8jbP-B=LIz_~Ow>P$9dC}F0F>h5 z&sCMtCz>lg2`FE#!ns5`Q%zTLgY`nDlFlc7+Vo@y;=#ZbG*l^LeqO`_je}{N2PsSa z>#)7?6iDOa8r2#ei^Sy4&#CP&{!~l;H1JzdKkP5$()pr0&r^EkI?RU8Lxy25Ivpry zLPN#5^=i6W$P7EDqEf4hhiN|pB6tu_awef4%2-*f6b0|}-=7)1>T%yQ(&jM7h?sL;i2G39G*k@EGnNcl!v zq+9|ihKHoffZ}H`JGtDLyaFT-gLo^VhRgM}ay2V=PZ^xMjrTZ(m%!(P<;MjFx=`$4%^WFE%P5C}h{0w@_4}i7hn0QUQ1pTmG zf_~I4K|gMnplczB-z!6p$(Pt+YL)yn%(-jH-{`)seWI>!SM_dam!O}vuW~oG%ch$k zsC_3~8z2oogB|u}V1^^?E#~OYjP1S6T(aEoTf6ZL!*8`RM?{RW(qd#T%21xvjF0Ec-!G06$w$M8? z+|$+Boi10>xnfsW^2ePog&aPo**O|y)nq)Zi(h7kUv7tAVTXUq4*#|tex)7$9XtFg z;JlRTyaU^xuV%}`xr+1ffoyga4{QGKLJIRbRYCtc47}PD{GKWJeSr8G?WosSus^h5 ze`LY_*n+**g8d0tn}gejuOg#}oL2xS`}91l4xfV0fFkGk)RLDfw*a zm*z@;Wscqll>aOskH>T~rCK_<-S->U_yJ?`*X9*|&>a1ZvAqwOD}5NK(cZNZj}01* zdxx2$pEgGiH%E^EYBo@>GFQ6V96iz;J<1$i1Juk*N1H1>7AU{kF?RDp4Zi+$*kF8I zeBC@Bl;L_Lm{s@&WAdlQlm$_u}3m=DoWMAnP zea9139m(kk;;lB90=%hI`}%U3O5xdN$yK^el{Cj%d( z(yGit9!3>cV~BxEG*+5fkNuRC}cPy@Vog*(7vWUYY?kJi;tnbJ)tmS2dO{5n?@2kDEo#=MYcgD}kPjICX#WpvKD8 zs}5VeO5}N>9^K+|-e;f`pJg4T8=qKy$g(3mpxE%p5FhnE2W8lW`E>7Kp^VSI<3YSy z4bPTT1?`D?M2Vce(eP8TU7epF!pnMtxl)ernUw|`*v=0$=JgjE#d5!MawRZNG)(q1 zcM@!{lXkdh#J4Xw3W(juvFaEcq7w9GQIlgqMsy1brqTlfUbsIl8mFhOh6-my6Po8D zgK7JeR<2kkI*TZRXqcaKaF#jE4>Oz7gI4rBD>`pQ?{7uVPfqtWTeFay7Nc%45$*45 zr@@SfULMxbK7u1QFIhL=_AQs9Kac7dASyot;tdTb0OjC`E9Ln@d9cyl*%|NlsqkJ2 zGB|<{b7 z*%k>&T1zOM=_*ZriD?!{bn;YnAX{&m;*EtFJUgMmLh77o6eS!tUHiQIKSo7;Z$}>A z0_B$l(zsGttQYXnO1zVs6FNLmN~_?oL1~Q3a0fP+7Vf=P^hPWCPAmE@EBbEG5go2o z#W&gEkK5r-*x^sw;s0TWzX064$GvE$d=mC&i)No}fQwVgw*bd4{5EQ2w@Ng-lPhmGbwxc#BzjEBt+S`1W@A4tDs? zz*#x7;rRo>%AXOgZ{@jkFfM4vwy;CXE`hekRX)X4-r}=9t!&>FIDXz;Ew1jVHt=q) z;lAz~SNER5c#zXq1bn0YLd@QH`LmS68@;*F?*d6y#pydfcXo}MVWV zB|nTg1$oA0=#E!~F#Hpi>i@++eP7f5PXcF+TJLgU#xGA!i@Dvw2BtSHPkjnF8*Vxt z@)F6OXsd=h#8uxN{vl2c?`ujw)T-_)lG9Dqsg+jrVW4e#{NYyGkFcUwfsQey3G1+{ zt&|^Wr~D{8e2pD`v>kqo9eylun?83Oc=K`UGj_&*&JI7`%Jvhi=o78zlk6&fvWw#@ zXkzg4M70rCG@5gN&5Hgy zX#B#TZfNDSZ@8+vW|%bCC9djT6Zo61YO{WNsTF;h6@9rCeMM|~L%@mkk7LpMTsi_`KOLB}}73N!wuN5HOZ7W|$1jHO9t_y)b^^*LM_T{G zHp=hDj<+d5!Y;^+x+j^f#ZVkd9kV^4;z5J?!ug0f$pl3-bN7d5xAQ-eL9M)6Rkq1CKLWg{j}mlAi|Nyzx7% z=)D7J{~hkIns{x?(|ZP3{y6A3`|2jx-Vwn6Pb}?^gwW4`#xJVBhv9#*!zZKS7TVttAMXzE+&$4a zJTu9eIA+v8RpH&4X7FV;GZ-_?oWy6dKfQYK;j303v3&Wc%oj&SMkACPkUy6BD#+Jr zP7gMNsarI$O_7YXQ!LK$Q=9O=d$yxoEc{x)_9D&-sr0vtIKRQobmsF`DC20k5xy~? z;+z?%__iX>x0zHrAE9RaPhk1I;j5n;8F>nEL{lg54;65*WMILB2~LBwl)(|nBUDRp z)JqwxfCteGbKVq_2z}QY(PTs?&|$5G@}Ks3N@)JjDi>Y-{ry6tE$#3l{8+NbFimZ= zP|b7=*~PDgL$F7!hD6)Jmq1&~(6sP>y0u0$JKB-J`?wypTIH7bOSD66w2bJMi^(@dmT@W3j%FAa!~Q`+qlb$R z`CCrg)iu+#5m)OlJf?fPLv;VpglZ#CQ<;1$l38RqhIr`-*uspWrlu+^e9<+~$=Y9owhnAT8Q34>;cKJY~gjUEg%OUEU_ zaS+F0$7Ht^Jx!_A#d#8F+`H7c)K;s@xM+E0gub5Y^2&Iu9a^;g_6^t!bH%+$%^$%| z-hiRn@f1cF?MN7mSdK95XqV_Ckam30j$#It(`IZT$2rjqF>CvxXa>iyZG?=+ z^n5+09hPlN+;fZyHeG6o*)E&@+Prz}2;CEtQq^&t1X&`uw!8ZgX@}NfqU+-9Vvh*J zoVlG88f>X7p-rtd(+>>v>B~ax9)TsKmgr`1O3;4g)8KY_T68*IIZo{>`l)joxlxoz zvqVcfnAy5SwCS41qLJ5FiDyM)?-=MYIZ-tA$Ig(fT0b4AWs_*z=pn~(^1-5iIH$80 z3mNmNh84Wa5Z^0YfHI$yU`GhHU|^>F7jRHH8R6WDQi>xzL-&U);KDMMEOb&WWUZdhAl42R;w2OcNy$5cb1suzxn*KKM$u7-_E zZC}GeW1g-g>to|0^p$b)Yp3IC2jEx6^uPFroZ0?_b~Kb$7p+Ta=Y*df4?EgXmkAlK z&SO+`yJ)>I8hK-t(Dusc>T4(78uDuK`US7u0VUjpYAX3rx;@!ZU2CZD1f}I}egrU3 ziz;y$A3X~!;nV>}YFhYYn+J(LCFcK*_8^*2ocoTJP=-B`{61muB?4JF?HpONLrVz0 z9wdco6M?b1C>J_)k_cbj_xR&we}ZO5f&03Yp_Ld*aMYpnZFn%&gS;ue3}yP5&~Zsn zmd?TT1COQr&vZ_LD)Ey4)JyA9j)Brar$dBCsP-J2cHdNMY^|^F;TM{~L8>n6Lifsc zI(gz2xVQDS{-L#;!O>Kc(T)UdK;eI(^6U-g`v*s@aufH&y{+Glb}^8lwTpp_2_$J# zYCG~9ycvS;&&gwDJNj5VoE&}iTpJvi(p(#~ErI}VJTpym7}ruh@v;Zse9W~o-<^^{PI^r=?c1N?~A z`WmA3G3^9TyODYmwfsK-00960cmaHtTWl0n7=TZ22m<9&C@nY3rM0cAmO?Kq>(c4$ z+1-Jii!-yO8y=2?DlR4~rAr{FvBsBbNRViZLLek06(kbCiVq|vMvXqHFJ9gd5@Pg$ zL`_vl1poivJ+r%=?oM)YcISNO|Nryf&V*SNo68vc;KR`nOspBkav1T*pfD|0GYz2` zF1MqK%B$H~SZUq+$*n4wan!>=(ol5nSb)^9_u$q0pZ+(#AdldTh{IKsR?GU~#f5Kg zorZ~IEX@OXZLVU+xLe2C;r03*hpr>mR>Ro*5^N6Zg8zr#`S{D9!45Q~DZd`!wl>5g zdE-Ny0$t&UJXi;)0^AMoTo0bA4EF*&&w~dl!&?A;*n`o83TE^L`or@*xVkdj1Ckf8 z#Q?p~e`f?HlDq`wBCk6_H4_U-U&r4^a=~iMHdmh$@Z(Lj>L$Lz>qXP`n)}cHc zS1~{^?pjXlKk+~I%NWZe7i8kEMshRi#Rpl8N3r)-kW;!Z@4bSns96?@6E|&_w28=I z6Zv@f*yOlWC=1R_SsZ|7)&hIwgAf8^*^yvyEPF7W*|(Auy?f&PQ7Hj?0L6EP!!SQq zv1ed);^Jh3q}NuU#h@8Ut!4v&$OpG=fI$`<*pogODzYU|^gFzUT?TISw~r>Js(J7q z4O8QqwU(q#Wv0J?m*hd&0U)%Rn-U3a&{ph}uoTUHgseXLX8TQq(U~&!BODV)Bn{1N zq2BfRXBSRNeX{4|B(5VNiQ`v)Kq1I9!a#eXS^`|nEp9}(p=#V&Pd-HqA4I)^%=r{| zbZ%hZ!^*^sdqK3Q28OgMpQ=c0^cCcG08y*IAiW$5236e>ke6=KnWZpWNzuz4y~jZm znI{{$nlRO!80R*h+JUlaXBJl3hVW>v1O52tIy@{k8tFmBk@YKb7eG53hUKq!o$r8& zOk4#s1>ZDOo4dTU@H$u=)_v8^qtlWaqV008)xcT30M#MhiSf>2bQ>_TN9}72Rssg~ywX7OM6H zx$e&Pt7B+68Y4qyDK-d%EBZusST z`|5P)H5ni25~@edh?+e<)3%j0t*GY8+Sf}#Zn=FIHByk#C%O|8P&W#FE#-XDNAXSl z`f64>7qbCDmk+x$>3%v%)o8XBX_Srk8qyjx6jzIC+}`RtN)VXY`#_4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*Fk~00000001`w00000003P90000000000 z000>T00000004LaoK#D1)G!cEcuEmM91tfCBM#6?+w7yf+FPjFYEfG)EJAQX-o(io z`4Rci68=2Q#Jk%9g#(tXahz{vzWF@%tt3hA;arb2O)^|7)_$?PHP)|x{BG0q25vpT z`4HzFoap)(O`)0WoN(|I=AHISPV0G4irEf-UpvyqeRuHt}5}J_?5qdN`E?_#l21ND8!(eSIMadSD16m@HvCFg@4F_-`{1UZCloC-4V#Pdk z>%PFdfXejwoxyl*z?O>ra#i|xwDC+FD5gWrN*^q0z38&YoZ10n(9WtB65gYj0a;k_ zoeoAgZ^a^bV_gm~lbj)W%WG_()d5^7Zc`L-#J;qBD^}byLG8+Xw)DPr`@><~Hf-IZ zxuDCDmqraaHcOtR>$~;$=K#eCF2bImIl=_Ub_eFDRS_B(Phk9d|M_VD#V+2!$!>%` zLi6jN|oR8p55Gc&cS0fnh4JVUfe~agD@svG>!`Nog={}nG*!vU) z&X`&~4tHt0IGr5NBaBjiFzt@y=lBpDYA<~E4>-9WF(u^c$)oSn;uB1#KLG#$|NnRa z;$&cC5MW?nX!>ZQ%Lt^IfS4PIgIt{AgIojrgF=8JK#Ba)AL>A11{NfFe2Rw{!fN2b2Vx2~&k^7CVCkP&R%4x2qsk zAkV=Z2vOzg00960z@*z^ literal 0 HcmV?d00001 diff --git a/CPLD/MAXII/db/RAM2GS.pre_map.hdb b/CPLD/MAXII/db/RAM2GS.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..d9ff0f4ee67b8c9cf2a049835e3ed5207641a8e8 GIT binary patch literal 8902 zcmV;%B01d@000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*E)h00000007$^00000007Yn0000000000 z0071!00000004La?41d`97UbSClL`55Dz@K9AW@P@_1KH5i^-L?`6mw&pBQaKD!PH ziE9uNgoIn=Mj-?UhX;s?9EymFihvN1T@k{e93miuV?WET=(@1i8zpk#X@Bja=e^pmipKps)Ds>5#_e3l^`u3+owwcaF zjk49kbjs%<^Krjq7-t$6=H)tUk$I&|rOPi@Zd2w5e6rTmULUm;9A9%41b#P zt3O{@I^}SmT9jP5Rz7E%U#?1H9>%2{Sxb+Rr zfaMY_16U|=Vm;x9iFPn?98B~B-hN;{`bI93Hp3r=VJtOw&21GXBf{mMur#~s?Nd8A z-K@&7B_gfPo2eA+rt}Y0nZhl0%__V+Iy&lQ-i2LW=2_%ne&$tH(h}z6KFWI$>q%Iz z#=><=0*ND59r~%`LiaFlSfH%6?oO{-# z3)f0(#b^RY&Xx{TuWP-rnVKwJSwk0&7ZFJ z$6Zsle`&|I%gcR1Y*;$;a$nGXl@_&M$>5s7IrN*+)Rpd~f@Ft1@okfx zHMb{Wxq%TkP&Sv({PAz2QnuR9wR^l{-Yip9d}l=1yXd)|ce>6Cu^*AqZL#JNsTP(_ zwkoDGO)Y7}xu|Wf8FW3|!!o=b%Yd8X)v~@B_Ha01AG{fspl~m|^A>jpOPADk4v*}Y zRp5|CUG%z2T9cW0-| zeQGpf9<~_{uG?Xe_1md9#EylhU^rU_3qgHJz5DmdKLxhZR~KOM`z4LEutgnTLF+Ik zZo0ICjNE2irZJvm@ylwrE%mg^r}i!38XkDQ9fSu0t<#=?_0c6iJ%upR!rj)e)m&2ewS4-aY3@@6d3-l<}nakU^i z7B)gQ=i&_H{jyEB_kk?hMZc&rg^fXXA`k86noHVU_QYD2hjAHhh3)h=1NE5NI^Nt? zux%a|&djAR+QpG|EZl-}zRBI9j85A-2${5zZHqomW07G4^uN_j2y1`)Vn1alKJCWU zwyb-(581}T_0Y48yG2=@E~j5!2|B1}3YIh$+G?_>dYlSgEm3k*KCYRUGSXONxCZ{% z+D)L116WM@m)9%zaromo_-HNGX)N@kT1)>cU(2+hd~&~vN9E&w+ePV8cD7rqykTo) zOW6LPbm|LArz~j;^Qdi=pLrOUYZX^{!q)Bbai3hIj4;lh-i}X+a5dTx`vC^Fr2#Ax z$JvA*CfdQoaWEeJz=+$wT!DUP65^aw#R+S+d~lh{$N5-S*IvyBSf&*-i@FZ)PrYIC zclY#7pVhCj``5B8PjbTzmt!5@AVqQgEi8s!*bxRK zLFZCmGkgf>7KqNv6f&i3jsr_zkmZ#b_QVde@=h}*yONPNw<>)vkO7W*MwAFS#(Dvf zoSKtN>D(6kVUX-sa;40?LavqWjd}D6lPlQ4{%x>cD0qq1mWSo_4L2-uHKP+w=kqGn zzxL2LqgVU)!;S!Fsoh+A(7CNWA9ocu2Z2_)H|C*5k@t^Q%qB;lUJU~om*I+d_{d*J zM}zS1*x^?Kk3Jx%+;8{8(Tb5HuyH;cjVh157~rwzB5fwU4Qvjg|6)ZyADezT!15PC zX*akvn< z_odO%IRW_l;^B9P;OS_bej-jQWSEKsriB-qq?#E?a#vv7*CP{UoMH(M1{8RoNVTMI zfx$uaMoRk6IZ%pCtn)zglCCsIe+87!iR8=a;Y@9QHJvF9q>K4d zzF04&Yr_N0ROvI>KZW`4o?wF{iX2X}*dyTJx;Ge?RuJEW<0A;a&knyIIK_weDD9O0 z8aty7m3I6CE}zX*+RnCEc*>FCK_swqFlg})0j0S1h30WQC7{9{2AX^nHJt{g|*cK<0%a~zCUG-t^vvm7Cg+- zgZUbwfg#N5!@;BaQ{Cy6hXsO23}cS~8{N04Ru(kNKho9SZW>5FY?^;m44&2p&GU~2 zi=WAL%wx>aW6jaenxm%x48#YmS~_ zj;=FD&ooERGDpugN7tL9=R~8buL`<6axU=bk%1Rim`jEqL@N$E?s!EGKa)=Cw~_X$ z(mv%F2bA`SSY*&J_yS1$ObW{}%n;o=8dZ)8y4?5fXq=wn)k1%}cn?te zp_m)U*Ncb*hOnm54H`eAODO&Ej1H=#=?T%ftwO2GgwsN2;$dZ-X;Ic$Nm+5}UCmjN z&jvpXt*q~ur0~+-2_4+>Z|WmJ>B7X9iuOtBBcb%8Nm=3FdFEx!nxpraqmKejP_4pE z)9~gM$?1tJXD^rROH6hflY4_S>`zykqn|NHPcTO>HAjC96u(qYZ`br)MP+-UVV&9o zHt=r%n>EA-LGrni63-W7-hdV5c?h^+%XlKvW|oM9&I!=KxC~E5D<*OZ2HGI}-=lF> z6mh@i{{%{p)fNx)GL|$-#y#~c9^9#JEq*10XUOMvphbUqc)$d|H}TrpRwWeSZLH*r1gYl%!P zO=yl7)(=RC3auvrP0--yw$PK4k;2?lVv$SHB6wqqpB-^tNY0Jqv$+QP&|0q27|vuD zWQKCwzc)O}Ml=zRqF*&y+Dc91lt`6cq3S6+$L z;aqN@F;vM6IA-nxf>7-KVOM0Hm#O9&!!WH}ud(R4M!D3eW{SB%Jlm3MG!-IW>JU_7 z#396Ns;k=t@#X_Tje4nGg`Jf}jclQuUC>xqt}Mt@;E4eO-%KW#2gPtDSIt#Ma!T@| z>`0}Nou4U{a!y}OQBlFe0-DfI#Pma^lI3zS_i<%j1lZd9AbqSX$3 ztV%V5`JqPZajfehJEH3R{$OKk%Bj1?k7Tp?a-)zNY5MpP2;?!*?m};n(7`fF?3(Bi z4Oyv2lN-v4qf=}7MfrTbQADeS^djz5=jY`M`I>Ww>Q9iH%(*PT5L>9%GV>Z$JQp!g zUg$jk`D}7i`84MIOl2Tj9$pMnhsz65VQ3b)MX(=7S|Q^YdmG#e;Ylua zXLRSP4cwqBFKoJ`UUmDj+mlP*%OkxhbfAv0Xt-RdHJn)Uz;>KJrfFkxO+2{AydF}Q zcO)0fX-IZ4Y%Jy2MEV!#E#yu}jcy@)Eeu18GivZ@ZQOycEN0J>ciO}V8a#IwGbOkO zk+Ja1SghGaW5gMol=7ZxHdD=_7w?29HAG~J`R47}mR0_TB>h(L`zUk*1Mk(l{dcyo zpn=E6*c);W8#&BFq@wB~n*vC=z@$-9inyFnTj^q0m;?=dFtH74&fChrFs(?!VX z2^sWFF;RancAPnSJWz^@KUY;opJ1-^M4)ej9Gpv}Gu3n@H&icVD(QUUr%g|SAPxqu zpy5gx^YbDeXdFu8JV;sUUxyuyCqo(^*QnO;SR^KQeok$N@uyhwr-I*#`eA<|m(Ca6 zd7jcM*I_n%9x@DD(P=U`!-8FB z!JcWso@K$FZNaVw+mVCsoK6Wkw^M@7>y)7LA!r*k%;8M6njgufafu@DJqu8+mg}&i z;fs*M-YH+gMW~YV)&|e!-BV>LLB_+ReF@T>XEw7nbCbT@k%E1t6Q*3y5mPSgh?K8( zqCgjQ#FVdfM9SAYBIO$$k#aGl7!FC70>#f@c5<09c{xZ9gLo^VhRgM}ay2UtPZ^xkrr3Wgw%!(P<(Aafh=`$4%^WFE%P5C}h z{0v&l4}f)KOuV{Nf_~U3K|ku0pdWWi&@~Xm4~?P4(=G?X9Z**VVIZ@Yj zs(9CTO3+U`SGXHGWz&ri)VUL`4UmSP!2x>{FvA}9W^?ps#`fN7uJkr@^mb!=HyV?7 zn45d2vC_MY$y?Bhc<(fzGipBJurQZdkRHaZ`)T!IX8W7$g(4$BPM_g{_4zk$Rr z$t@>UCO%kgi1Och7^0JK!N3sR%pBd;99?IQo(a^fL7Zi-^lYHKtffvvRdxHLq%P+Ll{8jLVReh0bbn+|TUo%(w^+2Wmdz?Jl=ua8G z0TMr>ORE=Ku-^o`E%XkL^!0T2rpuLduGrI){Bh??AcxOsb~l48nv91v@k{OS%k1#W z?eK5e;or8yudu_vV~1Y}oR?CacVGwd)oghrS8*Obkkzi@Vb%X#Na4P2mC?Tr1Ftd# zzh?@5A0U242kO-p><=y2A6c+JwqUQZV1EMEX3)IWlE2Q9zuuDnDR{%7?*^b|Q>z=z zm2NOcZ!$-3Hb;L3)Tqz71t8s<{Dkr6Aa`fqpq3lFycYX|D8F=IFgZ`IY}X9@EW~YU$*5-)CIn`;Ez8n-};2bM!aH z_C9E?^dX=|N7pJmHfY%I9b%4t+8jO996b!ESx3FvT5{*o2O4A&vStiabBlRq^kZvbg{z}{$%ZisC!GJ3-4s2HB9 z9(K^O#wXV-_3RTHVfDHeIOnBH)~sF?hxe=R0dH2hy~bppF`0GA zv3kX-l}HT?$`H0b8TcS2U!v)mHK%vxoW9xVbf!L3uh!B%y?ds6yLc+CALaZn1akJR- zImDCrN}wkpPTik8ps{Mr>Om6`i-D_qC!I zB&X-LYO|1>7QJpU5$$hlr^1YgRvy;SK8z8Ym#kZF`<6@5pGS2J5QU!s@rDKzfU@(% zmGXR{Jk;pz?v8i+RCuoh8SKGF*tPY{o*i$H7WO}AZvUUm(Z2$vC!Lp*Bd1JGrzi@| z9+7EXe9tv7K)fU0A?LQTY>R{>wI!6!bd@H*#54;e8hNTZn60-=@y5aoo}JKOA$3kP ziX4ucu6f@5=b)mzw_zXO0_B$l(zsGttQYXnO1zVs6FNLmN~>Vlpftv1xE&iz3-?|- zdZQJ6hZTLN6@3@zhz8fL;G695$L#RO?eHh;@c*#GUjT02;$E~2!>vLZ&C$PWiiC zyv;1V9sV9We0w{52RnRc;4GY3_x!$K<l}~Y%xB0A3 zJKNt696#@&7FYLF8+cdOa9?wct9y@NJjm%Q1HRFI0cLN!{8`H3jow`IyFijvar%zW zon5VF7-|RB-7takqIR#5k{`jGf;{6g^u{Yf82$-M_5Wh0{*%C2qV|VenDHyD=mYIc z{}gam+;lwTB`ZY6+g02_uKM2a4{^4`54I}%N-KJm6@3V3n-+hlmG;A|=+&TOOliUz z>>4ZOhubMX!VW*u4nN8cKiUpI2DnX|I~KfoKlK?q<3DGIA7^Fz@mBN+R`iK>1wYBf z@f9@LDa&}f9`D0U2vMAmfY<$XM3_8E1At##xYoE5E6+51IwF>UNcKb_a}D4;fCUjQ<7`q@QW+ zY&ge~Ki87~B6!+oHhKNB75x>L?w@7ixeHvnFZ8N&JHKCOMSs=G@{3%0rqP`HYgY8v zLE{(xbVEC*eZy7VGsC39E_PM-o50_6RhzZbORVTit?0|F=*wf%8v;(Oe;kY67pLVx z^fgxWPps%`LC2ZwhS`3dC4aq@^*4Zyaf%gY{Eb%1H`pn^$qv8I%J%#1ls{yLKWc|> zvcn&?eoIUKEw1^#%hz&{M~+mO`mHS0Z|$l#^xivw)4cZc ziZJ8f=_)rY`*y%>TF!gz)NgO8eh2XQ#qiw&0Y}|VK>6fI`+wL*`Mo&sHU&u71v}%o zZx6}Og+Mp#2A=ZU*S&`pk5%lMoK}jlo(4KbpW{(3@b38dQvsfv8xNlX#|7c@V--D& z-q%j~6?XV{;^UKF&~H^e6A#}Up4&VC-wAadquM8j;5*ylQ-Q<&hz1{~d{;Z=yV>Ep z+u~I_Xx23G0<_2 z)lIOyD}eu>SlS;Bp`QVbUsQV!!~blDPe#Elw7)Gr-W%Y#yQ6V{S!I_>A_Yob&DppC6cjD zip4p8Y7_o<&vulHgR-FWw1x`2-OlC^-=~4;6XIQoHxZJ zLf^GVG#SwebXa?#{HJ}M9GXA0!bKNzR5M*ecJXUr7wl21 zA<=g5CD8UVG%fs}ZtW4xj!q=-KCVZtR=B199Gy@bEhD<-qGfatWS_^wH zkCUC3Sdz(z))JkAy{*TN=-z>yy$6ZbGA=nfQ4Ql_*xyNLba(MVf6Zx!x@Nl8<7y3t zW4fm~M7Ix3sMf=1b{HgR?ZZGuG@|v|8nVvsAkKU|9vk*2M4PV3aGt!7{f9Pp&?@`= zezne}7%4+5i$Q`x=Qu9SA=-4!K?nF9q{-l!hNmi{Yfh7~i(iJ8!%27-yux`h!#tku zbh@H6wfwIRwpz5Rd}pLkt%uPJ(<(~KVNeax17Ebz=*~d1bX*b~2eBV^Om=J0Q~;WubQWz#LLbbTv3RXutAlaJ@V&8Xd13r}h!;)H#jZC~~Bkqpcm>**-_K>6*u) zk=Iy`XGLZ280axMQ8e|(&XBBXKOLxLlc?M1F2`~5fuemlr?VFc84IZ8aFN47){7k4 z8L(D!+HtF0%y48#El#78T7E)1o)vbylWMevy9vjHa?rIzw#WSnmGA@;F2e`I9O;Pv zc880POX1@aV>z~~p7YP1gfsSgdKc&4i76R7_no+tC&y)L&)>?E@cd;ToSV7|Le**H zskdKvo^GjTx=i5f;m&EOQoE3*%N$Gm3G4j{Q~U{(>5&mVL_gq1G#N1y*l>C4ih)ng zdhCcPLl*yajX0HVSXyEX2jjyB9w&d4sfI#TD;yWE+mv=(4I7uzzJ`UyJY7lF#>PeH zE92zXPQ%p(;8(`F)F%Vv{o36{A1_obYbV|s@@nz=`LEp$CESH-D)|w*J=v(PRaAI_(sCC+0vM=8<+zlOo(1M`$^auZ zEqt=ggG8Sa^M6Ns5KSn~eVaLyVUH)jPZ)fOKo(9rN7n4n5`wP>Nukt$nS1Xfi1>hT!{ia;)q` z8|#FVqpzN8ouf8p8{YX4|Huhi&YxqNKcN#o)nsUvYB^p{3B^dCYNg%Jk7%{8AzB;LPVlrFsW(!~ z{{sL3|NnRae3n~m6jd02Pj3hUFn9vft`ypv!xpzj)W>M zCM%^&AgHm%mug6mXpBN2BqS9i62OWNBqm0UKB+HW-VhRE^npZ8RY(N?|KB~cyPfV% za&mU(eCPlF^WV;dSrwbh82jME(GX0m8OCxL@yMVsEmt!Qp&2f>ql(I_*;!a=-TTR{ zDwuK9!$8tdbnaMy)Ufy9)%&0RH@+Z`;EagFRg_lC`r*ZeZ*QH3iDWFz19@$(V#l~! z$J*ib`W=U^Bi2^K*!&V~4(o#dhu``5%b&pxG^HuO9^tkOm*Lz@C!;fFj}2dDzv z4e(qKo~jJ@0zA)y2P(r`0Djnm(S!3x}JM_SUEYEHTeZXQAmGwQ_$S&T=q_g0Wox-aj&f~%-m7K#%$ZI`r($Y2xsc=*`lxKt<$&P`by zfM(VLd*y==0%O^cU~nvZFrC@Ak`%pr;`~u50eb+&cZS0-KUT44V0Gf+WP_yFR-nb8 z8A+{X1Axc}w{3ty797}Nyw3?d|32o3;?3Az+&3=TeKKf?+O@z^zGW8=I6GtQs&26FH_4#KPPD*{U=j0@= zBO!_7SAReu$TY%0d!kwbT+Ja#EpAFB#N!d zrz%n#eFeE4VASd_NH52NK~=W|v1O52tx*8CMjYfJC~jcrFTG#DaT7}!Dz>K!%CbtOPP zJ=uBf6o3>=N$ZLw%xHnfC_PU1+y49KyrNqTyYP6k(L&XpAlKd5esv5jM`L8jEX4+a za791K-h$WX0%^Pw30VmQy(SMJT|)J!8Bw#x zXWF*1rWMs(S^Ih^$St?;Vn$Gq(I>hS6Hqq_eJ$mD(ns-4{rYNF+J@PHpv#BdnRGv$ zq-r!KW=8C^(g7=AN>OX u<(UN5Xa9SH64^YMMH_fN@E3e7{>ER_%gKW=8C^(g7=AbqgvU&GIwK}A2G)?H`z8j$NY7AWc&BdpP3RC4Os~cOU_Gl zbbAM_S;Hk{+?^97ZU%-DY}%mXdg(<+r-RP%_a>2WNo&1U53J18#>k_XnpQr=U~Z%u24U= zRJ+y%o6lO)38fhlEG1E~D9MDGMLJWC*3o}D`8>E}(?wOwrIPncYDmabZ1cvldE@Qh duh|Jc-rwf`%GyXPhfH}i^S$kqAx+^yOF#b!XixwE literal 0 HcmV?d00001 diff --git a/CPLD/MAXV/db/RAM2GS.cmp.rdb b/CPLD/MAXV/db/RAM2GS.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..7ff03d8c1227ac2b00cfdda16621e732642def7a GIT binary patch literal 3615 zcmV+)4&d<=000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*D0p000000090B00000007$o0000000000 z0018j00000004La>{|JA8@Ck}+w@YewCR0fa@m=h6iLal^oaqTR+3|Lp&^n!q%_x zQ}^c!$F(EJ_e5VV{2+Pf~hOg$0#z8gLgu0M4~ViJ2Ju09mowl@}jG?Re{{Yi9X z2U4)h3}@B$uEoL*A`fFU_;w2aNDbx)KaLi0Bqok4Loo^bxq<^tz%3?zAg8|LO~v*@ z#~ZovnDw!|1ZTt|@TY-2pR>yc8O}U5d!vwjvYcbv4nu$B*pVEIu|JCE(u?#i0?zR; z%Un0P3i)aQCWY>`2;+-?$x$S>BVSPy$zUET!biS0b~GalrJCzKO_FGVJ`b$jw&II$ z6gUedGPP+SCFg#m&}op*W3VU=MK5sXG6h@?-6kLO(39S2O*DIJ266p*Gwj8}bw>7u zD+PW@#J0VhHs#?Xapgoa@E)V)j%Eg_vLkVw$c2Ob@B=k}=mXy(hDd37$+BK=t=^MR z%xy28*rO;8!0U_aZB04F0XW!W)~h+4&E zI3v)nChquN@mNmm*p0-g>tDb~S$EMw3tIRA{w3VX{{CNIfTmnAtNj%^)oL5Ay$B(f z1L$RLV5U)k4gMyVmqD$nhNfSlp=jz_cZ#P1d2NQ@GVza-iCg}3bx6`K6wXooN}I9a z++K)cF_89n7#i6aDs%iPd}gtD6*!T+@n$j+{aU+vY8BhHFV01AWSSZEq#LbywtF4I zEPX7jYYz&Kx^lqQi%vpK_P3xkfzFqX_8}fRTf@^-o!T(=_Eg@kPCEcn+)qXdx(UyZ z1l$1ZjRzrdJYkE^+t#UaWF_+7%){vwv=uLuW5MVI5nin=g*TXzTg7D}hG3|%j#qce z4#|EK!;ymlj+OF0+8~P*CiY_UwiPgv+hthDz3?&J`yeH{a4+CU5!scEnqLWJKbvIDCi10sEdSG)`|1z;6%0`sDof z0Q~J7fEjA3!o-t~Q;`jbO*H%<={&))8i8w3SjZ66;;E=qMdje>Uis;FLyBf*w z@RLtyoXa3Y!brb%E${-Ws0OGJqcFLI*m4Z{h3V$U7d9P}9y;qW1NmO(>H5)$)@{wY*!|J=m`v?msD2_75uu2j%i!rLw#KWM2u2Zu5*nWT9+<$06j7;HDONs7gB86w-+NBI|>_*)Wx%?D= z6=T475QBNruc@ee`v*@%`$%^z$5#(^4^>0avN|9^c-yYrM75TG%tp2C(F{oj@yrh+ zFSUOp4(XsJtEp<}$QfK79a9F2=z3vPcr#mQu)efC*{h?1Ld?${Z|q-%qGEW%6KJKJ zaEcJG4)%xpd#Iq?o`J|Xm-jL7yXIHO%5+1FyCCyA@%%y>MgDWz#!W{A;|a$L`JQVr zz)KX`nFCc*NuRd;3b!A)I&qP~PLzma%zmU^ers8yY9>mEYapX}YF|rbv&$Tl;9ia) z;tC#=CAwwpJ@lV3rN(T3x>z+_U2lD)b#|%k@8X?6NyiFm5|=u$x(*U;+#5IxSH40>s9`B=HC*_t zYki2$I`YT}h>qn&Je`vC4x%h|E5&OtTyZ8@+uXdl#-D(uXD&U(L|%an9+0RlGE`)j zoUPM2=P%wGmxzLF70fW+(_~W)G?&)5Dcvy24(`eF#+k}xUJR?{vXS`>z0ZzYc?=Y% zbMy`?hljg*_BCDH2ZWbkWa3GTqJg6tXwyDWn=N}H5pHBqXt*4wbB(`aK;u;uP-c?F z=Mdb%dnI}aJlFj?z;rClsR!{$=XN|?*CJv-#$F4gnLkH#uJI2d!HuupZgoqlt{N2v z8}V_nEZ6rJ1{?YKxSSZze2Xdlb@)77s%^qaqNZJha5Yw6!uRbfjSKHkvU-uNzlV2eYCgS`Q6L*(I^BUd zr9AcGa8^9BM=v+SsDKczEhwHX#If%^h(sN?Fq?sx-|i!Dyp*CZ$FVo&wrK{{rJJ1A za=V^u&=DK><5*p*gW$fL;-We=VOeHm>x{6B4AyJ?{tFy*W$t#w~Owf$OM`rYT^^?)<$Y}FgBdr!&@HPl+&lR=)=EF-gZT9p>RR}eMY z1RCclcuH~Kt%@UjP=Em_xn0aP$X-SebLVTV*aJD5dCphK*_L7Xk?q>vC<%ye_E6>_ ze8IJ-&upB&Thg0GGjyVm+9yU?vvnTv>FFr737{c1yTEK+#pnd-E+p>V15VX*ygQ&i z#zZ|C@RJtt{Dswg{^AsI6?x_;H3*Z7Q;b8&F(=m$c&jM3X3iu^#U7P~Ymd}tEJU!i zwaIyq6E()9Vg*ZE2Fjt^qreYC@yvmt4RFj!9Qr1t^vjx;u0MJyOoVcSt}HLJb$SOw z)3rB(+4OvTepAO)Zs6mC24{-n;vGzW02C*FfNzi(x#0^SSiU7De7F+i@#GkrYfx#` zS`aAVyBlD%W;UZV^rPg)<{Av_Ik_@tE%E^?fNO)4;IkSN>7_`uNT+DC?8w%cS29Wo zOkRl3spQbd?Y#PaCy^Z0Gj;-|buN<)%Mq7r(7a%C7&g*V%yFavdvf26WNFCOGoY5{ z&N4rB#};YZt!4#dJVh(x`T9(bUfu!w!IBNh;pfEmid}pybz}bAQgaPzVj>rbwMm@E z8q2{$75R^nseXuNkA4qUAY-+TbtJ#yaNon=4!>n%oU?L{*z}IZiS~4^zl0Dfg^E5D z2DdF{R1ImXZ7O*3VZ+i!?xl8qBQd<1jT#`(H#ciQ7euy9$J`hbaS0 zFF;Z9fmgn@i}y?H)|Ff!)2U7s{~O+?IlN8pQYso>hiq~Ir(OI<$u;N->dM%)&%WR> znOT7}tDe=EY@IIlNI@OzPdSk*N=?8f1Iwh-lCzBooJoW(lub~d;{A%1&)8&K9cZIl z3vaQNYJyvXM(X6cL>@AO>hm3VVMwXQK_P9+u@leNw;!SV*a_9qIoTlK(H^PxS{~`Y z00030|9Ao7VPIqsU|?Wq`e>ue2&9>Sm>YOf%zVI+Bf zCofm$5M~Amp#1A|HL4)}AS?#NApL%>;qh+%J}$07@erjfV5N_qy>DWMDiwk%MN!7e zAOJMxDuZG+$Tc7n1)<7(T-_agpoXzABmkxIIDSdMR7pZr1&8|jItE3?hd4UnJ%As5)*?HqsR!CVRR3$mTu3=%-?>HEK31yT%}K%-#+15xGbuG0001ZoNZ2B3xYrpd_U+vEcAT39%=X*DH2weaIa#y(19OuolX4%tFYBThZY1I&uq~Q zm#qZzG4RquI%F~-GR`44_7!{kqD%dRtTeYCI3Ja$ ft^V$(giN;SEGzns{0d9BcFnyeLu~N^$|6O$i!M=6 literal 0 HcmV?d00001 diff --git a/CPLD/MAXV/db/RAM2GS.map.hdb b/CPLD/MAXV/db/RAM2GS.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..2a3acb9f86a32a0591146fb8acf42464d7edb4cc GIT binary patch literal 8903 zcmV;&A~@X?000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*I)+00000007(_00000007Yn0000000000 z0074#00000004La?41dm9YvkTUm_wRAYP~(@qqz6$S^aRT!=U?GjAr3ykmUt&E)v( z`XD5(K_D0s2!|{;8bY}7051?w#0xb-(y)%4VElYb@1Vse7=t9b!Ex7ZlID8_Vmk zuy^-kp~Ug^xF5#*!T5eKJ`RkI19LDYa+$Cd{xA$-srzegt1uA}F8_pObco(Lvx_&p zsuJ5G(j2szO2K|g&tQ!y+~Sa|!b_VsZw@j$AuGr{i!vCWtnGUqtaQHd8T}o7MHJNaLvH|X@VEaWUleoT>TIW_qyvM79@M@sc)I+ zt+`D(H!$J{%I5OvKmKjAl&$i)_V;(pnPsYqPeO#Fi(cw^Z}{XGgUIGLW3BdTu30;^ zgtxQEPTBWs_heeLXD)3N6 zUG%g{<689_#_6ZUjD!Li<^=*19t{b5J<=AhJpAxuWl35wt<>Gqxv<{V+1WQu=BXnP z%dnsDp!yChvR&I1huE?3TNwUUY_Ss5m)5(lRQWw%D}8kdmat#4NQ+wJeie>KF-g;< z9c1J-<1&r$G)q`kt8J;LRXLT%^2!$~jcb(_UduAFoRkx0)OM0Gs;pdxb*gQap-e6+ zO|4C7Dvz9sCs`|5z{bKx>^t0N7}yVAseNHw@96Pv+z;b^u$du@_nX%JhR4}%Ec9*E zSc$B$z!}@y{4JaIm=2aYIVVxa0AHN9x)<^}V`N~NaQl(n56c^|NP8!XZN}At=vcTD zvUl{i$cJUyZXWC-T}y@jqF?WaTbdVH$gwo(HNro-+{=Z48>>txZ0L& zFY{1rEUbr~9sDiIYIi-u^2*RbJ$qouVxg@zi)zQo;MEc*N0sB6Who+(_8Tw5w1la;yA#-zSNIpd_Noa z!+1X!-w(FLI56UmFIQvSnSeOwR7t|o+di~dmE(M@qhsIE2Uez(a`QWm?#aAv;=~Lm zifXdR!dWZ_cl9jk>6tdYyQ`~vN$1BG9kQfz#*C>4&z#mZy?f@gnX_0GyIu2R1s$kq~^cg&lHU?Rjjok3VRahrCNKssW1B;~>c7*|H&|c~r4Ic!$4WhGi#ay{B&*PIZ z$ok3x`yj)vzEiBpj&$TL%|_oBq`^_oh#H}UaaZ0iozmW>$Pa1;3N1v2!B;Aq>j2u@%=s8H zSmV({Ni#hd3(%s*2gNI9kE4R`z(B@jxH=iW@UNRUoA7VB;nx6<-yf(vZ1*Gaic!MY zH=m71mB*elckN*$S@lTG3^vp--eGC zEAym88IM8_0?JW5H(amC@-iO99t@UV9POu)knt#bk$rs+@zJi1PN_-8qqHuzN0$Jl z*wi`?wXf+B_UJEx@@bPoB|DU>f|<^F7`P%e~)OWFER|7fc8nH-;@{CA((Ac>-c z*Ddxk>|FPoacKqdjo3d-_ycbEgTN_1dPHfZ{8z|~+f`ce8+^VmS8X}jV&y4EhKG>A z!NH=(KMa)OT34F;@gzfoJpwfSvFK@3!6fZBel=pwSc%hQ86Hh-NK_YoESV9e1Iy#~ z=o3Kg2g|4I75@$>@2qfyFJTtZmn#+*NYBc6l+T`ShmhYx2o=O0mM0oI;@{#ufD)Ol zF{i2;@GAD6n4>H4b?A8}ycUnMX2dB*hI%~e84jjwFnl-}z8;!Q_=p=m&kdg+kJD{5 zKJ2yzP^Lu>TTy-W&}2$Y`}Zg9(Um}Xy@I=0cA&u5Jd7dA>Z8G<`7@o_BaSkHNQ`2S z0UJNIs9t88<*)L!x0(i$kDBHmn}DbFrg{ExVDYoLjCs60dV)QAqCI*BP(FH6&F6}R z8U|y;jUlS3&$QS58GH1z_ULMR^elUHjXip{J-XH&J;xqB*B(939zEY4y&xV{W0mRh z$c4b;j|{xH!csDPKVEUves`@s`kPpLRc)X3OG=xcjHPMvPKMe(m54MQ244V)pUsHO zW0)nneLSihWxCw=&Ul=j;?=@%zjzl=`k|EXFASFuF@~tF(Fqzqt4k<7$&5BN(zKLl zZ?jTr1~4UZCLUGS84h)wnU)oo-uDB`R!ct{{7^blcxiu-mRMmh@Vwo z`bb(<_?MS5E$iB6kKSjGJ_gjTLrlSqCz8`rH_pC3IW;xeX-)1A(z0QWutz^_kDg+W zUSW^^3MhV=uI`R$dtz-2QG?nB7x>qJ?Kh=(=*7f^bvzF>%#v7}Kl9@Wmji>-DP`Ls`V2j1&U zqVxCGfcu&vGmBE1 zBM!}nq(p_*(}1!K6V94?o9OB3NMY_7iO5Ch5xhCY507}SCg(>Aefb8)!Fs;h7|Qj{ z%?;+6zdt;RLw%??j>gpuj>Itv6iuuF8EF<=G+ep0-IE)4~$@wX2}S z+E6~<-x#ds`aLrb06{46_^>Am&(78IjUkv;8LqSH`9`JOsO3ue0X(0QZ;UELxy+Gh z#F$-(#nkS)JL03y^fZRc!!_7ho!{syR{G{P=2fb5b5(evpTOnmPTKm zp5Hf8ZS>8_mCJc=JpOvT$gp$wfY?$2uH+LAXr^+lUd?$8R?Rn{t}o_9nRxPvTCFMqcy4-C=525k3Ox3>=+-14QHw_@%jyz$YK)b;)qeA9U_WFMZ#D^iiSx z!#HRRRjT!d7aQFJJ?D>Ux--2d?uBDsk7&!g(u?JMp>F|fEa%xpdgkgqz)*6=$>I=vW65^8LAgb zg@rf{R;mr}2tzd#hF|d5(n=I7QmZc*J*6OxcFPRpYJq!FVF7%pk(HCr4BwpSSkBf| zED|`kJ=WyCAT2BU3u~qKS(Eo$lMh&v4}whSB9sh-9LA=EXm}Jm$sRo!D8(h8sw$&T zu~&L3P`<~6Ie#`+%U1J)!^K=RTS)z6=V=hcgMlw-s9M4Fyo84b2eUX|QI>|+QG4U* zkj6(NYIQt(tZ|1@F1S%L_*12!`iqbCAN(sZhqnqO$jf1W)GuGi513 z#-pTt9@4z$Bm3(1CVinT75id4Ou3{jrd-+Ng<5vc})EN3XF*zip3x2Pi)T zf_WS+4-XC3v;Eb=2xnk}W7lt`&s03hci*))<$FN!v*<0~2iBHj;aA~=pr5p_ayPWgrW+xseJ5Nu zK^lG*JM7KCEJxT|?9rcE+k2b6(%bFPJFM-!)0(`?-rT#bmEL1b-ilttdz^;BX!J>h zdHLMj>=16TPZ=IUIb(=Amc0n!u*{-=|J9oO8%X@p+{;pRddVK;Kj5&`Isq39EYYp( z(H-s4wf5*aKNHd}cX%Dui_Ztoi+MCE(dqGg4cA zsiWpEfwyexFZ)KPzw-SRd!=7BDh=P`> zsb=#feA%f}+9u;see~;)!)GEpM}tIUJPN5`h~sHU)rPh z1Lb%53wTO5SFUH%+x>ubjUTioe`R0c4fg1-t?hltUg^U?t@f^Ecx=#e+&j`9{gger z+#Wp&sNF!l!d~f0d-P~~^cZ_|6;L}b9c!=jc%b}3#@NjZ<4BCesKNMz4(VG(6i-Li078-_Usz)8RxbcaVivoFKBdT530O!2);FT+u zCE>&ByTIEuZnrf#)tc<{$@Fg=maKJ_t;xkc*_HY{a*0o-zwmJwNcNR((RTt-)sei8 zAl{DT^p0s=vpOt3r^nAj@Gk2poh>e1u_T*cymZCVWk(>@7?dIGeKPPtO1?wWHFLji z{AOjdx#7X#T0Ps;pvrvl9vX0V?k1suJ$x#7NYy@P84``o9x_7Wx!57x?AYQ$WXG>~=4nzZ@ zM9#iw_{rF=%`FV!UA@74InUS3%7YDT7X})0`iqTHrQbWb5?CM_C3}iL3AWftJA5?e zJChs*#O~u+bsP>+DSET0$?+g#x&;MO>BkH&x1SJ?(^FSNg*T!J&9jlgwEfG=j#wf( ziztFT3* z{u0wnkm%%@+CblM)0AK=%HUZk4Hi=Oi$_tybJP0g{eR~v>U%r#_!cO?0FcF%%F=KV zABe;&xp|=@5T&#-4jYulxD0n-gK5#;YenDbMBn8^-|a--13IR|wW|2zZuk>!_>*q< zQ*QWwy5TPXx9@SA+>}qi{_N1~6D@FYO8Exh_(k7FZPn$r0m=NCkslAyXeRh!Tc3_T z_10*GV*Ms3dOOf`I*y}4W+2*1`8$2Q#VoxQ{w_CsXE%HoH+&LsR?cpCeveuCGs5+) zJeM`&g7$2SI<)L=v^}ZvJ$&UYKEKn-_V)tEFSx5E)jin--qSZc)LoP6{(u=bIeod| zd+3*7_QuPf|#mjLXoStO`;1 z#~s!Gi-r2$rv0A)&KkAe<)VyVnw}POyF)EZZ(5%DBycv|G(6-bk^|9J4R@HYzB~G( zmm1#NlzzBV-H%95H&thrInhUgcIolUowOh2M6UpyU`i9!VOKgSKiWd%OH~d&P z{5UuKc;GI5?ga4m|R{3IvaPj;eDaiULktN3X?j*p;;!7C8eMp%)1I&fZ@ z!k0Gshx_pAUcSm3O~%kl&KYiIoau&t#tr|h8@?JiXGn4XF}9We&T4~{07hoPO+klzcIb?rsbKN+?3z!hCkqB`-5)EA9lkZbHg8Z!=G@& zpY-vV>z`45?hnA@CjwFQ|GL@!f64KC4a3|E$?*L!&TTN@uSdNT?5*7q_}0La3{_G5 zHop3phKs`A=&O&rh8M+e>&U;!H$QawS`0{Dzlu`7osD`iP;c+6Pvbo?Qojv2elhdk zDC6JmE4QrsJAk|NoOipa-`P?9F5vM?;JZf*d);n8`Q%9Je~w1^9oX?U8YJwF%p~sH zOY*%C=!d<)Q-13?Wq=kvXP@-6QjGN!&V_chYtJKRw?6L{Ufl$)O&!P>hy z!}Vwg=BZSdya{|R`%^0qUcO@GQA?MOO4_t}^O$H;{#Yg=uXKLcU+8uswBKK0eL@+c zsr2E*KJz&KUl0G68{_;$z|JDhs;Klgi#R{L&2;AT{wL#Tvdw%=K*c#7Q1Kl_oUbsc zbUruD_#eUYBg5;S+q`)r;)rHW<{v8H2+6>LDHFV=XeookP(Y}b;Hj4~Sb+ed8Roqn zCK39sHKNIgPoTqE3*~>*3zX3Op;a!v`Ui%E##`DEM)-x~fMJ^2c%ho<8nSy>3&-7n zS`CS}gKvJemZ53k|8Z-LXm+$CfrEHJtyZ~3;S%jo8!sci<>F|!O=EgNBro(!9IYr!ww4>?PvxIwSTur{BZI9aLZ}Cx>h-D#ML?s zkLiK#5Z^yEq1p(e*LUCq5*x{Wu-YQCDS)!#{X0|S2wp0q$ zJQk0<%1Ue!eQZ~w$K*uO)*q7~S+#!FsAYp_+xQ{JbMkW0KfJToi-nB2)N-OI;UTL< z3GIYeYdLMd)vjH5GNcx-(@8BqrX8Dv9dD-^*~dJ!{Eo14&~-$1#_b7}@DvlS#7DtA z>4^Vkm&;Dd-~$t5CEiiH;GY8tXD@tuckf?@DH)Tdp1ND0#Fc9<-Y$^v{FU$T&0Gti z>NN7qJ1)IQw^TD-Ch!$-?=)1Y_39!^oX@(*ggwFuo83dgC5vE!SRh@2&G=ocRx2R!Tq_(eOp$X4U()F<| zBJ|~P@~fxgYRB7`$Mipkhn!jAgmyHPRu`>HX{UfEZV5ZuQI~NUf1k&w_;%5HVLbBM zDxvL_@zvMPvo+-J#p@TpdIywf7pke`hw1ioM|G{Cq7#&sd-z>HqZU=-3O-n7EaBAw zMrvC4+*$yMKLg$=jA%k}syixF8TMrQ+k)mR0ikc-A$5ye_#6W%`)VEs~%t-4B-w0+#Zh&3Or` z#EaomFRe>?21*C5hKPVr?I|_wHmTOwT3_EgEHs6KR9)6a?v?Fy^7tL^VC!rBLu)yU zqp2pN9SPci!hb9k*c&bi4~|;p#&3ZKTfZIcVj)9o7YiBVNZO{VoL;_gxfyBh9(I@rA%Ns&Mj6RU4sS1hU|NlE@cDK{r zNlwo0obUYqfBxH9s54H zQ3ErMMi@vMiq0JikUI7byn6T3|Hc=12+oK&Tt#X1Y!F_Y`}W2um`KJ_5Ad|Pik;wY z1M7s>>vtZ$idcIcWAn?fIjjf%A9?HJFMkF*(3BQ$J;rTqH;;M7M>d6eqWAf53ZN=* zFTitsc&a+w5AZx6-d-Kv0`UDlj3!huV<0pbo$tf7)!{ynynrnR=-I(rBQTNVB`}wG z-4UvpT1X=Q-Fv$gW3mti?kb@WYGn2B^0_@14#GsD20SRi4Z)4HF5)RA5h>Xg4&xhI z3mwjlW%uWv8fnYs^3g@4V0!197#ot+YFENzGbIQm1m$U%*T9 zpzHt;TFuRfls055c1Bo=WP8onfft~i6hd6=C)Dq`uwwVC#62wa|#mI zk&x7h%RitHWSU{1J#j4suI3guV%$(Q?yM)DB8Cs5enA#|iaWYDuwVMYS@Lxn~fH#_6WJ|*7nO|XgL}qLuM&9 z2!t#8QT95#J`>8~l}N}+m?=|iGaWFvhi>zR(^vOMT&8=B@77eli5-RQ-~E2`3QQzU zc4gmHg_HLNQl($&4O|%o(G?S9vw!Phf}mZpsApX3o8i{mLPhq~smRMRKGG#rkD3uT z`vRtIt7uwD%~iCopMu34R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*Fh}00000001`w00000003P90000000000 z000>T00000004LaoK#D1)G!cEcuEmM91tfCBM#6?+w6l^R@deDlrNcakJ|fO9p{G|6zUSo_8D)>yy#@%x&lxA5o@ z&c`_K;Y8D?xD=Yn&It$4Vb*EC;Iy9wrI_vT_l+aHi^sh~zzxm+`RFO2EsY;Il7uKC zElTi&H%!8r@uK7exM`$maXU%&P1{+~EIe%NZ^C3W-UT?;o=ey_7A0X`7@b2QCG;={ zmOE}Qc$H_LO|TFg2UGuP^TI)eYBUg|vd`i9zA-WZ`9$Toy7WvFOh@e5Q2 zT5B51l%gYpG=vJWPdGZ;yo!-A=S(S>=M_|@42o+Xk!UA0Mp5yTvf$rZ6v@dBT$q35 zrH9O$o{i^Lxt@4wbS0t?OQuovX`}=v$WNoR*(}UmX+=v|C>qN-#UAwTqRIP!5(NiS zD->TFUO_X`AwmzQM+F>DZvavLu^6mvr6}24a=?{HWb7*JTEhWc3co<@5v4>FgIF;S z-KsC}E}$}fd}q*K8?dEfzg(9-mNwSJfnqw;tn|U6*7Gil%&8sF2c0Z)A>loW8IXk) z->ERdc`N3@8|!j_spJgFTV7-PEDLZgxph*+5&P2itypo-1hp&k*~0tQ?G1-@+ptxO z=7KIqUK%yz*erRLuI|>~p92&pxCncO%OM6pwp%bmt%}gVcmm@$d)uSESKD|4Cod!P z2`;~u_MBUXT@Zf{a2fCB<9q~fgFs<+UXNh3JDg00{Vkro!zz0Y2eHkf(L-E5VDFO~ zIAd!0INYb>;&gH}i!ciP(X?BVU*JPZQ%Lt^IfS4PIgIt{AgIojrgF=8JK#Ba)AL>A11{NfFe2Rw{!fN2b2Vx2~&k^7CVCkP&R%4x2qsk zAkV=Z2vOzg00960n48a& literal 0 HcmV?d00001 diff --git a/CPLD/MAXV/db/RAM2GS.pre_map.hdb b/CPLD/MAXV/db/RAM2GS.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..888b184573c17f8ab7654776b3b0975ce63b1865 GIT binary patch literal 8837 zcmV;0B6{5v000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*GC`00000005gE00000007Yn0000000000 z004y|00000004La?41di996Z)I}s5P5jRwp*kAw`GR#c&h?q`K&!kB&V|UMF`Fu8n z#Agr)hJ;;YM?(lZF5m_NinyUhh-~tn$gV6#K-RqP`99I-L*5f1D0%;Xb={d$b#HZ5 z-P-|?xnI}Rty}kZ&bj9=N3ajo4;77nNVFZs72oGr!wS%#KFg6af#(}vQ6S<7r3V#>|u{8WOw^bOA2$zpyX${dkWp?(4SCwO1 zL`DZ~rc$tPoU9kDCOJcB&U&%DY?TEd*%M|qpD9*6ZxEL@LD zAaT_46`wpYau4%H19(2&OoR-z#IY(L*UU>9SwF7UQijSe(@go~ zeie_)$NjF0(xvQdw^n(Rtd(6!@~S+_r)oRA=6=d$I@i)xrm1bkF^|d{P79~2{Ylr9 z9bVe8?ecP;iH%BUUhXsPS7~wkl?<*KysqDhX0GS_Od)>7W3z9wdlsAv}*4!S4 z<$6Z^K-pYA{inZgma^4;uKoUw`Law^@d=1XKtpfeDL~V#Q8SV=NCOi@n_FAMZS#{{4$H<(tVrsc}O825hPj`2Is@$iJK+MB- z!UO8tvB-MuR2*VQ!tY@CTd>7KP+wZ_zFhfthpqJ0#aP0A$s#Rkk^L$hk7AOhOFPKO zZN_C9<7t+#tajT{PrH0-AM-0;s5GusT6it<$b3>xm{HqF@~FIW9oDI~nTImDs5G@U zrKx@7R6NOA$pSVKHlpva&oHnZzFd7_OmFLWH|B>iKiJF=#@bE$cEjUrFBbYXYOKVr zk-!<-Tm3DY`j`fmIyom%#{gfPx4H-NIb&pCo^btEZRlCs4_(zgYH5e+RZhWtiSAywJZ2C(=v9)zebKAkTIaoL| zm%eBhN7j*W3(EOAe~U6YZErth(nhu|`Z$Y4h8v-u=V%O3?QehVqYTAo{kYnebuafJ z+elasJ=^Z5CCJ6Tzz`PL9gQHS4v?pN`+eBAH4C|%0Vc59V4YOQRE+HXpy zK2thnNn4mlZL9pu!?;|lxXKf?ZkLbyx)HYsQbyaH6Ot zi_DzGa$wJtrBkL%oz~ma)4R0$xZv#GBY zJ^*wZMCas6xk|pk1B)@p^2!W*V~1UNCs~tS>ByT$D}5i321h+DN`xFEqku@x%u1(p z_9**7kQ`SEmE4?CVKhA$3+NRl*RX@*TmMk06eLEs0xYj@_+bHd@ctJD;Vg%CV+g=F zp;ws}VTZw2YB$#bboS_;k6{N(+&Tt~rUzpIT9o*Jc*SgSRPbFG$hZtwCBql}ZS!Um z{%tq>YT)txfy%>nKOC{)}yo{hDc^jl!FiTJfA;Ux@FfF>+B-z`-FSo6WIRgi5_@zhP>M~h^I-du9%hgJ3Md~FDOR(CxyIajHdpD(mW!2Q zd8nLi4ED8BrO)K}6y?8r%?3#nIlN}Er=fG*XU3%!#5baUnDG1E@CSfXeEY5)rTo`G zV%L#KnNAY9+t-&8sgvMJ%AjUtud#n8SolLS$!mURDY&Bd)N_15Q$OjQDEc87S+m3v;3od?d_(4ND(hf7TxToISeQ9zD|@U1N`)Wsk14 zN6)rL&#_0(wMWmhN6(K()mUY^JaPf>_#*=^t}vGjKZsWx)$gvcM}He@uPW^`eokrg z6R|W+-qBFnClisT!{GBE@v|9`c?`2e-x!Z7N0~17y(1o{r+Bq6+%Db;lzu1|`ietk zM2sP-X>^0e&*~D&lw?MmDrss;boOYW)C^!!t^m z%UIGV8IP*x-^Ug^ihRl^djl_ICeir^Yx0jE)6}ncgyg@0?hNbDvgQ_YjcmPGnU|ek zY|PE#l(SUI=883GyNm}sApHM~bvcI6j?$DvSTpRS-RbZ1G*fDwJ*xIVpnSHR4>mN5 zm3*yGE>s%1QZvDg*ns;+MP?SKG)Eko4@!v&t)~KI9VVPL%^pQhOGgTGPftWHMvLIh zDSj=)d#AWCT+A1m7zZ1LT5~X$pO+gbaR0vWC=T_R#z*=Gxorwzr@sT4`ho(B71Z8P z#k(r^A>?O+#0%CYX-o@CPA)seV69Lu)P@U6@`C(ut(l*jt5gc!c>IlckzwcV4zcATT*)UKP)(J3 zqn7h3tX613U3I9IFVt!8d*K8YGGTj0PRbst6bI)nY}N}O87kmOvRbq6BXz3jFAg+E zAAMR6*)cv28_rhIk~8ERKb+4OtIbkjxaEvTAdro>-BneS(Ech)?3)-64Oy8-(;K=g zFgmqSTu>|)n`JaFNH62IaB)ttRBU*+gZ>P;>72{*3$dl4Ms7~Cj)xHXs`I^PEqOfy zzckko_~hfhjL?VmV*P zFNBSi0-MN`d3p=E3sU1-2wzFVF~A$%`D8S1ch?qjh$wn(Vi*mcyUV!>+=IwSczPt( z8cT6#7?}a`24+52&ttglgeWzZ=gP&_y--?(8IJxyV%Vr1k%>NF;C*p_oXwZ!HSvfR z#uu-7QkCe>z z_oTuC_%G3G*5uEv$y=?-Us#j3S(CS0 zlXrkLbRyNnxMsINF0J|9X|41wYw~Vu@*a?u1^uP9(tEAR`>e_Pt;q*KCUg;U20{*F zQ$jR6ik)bWo&=QQl228Y(I?w0Jq0Mg-Gw=SHdoKq3Iju>TrFEn{bc8<5X6IlFKDn< z#q_+4hX)6;IA2kghSyQO@ia)|qY?E69zn$1F3hRzDE@Ru{tWQjQ9tZ26|%*$Kh0Bm zN2FW|DVB$%%You&F*~`!n!FMu4}*9Ep@GZljcPqFyQhptb=7Y| zgk_1pWshEMkABA<{Vq^`!2|O+Tpk`AYGnIr#bM6C21c&mN}s8Cl<&T0Z_4+9;%CuX zegLc^$HZ$oCFqBp67-`^3HouT1g(Q0e$xvrrdVNvY1E37Fz0The|h@a&WXCNQ^i}~ zDM3H&T;Zz${1Do9)q`S=)Q7z0%w4(c7)> ztd-tvP2Pf5#Cx2E!Kn2l!u&#RUUm?-*e4AQBA+ot9m`&Ta9C#1zW-)T{v9NKY3^mI zGQDVz^1o(SY8{6Q2A1en_UI1w=vsU9Y@l`x;v9RW=K|$rEp-~Isyn=nYQ^V)=f%pN z?reGPqA;blqv-QNb6@I5crO5G=c@}rTDGW*td)KqBz~EG1m%jeu<;zFN3$N>aX}lr@!+3RePmhGb#<=<7986KV|qjNc^lW ztzPQDUIumt=p7uM+|%8gt=6)IGQRB8Ep3zWs5bfy$l)`Q-K`)I8IQs*cf+r6!>@G1 zzv+g5%MHKE4ga7jO7-41?JL&v)!{IQqIH`=2& z*`qhxqdx;`HRjv`knT-?!uWHLdvWa0E~e%x*`W#^waOR!i-kTsDL;T4O2}ypQKRUs z_Rjc)U#9f4rC-`B{gpj>A5eZ!zlf)FbCpImz1{a)m-qo|^4InS-e8aZ#@gNo?UgWldZ|TPo{t4uxzcfVofgb$)42bkxP9t z{e_Q1K(ej$inbGos)pn>1o3txr*};2nb~FWIX!+Jf_GV4bhfl?<3^6*tF#lAo^pj&)7`%L8Gv#c$;`SE3kEIlFsij9B_@d52~k%vu~PxlU# zs`$b>9>i-j@N7w4(1B<`l-RQmDt;oi>+_0(cvo+rP$}>=v&ujd+r|Fo+`dw?T8&G=Edp9|D%H$1-qR<=>nbyVET#W(Z zJ^3Cvdo;@qNJvv#Lg{p0Y5Ge{GeDw|XX^d=p;1$Uu_%LQrZiYcofVHFhv%mC&-wp{ zQ!OR9UK z3%rMKc&NE1)%|`mZgTnx!}riH#_WxkKPv^i(OYPJzelnvPT%oqyLD=Yp>|;14HGyo zYWEr``5NXFU*O8AWBH~eTf z{1`XIngIO(Wkf-{8S&uN6_S;EaUA)*wcXX z$`rn|(KnRGt9ykSZ!{T0J2|JjnQ?|2{#iHtb8h%*;G7}F{m0mL{yVb+GS+lJ##tSZ zv9<#;&hCJWb07m(elsH)tvc-m(- zdHtdj{Ux8CGQ-Am7yI<&$g9rn{C)Zcb)vrp8o%hL8`?ST>%Quq zX*Lyhsjqs94Sboe+OC~`!->A!iN3;#zA`a=i{Zrj$BF2EoR*vDbx!n8oak#oCzp>?t#fmci1}Eh=x+%ZO4Zq*X_6OXQKjel#>V`k&hCl9xKjGss*FU4$ z+#i9*PXwaq|8=wd|B~bP7>2p$li{;4&TTN@uSK~N^w!=8d~4uIhN>uj8()1)#YN$- z_tnQ;!;9j#b>!dRn;*J-Ef4a@k%>~joum3U`syvc_g3IEul>9t%J{eW$}P+OcHk~8 z=Ur~nZ$j2N`5v3`e9G-l;6JY z1GIRoV(;{{QjGN^&BrV-0=4SN1bHm7yBA> zn{7|Lqssq&Hw!)hJjrMkrG9TmeiC^5%I|Wb_c7ApJKRwv?*@%uyH6ysU{QFF8{X@N zPjl4ZlO{EVf_L;}<|9bep z+!*I40(KH{7Dc7MNyPc#ZKiWS?|(9mD%;H01XP^U0Ttgt#Q6%7O6POajQtfSj*tw@m@>huincO13g9Qj6nql7SVG^P5+9R5b_yjtv zy-@x~y+97lA6nt!i@$$ZXuPFeVT4~u4j87XjTfq!t|7aHwQ$@GsMU~oJNV{jdl{M* z{vWsYh-OD85;%wl)M|xW9L~`Rwed3IYc5_!Cvs?Z@G*pd$Kthc1PdVEn=7*K$IIwM z4vw|~JK{$N4)y`06Lwh0=tMPGsQrgU;)jd(g=RREn5m#$4Jf;VlLwx(tglZ#< zW`{+B);=s`#3S0Mts!f}4&uxw;IYAQLcHmk4DWFZIeut!2d%Q-6ISb8e~~h@vREWo z431iJi1&wuVVXY<3j0G7$}^5Y%~=?>TUdss#Y=b#oWN-@!yKO0bh=(NwfxTwu3EI3 zdt0nfZ9vfs(<(~KVNnh7$GLc+@qJ&jbc-Z-4&t!une5kMpenVpcu)Im@g}uJN~@J+ zi)eXig#I&?<)!ghC$wn&_ElI7`-}UJnm^1=UWcLD^AtuH?MP@w97mW=)JyykNISl0 zM=^`SX%jT_ac+B24GBy8jHm|BupNaAv!#C$Nc{9Xp&gEOOFVFlGMg^7B&?U;{dM#P zu_ttQ%t2Md^%7(bb7?1p5ow3kVB*W-b+L`YFmG-rg_F*x{Wu-Xd~jnWL>*?rfjKY^fBgc`P1zh2_{J+So2e zkI9Lmtv@C}va0>8QOgEVxA8-c=j0WleRyZD7YP~jsO1Ea!$Ve!9NG!5R&!dv)vjH5 zGNcx-(Mc^op&grq9dDx=*~UDz{Eo14(6vN%!tDu_@FWwiz(>J6>4^V!=Sxq_-~$sQ zIo@7B|6c+LXDxbaH}7ADDH#(cpR#Kp#}#WX+Afgr+!gPeow)`=)oJ7zw_kFhZmDLv zOyDcv-f5^(>y<_3IFDtK3A=|AJb%a>@kithu`)EF<^;A=-k4(G!>|EM&4{%0)s@4m zW6RlGwG6*>N!%Vdlsv6xEsRozQZc)RwNNHceLKB{yq-$eaMCeQ7 zjp=_04>>c#37x1Ytt?uT(oO+S*b;VhqAX)F{xOeH@%5s$!g%D>l|$>5@x|B9vo+)& z#p@TnatD-X7pke`hv@cnM|G{Dq7#&syZK!|qZXCpaz0pQ%;A**MrvC4+*$yMKLg$| zjA%k}s@oE(40|H|Z9(%D0a-ZhlvuMvOE6#lkwUeJz(`q?3%xQ)gm2>qT=-%*L9?U8 zzAj~GIYtsZb;x}yo^=f%uSqULnLZ+PizFyZXW?=|z*7FRIWIxwcp-f1r8OzfK z5D^fnJ*B4ICecW@uA5O{ivvCPPCy;UG<@mf+1Y($%en3$?OnGBgLp8>aPJZTh91y=rzG5IaFD zgmX*^Cv?K6nhecSEyq7oLOIr_T4@)B5v}$$L~CQ(37&S}^ag7Ae*gdg|NnRae3n~m z6jd02Pj3hUTWP7nXHlI(v3^VCUk@EOx`gkx<3OWTkWo1U1(9QVj_j zjZqASgrtH*0$A~Z#Kfr4C-ue48$v>iK9H!X3W?zV|2t=Px6|E8PR{O}@BIIN{@a-- zt6_5)V;_7t8i9$m!dMO?85x9?qcOJfqSbH5~ z^UJU~tOx!ddF$gZe+E0ylooG2#%*mkk9o#NHidek_xW%Npek@Lz;k_gsyf^c@H`*h zULD>7@cllFCR8zFAT$`A@58m#;XaVOfGq~-*}+>QFp=aXFqe4U5vrM5NFx8;d%G25 zvJeLDDxnZ+WcBd!xjh#S!bGA5JSf2p!Hu*o;wdE&DcKed;~QEF9nOtq_vfA(Y0KvF z(M6o0C|5Z`Zs`O=K1L? zG~G%qW6!|)@U`=6WGGg^e{_Ih+B%en<0=LS#$C&a{U`ay0U2X?6*bF3agwI(k~R?=Y9SvFAD$AD)}8;Mp2&CQ6EHe@Sy zMp%kwKR{L=d#&?2!stwy`Z11)BhrTEwo&i;{Ihc>r9Rnn3KG|mkkpCGKcEm~nqi{tS6r$h7Y2CK^A<9JGwWp?_p))+MO^GrB->Vid1``AhFe;)?h*U zITjA9x+Nel-Lx}HVRq2*U+C&T0iwt}*~rzDsqVr!Zw#p2QBm#8!m8L19xQaAAOBoe z2g0z?NDnHGtY1;M06N()EPwsP*)EvK#8og;@J&Otxy#E7uZtyN{ilh(dy<#sQdXbc zr1i*O_vT1U)^Zs}J?xw1J zc#+2_Jxur8fxBn?qFW8S@OZP)Le(B2*WKEFc?>N_V`RuI#Rh?JML)`3hu3F9S-cVn zSqU>`ifyI?2KUfy-f;Tr9*N6zkMZ4_$~Up2u>HH=Z(f0k#L2GgyQ*;V-axAKOTB?B zqaeCsf^7D0Jxma^OBVHvYkf1^dRwT-zB(0oSsp;Tgz8Z<;$~mKv~3klE2+7P_VrVc z+iu>*jG!Q+Pjn|Cpl%fUS}OQtfa06^^`*SD4YL73mk+x$=|MV4&1k+JX_Srk8qyjx z6jzID+};{EN*I{g`#`apDxM@v&F=Wq*JB{1EV{+bkg{=e8|g(aORxccJnm%l2-U}h zS6{q?%?-;<-gR`n!*^U7FJ$MjfaSA)QYdO%$W4N7FZ|pn|D=cp8Y|wL=mqclvipAk H009608hU1c literal 0 HcmV?d00001 diff --git a/CPLD/MAXV/db/RAM2GS.sld_design_entry.sci b/CPLD/MAXV/db/RAM2GS.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..dcd2274afec766142d08abfe82be607ddc4193d2 GIT binary patch literal 223 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk>KW=8C^(g7=AN>OX u<(UN5Xa9SH64^YMMH_fN@E3e7{>ER_%gKW=8C^(g7=AbqgvU&GIwK}A2G)?H`z8j$NY7AWc&BdpP3RC4Os~cOU_Gl zbbAM_S;Hk{+?^97