From bbab55626a00325a58a7b37e915240ea10b7bbda Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 6 Feb 2022 23:40:30 -0500 Subject: [PATCH] idk --- .../LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html | 28 + .../pn211010064144.tcr | 6 + CPLD/LCMXO2/LCMXO2-640HC/impl1/.build_status | 42 +- .../.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb | Bin 90359 -> 91027 bytes .../LCMXO2-640HC/impl1/.vdbs/RAM2GS_rtl.vdb | Bin 147034 -> 147042 bytes .../LCMXO2-640HC/impl1/.vdbs/RAM2GS_tech.vdb | Bin 116477 -> 116485 bytes .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd | Bin 302666 -> 302666 bytes .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad | 4 +- .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par | 56 +- .../RAM2GS_LCMXO2_640HC_impl1.par | 9 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata | 4 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1.mrp | 32 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1.ncd | Bin 302666 -> 302666 bytes .../impl1/RAM2GS_LCMXO2_640HC_impl1.ngd | Bin 234541 -> 234541 bytes .../impl1/RAM2GS_LCMXO2_640HC_impl1.pad | 4 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1.par | 65 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1.prf | 2 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 | 8 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1.twr | 8 +- .../RAM2GS_LCMXO2_640HC_impl1_iotiming.html | 4 +- .../RAM2GS_LCMXO2_640HC_impl1_lattice.synproj | 6 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam | 2 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd | Bin 212106 -> 212106 bytes .../impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html | 22 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html | 4 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1_par.html | 65 +- .../RAM2GS_LCMXO2_640HC_impl1_summary.html | 8 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html | 8 +- .../impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html | 8 +- CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr | 4 +- .../LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html | 448 ++++---- CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v | 774 ++++++------- CPLD/LCMXO2/LCMXO2-640HC/impl1/automake.log | 1010 ++++++----------- .../impl1/hdla_gen_hierarchy.html | 12 +- .../impl1/ram2gs_lcmxo2_640hc_impl1.ior | 4 +- CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis.log | 28 +- .../LCMXO2-640HC/impl1/synthesis_lse.html | 28 +- .../LCMXO2-640HC/impl1/xxx_lse_cp_file_list | 700 ++++++------ .../LCMXO2-640HC/impl1/xxx_lse_sign_file | 700 ++++++------ CPLD/MAX/RAM2GS-MAX.v | 17 +- 40 files changed, 1900 insertions(+), 2220 deletions(-) create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn211010064144.tcr diff --git a/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html index 6fda9a0..ee9c39f 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html +++ b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html @@ -29,6 +29,34 @@ prj_run Export -impl impl1 -forceAll +pn210817062320 +#Start recording tcl command: 8/17/2021 05:49:30 +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC; Project name: RAM2GS_LCMXO2_640HC +prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf" +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/EFB.v" +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_src remove "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/EFB.v" +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Synthesis -impl impl1 +prj_run Synthesis -impl impl1 -forceOne +prj_run Map -impl impl1 -forceOne +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceOne +#Stop recording: 8/17/2021 06:23:20 + + +


diff --git a/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn211010064144.tcr b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn211010064144.tcr new file mode 100644 index 0000000..21e57a4 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn211010064144.tcr @@ -0,0 +1,6 @@ +#Start recording tcl command: 10/9/2021 01:18:46 +#Project Location: C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC; Project name: RAM2GS_LCMXO2_640HC +prj_project open "C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf" +prj_run Map -impl impl1 -forceAll +prj_run PAR -impl impl1 -forceAll +#Stop recording: 10/10/2021 06:41:44 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/.build_status b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.build_status index d4ddfec..9e3c1d3 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/.build_status +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.build_status @@ -2,26 +2,26 @@ - + - - + + - - - + + + - - - - + + + + - - - + + + @@ -30,17 +30,17 @@ - + - + - - - - - - + + + + + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb index 3d236f208ca40ba5260380a927880289747aeafe..7051715d6931cca081a4521d0e85c1db6d1c5dc8 100644 GIT binary patch delta 5979 zcmZWtc|6wH8t)~0hVHG~%$+5vYnsp0%@SF&jMq-~N)Z}aQfP58)`~#HpGa zS2%CvmUKEVQX)Uf)VwC>eB`}}Q%LvT%#H88!?-cbXDb;SMtrj51ujTbY^~tpxWqaX zom{>MU=u%mfb0D105)4;3~to*Lm=e8I0(ku90cRl3x@jQV5o1r8tT`shWe)8LOzY(+98*CWwbrrT#|9y5NP2< z$U=~@g=?GvKD!1ounL9i8$;~{?np0ED6wxJW`ftJh0zM13-bi>z;GvlGddJ*rI4PP zlUjjB!sqcA21V#1^(I-)-4V9HM#x&iY42L zc=!>;5gX?7BJSJpZ{Cd8Mj_fasDujYEhL%l->5&u1s@eBMF%U(%ETh%@w<^ko%KXg3+fa!DpN8FgA&$I4xFh!gRT)vP>jCfYJh}=~uA^ zJZ3TfA&aHP^5e)BVZL|9nPA`N|@msb;|6 zu2ks%(==lsFHR%PCQTQbv&9j_)40WOg5OkbgQ$!4XNs!Sb<#96W}`dzrXkO*(*XaP ztwQuys1nLZr;TB}jkGU|@Yptz;iNViv32@lUJ1{q>wy&(86*bQ!0j0ZnB#Z`&AG$& zg+P98J81!?@105XXC0;botdsc9=gK-;CmR$>IY^)f4y0bKtC$m0P`KVO(Po0b^!AD zozVUm#vFfsPPMg>?v`mNf7f*2|LHF7P?PpxTFyf3uX_*m_b$f_V~<>l6TkNY{y)wo z6=3qh+$F&Nf;`CYP#*MWmJjpWgt2UXj1ctKg`nJhH>^khZYcLJfc1D@0R2T5Lj93K zA@HA61o^7(f%@5dTtL1)|AFL7qnPHaf^lvkAydt)V(pXojqlvA&W49n@Y*}jjQLX4q* zsUg5UrKBS@Mbv_ii0mkaJ4E*2%2=^q58!JU%btSHWzHD0jP;ax@fd9?CmPIy!U4!2 z`G5n+iCTp%@1iZN;9s=rm88yIm9!4Ul`+7EXBB+@^i{coJjWgM<+1#GwHt4yqFM-Q zp?e7OJb8%Jiq+D+#uxY)tqH?%FF#B(U2>RQ<}6csN8l6a$PsU(aaH!>bgb1wU+j>b zIa5or&mIiMb+!Pv)_L<*_}BAoT(8#yaXJ6&25j{I>&>Qe$PGdMW_N z!>-k)Qx<@a*=fk9;WW82n7-|q#hBlWcG~(KXPoeQcI2;YB}aNeD{Z@5t&xC#_J1QV zW=D+aSz?b}fvsoBk;(G!d2Ru~ZRg+-yZpQ*u-|(gZj0y(@E(Y_S4Nh%j1$!p6wvmQq83}B6#Bx^QC+#q!p&jtsr@zCCw`t-p@i)!W zOK{VK{Y7*lKo%RWz^|ScLQCma07OAGRFAexk0;X?1mdJXIa1V zb0*hKa+cReFSEGrZ!i{jOK|>8C*U&wCj3}Yy#-T}dW)n4r%03c-kgi{dOk&8-(CPR zSb7_t13EphuTJ;a;0(z3(tYI8>jmgM?1gkz^}%Sa_mMtk8I0|B2hpnCSqg9^#^7 z`9oq~@+p}6hliRo{z^30*3$XfPy>ltjU*p||9WJl$|T5R&mxK7;3I2Hk$s*n7`6eQ z>_>-5!=?R68_?oUh|lq`>tiUt&cpsAP=23>*FAyqu_w0Jzv(FTpFB!l6bvgr#W?>3 z73V|v*)t%mfKc~2kTyeT`vORRgK*gxkluwb@}-Ty3Dv%|loaOaOY13I`e$qD%rTrh z7j>o>N(vDBiq!DE(6r~IJGa7ONn~56W%`wMN zON-T@s6bAD?H46amahLoUVvY}vNP2m%ot7P>$H9_m-a(e;ERKFh|D5}Me&6$3zUOz&d7jWo`IBRE(~;_- zGJ{^d@_XSw_m*WtWqO*lk1|bH$;hCcN{6VK5o9c%-**t3xX!SPKF}Dg=tF zc?q2m?J}57C{K2E)uT^Ndql`6WsD-7J~*n#We>uXk%n*b=$rY{4E?1%L#bt|QaaA? zi=$KO{T%ekj~zwH8X4hatZYT=u9YNDrZ7w?M%p=8U~pc!XQ)iC1hUx5;CWULuP$A z%rh(kR@4vyD+-E)%;reQ44(y=*JeTH+}SYtt=ZnvL=+P@fhrh32hMAsV+WLon(GHp z*IXEH={z{EbDj@+6{nAq)Na(PCdvuV?7ZnQ0IyPh)GTFGbbhgK|4%H2A&b=m(eP*+ z$sa9OD=0ZXS|uq_TeQ0@IZcDC=8p#H70tH-XvhLD6!vRRm>(86^i$zpuPohBTv(wi zK>ISrQJ16ClZAYatH()x=!M#ohM2H07=&+GI0@Jizj701$`&~?Yk!=av94f)uEcm` zQvXrI(17X>(auFS(j=5|#f$nKvDgZryu~cpyNj77mN6Ib|b+B zh0ZrXPpZdLg(8=D<7{>=Vc9%dV$Fms)D`d0RTLp9lnJ@uYg-Wf@2|b7IR8|$l9nzd zP0j=MNp!*i-4j{B)I?Vy;J{@HETnlMTY~&$UOZf6TrhVfi___Rz zLcnuZSc5Weu9$+Oq-j``re=*2)G%FR53;(Xu>)wzw+b|Lwj3qIF-;r4B@M?b2wO{38&SvVX-O@zQm1)qIOS(PCsxqA&F}%LXn}~|KTITueCTEQF zGZ^yE2oU1j%OIL^t2%Qs@TAUACz5@oZ>S zuW`J;H^~Z;AM8Y8CwAB=wCvRm^y#9-WODCUF-!m=Fwt!X*raTrCU+LG(A-k1Lm#X z3EvV-N~ZwbN=t)5E0Y?w_1fr^?a&&wzJ6|^KwFVba-+e?>e!;HU=LL|-{y@OowI9wK zQEm+i$u0M1^Gt-!9gZxrOi{oA*yI-vj0eg6_s2Ah@cRb6+eRORJ$B;YH0+eGV)!8& zfUX~k1?p`13Hls-IFN4r!V|3Eio;d{b~s{%J@E@|&k>S3Kj;)cy90FYXJX^bSrrZ# z@qC&qU_POh!qk))F9W7j?c%4@8r7U#0$S(f=~F+ ztF`C^dlP9rF;>tu@+3U!9-VXpeiKi@-ZnW+-ZFWor=OmVqwovQ`V6Uyug9)4L4fIV zHWKXChi3_sU$N@CKu~eNb4qOEYn6KrYGrmFzQt#r4**R*=2zI{#TfOdG4#S0*eAc> zt1qxuD*om?wVu2x@U=T%A0lWI_nX**9lwzti&cfX3d1cVg*X=w(D3^itL~RZ?!g$G-6t_uLJY_`A(znEA+2_9!Xc zfqtW$z2|}B@~@zPc2DqGc%z+kNc{uWA#*w)x-X!_`_LwP08yHN%sQb>C!kRey>Pt6 z4_Ul}56OMYk>?|V@*gwXc>#qyhPK-Riv2&dy%JE$KhXC66Nm}~Wcd`@jy(00e9_CN z?2hty=3zvI9d17IKlY!Xm~G>zvZtPrwJ_>p8Bgu_C*Y?~U^G3g5yx14+jEBD-OLUO;>;+!Y97$rlq?g$H8)Wzj ztN#XN31~<+w3R@VZ6g6&b_GOQZ%Kd`L>SUr!i&m7YH#(Hu##_JjEq3-AsNe7`|>TE ztS8}y)Yj=qw28Iv$f-B|*Yxdj3BSwbaQImt0sbUHZ+*dfNraIGg7tw2SN5fOS{c6% nY-lLqf758+;O%c=OC!-<{T80qPqeqZg_jr$_Cp3HQfkn@&nf`M diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_rtl.vdb b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_rtl.vdb index 4d0f7d5e344627d3e12626b447fa0be6c46e46b1..aa285e972574d3b6e00adec57ad9b8c13cba1b6b 100644 GIT binary patch delta 639 zcmcchhvU&74pFrnd1ejhYe!$hGTw!YIu=^+stG!{PCReL3KpBp!|286G&!8noE2=+ z}Q$m&m%T@3!4F0?m1f=D_F67rP<^<9&ISw zTwGXAz*bO1O2kOQih%*FnVo^1fpe08<>WG>fX&Uk#Y|uWe)2nWfi>AnS%L+sr2-kj zjPuf2EMSI>f*>E55uhgx(UfP9%L^8{XIo_sW<-}BWCk%N%U8NU+{(qk#lSt?UzgE- z^P0*8u;ga`+P~~z<@~Lm#39O97+4tCK+0?<-!2u}95uIs5hB6Mz{|it*}pbIKZmCl^C}`9m&MN z#K1XSSBg=3`VJ{Zne7fLjGrLNP1P9Ruz{7U=rXPY$IR|x@BN@r} delta 634 zcmaF#hvU{C4pFrnd1ej29x#OTH7FgcddoY^J8Yw}FS zUCcmpCx%RGjfjlqRh-bD{=3qv0=|PBN19;3P$5ukz zlvlY7;wJIhzwBUT;;o;=!3>_c=OB)_J5K@ZsZC4x1t8Y2F|aYPPxsbk^aMM%WJ?hf zSj)?8XQU9$<(%ADX*~UyE~CR{qf1M`Dkk5&5&==b!ob2HI9*nX(Rg#-)h>t|e&2Wk zG3U{3Yca5a3ZLZoL5%5+jEof^#`Zmoj4@!j?a~sA8^8|Seom6Hi34IR69W?i`(&A$ zvfIm*7$-oaxl|Ypz~*kZRbza^1~x`VmvJ4~Q`_$vF&440g2Qw2J~qYarIw7^2(Eyz coTROwh?IztgcSoLP*wXiOUCWjESY*(0H`_5VgLXD diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_tech.vdb b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_tech.vdb index 2e79d37e98f46c407d6ed1efb7c2d9baa3c20148..dd5c8cd5e7d384f92082adb7a92b45b817e63085 100644 GIT binary patch delta 2231 zcmZ8jZA?>V6z-)^sI;ok7ZjTWA}Z}ZDID$d+#~tJs;0` z&bb}lpSkBiW{$nlrZ?(z5uN(;KHg!|r`Om6;hbsGqHvkJ@ZBZVZ*GiiTDxS^l}O(= zx{0U8{<_X7>7H3UTPQ}rK-GogP2Zs|+3=L)@=AHQ2u-V0ss9@+CcbJ6HUhc-Kx?u3h z5gE%TOd>_SsZzM=Gx^0Cs{Il>%tcB69(=}b&`YS6`(?1J#sD2|hDDayLV*GK30cH^ z4G?UR;db`0=BpXbryHQiVnTne<};D!={{Hp)hA5w^pzoDm&n_UZ%vXUgIaK4Bm)K) zypA1{H~h6iMH+jeXDbk)IN&;TPxO;B9$ ztB7TBH+Q!({HkyT*(hFaqdIX|VV{e^^VOx;H*J8F0oeo37=f=w!a@5X$taQ>uVZkL zx$wASd)ogbaNKbWAD8?l9AL~@o+iTbFy8qLn_RDvKx%A&Dpim*OVN^b`uk@yp+t-O z0o@;(^FC>#y$zyocgm)aARzZ(+x)7eRv?1;3#lbAG-ni|_Hr5SctrK6cz06x*cfQK z$_bj7)8DQ3_l36@6BThvAm(eL5EgfX0D6nr0E3DVBI{+mx7bI@H5Xg4>us}m>E2oo z|Gx7;R2}oxfh{*1{eFquEv>pmH%6Ij1Zye7UGE(tMYK1WxdX#BNKJ$-lQi^lVS*7X83&dGrAV4ZH9+-1FouHK!TXFS1;H4OLc&)~;mr%jTdW}{AxdEC~Iz4nS?AW-8VvMbALj%Y6VM;4(iir zBN^=9@bb@VW6gtBipW#LY+5o&82}W{`4PV@KV~MnFGyQ zGh;s5I47$LVcsMYhFUVn6Q73aJ{8D9D>R`2T$v`!Z~Z_hG1Qi>jfSL4V>7b<2x;H57>GbES$@5Vn|ym7B{V(sUa)2?_QHkg$u2a zWMeX&<~qJE z0CgN=UE0j4^uqe^O!5weMtes?aMUoO{33}@$M(}JL?XQOmEUTmtEA~a zqft(6Bv$unYh791gMDYI_n0`R!NzgWS|Nrfvfco_B}TDdfB0Twe~P*na-k?9B(jdnWWwD$b>_23xhZNRJ>pGo6W zALLQYC16FS=ML7RRe#UFL96NGTp&n=^l^iUAZhRyNm eFeUEL?zD*Wc6{0B-vncJUYSnfZYuBp^w)nIA1f{Z delta 2362 zcmZuzdu&r>6z^TfT^V<`P&%F^l!wdQLahxj9nf1`0YO_gl$Rr}V-Bb;7Kn;W_rS?x z(ZR}*z&0HnibMofI6<8<1{f1WHfA&?Vn$}vMB)PR4o1T#p~N5hxZ#s z9!&i0KGKprFWmE}T3a_dt>xg(mdDaEMtSnWKjj+r8yGG5B@e8Ta*W=<5@?n>jPvUz zLLe!~*Gow&QuT9jj6ItSG2Gy1vcmT@**G-ivv*QmRLTJpugYc)P~nB0WQD->l<D6<>;vab+COV;4dOq~$SLXYDTLCwxx z9EuUwIMUH!cxR?9k;DXlOjw_@mh3U#-(6*e!i@^7ef%2tzdKcfdaJ6(WCL}$4B7|< zJ98(ILcAgbL2%t-!FT5RNf_7k1Ra>~P9yIE+Cq}DxVyYr=$L<-E(Q~4fqi+u@W&}Y z8+Lhb5vaf#gy<#giCS+b_cgeb4&fRKCb#+yNGO!%~WT zpnF&%_3lCYbKB{S`zpkQ_T|D-OsPf7%7+Q4S1DGkdW0Xa^?A`oW+$&k>{vaG7>+5M zVfSGf*A&eoy@WD>P>BtWg{?4Qfr875BLs-JsG$95!%)cyx)g3 zI>goME6yjlYQt#d3cca)%xgbUx{`5L|??~AkdJP_%vhF z0;8LFE8~r(WD>GjyWg)eda&d}(PuP(RXC=pyf!X06VvgEVol?ATQDz@KGcz`<@9Oj zZE2MFSF7Tql>?b8{#ayg(9B?o^&c8fro!c{K&?^{{BZ`x_JN%k9|u>B0xF z#zKm=$?()?-^IDmGsWjDRv+!4r{aFF3F3^h4}t~TkBg8Jgy@XU8me*cEc^Y$KzsSn zgWnUnw@L%wsV43~oKyGb#OYA(;Xqi$ZD0IKdV&*-wvD7Le)Cm7&*u5BU*v1$=^3#6 z^h07_#nwITp@cwH>}c1&O6+gO2OTwhoqBc)Uz^WX@HM~74`t^rus!CS&m6%o*K`u- eYG+7*=zOW`S$+;AO*2_1<{BiX<-7dlzyAZUidMw{ diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd index 352b225eb29e8ecbfcb2e640beec10959dc89e97..20354b068d1cc304e39b72530ef3ad19477c8d19 100644 GIT binary patch delta 48 zcmX@LN9fcZp$Q_)iE2R`#gir2YQuK9>FZB!lyGc5DA9gUf)R+BfS7svK?#=5RsgyH B5xf8Z delta 48 zcmX@LN9fcZp$Q_)(!EU^#gir2%2jj3^z|n: 1.167ns/0.000ns; real time: 8 secs +Estimated worst slack/total negative slack: 1.167ns/0.000ns; real time: 6 secs Level 2, iteration 1 1(0.00%) conflict; 970(85.76%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.141ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.141ns/0.000ns; real time: 6 secs Level 3, iteration 1 1(0.00%) conflict; 904(79.93%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs Level 4, iteration 1 26(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 06:21:00 08/17/21 +Start NBR section for normal routing at 01:19:22 10/09/21 Level 1, iteration 1 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs Level 2, iteration 1 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs Level 3, iteration 1 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs Level 4, iteration 1 12(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs Level 4, iteration 2 5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs Level 4, iteration 3 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs -Start NBR section for setup/hold timing optimization with effort level 3 at 06:21:00 08/17/21 +Start NBR section for setup/hold timing optimization with effort level 3 at 01:19:23 10/09/21 -Start NBR section for re-routing at 06:21:00 08/17/21 +Start NBR section for re-routing at 01:19:23 10/09/21 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs -Start NBR section for post-routing at 06:21:00 08/17/21 +Start NBR section for post-routing at 01:19:23 10/09/21 End NBR router with 0 unrouted connection @@ -196,8 +196,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=wb_clk loads=1 clock_loads=1 -Total CPU time 9 secs -Total REAL time: 10 secs +Total CPU time 7 secs +Total REAL time: 7 secs Completely routed. End of route. 1131 routed (100.00%); 0 unrouted. @@ -219,8 +219,8 @@ PAR_SUMMARY::Worst slack> = 0.304 PAR_SUMMARY::Timing score> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 9 secs -Total REAL time to completion: 10 secs +Total CPU time to completion: 7 secs +Total REAL time to completion: 7 secs par done! diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par index edce2f7..fd2a224 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par @@ -4,12 +4,11 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 17 06:20:51 2021 +Sat Oct 09 01:19:16 2021 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_640HC_impl1.p2t RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir -RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset -C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml +RAM2GS_LCMXO2_640HC_impl1.prf -gui Preference file: RAM2GS_LCMXO2_640HC_impl1.prf. @@ -17,11 +16,11 @@ Preference file: RAM2GS_LCMXO2_640HC_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 1.135 0 0.304 0 10 Completed +5_1 * 0 1.135 0 0.304 0 07 Completed * : Design saved. -Total (real) run time for 1-seed: 10 secs +Total (real) run time for 1-seed: 7 secs par done! diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata index 641fd41..6dbd5c7 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata @@ -1,9 +1,9 @@ - - + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp index e49c545..aa483f5 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp @@ -8,15 +8,14 @@ Design Information Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial RAM2GS_LCMXO2_640HC_impl1.ngd -o RAM2GS_LCMXO2_640HC_impl1_map.ncd -pr RAM2GS_LCMXO2_640HC_impl1.prf -mp RAM2GS_LCMXO2_640HC_impl1.mrp -lpf C:/Use - rs/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2 - _640HC_impl1.lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMX - O2-640HC/RAM2GS_LCMXO2_640HC.lpf -c 0 -gui -msgset - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml + rs/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMX + O2_640HC_impl1.lpf -lpf C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/ + LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf -c 0 -gui Target Vendor: LATTICE Target Device: LCMXO2-640HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.12.0.240.2 -Mapped on: 08/17/21 06:20:50 +Mapped on: 10/09/21 01:19:14 Design Summary -------------- @@ -60,17 +59,17 @@ Design Summary Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS ) Number of Clock Enables: 14 Net RCLK_c_enable_27: 8 loads, 8 LSLICEs + Net RCLK_c_enable_20: 4 loads, 4 LSLICEs Page 1 -Design: RAM2GS Date: 08/17/21 06:20:50 +Design: RAM2GS Date: 10/09/21 01:19:14 Design Summary (cont) --------------------- - Net RCLK_c_enable_20: 4 loads, 4 LSLICEs Net RCLK_c_enable_29: 2 loads, 2 LSLICEs Net RCLK_c_enable_25: 2 loads, 2 LSLICEs Net InitReady: 1 loads, 1 LSLICEs @@ -126,17 +125,17 @@ IO (PIO) Attributes +---------------------+-----------+-----------+------------+ | RCLK | INPUT | LVTTL33 | | +---------------------+-----------+-----------+------------+ +| nFWE | INPUT | LVTTL33 | | Page 2 -Design: RAM2GS Date: 08/17/21 06:20:50 +Design: RAM2GS Date: 10/09/21 01:19:14 IO (PIO) Attributes (cont) -------------------------- -| nFWE | INPUT | LVTTL33 | | +---------------------+-----------+-----------+------------+ | nCRAS | INPUT | LVTTL33 | | +---------------------+-----------+-----------+------------+ @@ -192,17 +191,17 @@ IO (PIO) Attributes (cont) +---------------------+-----------+-----------+------------+ | nRRAS | OUTPUT | LVTTL33 | | +---------------------+-----------+-----------+------------+ +| nRWE | OUTPUT | LVTTL33 | | Page 3 -Design: RAM2GS Date: 08/17/21 06:20:50 +Design: RAM2GS Date: 10/09/21 01:19:14 IO (PIO) Attributes (cont) -------------------------- -| nRWE | OUTPUT | LVTTL33 | | +---------------------+-----------+-----------+------------+ | RCKE | OUTPUT | LVTTL33 | | +---------------------+-----------+-----------+------------+ @@ -258,17 +257,17 @@ IO (PIO) Attributes (cont) +---------------------+-----------+-----------+------------+ | RD[1] | BIDIR | LVTTL33 | | +---------------------+-----------+-----------+------------+ +| RD[2] | BIDIR | LVTTL33 | | Page 4 -Design: RAM2GS Date: 08/17/21 06:20:50 +Design: RAM2GS Date: 10/09/21 01:19:14 IO (PIO) Attributes (cont) -------------------------- -| RD[2] | BIDIR | LVTTL33 | | +---------------------+-----------+-----------+------------+ | RD[3] | BIDIR | LVTTL33 | | +---------------------+-----------+-----------+------------+ @@ -324,17 +323,17 @@ Embedded Functional Block Connection Summary PLL0 Connection: DISABLED PLL1 Connection: DISABLED I2C Function Summary: + -------------------- Page 5 -Design: RAM2GS Date: 08/17/21 06:20:50 +Design: RAM2GS Date: 10/09/21 01:19:14 Embedded Functional Block Connection Summary (cont) --------------------------------------------------- - -------------------- None SPI Function Summary: -------------------- @@ -366,7 +365,7 @@ Run Time and Memory Usage Total CPU Time: 0 secs Total REAL Time: 0 secs - Peak Memory Usage: 36 MB + Peak Memory Usage: 37 MB @@ -389,6 +388,7 @@ Run Time and Memory Usage + Page 6 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ncd b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ncd index 352b225eb29e8ecbfcb2e640beec10959dc89e97..20354b068d1cc304e39b72530ef3ad19477c8d19 100644 GIT binary patch delta 48 zcmX@LN9fcZp$Q_)iE2R`#gir2YQuK9>FZB!lyGc5DA9gUf)R+BfS7svK?#=5RsgyH B5xf8Z delta 48 zcmX@LN9fcZp$Q_)(!EU^#gir2%2jj3^z|nAOQsVpYz_U>Z-2cFW)z$?z!jQckg{mRad{N z_NzgywW+0}N&UBNVdQZSN6iC6)0+yLdW$$7vu<_kBHL~%j65o+TDNZ9@QUM?3?ILI z*{b2C%U3Lc=3$4#Rm&DHJYo5Y#rs*-iA&ZTzkKy7t8e*&m8(}Rwx)Epe`VqFk-e?9 zeOp@hwfAjp#~%zIu;_9yJF_)61o1&gP0d4x&6<;+KGZ)nJa77}zS)CNJmOG+sD^us zL&Gz(#o-zK0}L@+QHuU)gW18uAWyF2~1Y3&j09h{z>GkthY|IFz_ zbFwpwVE5Ii=$k&Tzn9LYDRJ7|{(-*XIoZM7bo{S3PeqA5qo~`DJRpFN(fGXSgG2qZ zXMx=tXDc_oGy8P1PE!cfgM{)U~S!KYoi-s45nx#w2ZdkD7QH@((!e| z=BJin0a`uGh;ITACb4>$X8#G>*JfRSKCo5Ea9M#cPH+`mb^guxQ1x5U+ELs3KxOn-i^fp?00!!wl<#N`k5tos6V9iUO|k zt4pIO80bf%SuoEJ%cEGZa_?70QJ@U@GiswKSpA>4aRreddU2#3$d7_J!d|>y#1W3t zA6jvQCA`j$BOJTeCJ@9c&b16@(MgMjj~`jcA=usx#Zot|=&+H!gs${$I|5ZD|{lLeR1Ku?Y@4uBjU5ppWy-l{mtAGcjR> zUdoHWIlvoVEaeq7vEjYWHy%BWz)^Ki zKw#D2>b1SAR}3v#!7)VqyB_fqmabf~bRoozK1D2y>`;#LD?|)HbmzU`5LmsYV;Rn= z=%*6Jq+b-{{Xb`bzqV@0>O~6{!_6gDn#REI^fW$yIs$5Kfk!b-Xef%b1Iwt)-Ck~h zkpQZjd!nLocqpMMDQ0*{B7)4AAWbXmtgqD~vqdmkZHx?qv##bujQ(MJxTB|YSe<~H zWOngyt*mnZbs>APTEtmhV2KeY2@Nk? zyf`du{#X+lnxPAJlv7FY(dFWIegd+G**~UlM9xbp!GGV4Al;L;zMz2jqw6vEV^NJ? zXduaZMP%54!8S1I!)gfVy5tvz0jv5mW#2UvApOJb(V)o>m+J~7*Td1VF!Tqq>a0wi zqdUuKu))?PLQbp-1Hyu4kE5Yt85l@-#}!O?V@t%M#fH1`R8TpxkMZQbfDb4E#P*q^8P z`WS`J&#T5P^aW~LkKG-Py?n8#^=xT_@xe2IThTb@=ZWT+=dM%B3h(CG=9HQ~W@nyP z)WK4*2d(^7a>2Ls)uqJ>T_qd#|zz>;6>X+gz)ys_`# znC=dYhP5b^l+f7{@0y+#2AtPMo$cU>6O9oLkMgy>x-PoG(}KE?Iny-)d-Jlu2n?;? z(Sq{zv1J65OWfosp%bUUV}{wT_=_J$P$IEL#1Z(*?(JxV=d1i8okK@UJKG)hv=?18-_39XBhpu&8|=P6!robQGbGoNjR5{J#s87+0Rx7NE0cvD5= z-D-GGtr;7e`D`${o>oMapIkM%R*s&Xi$-eW#n8nfl#orf+X^KPMl13{p9e(}2YkM4 zn62!L$#y8QGaiSaa%<&KA1H+qswWN=MrxsSq!mi^`T4Mg7RH&a8eJ><;kQA^M0?_V z2&x6#n2_QnKa^C}y-;HHj>?1*z4VDt;&`${$)?XkB1w%75LFAfte5#dh7WRMbkoe4=dPL3U!=2r$At2 z-93!@u^^O~`$9jISlzR&P-3tAQG^nsUL6<-mnSmY?u0rmbw^=xXv>YCWOrHXBmkXSoy=N zp@hh?2Shr0aeSlWb)i@&BB@>&;8(jL&bH=9EEf7CPwP5VO}=R2?`%QYvtM$xu9H{c zVy&g6F6zYBgpoL*#YRU8b|X4*ZR2-3vJnoiMH z?H!!-!d#NHceF8zBg)#UgXd>)xn-nQR{uACC}FH++d>_emHZwhqif-i6|Rxg2ZpZ} zwbatdsWoJnt!jN|Jo{Q&&kCL587>zxp>G_lYHw+0G(QM+ovixOYRF`^<4qejFG9tO zLhI}v&Wh%mk+^J&*-j|Y?kkZU7lExxp&eq$_f|rQmD#c!+A+hUMJS=S@{?>O=`$UJ z+g}4bJVe`RT?-@F-;J@+tXSe{prb2xzQL7SJEK3t`0ngdb46$>W1n0x$Lh1n7i(JL zsLSFIqo=K_g<0)kc%A&LCf*ISuCtXn%Bq&y>oW)qcXfpyC&bm(XoSu-b2n4n#)Q|? zz+#I@Kb^);bU9fvLBir%Z#0Yc@HVM-Tp0O>uV@(JC`RrzZQs(vV&p!ywXQ`CvqOqn z;&8MzueF^6H^0>IIyj8-ZB@;KE$&x@WzBZUQCJ8EUi(Wb#gD2m4v+Le|||zYKAa@J#0dLhvvi#qfsv2E*hgwM45X# zbipB(`)8=biYG;vubJIkZgOu%OitVxP@!He>hzMG>S{?}(sAiNPiTbPtf!ATt@6G; z)=Uon!%UmzauD(6?x<=~tGWy;*hW&-LeSYM0NA)*VX(LsM*i+;2$exAKNW@m&24U| z;l0ah5K3HLtC5a3p#EWnI+pWRVI+04;jT!>E4=Rop^jIYmpY-ud*|m^p~R(NKJ&A>XMAy1cEcr`xFX{eZ&ADayt2c3WL|E-KUEN^`>|`+1?n6V?s4w{{ON zZ%cgsm)Y@NLfxHIi!cwgzo%+{RZHyrGu+mrPWyXS>4Yj?*>f406_R_p;tNSnE4%P; z+tATBJ3EQ?I}_~}HXTq*s2i4#>|Rzv-Eco(lfK$p8Rnk&k_E;7*sEK24KGhRFKo({ z>S|Q3r6IKaREm-F)`VJ$_h5`}P;J9`V`BT)66!|luLTKpW8~LE8*`ovO^R!Ls?nyo zW0XHirGg9<5W?aYj^g#S8l+OBdF&M5~!UB8? zkn-A6Z|M@tV0KRw|CLUNnTr)TEjI+X`a7?S&y^SeXlw6=GWA`q!2a){yPYmkeCEQk{i-YSfS35migBC%E#Y}X8JQ~=M0KF6jl$CEPSgMAe8&fZY>V@W9CYmGi$)t? zk@*QGKNxm-+-VruM?#q;R%3mU!V~NRenP9RgL$&=N#gZ5G3l~nK1s>jNZA9ugv+`q z)~sw>@Ciy@#_S6n21zr|@+C6x7A@PXY?a=M%JCexCF{ zL8l_IKpqU%K(XPwRhtoo(y zm>;-B$xY)Jf%%!`6t2Fdej`ZX9npG}Z4qg0wD4!Qg|Z*q(odDc0? zP4PR;Vgc=$BCT!sB$WNEmFAei2M@o5vLVh+PV_I8Qg}zS#E5f6TFc58{WQ+$wwIH} z&FLH32|-$GvVhOUY1{U2xil+Jb|)|80oS*&!3aOUvr?RnXb*rmHArdO!}Zm)BF^;E zoQ7(Pi_)C7`g(qYoARLU8vo8o;q49gA;b-!H1rhCW-3Qyw{R3~@?>N7sg8;EsXhUd zv1iOVtcYEsBkO(a3wZx<^n9kK+cEcofP5ySk?=H`|7v z=T+t3ofNL_VRt8Sy_AJrJtjyP#gzI~g9SXhZPGzv9AwA32?tuqSoy&(`x$E#t!_kd zc*b(P1V^tj)N%?O>Liq5|6C3<)_d9}R0@$+!qrb*4R>}&yNkp$C&b$Ijw5{u$ui#( zs=6A7{uP!n>TDR&+0TkllN>BkZ1zJ{!ss1aGRNv- z+OxD|MmM|jGA99H)qVd@Hlf20Y-t+U=~iWb_<`%EWcOJ=W!ls!z5Dd-z0dk-Q~UPb z8y~Cmcg)LqWpq0{zyk=_zok8M%mRLXAc8!Wnn#5RUNV>Z^g*vEv?0`HDNEX5x3QDbG?G57`n0$u^6;e`ZOoQcwAFFWdiS;5M`TnEj zh{sgdGuP}?owpLEO!^wkC7=g~ws3sp+i5YQ-AWN3MUWp0smOp`_YOESKzyoEBF3A*~hiPEq08`Pb zsqDO+cd`KJ?wpFeR1e|bc#{+7(a3PnisI;EcE;R=ndnYdHKLzbCtnb2OAQ3J*gG{j z8t6YUJyc6OcZ+%q>`dLwB=@q~M?f2fsQX&^p>D$6rI=1nI>u-Q#H2OV(bTMg>ipO3 zm|8W=v1|sj@v+lgtb?hL9#kQRsi@H$4YZwpQcjS=iGiVL#`MH3;~_=KGEaSlt-99C zr6`tg(lA3tK5@HByd5)!VqKyut?2N)WeIFGG7<@}fFERHj>2x9R-ey_Zj8;Fn`@y< zJtkCsY?Yl~A0VoOC$^Pijl_n2uWl#eGquTItc2vKvzc)&YLHQ=2+4lm591&^8aYty z^eS7`S=U=Yh}8Wd)<`T~-I1Cz;n`9>5ItQPF03bq-!&gmGV|sO`b@H zSRAJ4AsRJS?`?+mS6e&4sRXs;SdA?aJN=kGcBGGeIL9Y<6(Mh_WE2JFKQ05nTF-JY z*og$*$!-$C{%7mZ_u8KRkcyZA&0ZcyJ3jOWF#ZWD%Du8V&q48*^ zoJkkO)Y=`p3DuhLvxZhxYf3fwi+1Rf(^18Zf6Z`K#%j4y^+<-SYCA!1@?lXTZ5Xq< zP8gVck%Jb-D~4LY`zY%Yge^uEy1#A(p=n!Xq5CTgOjY64y1lBaQJP~$9#(R~pXnk670Hz@2EunLZn_;<~-bXZX;@N^J5Tt?MR^WpWW$ zS5Vg{%E7dYRClU@tS3P7yrLsxI}v%(rPa~N(Mf?92CDye*7p;`bVdzA4|r5_r%Dli zx2}^}NZ>m+yNUMd<5YLF4o)9q!rTUOwD^ZJFDjJrIboUI;4?9>(dy(QM3MnZRX*Jv zyylOV(1`=(B)4HE>DI$*r3XeQ$7Z&kYVn!IfKITIjYQ+joV>z`#ga`93|EE8!G##; zIRb!vqFQYPjw50kqdmP<`4Gq!A^WJ zNGDq4=ezxs_R!gb-PlSG^@sQCysWgT}yka7?odqD$MU@lcGf2zQ|9l zYw@`@zi(Z{r}6w(>SW$i-n$&xa=*(@JS9%+%g*VCI`{9$7#}2a?-WBa+R4>wp~N6| zFNG3v%e@oXA%xr;)li2Ba_>ZT+^2J)6Y99TM}LDff7;;CoPLU0Ic@%QO8PVM{AojT zrcwO4P?n5!Ha*=C)Mf7ZxzVZ1d{XVEn9SWgH(GT)cLn_nBFd=zabM7Nb$!2j?p4(F zjP(YmF0*#}Mwqhir~m7qHvak<&ksdiW_#CLb(!s5?P)pNH;CHf0ai!Ly#tczUT;Kp z4V%B#)oRLY-h;^IdJpR*{dcYr=gyefKX?d`9~p%H+5Qh5&7jQue&p9>#{UDaE;Ih? zA+iDTRlG5o0bOm?^$h6$TqF0&*$_|hDbC9yxJ|jTIvyZ?TA+@5dxuvo8G-yQhccob z?w{2^XSkTnO&4UQwi(Y;P`;O>53epweH8s!a9qvU>4G&Ep zAm$lt&g>!}Hg%;?(Cl1JJzIYp=0x+&bEXf@?4OmT$@hI@GP`$p=IlO(a773W)jfNG zp=r;wyvj1r?0Kje+wwev3{FSmtb*R*fFNh%QTiDJv*!c5#xX3@+?AG*XZH@8psx-o zgtYG~T*K;*psPd#Ws7s>4l?#18=4ute=*{0zB1q^hL2g!*#2xasRC;MKM0J}i?!md zB7~jiV0}5KLU?F+#?Z{+Ctyy?UF z?5w__JZzxbXoWgc>Z>9$d$zBCUVq>8LADm*D8}IM;Gu(kc=5uB=Y;kOo)f};13Y`4 za$VA!ZzF>aSe`59vvItrf{?^8DJ1WI3IE^#f4{SixVqMrKJlozI5c zw0ekj`mG=8dWUAQhkoFOK>yHeTL0FI0kr-tWc0G`A=Th55d}`TY~AdH6aZYOei<>~ zRoVP0h*7w-{TZ@$3mXoeUs*ALi`Q@B433rG#u;3W{}2Ljp<3}n0Lv?5zf!wk=VS2g zRfX7$N1C(4%lT#F*fQi@hj<$OIHL~P>;*x@;uS(`%!sG*_DZB)j?-#>Z(16sxT&At zw^o;V5w=wH9faS$8oKIaOQAk;u4u>_|jkYwXeL?BbxHj&-z?wN5Cc?})Db?4zc1?cG#3X_p$HHwJMzHZbYmY_u7ReAiLtklZSsC^n(V^V~ zsTia^Y|sr1(ngDqs*z*@I@K~rEA{`eBT4B8CVdxb-fk7nu!o(JBxsm?Gq50^qlUOl|~RJktehj&kNfT$Sqi2A>F zq&Hce44`T2!M-UNJotJdzd|!qiHDc0TCi}%l411(-*8o#CKZ?;xjX9?y2)=iqcHhF zp+}6O;Edb8G>U>V)2)r7;5>87qbRr_{zHtSz!H#qxvCV?vMlZ}7loA-O_kI2^S^jJ z0-NuFVNmxrgaFE{WMPi3~wrm>_ z0;XA>QCtfk+Q3;BPf)}I>%g<2cmqVaZiFcGooCWb6t5JO-ekuma~nHE_!bd70RRz+ zfw73$=Uy+>Wu7PWw{+BFqdDZ&j?r^=4NQ8kRu@g1&*>JTg68yH$3YG7^OC+9V*vDL z?wN}6q8WVlK;P6vt5Yz`xe#p9PBHE6a2l-%qNceLfL0h;8DW@B@T;TKemN-h{b$oM z3YdGb8fzKrXzspZW5&xPE)GE zxEuKsWJR7oo#Ec@8IgC9I3#a%V*p$Jn;!$1|D9G0;7RCC5d(NK{Ywx7xVrr*&R{Ry z;=~xd7=4t$di{o-uLn48F6R4N(ZwCuoPv8@o`MDjY~Jo+6gO(pz(5NT^})zB9NeIp zO`kVIR;dzTt2cWwfNp(11~Bqlf*8Qae-<)SGi>-4%TQWyAC4vbnHvI(geCihA4k~o zF94`elpD76b0>~4m>aA(!US$`;|RmK!4HvauevMd2L`ZBG!K!{TI`=SJZ*ed39s>$u6JN|ufzvQb$PSv?74HW zq3B08RIkm(ON9pJ&fyTa#v+$5nH=#14#&=(F$2|58;=f{Jq?Gqv++M+iOD@q#n7knK_r4J>cMYJiScm zQ6D36_flK;%d4sTstJgfDb*yz3z^641dG>2k7p7PuZ^lnh!;fnmJ=-Y|Gm`nM! zR1y$Z^GCc0>KRsNqK?jv=vhn_ICDs>`>B{UoTa z{T>oYP+cTG=O#gQHTgsXQ(e|Q-oRAXgim-$b9J%zbOWfa+TJKe%vqv?nP-E@7cSWg zBTssftZvl2o{7m}`^5+|7xsmbr=18>A822##bjj%jvry_qV->OKjyMl-lsk?yr$N` zO>fxXA3QLx7dMU7O%jJrH>!0QFA1bT=J1TUvwG*~*Z$Tr0CyZ18bm6$1~}f1SEcG3 zqIozM%G|^B8NI|9m#RIS$q!c=Q7$V_rnp>No+~zTxxhSHYDBrpJe6rgxzs#XZA7`= zJdxsZt$VoG$mKfwRLTP{S1xfIxD5A!MhAS?K<<%pBb9GZJYO`R%X9xFAX z>cn9;qO9WsDK2xkuhPh6Z5~XaSXgrp78|*&&Hb51lzn-3tpQc9#<;)H17D_;d&q9& zGK>2f{qk{|+(VT{F6(e-$}h9Hv)agI(Qiw6U>)u(HFBBp-Q`A<*M#SY29(#5_gjr1 zuR{OsHlVyamS3J}M0uO&Y^wo9ZSroh5oENtR~k`P;qDZdb-W|RMIG}O+KnDqo4=<# zFov^Bja*bW@1{I3wKFq~T#S+Ynbk%VVj)C3j^C#Yf{!eJRHsY%g1Fbb%Lx z;nJ*@g0WU_>MN&U`W9&?_|URxzf6jaMPievq+rx}ldw}TE`T@fS5Cp`*X$RpMi_4d zmf79B6wEGIRBVLNiCHUXM0sC6`vsALG3v5=Iw=^{&SuhVcJD4}m`(0zrJOLE?fevs zRUkW2q+kxSC8+|EJT_<~^VM6~2~G-T*xRJpOmZ7H#YX+IlhSNfs@Y4indFvfHv49a zG|WnEm3D%Xh!4_iiwUOIqGrU(tBUim} z>~$vvGxaxtChNy{EsAGF3TD(V1}T`Szv!f3*6*J{8+KAg$_6n~(b`m?e2K&1OmTr@Po~=T`MDz{jnW6Xt$@kb;@} z?S3Py9=3kOYJ~YgLEP`AV3tIGzD`5}@?B0NnU~JuKA_3m)jL4%@KP`<^#i{V?j-zw zZX>LovG=`3xSK=%W|4xK`^`=Y=HU6OpMrVzty(D<Ca<-FVGwch36wH#iZVF~Lu9t#YlGA}EOM;6p zyqVZ*Fg8c|;Ei6vGFX4p&o6wKTY1DXt5y@u^@F9kE~ zqpTFn+z<0pFxRQOfF^yjZ*p!5W!S|u%umFBbsE`>qiCg2mTwSfLxb$dc}@yuMf%+o z%t6v0q+o_U;H6-uJ`-p|lMHaWlY%*lXZR_YcN6Zg8ex(TN|DtI!1s4jWM*@aNWtvi z{eULpQ?J@O$V$P?{U9#|Gn@U>Fmlfx1agCK#GO6ZPr)c)_P{iZKFfaDYGm8=-R7iV z1eonf!^kq*19CDFzHm3&o`zAXY>S^_V+3ZuB2qBpp6aAv)FeN@mV%kiR4WB@2H!4H zFz&T{lxaX))Jy9>U2TN9GUi*0jWAEyd6{yeUSc}C(#Tf7Msaw`iFzsRQE4{nnm@9f za)P?%kF2F&)HPqUQ!u(Re?&C}qulw~X_$4Llk&~W!2IlT$_Z;SH|>PwzT8W(L7q`+ zM0pvQ@6V)Q=AKKrRxiEXuhz&`zk|_RY=n8emltW6NzSxWY*wl-4Ram6%uTUDKDd%X zS&?kY2e0??sapp62XPLlHabynvp*=4f-(E@-D%$pySLa$7Nl(not5X6`L1n3sY1)=HxjUIyl;mQpas<(KRf%-r|Mq+ljFrJRCUk|}96 z=js;GAWp2es?;mt^@dCz~=U7zNDzyOM&@XSuiS6wD<5T}#2N)TT7dCHg{gY6@oD&!l1YWKc@6Ik=xrvssg8>=c{HKa)wp%<}1S3T8Ub zreSt4(PZZ^$rsXOUeB)=jbwn&(8Jb!*gK>iLBJW$C#%1;w*^n6_2Frmq5fGp5YHgK zC4TT%?}C!zL&zYmT0Sz!hiLTPo$k)qJDs|CBV~;P+L!@6Zi$}F&;-ZaS%!C9>fZ() zQyDP74U9eBI&?6&ogWY1RgHz-t>1$5@@Lw0O#jfy8KGZ1QH7^jN=9$?6`bQ!M&x|x z58LaxOH1FVi!gL{c28H)68VICQ#L@=G!}F`1{W3}znzMsUI6`rDXLHEWkFkiwUpzq zeSUuYTN2_tyT?he7-SVlEO4HaA4ozNU-`wP)zjm!xW`LC>;`?$BuZHAo4zbbfW+!<)(bO+k4_~;>4eL5fCKdUgkcTS7?b>MeP8tI?I8S-u=u1ARLZX~jn4dJ=o zIs8e$4~>uhInZx7DvRnpb*}fzO1UDQW}7{{~Cmdp^N%|B7$^%e&@si zRn%WwA!N#MeGmeww=ld)0RF@_H+VQYIfo5M_?;M)1dhW^<<|))n{%`J^fT=1ep-cW z<} zaC$KY;|ERvosx8l({29bBnzkU{3+FhA)`FCmVg-GiAh78qw_10hW!3Af0muF5OTr7)2To!R%?m*r}$^DQw{fp$a+-sh9=yPkODsTl|POn?36_wPH`+cLw z3VRsj=Pk(1eXCCx;j1X{NkFwrS#3+_b;r3bD5p%Hm7S)pKrj+aQY$OUk+G1zza7Zf5#7HJjDkhLiZVGP4BCU#C;SJcf3)$F9X;$kf2-pwQwZAyWt zb1x;mW60$GT}^OtibR8$ak+PriWa5d=aiRf2@8zS+{>8+gu$2FWG5k-w{al8BtnIL z4Tfbipl3?53 z2zW&Us2-y`&QCJ2%NvhhRwB^soW`!qqQcB08m!D6r8vS1^^z0WVdpX?l!#=ti~E%z zlGX0(&Gm9byRYBRM4V{%^@~n~somEvc#*7jU%ywkX$v2rRM)|JGDo|wj}0O_wfp+* zT1+;(w%;yCSh(9dcfaPD+&MDuFDaHmc!%^A2guf3EX+gfxx1=y4hQ-@b{yfTy)D7v zT)Hq);EYAXXth8FRg_v{Sfbi<+{w49*pT3?|pV%&k1x_u`aXcE_UlO`}Urg zKTeE0Gj%;b9zAUtncMI2%W{6GHYXtb=2q;r{CuSM_0VH||PWX(5e(JzWU}`cv zD-xI*;m<@o^*q3fL4u;D%TrbYQ*+>-egacd;Kd}SW`Md}Y{uE* zQ4Q^9olsOG`z^aJhK~bOLns>8Pen#*7}ts+qdtlwC>q4CfP_UHp{X(aZq!orVDR&9 z$WYG@Y|7L{b1PsYkf!bX`iJy+4Cfu8IMe41_f4NQ)IaAioEqkpi1n^qj8jqHf{_L2 z_H!J3{GWqc82K%!+_jIV^(IDeX&;77_5cruG+D*Bu;J5O2Y+xod!W?-doc6mu#LXA{JcUZM<&MaV!vqH8jFfh*JDo)Ir z{IO*N4xO-Yi9?|deSqFb&44NcDJor$UXu=YMUd%il!a|QX1tMr8Q zYZo4>Mu2$_MeZMUXc2BJ6h@x%LQd@6Cjl_D1W$VOy6?bRQoXhUU~KR7*@JlX6bvubglU8L z0FLX%P5-F^7~k7Fmy7-f83?;l;6s()aUeDKPUDS}3oUi5o<`@d5F>&J;RpK*gHVs> zT3cC?6-9-##0MuA=nDYrR3LoQ3I$$VAH(LG@$o+#5yuj&rc9TF`0>du2R>t{ke5}Ha}2Tv$f7r#O8(YU|q|$=h%^! zu4mQBYxI7XNZ{4qd zR&nl}{o)gAOck14vz+IT2$H%DDY^rWn@c6dVRxVDA0BEH%A^8)UXMd?H9i02k&QdiFbB%U~3du5E!j-9leeP&k`$MTWc48 zk1MC<`ls!6OBXCyfU4|XrWwSg3l_r5DIUbnFtG<6Dq3ulS^dFaJW?!_s@1l6ukmpR zAl6%s-it7DWdPNf7TZ2He=^$2)*y~!TT7QfTwv2siA&K9--OgOMu`g{9z#)UaUK!N ztWdiIMv&RL{u_THDA}YCfsf}8RJ0URzxZ*X#?m;AS;B~SZ2xHNrMHFXHBze&i6jtOMh}%M3 zK&|){MQT+;`JpnzE$wv+@gF?535aMHeZurlqeybcA}08ENJ{KngoR5S?;6o&g5rE( z#156dq{ygjwOx9tqqW`Ls<7X3wYWfQ-!R$*I*jJPXqRx8m-quDw!5Hp0ju$n5of5A zadxbywcSg*S;-?!CA(YHFYI(V(2mEM8~?%f8OxBnZIZ1TMC99TtD*9c6N%JrOYPQE zveuMo)|6gr3g6bu53pCdz?+lQE)b3H%+77;< zPKtLth-kD6|3Q3$B5b?5Sea~>Wkd`k*dA6fqTNO97{`bmYOimv4-tb361pI}uYib} z<5d&1wZeAWIv|QZ3tBYPj{hLu)-<`*<_aS|fMiO?n(t85qOH5HQy8tb z&1E3MRZEMiRfli1x}j`cWP;zbphYjVs6G;Z6%g4+b_=J;Y`TJIw|9_yzh^`}^522P zhA=KTZ2sjMt)4{JIuNy%DO5d(bfwhWFZm6}n(0x-xS~m1mo1&Qrcx(4=)2!X_TI}7_jM!(lh6#cnuV>2O;};V+YskkN zw(&3CnU1>#*)|vYG2u@-=t-qkgwuJ-{*IuvnO+Phe{c=RxRAe(nTS{zJm6y^T*t#V zEI7bJ1tL|g3WsReYAl@m=Nayf$_>NI&#XXJ>Ec!PVRkx;XcfSfSq7{vVYS;7{>Fh6 z-*5mZ|ELXPMBd6@Z&~|O<)BNJFBX7!#WDoA!b{h~l}2WF@I%Y6+{UN4M`(?%ukL}y zo?%c|1mGd4G|!YJ+w3MiAv6`E%IO1z(Nn~`p}FT(X)sH`!p}%Sq)9SSR^JxJ=bqpqZ`D-eb50XtVgWi9LCWwhy+A& zI1MJMvA`Zxoud{rwT3XxyL~XCJ~SgT>U%Ypcjb~7wW@n&jh8UfcMBa7V~pQ zXLN(msUC({33VT>(sWhXXn3%=zqdj^dQ7ky;s&8Wy*gZ)fQ9G5h$t|-E_2E#PP6?bfB|+=*EUN;aFBtT~D*R%2Y|2Wxwn}*BqLmYNoVo zZoeR=3x|Gme#n2`j?@@=93$||&aL;(Oedo7N2H8iwVWSf*M}{KeX6YE0g4C2C(+Ch z)#;z*fo5{74;@@?Fp*PzD97j|!>X~|O4;i|spC)=E-=XN!q{{N=+x>}$?ju8r(4px zWXit`ppM+JYPy+~PKeW&9%cFn^-5$s!vb?0qgCemg!5^_q!#>K~@Qc}WYz2rp`iWd1pD=`Zl2~z?|Wt-o#7}tk2S1#j4Gt*MJ z+@X{(30K(IlE$w5kEpX^*H)&;cLjB=Dv+L9CY5@{vRgG0>m4jkuo|3bo$4~PD%8Nx z0}36X`}a>?3<`&HR72Cj9NxM{EPD&RsG*|QSUnti!7%eEs=2Oh)HQ0BgahjhH|+)O zl59@$;qVHFe0~PwSMwnndMdm6NHl@!6;BPrr(hBbHknYgGBP`3gwCq^b(?q)VPk5s z!5N{RaWqoYkk}<05?o$lErxBtkBV)+i+0T5MgUQNDJKOc%PNOc##rTNR-xcTHfJ9W zue$&la=Z^!E1Lr7R4cKCoJQALb%h>qth8w+`#A?%)xHTkrpzCZiA?MHg{B+31+@4t zb1wq*)uBFUeqRadH>I~8TNrr)pAsLiaPY9p+pFf_ksv$}=HOA^96a1)4jvB=9u|co zr@lhBGHSQ^-}<$bQZ@(pE9rOONx8Tv3$HDRKs2A+-w^J$+xj|`+dx>6Vc z5mL#Lay2A=xh3VXzLX2g`fG5+0%r3)w>?@2l0;=47a zD~(2y`?=v**DbK4yCn%leKv&Xl z=SG|*__Xw!yCDTqo-gqFYQ;Pqy0WX^$}QzdMJX>R!>2s0f`!=^`IGdQs9h zZLod)yAFsGK2NI@)NejW`AtvClWj-=?aCQQ9$wq8?8-INCZm5VLdrA1JX`4BVgT+U zKj=f|4${#v-1VNB_17i7k8Q;w~l)jC>D~ez$=`wh^ zzJedeP|`IeDZgjIu8GV`eJQW?^)E%Ctw72ftJq@hH#K9fo&m)vUUt7z{{fdU`yo^t z0;Sr}MAhd$`=za={4uPqE@MAHK#xB_Yu6U>-YMO-3&h1v`?$yEU3UKy%s~E;rGHTb z;rdcu?!bwq(($C+h$c2DC)e2s84aALq@M{Xe_BKNe3fb-rB{LE#Ns;c?ISmXl#%kg zP@U_M`28xfE$X*$L+46)ou^;mE#+lke#h3|lOm`x>Lv6SrKmWVS3<{cArKVAdM0vm(tHIQ!fCPHmjg-Ga z3x96XKi($g?Y5M^Mtc_vGVivaLwnrJEagqUlod$daVS;rcRPH3$E9?q0|Y+5*F@>p zLP{UH?*)|p9H12kUrQ^yTQXAq-o>^7H`eFJ@0FV?zfmGRA-GP}7bs~fFF*9C`-3CpUmW-pl>SFGP`bN}s4I{Z^B3@W6MX*E zqVA6Zo?v%V6Qw^{K)}3JF`ss%e5{Pun0wUSgTJT2yi3_VQkC*Sv~ZhCU0_N14D4=e zqVyzMcnNm51(Y5Lq>l!8e#JpNC!5rS2)Ta1ZS6b|^hwlJXxGUSZ@>x?dn8 z3)^YSlpc1`N(NFzF@gM}j2C>_idliahDTcz^F;?t7q2oaQFkBgUaaCPZ>4+QMvl0^ zUs$E?o&a?z!mdK;aYzr8z*M>?ipZlP^Ixk`_jgFo`S{{T>7J@d`G|v^;Th_JvXqYs zY}nCuJ|x(!tWfuiK#s2d1rt;#z+V%**vcoEFInnk*jxhjI(JX>}=}Z zgzn8IgqeXf&|HjndmLDj49Ig)*ggpnFH?{rYm? zGl*cKjh8)=Iq+#q4ou4Ejs0?97gr99bD^`T+oc9JyqrQW1CyZpf(1$0O(@EN?V9x7 zeK|1Rk^@`%h_gcG=WIE!qYIzP%Q$%1F2KVQO4<^3yP&-j74r*aIq+H7os*&6L=Uah zuwA}HX;%TiWxX|D4s2VM1KS9hca`0a@Hqi?>y@-cNe*NxGJl4$+u4!>lOb)W(aUzw zZRd$i}4DeYXA16z5p z%TU_2A_qRzB=hGL6*G_nJJ>RRfwJ4mMw{?*Q6_d)S@lNo1{Ai0LV4IRtcah2v;(Bu z%XG30T#m~?DpA@og9ZY;DYX=H;+#0)W8^6iX;p7cl>>W~<-neh?#$5aOMx8N!`0hj z<-oo`cDMB=S2^%yG_Y?8TVhpnUxb&hSbBpjaM*pNthdL?fv*<97I-~-l|H9HvYPNh zTNT(;7ZSZF=}RR!@WqOL`8i^-pbOxoM!S8ga$vV2-VR@+w40CU;N_7DrM+$V_4Rw! zA$f??!%Kq8)a{Xht)pMc4yh&wre^TM!zDR!O1;Pl5hLA6aPez@}88T`P5H z?**IEw2Cb3gUDV~b{%C|$X4~Q`N+b-uPn=g7j5uFN;rC>6?(YS=xdbVpTX;V1{XDs@A?EDZSg{X?75p_VMn7ubwj zp)^>M1&Mh&CPQha4KEo;MM{T3cLZkb*czp;30cV1uqjR1P4{JCj)xY?)b-)-2uS0U z-E6cz19szV>IMVYR`5KVvdcSQm+;~R#Vl43PZ@81&^p)+TX-T)>4u83Fe@YTTb1Z# z9&~*HHtA$2^$S^;3*GigIt<9rP@L%3nP{+9FG>hSfcJY7m~n!7Ms#a=tjzT8%UMX3Ly)}xHA8Rn$nda zWx!N+i(J^&;6*W)AhP4AtB`pz>=sd{?3P-xunLjwuI!e=%PAK2u_)$pTNajk_)>S_B5Ns8S`FPQ_-s?sVh1``|KO!8Ebvil*zH>(bD@Vn8+OXe;;JkxYr<=Aiqw^C zc=91-C@l-{hse5YN@YtHzA0pW|0<=k(cXEm>ruLIyO09CJ5&~2M;0!ou0rOyWm)(R z><(0RmszrKrUftBt_C}_aA1ba^=N$qBI_$ra;vg%ei56^ij=-nk%ezT*IS|Vb?DB3 z&uo>_rH(9oqayRum2`mzy#p^9>b?oP^&U25DBT9=&H(eE3UwF3%jp?-QOrvrol}$f zf|4#Sp*`5;%Vb_wMl3#Ft5l+ND*nC=y8$hMc{=TE>P{;{A7I~*mVDH$45>)nC6G>c z^_E(+m63%D;U%Y-=eWp0puG&4=US*Byd0wJF0f%+)SGQ#1LlQjZ?0mVSw+2x(r4(p46sD?zGIy1WEC_?)kFzYwzU)3VHdi65~FH#xF!WkqJEC~0E` zk@?uyDU=Q^Tm|NoCQ3i9$-?&o>=RSc_kmP0*nnnH_k%K|8g$C;mll{MNDg&BftMeH zxwl8j^TBk{x=ZQuKo)*k#`iNyy4I0}?-phDtIF=XU|!xNv$i1frHW?}1bpq|7g?6R;0qm};V3S=F?fwt3`~^O{74r`0ZimnPlyr{^ zU4SP^lyp~77Jgd;Goan?%d+r0G6ki(d|CLr1D`IXyKU&u!hy=}kFdMXlIo|HU}wp~ zuYE8*GXH?TTcA5wNq2j)aBEFJdm#(IftSBmAt|5t3Pe`XKZ+|0_f`GNg>QJ3(Bz9vfdm1W^JF!v8A{n3|&U*YzBmty|Og9K)epzaSP#8Q?btL**| z$ih7tyob=C?w%T$0dyXv-+0KO2%Td7IU@^yrKEI!cF|rH8w~}SzopKXLbytT?r%1> zh&z;gfmp%J`;`9X0jc1fib{7!8I{Oj?^ARs{lk`pmuv7T zC_V1t57MAwK25!cw>m1_v(PngEPDqnoas{cZyP!nZ<@6zy@o%=f_ud{ z+JryED$ZASn@WXcsG>MeQ1_HoSoS)+d_zgkIfZ4lDwrO1Z@PtLh)0}jQF;+}sD`-M zrSyys$-{eamF`(EYmO9`E9teMu1>TG-EPK9ESjIlO%AxeHGNKD0 zHBoxhN8}~!$Wywfpkt41RML~M!>ANjdt|=iAcCS4*D2|r#lo_;1az*_RnZEhYkf+O zyUSn^;OcE9l{jg;iU%VBpD z?Bu~`l<{X_hq_DYXbfDCgZSz& zXc~jNY!Bkr;{#4JzQFUL*=E|q*%f5qE50KCZEgb7ABDN~zFY4&Ml+9!m=9W`Fvl%> zdgWrxToW-L5~DD;TKMJmn>6!8!GGEML*6LNEx+_f{7fhQlX6)#WIpbW!rWrVrA-fL z=Gt<|d}6fL$1b-&w^1`stVGPwS|4+;yL`6sX@$(^Mr*x!r%NWbXy%dpM`iT%Xg-_P z+%^9a!wf>^Q+4K3e%MFNgFjn3qM0QtWIkg>x!0LZ^W6!i^<({z`CQ%SGfkn-ajUEC z{bWddi5fDWbRwU1=2oYibNYRnc?|zi89ggTVQzWU?qBCNN4 zkolA~3UloG&Q+%MQq-F-2BR>?WCsp^i@xxsY$o*i;%KcmTfx}ZHFG3l{&O^DQ`^hO zxth7O#(z0JF;T;L^hz|3#%(!ci$7}SGKc?;AybUP+^YRo2UaxmxKhY`*&2np<(Kyx zcZFu26EUB6MqzGo;_l;I&AdeLU$*{oFbZ?*@NHY~r{awvGTg6MC zIBlkLZ=O1SXj{$PV1+(cjMjS7_Sf~kteI{xV!AwHzilYR)0jU3CcT zJcmb$r;f-wrACY~u5qInS492OyzjKaR5I|jylO=;>oL}uO+wfgYUbB{{!8XcEAqJ} znnR!3dFnD-GkN1zGuMp99RFEczN49^iI9mI8rHJzbDJH%vFp{Ec{=}5t*`P%VQxMC z>|9nePjy1(DKIc=eBI}`Nlja;#YoCit0D7bF$#05&+ps&w)XiAFJ!KDM`3O`aq4kP zHS;VdVp^jxw>aV#+uy92>q;T>#L?m$yWMO1y=0g{$UMm##pf8Y*H>@V%+n*EC;6i= zn-6N5dV*%2R1AHtbw*(}&6zj#1`#H_bo_qk>1nuV&vxw#=^p4K!<>st&yx%OEyxbY!muJ=Y^j-6ByyK81S z6ER0?ea!Js_kPVVYa#PgcNCw^pL%=Q(VBUN7c$oeqcEG6es=NiHS-iVWS+_c{KxGU z{FigPY!wr(%;gU zb9)C|XwW#3m$`HlVsO>mgnMnJ!~ePCOtsAeenaKC4wJjWr*~pV`n-329@YO-NuT2< zlRi86UrFzAN#+hX*+OnSz;8_YDF<6Dn!==?^#Gan{TJKr#xTV~< zN69435#q2nKR{2bZ8HI-|5))f-*dT%29`)O92)XEn?@Q`Z$;4kxc!ONQr(aLu|lOz z>1RT2e$OTLi7B0HHKgSIuatILy7*Xl+)8fzT)82o_qO!(-XAqw-y0vqQ`(Gv^i1?o zN{A3faECl&DNSx#bfE5~@jVYT`^1#abCW5ZZT+v57XP`67bD~3#x}bl zrOoeG^)4ly(pH&RO7D$xxMxWR7^U>njD%4bbu{pX2abA6r?gcjRO*zzUzPAg?2}VM zz0DG%#@l)SvzHG1?D+fPacjBp%c+4^Loacwl}V` zZ`*#`q*T)uW@+N~EqEgKi7AyEdg&7PfAvz^Jo{C6+(vG+yoO$SuL-?$9g3n-+Wf8` zOX}P5Jnn|rSye?lkU)S>0Lim>R!4mBjJhIC#U2jdx={Z|NqOwl&iM? z89a_hI@N}hHot{_;kG@U(%VifrOj_)7+xw)*FVyM);bQK3)h1e&j6eLr+=zpeEx@J6Aya{sS)bPv|F5>S^ zu=VysoznQGcuH?qxfxLh7^Q>=VWh!WO8XtT>t#Bn@zkm1P^WY`Cg0mtVxO22K9+^c z!$l54yAT6U9em<>>C-Rlu?`+5%8jiV(@SB5?~N%V5Nt2g1MeM3+@*Pui?h^wTMNC1 zQib;3))nrdgdc<(U4eWTOZu3y24e|s^T9qhs6C&X--(pUC--H-Z)l)Ab9W}Z6Z^!Z z%k`nDa{XrTzmne0I{aFAoFq5yA1)wa(wpC_=?$Q!A4|F)ReD5xGR6e=I6n;ZYCw--d`KYi@O!@*p>S?vIT=G8$@9d?A z@=|hJxe>cD^e+Bz@NWJXsr(rpbWeX&)C-OZ=Dlso+}WxF46DS=uQ1YJEKU2G{jdd@ z>(58UP^nY8-Ink~>=RS^rbwoA@&8QesOlEi!y^W6TWUUTfh4#ESNG%CCOvpnFq=O_ zuU1SyL@C{k(YHkuHO%xy)gE8l^8a!69`H^S>-%`5s2l}@f+8TU(vcDi3WB&G$T1Uj z1w^FCid01miim)^qM~ApitWS_u`6oC-q(g*WAD0_>$Trl&*Js!|9NNj-JNX6Ise}` zpAVemnX>QmwwayXon0W^)o)4CYw>uGE6@{RH7VgtkK<8V=_;eSthxN96E8xK?J>4- zJhGMiEnICN@DsL9={JM!Dkfnn2Ld!wpk}4bZE>=ql(4x_S*e&1jlUU$)ueQV9a56f zw%Tki+7=gg`V&2FE%JMK@f5RJ36}8KN^L+QOfijrz=Cv}wsONwOd;F?iKa!{i#BFV zB?W2(hyLAStRmRP;KBHrR}X&butL5AKJ( zEg}4t9v3RocN9e9?@c@J6@}2!lJu2@=1AKshrWx$bP)O8u131? z_X^T~7f3xy+;A{?Dv4ktOa`FrM4rz6&t)tm-RJ!UWl0+Sa-kwkn)|yzSWQb`RY>fG zfTMHx%jg__wT+wQ6ZmqO8+^H(zcd&Da)pQ24Y{auWg5Jd9Fc`WN|%;4As3Vbr&2>V= z4Up?SE;55JYi!!=5Qn%Lb=J7_B@rA;%}WR=(8gl;a*aVdhvCb0sCdNO=kAh_Cc`M*zwGZZ-*frl?~#8iYNP)UiA8Lx`7iI(CbPF34)b`|Yp?o`R_m z;5LsMRAM)~L4$*qEdDEAc#~1x;3hL@Fm+(ZL#i4$)eUYltFaq4((!Fh(6Rl)m~-PD z-%dv*hg;rZ*q-sio4o2H+$kF@Dw?y3BeqXyAJY0Ag^e`W2zHNGU2m;ZjorNwthf>E z9%CbI3)NV0BlYgs80J*BUFTL~ciYw2xLyaU+0eAX;=c8*AT9`#j18^p^_nN|5Pc$uSc~zY{OK!wU+hcOLeAyzovVC`_y$ z^K4b29TaZylDIuycn=qLpE>a2c;Q1%&~nQ)TOq+lACmTAF6=UOFwJAb4;4OSN=8Lf zhUjv0Uo$Mm7ulQ-Jb$1EA7QEHudCM8{YKF8q#hmLj<gV24RYg!_ZdOq^pa)73!yjFGW(&?H(vN4w>)}D*C(qA-Js>@rOURB7e2w=9&%2H z`gq|}g`j0;fAJ;p!pFU!aNpzG-xn`@(hdqcEIH!uc;TZ)P`LS;UE0PAT`pYo)3%4k z3!mV^eeO$Fxx>>=(CwV>I#mhe+Ckxfua?jxA^ecBpW>E#uIpEk?5WW8f|kcV+p$g9 z3}0kRBPi@~N&BPYg^wqK!aeugvMPT($;X_vxYZ-^mQV392VF9Dt9T&{tUO`HL;YT? zDl7zrr}P?c#S2|GC>%B9(5jYC*+F5~@3!9%Z~3$r6drZ^l)3T3$IYPdxVasWZKDrq z=tUzatlwi`T~wsh_ae7ko;ai`iQ;Tr@$59D%fxug=bWH$jJ5ytc;T~dP&h0*{`Yv{ zdM+IK!{Dj$LYPS%bFU%B<&}ltf_WRSM#vMo=gsC$=nkB?%OZ5Mt;i3~#!u+}!ATZ9 zGJ7T};9L@;+D%SyBH#FTNd9b9+HaZF zNc%fG8fm{rk<>$?X{28Phrc`pYNXK-Vz3C4nv!sFUt! zGZvEWf9m7`iu5)GE>xtUIe!O}u$rWwiIINBZjQ9sb=L#Y9%n1w_OrVv$SUj->?*@lkRRa7LuM>?*5)4-JZ(OOR(l8NONtIgw-VdTp_U; zPJ}-~8@a;xoEI!FJm)sI)F_VF`X6-N88;u40`}->rH#Pyd%HqD*A5}^tF5E+-4X2H zNuebq4OdS;#`scG3aVu`B+gEk$*Vr6$SXjhTn)rphd z))a!a7*OuqKC8!Ym$FWJdzTzvaS3DitFrL7HJPC7gqGj@_F2Y4(#u|GGfI)}QszQs za*B!VUBYUTE+r}x*K5s^9@=V$?&xs`k?(25Nhfn^Cj~`%JJ=!|qWqpH3-2cJx5KZ` z11=%yuT5ArNAglgMx?hh7z;_ySifCHk?t8bP^3w7+exe@>DTR$v|Lgz8O<%JZ`XA( zUA5~b@&l?8SEq!20Sh+|N}3ls6v!QtYBLEbn%|);u$hG75|YLREWZQT( z#bdv7iVJ0*v_S>>WlWJSm4KBAt4SKSSyxO(C?cD7??dmh?naM0V!f^qw{)kh+6hBh zx}B|Cx);hKOLs4kPbhIi(w`(jI;%k4((P=aTc zCy%j^^srw}8KX#dlmw$8iZs{NrUtc17b-3Ns?!|lso7~Kp~sy>eyAO{^tMIX*Mt+< z4)_(tbh`@DJxo#$iKb=mH(;b~iwe{&y+eqFqAIPlkNrEewAd>hO3kAX6Tki5`=n4G>!DTj_`YV3e-s(E@L6- zkxT1or>KPRyBS=l+?zDlQ(`qqPq%bSW1pCEbEK1nBpszY?x={*wf!zh+NFj`?+6t{ zmhP3%NpEG6dX%`4dxJxl0MtqE=&6d@EM@uS6FLVmO=U736lv1jRwiM!NvAeCOTi90 zR&%qI9Ts$c0bTb%8qdW|-X474h5l4}i&=RgtmKMu|1TSEkRz-n`=fG+R&xF+Hr$$O3BQNPn4{qf9=1I) zy3=*Y@xyzdpPnMWy%$i$<0PlLL}$mWz$Qa<80oVs3eo^Y^Q14jS1j9Xg0sQt*ry~x z%b|VeXSSmz{Gq}lb3tL}%g1fW1@xDiU}CFU4qDOr!g!$+gmycEFjUq8a@EhMW!YKH zTDI<>6b86NFOlD+Dww;LXcr~6>`p1&vRk{lWw$KQ4of7D7R+C!kZ}WT>6YCor7CKE z-Qwm$a8ZMTd25#om1SS?aPv`tu$q>gY1Q!Snc3$2dfO-FUxluFi+ty7+^;)>(_834 z`Ss38fqkObulqn3(V(@P3C*}9qsIP22;RA%KxY4uCH$R}jD>#PX55mIihZ9@HH!VK zXt0|}4QjHtQ$Z@D7t+F=@w^^=K|U+c1x9%#5&voTau1&{^=tIlN918rb$<KD=C6QgBC%bw5b;Q zv-Glf6cRUliMGMH&H7Fv40rx&(YiWr^ZLPh#>PxyV4gw-THpZz>A@N=F#gN7>0 z&6)g!KN136_ro2~i8%W{1)M<}Z1NG<3aEw2Cg0Bz*piG*-q*nvTUjM{IC{MbYxOB8 zP&4_ka(ycyez1ikw9x{hVCr=kv<&^LZtD<8o7e-twDH z8T7ad?(1Zev()}@n0!NM^1X9HG+KPe;BH0QPmL8a5H+JvABmce1cWx_Cm6nW1Ue+? zDR0YsL0h!>d*>Lt&_Mu+KeCACB4eRbw7GU-ALSGST`p8=c@U}^DLbjDmbuCJu!im3 zlEJVx8~O!OM z2TZ-IO;}AzCzcW|)vT+2VOfqvp+}yyW$!!CbP!}`k8Fj_0by$4545mVAUyJf6#W{N z#TI?Yaf`CjTMGhP46+aQ1Cw7%Bm>s?g66bCA-Ygt&jSOU5Q`H@YRCCf(~iV zLcgC)nDn>fIqgVOU@ypAb2jO{d7FFCIwkVm?6{ll=Ykia3CabpJ-{on$u319eo4}S zwbjZEkZ?a&fts6*>M>}cN*Q|ulmcfJ-=*M}MQTvfCX1rMQ@Ys+<>n|2d$#@M=y6w2 zD#s}eNC@oQ$dq6#k$BVKph8n5v|7LasSVC*8jAQS`XG$nR{$DfKN2?32lqhS)l# z0a=s<(sMG%B;a^`%Oqh1CGp$T4a!PGY%Ww*`o@D8v@qs)3yRHAGFl9#>%@ED z=F~)-(yk>qo>DhrX;4b1v@gp3c1ak`O8Q`!q<-xZXAY$frni!|vdP@}Z_ zxJBt8Jy4l6p|aBVptP4mSWQaHVzIQmSxP;uUFn{lJw<+s5vR0=hvBfql=dm;l!ls^ zO?V`XW~Cny8hZqwMrq5(x{Ozp_9>_q9814A!XIi9R+G|_nBy&LmePbZ$J6!Qy+r=t zs#xl82abl-}D@!C=H{s$V#-YtUt^ntR|(U zR%LoPDcM{sb@q?m7CjCT`2&h^EA53@e>?Pm)P+M2w{%J)k^&pqqNQe~OnU=oF?8xNOyox=rdxl<*I+ zSn6=7-F8N|;91o}TrN}@?_OAGy2NTyT3)WCbP6J&>DhxZ;V4@7AC6e6%6NytxwZ}+ z&ra!-CYNc;W+h-qX)`$9VOf?sq_p?j11BjfVTlJH${or|tzp*5Wx{GwT5MHPTHI_b zZPRB4-AuBN$WN-ucoxowI=gJ8X*r#e1-k8|Fj_3_m=nH50!pbvN_7v-IaX1cmg7Q2 zsciubvV_&7bXttksio$uRA1iW7xXwnNN$njcbg)dUnpeCiWa+Q?MYSv0K zA3E${^k~BIyf~%9JZ#KeWJ;q8I;APLz;@&$jAo@yNMMI~3Y5)gwS7o-=;(ubDN3UY zT&NsxYfzeE6IPSb>5=2%5~!$O0ZL6@3!O82*sTDs+2gcP%_r0&hLqW8K4OC8i5)H*~=y8T@0mquFsAlF(70M(N0@C-0>w zjVo}WqVx>Hb9|Dpnv~ASR#Kw3;xwJ+C*HT^$>?!Eksk^79Ae^oxMCyM{C6FT$ zy5+{ha{m-iTc@-wEGGg`qqKRCIlC!JM^FQ_;E64*kd1HJN-Lt(FzJ!cdBKvfV>Rca z+t+RSBMvuOtK7zdzm~l*p*{&`vqT zS&1^Dsb zf2>JZP4=r|nf3yR+_XDSySi%!^tivsk1xgDc`Oo9m&nLDo#p{>?uHx*qebZUSx8t^ zpytkrt_R;97&K_X?tCLC9YFPKQ(9RJBNX=+iLtN3i{*_*HP>{F;hjgL$FU+mp&Ym4 z5gzOqQcAmu(uEG4;!J>5DSD;0{fvWCoFs{+W!f!3@CZ+V8o~Z+=5476rd=+SeP$@Y zC)kWBJGLnb^iEhUJC1!Zm1r%ONYlUmhzlEIpD*Gi6u5x*>wi+|Uw=wfE}HJW;GnP2 zS-r?lX1{HL>RIA65k|W^RWBKD!^y)SiXSzji-k_ss;;e$k#-$V(RLpctV!jRrfjF;} zE5&)CDeX-7rvHs-m=^i^D($2#daoRdlPS~NKGcy}V(h{v{IonYl9N&+y%xmJlp}HC z+Q~Jcol$RgPogEBjGoHz#>esxGU=svEY4x5baPysvvLB}l#D?|u^LW!7(vQdcwn-^ zcV5gkAl&_^@Y>@wolQy`0TUrG1}8-z*U zc`dG;aued1YxevI4G+ZNTCJTTUP_o`aV976dXl(y7A2vbA{{z1R<9gRj7a55oL5qn z+F4(0LY$71#d~OYkjSU2#5p)e?@nZK4o~6zDGnV))6TIF=ir zzEmmBi&hij?AEP&D>OV<ZseIXi-6M5T>YiDtp-q*?E%(Ll@Ky(yMoTFiw#bpJuI0HT5&$9_r z;>3*g4tlIPNObw>gn?){9yjQDadGCrSo3l$j$NQv646mKagHbL6cotf46=l8OH7LM zPRv-i)x5Si!%kiNK6;xV^1_abgRA&rMkvnw1Z}dRj?AfvUWV$=D=9D#hh9eL&nHM} z=iOwbvEFD(oXpidpF+b!Ax=3i&XOX%hLyE*tSd4G9Yoho))4-Zq5@eveW0CVUBaYx zUb8C2c^f^}G}ei|PWl-QCyM-tY+Rg^4SGi`i*q!DyDdvc(Tp|K6aLAD0$Dp(nZiF> ziYUc-vltV{YC@bzLI1iy_pg5+@=2*;a)(nSPG&=avam z+R0Ul^H#PAakd@RVj3Dw68TY8zBAXMx9hSvN1Fog%u+`Vedi7Vv+$8c&g2g$i2<>=u6wO$Rk@Dsy70BZBf`~^+ zxurO-$HaN7DPs-Vw)6JrZL-KOsnX5@1p2JdSVv+A-N}lHb5c(D3ksFu>{1f`ky1n{ zPAOTbof3MisU2f!dMorcMdTNlaqS!fSDu<>?aa^8Ys=J;Lu1Xsp^q^X$lBS-MWAO1 zQ{u$5^J%gPak@>M^Z^=975VwOxHz-20&jF?ah6#E?@gnl=*BXIKT94u8bbS~guhIx zQHt|Hs!}^2fM8AS?B}Phz!9d2{GzHrKPCrpY!+v}M=x%RiF3RK?c@~5+UZ+>c09tQ zI3LE0^&UzRN|Baaqtqp98UW_O3E)AKkZ9@a{wN4P8^BIsxsDWj8Z*9-#M;~-DX`nN~9fn zzn?!l00Zq{J78$1OckX#pX4gVdDm(}oZ+3P{0j|di2QOdZmbgv>g|EbSlJSm4h%X< zBo4h`&_A)DKo(~Ww396nrnHl+6z7wsw9_`%gB~zGO5{%~#>JV5yz@4=C~=O2c5W%E zBZtO%1;(0bD3Hau0vbCq($0I?N^w3YHKCo;9+|lwz0DN)V~w~t3yX9MJd1ODj^3&r z6X#zxrs;uCmAoV{2+mIwEo2FIS55ezpm5>ZTsN2|AlC@+Ve_b3#Jih!_~_ zBpWBiwmLFPEN?G7fiO*+JE5_YY{H~=K8X3w$Eha7nYZm`524{4k)LhHwX@ivrz%-H z#~bwOboyx8c@3Ia>?n|pbuE17c!Mx0&KIdl?R;T1AoPI{mDzKo;k`B2H|wgeh@izVlVC332Kl>rHdzc_M#GHm;pTu6h-y z66d56z2sgUnT?emm=pdYSAi_f$rg-NB20?&FRM~JpEf0qab@?n(C}!ua;{1o3-1m! z*;tE{LgXAeil&{tOAsee8H;mT76wdGMJbL~subt*ro?HHJM3>XJVxZtvf|<_G1bdZ zm3EfGvClKHhSzJ<#x21bUURmU`-Suu3~K z;5!#&=_r~wW*M6B6v*P7K_W)J^L4UPoKH(lh%V33IoLMl| zDtQ!5oLvjTU!JNI=Nw?O2vXYlJXRc8 zhYq4^XE$i)C{ux~o#iEg%7jT{eO9a#=gX$F)1_|5-Ow;A@|Zxx28qjZ>W#cgJ6EQJ zSW%{fO6ofb@ zuuGVC2Iqu-s$D70X$j$X=uQUl$#!6O-^IfV5?Hus_$T!jOB$1z4jEi%o zqh6Y=#5o7rSxE=c#p!Fol^q4LI1aFL2vXwwyId*GzpW<3>H75?a^)pRgKk`$vypfD zc)?Npb1mc@hmN9YX9Ny?c20pT&RGT`%OXr_=ik|wIK?K!seh^8(`a}yTsapP=M)&L zugl`BEFvp=bQDdTektLf5;NABMHs6{6{R@e7c0g2vDAb(lR8ZM5Dk}#{F$k^IIG~w z{ldt~A@7{)&`~sTb}u2Q3kqcITx<(J=MpBx`5~sAADYrm=I@a`(Qp~WsWR5(S@q&{ zxmWrwEhc{223{uTxEEXS*JghNIzf3=-9m1y|ms z%*Hz37UGPOI&zo>`$H3#I0|HOR%V5NzD<}E=j)iUzR5Nr&hojNEknaoM1EeCcCN70 ztK*e+a&YBi%XAb?JG;VnuCNry;w*$XIf+Seeo9sP&hJebYw+618T584(qL7fU+#(Y z0F$+I1$<{A9atA<5DtC0r$82G4m5U!OPCbr*GQcI&2f;HIt}OO zM&Qb=u>O)wB%tvUZQL%#{tT*^M;H*U$WNnP3e&AfvZ&`F22)Z3+=&o07tcd;=a)z< z8eU~5>D`jlQGn62*a^Hm%@O{kHesz$Pnsg#*Ao7DC=Dq6RthyNDgBaaf>OKp>kdal zN8}f>|FKN|1*S+3Hki`c6_m~^3vp(s{Y%P}G!6rWPRFeS9Er|$RBORt#olgq<3?e(pk1{rBfWJH?-2_3Cfft zl}2fhhe$6dP^Wa39bf^aTp2mkAuOWQ59+v_Amw;}BrC1-lhuTkj(egn-O0ZKXVhk# z(nUyGdlZ?{nXp9Uc#a{&(gHi)X+_GmB!Nb0XcE(ctO9jPXG-nE8JQC5IR@2>C{b=c ztw@-(QaK(=P-e|o>N-377#f}d$4ka3IXRKu+h$6amUK$1i=dQZN~e32u}K1rQp$p; zIR)yJE-eLEK#|$p5qY~QRS;{69~gNA1zmb|!?PBYB!NWOH|sWk;QS3|g-g`D3yHukfbG zi{Mj3!dtFZV4RC?=fATc#7lvId<$}VXc-~oku(*zAh%hu%Tjfd)e4IX&$TIuznl~P zodv>b-cZ$8d7tOlzni6$-1M|X=<#e^DN4jCoeqB+p5wb8*TLT+O4r-iv)n~XGEVR) zaeALDd?u?v?Y^-dYxZ8FD6R9jP*Hj$Dg5hg!fI0bUn;yYPFcxn&Pto_Y3+p`&k^}+ zIY)$6y2}yieN#-S0i$n3X{{^7(&||l<@PW0yRnp zO*m**Md@yv3zd}~MJgy339CuzzgDG{{AMZb*=e6i=y8?EU(9JQw9?f%da{|VbZZ5r zJ5$(Fw5$YV$CFPkU!7B+MkzgiO5k|6+FYn8JzPL6r3kA@X+x=!Qe(L}D@}QOE@krb zME*jn%1TId<8VvxDvu_5Yc1VMcO|ihzeU1mRywE*D-{)}QJQfhRyoCOvJWl{D8a0E zB?+rZ>CbW{r47weTAm&6qQ~<^{-$!A(p_bdp6W2AD+@ZMn-EJ+QcIoEMEKENWd&-K z4w&EdR7L5^0v9SuE-2mP5>}IvpGs^lZy^<9|HR%8WBI!hvh40Im|jW;Tg;EXNGqAQ7ALy)W| z2}tZ(i!n(f(+d3+$^oXx$a;5pj7droTSt&OZgdQMQ&_7Uj+;*Bh+>FYgiR|6|6Y6} zO9xaloeZKIV2W_2V_Eq3r4$BoEweTESTKff@fzw~DDqeFz{Z;-_*W%GI^*&l3wPl7 zhnb;4J&_g&)KgtjcY_U6Bhgso?ZDDDE0hVL^xK z15?x~VKfJ+-!vISq}L7Xp_2D8nW;eB4zPgFRye;>*9vVGQ|=ybdp{ z_3_MyOjLK5xKKs)Q;zVjD-c#Is_R>_T4c&aJms3pz1x4a)9dIlC-OP`8)D*nIGn68 zMS3h!oyxse8#?t{p@;`P)FuP-Jmv^f_-jlBYKiKkyLtw@)m&|Gp`!GVEBsp}&6<=F zmBUGWqS@R#XU*cDahQum9xDMcN(D!xCxo-j+jBal+f2NkrmO@EDUE@I1&5^$t#rhh zlZPoQ-Jat@Md{g`@NY8-t4XPKs&Y8_yVRVO_WW(wmFV#jk-yoAQ(9Am^M;h}Kt_xl z^tK`%lp)Ig*3LQ?oQdW6-a)YhtWyv?BFrXOkQzF=nx ziOZ4kcz_Et-i@F%2})5J@8*n3LD4-A}^5LFYDDL zU01A_kJfL7*{7PAV$%8lFdyCg_LdJopesfG7FGpM@cf72q8pMtAAJzHF`AD)3RTcA zH6LA{Q@_-F^jVv>S>pNV1NeoS!F=>Fcm=gn^U-JSj!8xZ+QQ%fp1h9{POr!6IYPeDu*k;{gG&E+zc+Ij$#Z$bFuk zwF69>k3JA$(R}nVC#a{0$^M>l8B_Do`jYTpk1&hoqvPQ&FPErZI3E?i=Y;q#>pz*J z&7(*e(bS@-$kJ~Cr($cRK zxj#uvV$V2?DeF%x3IDNBzE&1lpFH9rgX)FWm%Bne>;+h0{hyE{p3Er>*5A5V?4{ zrZe!%<1^9c6Z&p{k0b34&yft;ndsr3@b6D5kk3TNCaELFRB#c2=Lte%J`>$1EBwbx zgvm3}_7*Sj^Cr=vek-h>)VD8Ti&gqEGbQRfX2VSk2RozJqVY8%e{Lx-8nnKmKt!H^ zTXs$Ih0OaM+D3`D)qJi<+x777ldebm5%KBvt2vP#W06eKfn{fz))sZBz@W=aR|mu$ z#*Tzuu&0S3{O5`a3%bv=Lp|4HOrF!H4+ZurS_H(mDOD5ikR{?djd%xoEO}%x{p)_# ziQjai>G8E9zp5N3{;Hu9e+dgf5%K4(p4ke$h|yOu(hbKlIIhBg>?FK`3%hAf|fLEDBb zT^O`060>wG|HXnxA8ga%B9?MHvuDZ*WD}Ayc7^|1HOmF3wo_|_vbrJ?+HzK6Ryx4tpNQo|Tlg}d>Ua&>tP%N(vhh%TDM?%KG0R8bvELOqN4;#T;Dlre(C|chevvis5OUPXB@!jl zfcV9Md`SfcU5f9M7&R=$RH#xudf8T35UOcAONU@g<*1|3_MseMl5gjY_-jNbPOB5ji-8sGHN zqVT_R707(QwS@nLl$pFh@$HX#@1;~d=DQg6J}5D!_?`$gzgHkk*|+xIxpOuhI{?*g z6#3OoK#><_{nss#KEA}G>4zo=yF8kHZtA1y2QYBbq~mF$>4_dr1S|#eXnIUZ_#Xxp zgRTUPrk@8`;1!u|h?GrjC4nx*@Z6WKTGsD?G|}|w{Id1)UqVacNPBuG7eCLci;3c%VMdTZdIN!J6i$^=m*RxgX zkz)DpfcROJQti z%EsRW^#bjtXB)!*x=i&H@p5I*_RKXGv^#m`O0>QW%y|wRuEBkVxk7)FgZ5kG+w0Mv z3^)JZC6Qic(1D|%%?z?&1}P1hD?BY3x_+Odaa!UD|J$5u5%iLtO|~o%Rx@aOBr7Qy z&E}!Q&P-g29&Z=^hgkfR;NI=jU)p+W%iUAk8y-Lj3PM1!`vO*I_Ak{3p+RBguuzjJw;&v^m0Rnz3)Wa;dCW`Tw?5 zmKc7(wK&e5xZ9@?ST|fM`wY}*Ql~E5e`}~kE_q7qza9LND^30KHB~5@>1FR zWmy(1m3;yqp+z;hqU8UpK)-mY>|G0Co#Umlj}x?T#!F=%d$hqdFO_|nz_Kan2Wn<< zWxoC_(4@Xp_N}2R^88~Roa5~flLX|_)+ZL%Yf(ag%1ylA=l-;%viHkDJ#DG%6PMdc zVzR$~6&O=XWhddd?}o={g>NEF%V!@`HCQ^JD!z4rc>xwImHiK1@+Cp22ZtUQ%S(Mz z%{lb+&0BAZ)^~~gb*Xq>`mm^`uPQISozorqO^l~|+jL;fp=nzBVNrpaLmzj3|Id{} zzn$Yk<T)pY3I+3LJBAc?%xC#mJ7K315QHhp(qM|60%$luL*iKjIF*YLm_ z;knb3(3bzbrRSwLODZp^Ve<_~y@5?-srNBousxD9mZiQ%M+8=6DT}cvOTAfA7!R8t z;_z=cjH&s;(PiPkFEMG$elc6_((D*x4&3%NbXgSnbynP#A7^P#Cr(ZtxJJWfqk%D| zsD$AQLz#h)@Z&5?2p3Ru-4P$}_p!327gAETqwok4(_guQNT}$+M zkI3I!m2baxXxA*J^aD~xNNL07DY~_sgwfoyH-hEs0My)beCfc86{Q~vss+yk26+NK z5mu8@-(2NPpkKB*N>dUGDB{+M{5@3>=OexJC^4n~nHnYkcSPJ^gM`s2^~wp~Ck&uQ z$z0q2JVoh$CKoCz4M_>~L|9Eqy-EQk#23v^dt>Ns`u$&XZ$05$^mwnxKh1*$`;q^P zOPgyjrC(vCtBN9c|JUD!c7bt2i?T?c7DkKWM+}cC(}JC(1ijus}4=<`<){Fzakf2=pd63Y4ppOvh*xd zY-ni{R+IGZrRr0#K`EG=);|W9!jw9!oFotMaLw_($)NH0l}cu`7CFUSgigR4M36FJfn{vRe|Dy7m{$In@XaZ07L zlRrYesFb>-EN~#ICsQht9KV@V8hKZ%IU^7IYu;S6en8|O;b3JW`#&aWQyn()kB(+! z{~s77x^(_m31?j%iJ=*}Z$Y371!_j#YIeVF%E&)DTo@V|{W7MEd>oYXSBbEiMjlwM zB)wa)Ino0zTs9LuJ}B~Qi-EbB^oABlx_w+G{U?0+D(cUn@$|>CPWsOR3=F)IbPACe zfEsD*>eGfR(toB@i=a;#&OeqJQ>16w!vC{CSWVKq<#^UW550)`J#a<7=F>0pc9T1H zJcNwwGT-1)unnz>bV|w3RrsFvT*Zb>VdV2s_Pj;xe@&sxB&m>}gXVNDi7phVKUd*< z0Tw)0aUn7kg_!ExwQWK<@q69sjbiFl&=&n)B}DV%*6pP_yG!xA$G5?D$VmSdo@<+(6m!NOba-O;}Ak zrcyEIZ8l<$Sv&DY^!SL#Kc0w@-q6aVJ14UFaVbbt4%stNqUcLa}itT9dI^!d{pG0H)5py z|A4fCw5w+E|E)+<8!Vmlf6F30ha}T2-OCW@LV=p4H+`+ekbtzqg&}EwgTNqV&gnDl>&nx*}pN;>J^9FacGCDAlX z_eSXbWGhf3J>uQErxoe{6uB@W{gbpRxrQR0O$q-uhw9ZNz2`>O1c%ef&E7ffMWmo7HuU}!j%8i^Aw^daSx z8jveEv;>0=aVV%oJGnu(jH!{BmSFzz6c*%`^c)Xo0y)OyLBtj4FX0j^?41o~9%9=rucFNpBELS)*Z&RU?*QmYp3H4%iHN<(WWK+Z)mn@?nft{O=~b|c z6vhA9qgRlUC=uTbEvfyMR3Ohhat3BlCB`J*Oi%Frt*kKSd!~gss>PV%`$qyZ6pt{; zcX%w1jYu}<-CevxXrcB=k$)l{(i>WUxdHphklwHvEFBHP<(%f-8@4pST#BK2cR$#o zMZi__?tVu1Z>4vmM-rSoIr8pujxpuktI^4p24OY5d!JM=2;)YTLd*2YxTw48D;p1p ztdBh<(xt~qJEJ2P=MTvseNo4dpanH0Nq+q}yVd%BzhJ{Gk4osHPDttSSo4FE4$1bN zoOn)^ssA^@xLiLxN-T1bZ+NM>Gqh#zuy){BuIPX0%~T zgw@6J$l4j&APa#M>JGM0VxRc&aXr;Fqo0EooHiUAij@s&Dt4&FvzzFql0D0mHp*Js z*fW~IpYrrJi*T5y;Y>WE3)4m&0_SoBjtZkTWszQC1Yxvciy~cL3H*FRI~UFrrj0F3 zkv=O$!bf40J{R+yx{?ApjIKZ!wJ9?u!-%f6pmT+B7_BY~9D*?!M(I;isAm!;!)SCk zt&0&KiL?=3EYpbZ{nLJf(fApW$Kw&olp*o936Va}V&a<@=#dmA-qzEJZo4S+}u^L1n?RZe!n^NS|&7%eswS@M~Ev zSnF)uIY)2A3zl^o`z7d=e@baAF5LqHkrk*d>q2nG)J;G2BD3$(axxU36ujJ3+4Ui$2k0L2iq2*Xk@h!Pep>;6_0pc`PpvhI(- z`Vpk8KY|mfh}?cZ8ZGyO`-W~mvKS7VBYGX!9*v(9`IieZw{PqY*FL8hxP45nR1EYs0?2YXmn5#%KX>Na+EzqF?Io{7NK==?-ZhsL7bud)DpnIwC zJ|<%--p@kY_6}jv?Z+gm6P>*6W;FaXB(B|MG@?U2-4nfD_X!i< z9y3I`Urrvku_M%QT9S?%k)ylZ&`5znGrAkDaeJFFkfTwuX!iSM{yqfqhfvHtRN<_C zJwN9H`b(&ydtpi7(1c04BTChD_ocjUR@k{5+IiW6o&(VMd69q7j@x-hgmXl<7k;&N z+0Om4bO#;VxqpfRpH0FLuxjROvC}(e%LOX{TQ=IOxZXWp2*Aj_Ws%C=G*;xjTn#C zuZXdM(8ws3*qqD-3~>M zuZ#ShRg^j1- zYg5`tRvn*&dmdWS-1FebJ%?HIUd2(s=0OfP_Nd05(&^YecZ9Q^!KtuuN6hBKTk0A+ zCg>hC&aoZgo@aPUcJ553i=G7qvU{G96^%POj7j&TTQtzQ!UFe9&w{2p5~QV?GhJX= z!lZj1w2@l~ClGN;t>bfHIL>Y`-b0%=aH)<{YdC23DT?%+V5kPouAV+MZ7nVjNu4Jyi5rrc9B_xob$u~d;igod-iCBKZH$p!Q`8bEILkf5bDB+Ele zF^?@a=dqcGWov7Z@Ss66%>Q#3Xf2&?I_6gL9MKDba>DPP8J z*jd7AQks^n9`@wz@l*7?qTMHdz=8oZ4}3@D-;C!K|BW)e)j0?l{|kd|zzv2yzl>kk zLtk>*ykQe?za9gF9FaD(1>0LwN_NgGnYIPoJ|pcxqq_{<^idA(lQ&ewpyTwKq(J8i zp#nMQ(5cogE@Lu}%CplilL`yE zm(Oao3hMD$2y&R;R_ae?n8RaZ;$(PU(_>=C`2#3+)e%S_!-@WC~XQhcUvl0#1^3Ix@Am_iN841xx(0%i6k9@G3C1jiw;DXvSq2p)3Vcw z%{?z#|HIKAqMHvy{M4jMXAK4LOt2`9ZOnv-a`yND3%Tm8wsLXz?LA?`J)9jPW)hTOI z5s^DJr~1$((Jnv&Wo|_S9uV zEnWCwZc-P6)9Ud@aS3kN8wl>uyttbu5@j%E^Sl}_~d+bcz z^fF_TxcD+f2O^A!kL>SN6Q}WMWIsbQwl+R(^Vj0lX#6q8r*fS53>mph{BRIo2sMy= znYu$9jJU3nEK@hRDAL#FnD}gzUFVTZ5piky8HNIx_^cw7VKb(P=abaA!b0LDIs{|N z;Q;%^LPyMIe6&h4-k!!I?47aRL1$RMA~p zM3kfmlXM3rs_2f~#UMM|5#5mk&CtPje5vhJH2zdH99(7RqY@%r3>|z*PKCI#^HCnk z%53MU__aF8cAjj~BjqGhWaspCaE_x00~pv@+I~u?9%bj7a;WF2dTi(AXgf8;6!F8M z+Q}x>lf-xNs+NvM_Vd&{WMp3>znA_=oO>e0eX-JBBTVs%qLqNXg;_lChb z7e>4)kbxg>Ocz}0f`lL=$}I!Zlw#+U7+aL3@#;#Cx>FbvxWUfU%{2*=2J62OPwkHf z6-V|rG*8_#^3=&+^!^x)KNk(-y}0GZmGr=zout5I%grrDPnIHgX6dO@w%i;WvXV%; zfxkZHqmJ{DvbO^?jr(WekK{jEM_^xJki0IGdPGV+W2?s9!%*{v5^G>Wjy6E0Pox2vmaKvT0}Z6_ zfMF*Xj7bgPiQ7!w5rI}XOdATAP6ln+%MU`m2{~#jiSLH{AuxP=lo0g`%eXb;`)Fn9 zm}33zsP+{yQGv~>Hs!$l&!jUs4%3Mi@{7Y^I;pHEDzi>8L}t@KhA>R8wy6tru7&B1 zFyTatF&U8cdy!VDGJj)K#5NdMh8D8&jW14EsY3;FtP+ofS;kbX(tvV? ztuW?GgV7R#&>?&3L(o;$AWZTdY*h0dY%*UvxGem}EKh|0l~fi7{=^<{QyapA5V>WVs?q%Yw}EWLM9!4!k%k52vvln8?(v zNRkZE)Q2+a$u46d%du-_-$EdNDp)Q$V!&ZsKz}2ai{S^3OPFLi+^(5*_Hg$(0oA@0 z4QVT$b&i0E^2jqZu4n3&m*9jjCZ&o@-C3CZ(R#Kr=JCi#50>e8bOU$&aUdtsbas72 zL4iCuc*UWP7*kn?PVCOgDU6-*CA2->B1{t4$EnUba=aL7YgtDdFJ?ZJOr!C4@JctH zbxuXzkEXGwLDGj!&N>T9`gpO((Z`EpT-vE!$;mT%IbNI^2p@QC`jH&GnCeOU$|#hn zbwNpCK@_HGyjbKgMw%396UsOS-_N70a}V!tdY(ytq8 z5h+u*tSr*^Lz{}dW9jS{q3kI`$<6H3k6CDxR3NWJJz`KtjG-$^X+yG;?wf#p?r9~; zvA9sNe-xTtBpcLZzn52o{l1X4Y4%;-KdOM^{UjQe7CD`9kPG9fcbzFobs%)J+WU&^*~#*{pR=09vIj@Y@pab0NbBXpa!+rn?p7- zH>Qv`M-(<5@<+bVik4shBN`U-2pps*4D#8EkVha#A%AR=E<3Vz7TC1C1&91XXy^GN z$r%gzC0PaX=qy7%#h42DGhJvZsW1-t+0fJin=zH^UNbSW6C{(oIiiNy&0&S+%-(0z zV!C=#77ZXAE=8(I|5;d_`WGhs%Iu3k`XQU0eq}bgI+Uq9u|$h>B#$I5Lmu1pVRSMS z$Yy`72pZGRGN7x&8dUJ%^9Q$2P9o`*X|127^ zRUv<(tuH<=hmoH^2&j->Z0b(G(i7>Y6|;d0AYf#~(<%$k*`;F(MaFKjz|0LdsT=#kN}1>QcIhgo$aOi0GjP z>R0u`F1~MpdMB)=k#UKDpCLsS7v?aSpy_nJ&8?Ftz5XT|94}6KDdsO%q6Zb%ry-JW za##gxJUy7@mdH}q^gX@iMdma)*YhmGznUmTFBTjOz|^lb5AB2#yr zO;}CR!xNRHN0`l#o;#w|Bk1vW(QtBAI9~wIy)Vn8uS3FmD#xVpoKUzyeWvb0SBM8< zq|=YXNEdh{Z%8`*C=7gEiLsFMrpbj@sAP79!-b0U0|xa@SWVJH-KuG;xwi+C@2yQ+ z&5_Y6!RSl6%|(ZQh=#KZ@wmP|r;lOhf@O3qDGzxj_Xx6MtF1Qmq_PI$RzAm!0FNRa|>j)q|n6D3v7|vLp0I!QuBwJ{0 z@sWkfNyb8J54>>N&C1#r+gvEEoqoch-U+K|?ft##eW9g5o~Y0X_5}o?qMp3Z zDJU#3GH!y*)SVr)RR_VTEfl$`MD?VR&FV!1bAKeXdhj*(i=5j2;n=Qdvq3aq;YZC% z@KBbzKzc=4WVQ%h^$M8wiL4SNn7fHgM;!HvvH~RwvSQKfIJ4g`1o9`KqY91v_kM;8 z=r5rd^HkyN^B2yF7fLS{FB>>ilFAf8v&x|6eojDebhOqr`}i?GqRpS8VMQ(=7_N$( zhsnhjp3;7%?qZnf*%TXXO@baOq>pAaqI;gHz`$tf2jP4dOT|~P9AMf~FI1U66)Y!h z-A+x(RhcYQv3e$ae~m;{tX5C7r5VjJb~MqhuxDl=4L1K34a=(Rc_qS;x8};!U0W97 z@&X+yA}D@@2VQ9_P_yTd*=?>OkUtendl<*`;R5>Jf+^BZ zme}aIB)#*7WYTof`9q$dIFEr2FOj;DoDWJ= zajvIbpUg}l;#{xo`ZV0HqZbwZEgDu<8T(Qg`yaGZE7s@Z*Nyl^f@bP2&FO1p*E-Rz zPno)_VEX4Al2tP{1;C|-0@>JWolM>NAr@)iQXGOB2ga5&t!o{|6!8}!#8oC?l6c0h z%332gwqiZU$v%ZP{~(On#$nbv+ofBQnD1&&U*tSLC(2Mk+y4C1xSAool<`b(u z#=wba6wM=3^PeD)KNYcj+#anro}1GzdeD&6hGbb^J^0kiJ+d+Q)OU=|lv<7+{rFJq|svNiu3IiO~fC^?+siSorQaK}G2jisj*JN8HH_ zRWF(oPiW|JQoK;v^70}bn#zku4vlT9#2J#H#8+Fo6JJw^?#o7UK^Pw*H6N)$P9RrH4pA7tnab2%W)VnOK?~7vh7qX%qd&srA`tr!iCLX2U5;OGqMHjHDr>;GaZ7{emHDi`C~&na(x2o= zI_*7dA3D^b0#%nH{V6))5syz3|B#(?y;Yvn&XDY|b#vOPg@SyR3)Ninb98*QOIXdh zCN6lih7vx)ov62_Xhu>N=Akr{O|&(~u%e+X-7xh$NZ(R4oSKZZq9$Qi73o$0j`nL1 z2X~n47?-EiO0m-4wMooo5w8qjAr~Tl8XaujT?(}I5ku!C- zBPZNr@s+OIF(lBhM2gDRVwj^}ElTw32DIDEQO|E@>h7~;nS6dbQ}<{|)oh)mnrIU~ zzYR4QBK?`Gp5M;Y-2ult-BizSXX+lZu;}8c=eIL;Pb6^fcTy=Re14lQ-(Kb_FnWHQ z1ZQj!4oc5k0}JaB0fnt~xE|5KRcQ2g1J?_m-zGvqy>MGjqQz~AnCkByi?LQYVCjE( zL@~rrO3!o?QNmilfd3@ramz$Ez|`~Gnf3;eRu~q_#@W^Jez1#pw+zkg$2(ybO!nWr z0cPG*G|bM%-Ts!MKJFDE)u)Jl^3F`%W5sCO0x&N{53@j?tjO$OlT6V-An!-LrKmu5 z`%gh!LeW5g{TirqRgc}CZv48h#F%vZ%;q*7h%o8)mRm)`E4nX-V$p0AzAB;6GeY6{XhHfZwLw zp_>!PpNeTsj}a%V;R5rg#Oe2P;wYc(fhz?X{&Q=)Nf>WDq}gIsN}cv1|^8=jdwQr2g! zc+^g^SAKnus~h`%Q>4GO>EIeen!`R)R$#zTI>4PFYnj01jPD*-)sqaB0}O9yk5M`L zL^l4+%GBL&Qi-H`gja3j$u?YiGF&qp!lp)?2W&ctCUtcfy9-sZ3?^@t*`@Ea>1r*< z@)I7t_K|ITYmVM)$z%6J(8v20$rNcI{WS!=#Z(~Mm@d=aX)~sVuop{+QBPrP@OjdhJ>K&h5JRIL4MpR=IexDrQCc`y5;L;S_l@TklcW`HK=A zPP5+UWn|r~0t4$w|9v=A0j#HpjQQczSyYif6)bnUaa4^{>@Q%?M{Q~(Sx!n+JMv+O zS@UpPv*+ZRx})4jyv)Vmby@-a`QS^cRhL`f5W%|Cx6CeN4^WccrUI@ z-MRuz=}D$Y74j0*4VD7gkzdcDvaX7RTG4#WRP_Q!PSf((T_GmTo2IG$x&qZx#O-S0 zxVH$z6B_a9UN{7$cO`~ib98e9E=9POS&QfO4^ zob>*p0+}ieQ5+3HUdDs8nYsrIRZny2d29DSo}zTymaOs7}zc%pgI} zwnM4|J1iOt0k;wj$2f5t-)hj!jO^04!2n*0sp29f?iObo-(5lcUMS^zDN00K-oSXP zLGn=mliP^&*Dgj=f+R-HBhqw-_-$E*1vZw-xZ7Y%@ul#&7rg|0XP8xd<-~&qBz?Zo zdesEnnzl(&G|W#{g|H{m?*+o4^K$gQCQR)y;q2(p;WSR)nFwJ|fy{}{jPETo7KZRP z)`|55@~0w%4}L6rFBj0?(5jg(DLOQLq*bSH#FOR9;4DM)WNl@z&+-NB&|iDd<*_In zf1kAUmBH5?8e!PHFJtuiImMp*zDe(2CMh)Xv@-alr9dW6BgpFxW742z=8>Wh0j30?i-E(k;bBe5i7oeR|mSl=FAhY!=0mvGlSbi(S0u4Bsx;ISKIB@Co zWzf`f9%IU-zXkCZ9Ks}VyHJ(3I#kUtf^J>%=K)472D zMt1hl+Xn_=lJ1N|HC?)y!knS`wtiQ|Ve7kWf&My(h6P^S&ZUxW=MQqaonN(dJFjYh&#CW+6lwzIi_930P< zMib1V6QP6mYn2=b0XvF@Y%Wgx|0C^9;N+Or_wmk(sX-%_5K)MP2wKDzOKOND`V?Bk z7F$_kjYbeb^tD~vrLX<=SlYhRu6=L&PTO5;A$FH0IvM-#dCyz#IcKIPcjo*5*XKiL zo;r2j_c`x!mO54SPUPD8r4)vnX$k75e9Zez&VCckVDJ4rTc+EztY@bY**g(~Lj03- z*zyuVXd$jxg^N_emZyBiBxlpI`J1LOG;w_!_t`R))5H%6nk*jZ8$1WP#GM;FtwWcd z3%SdnU-r8af4okkXL0c7@YjzSA^u*9zCO;Hg)NU4+#5V^#PlI{)?J7z8Zkd!XD}hI z^Vo9+V~anB#(0m4X}6}tfs_j3j~4_>EFL2(#Dp>NZY+KnS^TirvG_q{WAR~?@na!l zSylR{M0TQU*zz`F*-jgukKAeFTV*(YL5ROxb(aSotI{+0tfwJP!;`lQ42s2R+W34V zm?o~Ljc=71ODv9eVuUR(R|R9@SEIhiG^U9k952A)c!bHix?}O}`)_&>h%cu~XEw$7 zdwH^|Sp12|wfNg<+|o0y{!Grb_;YFcPCe^sh-*tGplk6bA}*M?x_Zh-1Em>Dh--^K zlM{@IPl}Msbvd@U-+4Lk(EpFifs6PD-H-MdtV-BJ!uLnaa^M|BelmlX1K&mj+?kW* zz$XL#i8ok`HPW|PR z6f$nvgCyz!JOs5HwdC~&T`<3euA0LnPc3N7L1nz-zX48gXJi;X^HU33So9RaG`qG z@=7MblI6fwaH~a(VL9-?YSZ8}I7kNLhuOrw>w)`y3RRa^r3;%9`zvJ?uH=iizlq@8 zE|UEAT7?#LCCs0%kv(PP@)mluQ%Dmufq1)qtn-yJgA(TSN`g15g6S~VORTSHzDb{+ zQX#eT^EECflaEvKVar=d8-VYO=J|AROd*+k9A(qmguX+kL9Z25=@uD`o3!@2ud?sg zgzvlXF58j4UZfA<3g7o)wqz6F!Ux4ld~2);v9>GD+9{_C9@+zWxg6Y9s) zbO%f9TSYX{y)Not(9?qb9Q;Lz27|~veaDBwi|%z* zGmIsCvvZ0hLNLx|yJ|s%X}3{{j%sTn0!}L>Z`oi7yr_)_h!C76<~W~-xzW5 zIceh9S1*`+nb;_?ubN*CTfVDtLE$^H5Vm}kXH4u{ONA{z6$E4ZlE1`0VVdueg{E$r zXC6nFZ8z=CJXSt==7CUfB~?1FkPtUBk2!UBMEYUD4c5;ikW2IvW9pg5oH{EgeY<(bF!#a0c{2|7B>JXXIpe3N1Rznyt}nF(7yj|gS+7Mjv?w_7ll8+y=Hkm0M+ z6@FKiAJtrU{sDOsFF#L0^s}x|*wL&nOgbY-op6MtUA zE`)+4sUN}X*+85)Mq2s?6J~bedJcnwsii#^_*br#B?)%PMR>y_t>b(iGvf7l!N1Z>%_r{fr;LQf7+G?*{i5h zx!#rdw{?|$3sgBf|AfEZMlF;?!uDVPEkxV5bp{g$ z&%TpKR2qzXUm8$ss7493LYgP|Kf)yKrUnO&Sv1@YMhhxkm}Ee*bKu>(MS zRaLrKqBqeM^&)NA*~R-grT(l6@$V456*I{GDyG*}3UR!hVA{Mia}?rwBHbu5m=M=b z-@Ts`OcU3;RlbWD6XNG&kji4gh7{I-xe4YzBKIpEF+jT^ao|em@l~1!j}IA zf-&DlIc)iaAm%&EZ}#w60SrAWatwW9Y&?A6S^Mt{1y@t0iz;0`d=3)x53zXo+#0`s zmOcFAfZjjLnxSJ%@%v{!1jL~#YZl}&i*GehR|Nld*Mt7SORwwzCM47xV_+F-_= zr!BVLT~ttxPVPKm;XSUA6ZA_b*{amveG(JKR*jmXchb-z(pI7DxU+9Jg^s^>CRQB# zjKnmtoJ6m=iGh@*7y7tk{Cnd(rA#XVC2Br zX^jlJ>@;Ie2ru;9zNZl=hvmF?4(Yo>IEQe#*|Oa|DY(;j6JCUZYpT+%nXVc7dw8o| z0r;lj$AL5cJI6XnWOg~uzKRlJ;)TOu%O9~|Z0Nb* z(Klc$;Y+<4LaT?Ge;OlZlT$y^}eT5Bgdzm7qqRo@Cm8lX-L~j@ zlIhaByyjQw9MkP4g!R3eb7F_C^91bj7p}7l=&p^ib=1|beOa{Bo7Zq z>-@!bUJ^}Vd!%1$*=e67W^tRM<(C2}YWl?#;><6E*qknbyTS+<~4o|cG=g!kj=Yzjiyb)w+}e| zw<>-;0G|A8kYsPKPn4Zj*Q(e!&SE0u4XBcShHn-VCU5biAXl87_95J%Pl6?UX|m-F z$nmu-Bs&dzMj(hV&G+oMId65hZ-1L*Mz0SQGH_=}(WXbjgdtp|EOGo-hThLCoX|Ic zFNw39!Zj$i5>R6G_G;K7o7^-fcIVcX@N>Faj!y2p@{DEQkrVVwC)ujh8VeN&W2=^% zqBl6JfF21q9VVGAUS|i!yoZk3<_6TaE>;36UER3@1TPS}UOI56ihtzYG@Zhb+iVi@ z7A!FvQQZe!Y3ePGg|pXjLjzyAb!%6?&FN{Mt*Y>_Mo7^g|}f^Y$-}m-QE zY2xQ}6UXOD9G~kOLoaguQg1`tda86?zAN9wkhg4q+)-B9LromFB68n0{Zqdpo1OXg zkF(Rh%&^KPZ&B`9tiYh~jmY?A!L*@igzUBE7)$uljW@4fQ7|_2=P1`dCX5XoG#Q%r z<(}yn+TAC!?5FF|RB?qzOH!ThF5)I}^TP(gc>x|jm{-An*xER; zBS-(}{4&3Te?5Q}I5$wGf7FszFn1T9sA0t#VaInDu_R>gE_w^&9NkZ(n{yZ^1F;LC5|g0jXvNC_47#@sK2u>m{SVl8bd>V}7Ii z?>&VsmP2A7JMH6Y2#JL27&zZ=8mV-vSXQ0a{z%-A_QjYb=*=LF*p42#v?;5=hV z2c{=jK0$^!M)tUNsVZTb_$3Q|8Q|{KeeS@OV^!&Tv8cQ$*c({RPWwKSybRD=v6h|o zMK#$I;f)Gt=|Jo|6mGstk>r_|0b*7gqvI+w$dzQLeFeo?h1n*Tvb&Mq=j%=) zQx59Z#)lq|I}dU#@Xdq-o97$S2xzp33w{lf5;>-RqB2SpMal603H+1g2 z(TFT++Z-$IGL$euiPt$#`z|j*ITXFd=P&_960`t~&*8=-FZ5PJi2hO_c}`H`7MQn| z&!7b5hafPtA{bSbo%TV6s$+~RRO(xhUo9Yv3B*kuB|pD)85A<}pB-#Eom`sM0%gXb z_h_=*nl;x+2W4C*T`EUw6=J#Ne0M(J=kcA`GU?>>EZSvj47x5mC?gn0C)OvtrE-iV z(W&PH%lU$_r%p#XUpIy(epz#G8C(`8>ll}(O!slfOA{Z3UV$pz;CJ=Z5wWxM>J2K> z&ROx)HOfjoR1?0#eR?mW7=$f3nblP?2VUg?3OCv4aR~Pbrtg=Ve zSTixG9``M6i0ag%bMCN+3rgyFA%_7@oiS}t<~;DSW3MGp4&jV9m%_4sOt|KJU7?w? zek9_m*x~Fv60!e#!872zr7GdYAiRara9%!VKaC!G%U6|pq$n=EOwAoGU>Oowiw?)& zXTluI=NOcreY`*w3C2!L&c002U=HUkTQ=h5M=Fg&IAiq=6|dwIra6}voOcJ_IDLCu zIUel9flc0BA%o?_isap81B{__l6O}@y0U|zVKtTAt4Q)p-c?z=_|jV;!=U8dui@g$ z27=ka&?-r}BpkC-GNCt2Gu8yIDK{HUJL+{+$7qhDPJ3qL!cb-_RXR87YBcng&T_;m z$UJs%Fr=QH_G2K9I=qN3EYY@;qpqA23f56qDGSD#7EfDxL+Xq=_VI$@gl)zv2A!8J5zxhAm0gKHww=^Xj+LDcC?RHX~@U5Ss5U{Lrl4}rH# zVd)2PPWpx3M4bDwEX21eV?JYY)D}KX1X$0c6>+){dZQx-g*d*v<84V0T8Jy=spiCp zF|Iy4?IQ@>sw@~2r|H5LK4F^p4b2u0^pnH4RUP8alfx@691VxuN!b6J>PkGDcb**f zvLOBdnt_Q=3a}F%eG%r9)C@>e&l%#AD$q4gIyn|6U(P0=5XY0lsFn+I#Y(+c482JK zV~NGpU1+q4HNlwpM<70_LKqV-_ih}#ZT~SFL9eZ`5l5ohcz7E)Q5>_O+rT0&3*T{d zT8k3C6Vgik7Kn#$R#n-o&+18x>yD=_V34cCa14fS(>jZx6|EY1a`2JQ2y$NZ- zh_A{{&4u1(_*cUBwzzkE*ZpGkufR8p)$^u^-ZJJ}*UUGFl)?_##J(GrF$_)%-!0(@ z&*z2j_#CwisbcL*o2tAmV+IrZs&6u(7es>Th*rNwp|@d~v4k(ZxyjoyFBsdG+G>1` zFm2yk;^v6HAwWdm?mG4@Hlj1%Ox+X;Dm=E3>+0AOu#CQzFNWSIO&_2V;^PWRVJBJQ z*c;@W4I19M1$rwm>nVl~@xDZFLV>}Acy?AL^fpQiD31Mg4u($3CB#E)(C{|UBqfKd zEZ!OnK~x_TFEkP7jj^{zHlp2)vB5W)Z$La$rK+SdGoBoW5hTX`iHC2Mp}Tux)zLM2 zpqk`5R>f{!Z=4}Qj>7lrw#slpO^$T01ly<7j|9p=D6#{eTqv{4DsqB;E!|g(NQgDU zG~JsD3!L9Ad}w$huG~hIo-m`RP7I?OmD zJB|9ykp%{2oIwd@YhN%s&RDO)7`Gc%Ibi>6OqeEcYqP!hWr;VYti9ZqB@Wo-#Kob^ zwyN}UJ#kcdg@HGw=sYy(jjt;8HcUXOi~G?7k|@TYHY_*z)ECC=L!cZ1%GGki5-hdPi(J*=78R=%4y*r%JD;6Q*Vu zyngI@=4Qw+^_qm_7HEZ=O!ir~uErG-8%QgJj0(zOIFCEjM>N(6*PQrl-)+;-3QsNZHI&M!(&J72>()hH z{v>sgFY2`XDV*1Vt!eoaX2H32a{P@SN{ykHDbsf$p_26jUijQPVJ2ya7Ctx9$|vSe zA;lL^9jc*=lQcvR%0q-;+~44fkq|_fCVpqLTTi0TU_sk|{Rm`iuS$0n z5@VY*G!_ww+d0}!NS<}-h9nJTGW0Pu)y2F{^l4IZYj@k2KDkw+7 zl24v=>hW923Hl{8p&Ns4hA>U{p62c~pbgEFXd9rjk9pXj=@F`#tV)lRyY@1h=E;P@ za-)J8cTio-yFPmKLn~t50I8Qok!;@e0{S*Fsp5n=nQhZNgGmdFn*kGTR1i!%cosKs zz4Zxl%!^(5UQiQ^`Cgw2z4ZdZG~YXdrr|`lkJoH4YwK%J^$y6vm9Bi(Mdz}3Bz!lH z=xs^Dchi_3d1iNBKd;99%@@97d}(dr^dTi5W}W zdEDQMXoIA!68q}58sk$rcISA3-OQmgc32h^r>N3PHOW%a&1{Gsb6#4ynN3q_+@VG3 zX2u3?H?v7mWp_+SC9`3@dEDDD!^(0cYTSV!g@FnVic#Cmj13qQ2i@Key-kXQX##gu zn+*uw-{{mvI?=EA{qZ}P{lLWftV-< z6aCF6;npRy?R99BfQgC1{xI9-WiH57!6#}MN*u%hrLMQb03)~GdyQP(VHs70?!BC^l zdP+=YpT|YJC1BDN<4y*)i{?em!!bDxg!T*g;v^;&o~H74OADrRkb12WLJ(ov&JP!w zUHU;kap{LrhVRC&pYRv>?xIRB6uY|g-WmEXrSOg5#5B%lzEkS-*$XlDK56=_4J%|A z{;L{#duxr1vGw>qN(zcguMO5yl9q66yy2L`n#NU{YW6`t^!Cy8HPr{>CL6O$-(Rpc zcK61#ezi08rn|zW1`|8#>FRbB!Hi2Ey6M=v z36!IgOOL$FJ48;A6p za=v>_Fg7nGgvnLHG~WmOCi7w%Od;%;H*ntmw9r0V9|r|@Q>DApU0wQsl$)`3)pW$A z_rf{5op0Nk%FZs677kS!I2=G2z=W#KU%OTXW2!X7+KV7TMw9xhKSXKk0hjZG1rx@bUVk)k-W@$tt!vtfaxUlX^JGHdybJz1qawyuRksDg zvKp7~YHVtOD96m)7T7*7m~H`jKaRIcf{C%IRaBMBaZIUkN9RH>EEA@QKh{l8+}#r+ zBfdNOKJeW`m2S^><+}s=UOO+}4v-PaOkzC zDxm}F{9bKuue|fk18?7&yAH8Wofwihhttw&cxPf8=EUm|`_{OiZY=W6N^r#T8@xcE z9Gz0uArscTUQW=jb!TF}Pn|H$vRY_%XWa`|%Qi#1z3^7|Py7`1?WIZ&_+8DrO#$wl zL|^D_Q&;L))IwGWy&WU&cX?!dK%O@KN%qbaRrc}<>nVMd`XP@h3Ji*QE2wB2t-R@l zb&tDa#O1`Du}#oBATJo_t~;a9%R!Zd_~YH=uBWSr<0q>Q@h1Zl#|P(+YX$L0mF|%4 z*d*<}VmFTW_f>Y^x)9$U=O}kE@m*qNKX~tj8I^rGBAu)c=#ki7F@qAvvjSv)UodJ> z+;E4lY@d`9zrM4E*ftmwf1!#v&d{~&*FlrT`3b+r0!!R|!f&TRD^G=jd#lo;)vgY{ zJ@oi7!&-*kP62;`iR6afo)}D@oakb#O84isCtbi;tcou$;ZngsjvajOOIKrUmF5GpLpea>dvm z2W;DjF)=S5YJ&*D*t~e@00a@H`92vpnU~j5AB(Jc-F4K_FD-p66x>&po{>J;%pwke z@BUd8;!_IlkZZ>p^-rvem{$+E4u}~P^U`+YDFwldd1wAUVLJllAPXAvPMf-OlkJ%< z^o>R<8q0472Y%9O)U$i`Kp(J z&9r=9Ni~@_c#+c9D+O!b;N_GdKIz`WHiv@yBVSh%;$|`OxC(nR`}K5q>l3Cs#{9{? z=iNK7OdphE&5W_hYmciim>4_zSQL8G6Iw!bU?%hqOD=Y7Jn{S^CTTSJp9x|5{v0F{ ztZn>!H$Cz0Iks`zsH&m5%fky}MYqoZs#Iy}nr0$Qhor^EC+FQK3TC9-41Gw29x@Rd zA6ut)4v;F2jp?DVnGu6RoED@`&I@KU^bCS@(xMIk+gwoYkfhO)kw=#9>Kdp zQL~L-NWsR>l^q*D7a8K&>$jw(oN35iwXV*6a?HyeY~uqnc(Z&(a@WB%+E^sceLS=~ zqAtW|r`5RGY0}9dPRkyW(uMeGWf&{N1rv+w?L`OI7)zWxyKf=%jweVrhIa08M+TwC zLNxK`nsZn1Jc2oRA##X6Z=HL~=~MPaeFv&iO}Zx2Cm)X?20rbPB<&M&N_~)Z z)d!i-n^q-E6MwlI;xEJ?{wh>9%k9q>jKw#9V)`zq?;!NanXVQ;40I}pW48E-h}_Td z7wH#z`82)kNi2SB0qZ2O5T9PfyM+@zhWMRT5K5F6i+>5_Pb`!2)Prf_S-kQIl{1zQ zA9pMoC5(wTyE-orJX^KI-35Zw+WWi@xd)@Sl00sDtHY6YN^adx629o zHJMB|!Epg$n(iBgroqUhA-KChgON#tbH=>8-aGpwRC9uj#bbqsbX3H4_}wj0vQImN`Bs0)~Ut3w2$SW&gRwQ&y(p= z%QxFjZcryo^L;gF;v3Y^TM^&jwX)&cZ|zO~KsASgZ&Mtf6!Fu^%y)J{;T=`Nca~4% zZsB`GYVC>E> zq1-_Q!q~pm=FI&DC9rpV>&`*V5??WMj1L75Q>Eu}UE?@ka3>W+|BP)z0#I>QP;}bXnMFRy;$iw6gxP=B6VUJxM&TdPSiq*A|3fI=UGLS zU0o~ZaNZB99UKvA%o`4ec~7bdW;q|~Gv;s}^7c`f1K7iI-VE|*L5qa*o2dnGer*Aq zclmXjF;FTG2amgQJ_@|{LE_`co`HeN$~obDbk5~`Oh#ol2}lcv^FHO!JIZHJ>`c4z zXT*Y8&PV4Mb2zVbaQ{mPl*4jvi$d?14B^_&uXkhTHzNe~TY+Qew<43xCS|wFL(?Nv z>G^!upgy6BJ8N~}d?I*#Sr*O(c>1Ckhj36IQ>HhHh^H4SYTOA`(#f=dewmFYVA29v zx(RxsR-QaTw?H@;Ml1w;F;|bODL z|EtX9xOG*Qy0`X-Fire}YIC>M-AhH69&_v`;CmGA(4@K=x|qT%Hqv6~OJX$+^Q$DF zFQ~iS*4bsZ+qwkT-5rxE#?W{&1^Q_kHoV6jQVG3Fl7fk$b(X)N&KDhPS+Jzr zs^eOM623umRKM%P!#^xIhW^+$9)8s8qyGT~XJFi&=}P?c$TjpOdDqbGHTG%t@KV}+ zR^wt{;UfsFXD35bVQLN6(3j)|(}vdG++Jf$h?Ccs(t9&lApO$usU*5^XFUO*SeMsf(j7$8K9Bq1GJv$LU zEm7VjetD4#vc>UaL_YK`_Zf4EpPdnmiPP4XQ*wl{hj$a&y6^hfCGPZHyUp%xuN)JU{ zIbVS=ommjh7gbcaI%;9gmjy283nP`?EG8|SfZi_(y(O-9=F>NJ zWdFyh88=;BfwcZ(K=PbzhV+2x<{1W){U2F+`^n#Y!Di&K7NS$rsYy9b#dwG`hn9({ zoF))g7htr{Qr#PE|2LQE2W5_f(dt4=HiBG{SJ~;Y812FuzdVJFc2?Cj+BpR{Ds&`T z#!(L^)eL%PbN(dm{LXZiSzO*_*DO+fzmS?IdN_H#EG8~7%%cFtrDy`f80$6rT6}S9y^?!z5mOW^E9D65ewdx#LkJ*XiH?b0af9A zUQvz1?F#9X^X`K8MFDQ)n2E;4MSA@k>13@Qw)j*bgNfD0%>s5_Q83*CdZnP4XH2(1 z3J*IM(5(f6(P#vtmK26z`dTJl?VRvFk8HyGzkJbkt6R6j1hvm>Rrpx}=-rtV zDa#p`HgsW2Uc zo*pG9m-%^2pwZ-iCadYKl6P2^-*?k{>1Pyar-+$jU1OI|*1B;>2Za3%yW?tIv)Ywa zrRq5`@2$vV<%*d1F8p=(# zn_NBeNI5~j#=*1eXwigex?lNCt3~=A)};Lqm(F(WwSF3ZA*wkQy_2LZ6UGlB%~tZl z@_Kl=y>)ea28k*mBx4dq_vIcW6`+^+F5SAizABgrIWfa~ftJnZ&5(d9NEXZl0<{_?mW&Bso4x zIq3#1J|Re&Rf5UY6R~yH9(2+$J$~|zm)lcJ#w4tjPd^;@itS;ThRc9yC89H$X}#Rc zbZ(5eom;T(8~mIy{m~(r!3#s!>8kW@Ibmvso>xb1!n!MV6V~-PPFU>B_mt6tb}_R~ zQCDl#teGiA-4&C%33>PqrKsz3jOj?_);jjcJ|Tf}7|vOmX5UjLoH++goH=BFC|k~M z$gX((UOPa6zpK(`xvnAmAQJIsxQ?e7W!U=ijD+mf$XrJSB-gQZtp;b#Gbqk{1?*qW z2xd$?>6ruT1j<2-jERq5ZqRCSf_^RCBT(DbHNu!~)I>L!M?;PuToeCLH6|W5WUE(E z-x;d(V?Cj3h8#EIE;`n;6S}vN?Ox6y0YJuBxkS6iZVeEYur$)>Gno+%Xx9 z(+UhGO_BWo#=X5P7*)(K=lnPR#U#-Jzz(j5-c@zM$g7lkFNU2nglXbGHrqL7rVzg`!u?j0n(xl3YzPfVKOv{@LtIl~P{`d6 z+us)nX6(Gr713h^%F)TrLq{F2XU^_PO*gwkHT3Sz5w7Vr{3bi|UdJD+)~(&Wj;qg@ zbskhcOO<|V8fx8FqlGV_dlv?`=7q`LgIM36StGd)-BcRX5-_2wUxa)Yl|w-%b8V4Y zWx+Xm>yr=rR$r3*&$zXogFP4%tm)3LHqj0KEW^4T4&C4vYuy#fI}V2?XRFfdVqN3b zH^H7~K}U|_`>}tbP!YGjD(!rR+Pfcq(9ajQegH1NW14ibO_4n{7kW2E3?@yXA3420 z5RBdWJ~Y`?$;HWtL(M}R-CyByEJS6uMo2tRBuo?ky}1hxehZR)2EW=&eZb_^Xc*m@rh-A>ULJOf%GD?OS}t zoYXZY-F60na#$N5TMxZk9I8R{XrsH=-S3zi7lG3WA zdXHedWxizU;Yq9Pn~|9It)fz&b|p?vJUtha-nNUPg#JiDFil*)ruC6JV~J_AaXEwt z!8l{R0_-iQl9;w5)$G&yO&@>3H_a$|_rPSVEk~^|9=e>XN^hjPrs@~rw{O&i_@nUf z2aHd@8Q|R>1=cL|UPE$z+GMjgE7;1MXFbK@x(j_V&0u12JuP@N5{xbW41E91fHCpu zr}HF2Fim{iv?|I~2-80OM>nbZSNL@BD=cS-|7L@E)ENC#(Rr%$rr(wLLpgF_A^swI ztdnDL?q`dx#ozL|$0D6V??u@E{)lw4!K_{Qp&Ww=alPyM#e!hg;?EWt6N^uaVO?J^ zO+5Qbg#;1C!Q73-^+@v%sBDODSv3|Pc6-ZQC|Fdbw`vJ-Gtzt;u5Mqx^cXVL5{X7) zM?D*QJ7$$M9g4k5aN;v#_99S@PWgJ(bB3HQC+N3R_q5WZ6RzrdQZo&ghbuf*p>vw) zFNJ2`)_0_Sb(uPMq!vG8+p{3-pV&1McgQ2;*8rT3Dm% zhaTT1%!YB;rxtpT$AW32X32oh=NQvQ<eY1Uy`tNkIJfnWnmD)h zDfClq1IyOBZGB>6-R=7izXeL2uSy?Qy1Mn-d3RR)P*r75!+3-gMP4b;!kxtPONjOB z&8+z0jLPnoAzf_?upi_Zlz5(<3B8A^g0WlEQrc4m#>B0!@I&vVNHBKmUGt&$uoj|; zr<(hyZl{SGWfmO*y)ICt_oYuZ)5J$>N_|w5Fn$af%f9RV>4=7*tb+0Fm(UQ8))-7U zDSC(dV}W4c#1=e!$-|E&P>xP6z0c6G*UAa{HRja=)~6%FG|Rqmvv~)`FmFG{rQ7;O z#=M)n*>8W;ccChM6m&K36UbUW!k|0^c?slit&4eIPt#ON%=-q;)pOEMJqhzZ-nA*{ z$&)8?q^RwuXxj9WX&!A}MboR-(_Ahwubzgzk(84m$U`vilNlLq4%_I2jU$3RcAQ-m* z^}`RB8B2_Mu~R6|dWz|F5~~&&Oo;1U_Ky>!Jzo>o1C=Ms zj0y31XpEODf-&(&%IE^L5bfoQ6&ARkV94;<_d~*^s`Pasp=e%@cmrt6D9V0c3cPRuWq=@}_;*;ixthH9;V@`hB=GJ{yBTx>LpR#Kg(bQGKHRr`sO`P@gziknR zvpfAC^2M^tL(_IudPjWN^u4bk2Ypi(&ac*9rn|HSgm;cfos``WI$R!j+e+(s#M8x#$H94o*UY9F?KI?9X`U2*%?FAEqYmPwx3+oZDRS3~awT*@@55~XKu?^FfjTtt2A*A>Q+T$CLTfnOE~ z(*#<2IU<~VRzyRjD^%$VzpI(B`mV08iC5MGdXiDhgt*PFm8f9MbRm4^hlq4AW(t=^ z!`1`A5PR%+2VODwR08GbY^KR$UXc^@Yt1wowS5&4rdhVeO+gsYa(dgMf%QsvIeqj_ zBOXP4S7Jn16XVGat{;nT5dNpYD{_=ALhsv{chz!^{Sdw5S9Q{&OGy5R!Y+9V$^R4t z(}_p#qy08!%t<^eRTh4eKshYu*E6B_VV-c!d8w$GGfiRJmi8Ua&J=dBQPDF{s;o*M zOR_an*sp3d=oh1YlvC>6fFwD*Xqf+1jX~`TY``VA*!BPd-L>9^-?)Ozn!c* z%pb5w*OSz)O2x&{`zS}}G}FbKnYIn0kN2Io4qVzzt2^w6ibRtd=s9F zwWpQPLfox#pX=F{Vx(k5SI=zX-LlWh~d(i$hAq+i;{@_m{M za(|`tKI(6ZjB)i4n+v_qe8Gq~zm@ij3}Kr1GUcXqxZt63vgG(+!SLPh$P;NgceN^g zD>>HieHR_4T|j>)hjo~Obff>N)BbVc`z6}K@_oNTPyeub64-ii{oOKy!gojk87?Q7 z_HDhF{6BTZT)tl>6-u(&zY==ySEwAjbTi+!r6cCswoKLVJ?!9qXM^uGs`N=ZiC)9^ z>%jdg%C{+%y)72L-{##t@!uEdaR;&Q=T$t>RA7~buYQ@|*8zhG-|Y1<(f~nlgv7oa z%X!8UzWPCj?+b!y$Ih0kq4#-}FwGaO(e;C|-F_gqWz%SHh`ScUq^9v9Iv+T-`189N zcbHVK(C$;Q?~lIw={`h8_NxM^;`lQq>F;t3ihb!J@^>?WY5VFOsr3qD3E%AP;P#_0 z81wzshi(PJG~Yo%GvDs~d9jYYJ?M2Ey4JX>eLsm@e|`^tS%3bdM$s&Mf52bXpTCE% z?^|c}#J<{}KZzI=zU0sE8I1W-On*}2atU7@zdsm^`OXRu`&x+RyLh=dMbr2B+R}kd zT!H(2zGGH>0K~6XrB9mz`5UAoo47s=+{E?YjGMTAO1Z@Ulcu+Uu2%J-@2sJNOB1GvFWVf*-L6ATP9JzBs<{Dk^W1D=7+%m8 z4QhONAv$H+qQTwKf6Mgbv9!PkMU{QO#_CB6D7v5h87?KAlNQkTjDCs*(^ge<&*;76 z;>4=@IpzPBxt#F*EWlt`*Qfao_M7+yw0Cq8HlYO0meFv(weExpH(@MU=^DPDA|(x| z3g0<-H++A}<1s7~zH{Kk_U7mNfx@Gyte)@GHk370%dpV_beS>>4CI=~`6SN6{4+$y^;1Kt~_^ z*|xl?@s}Gj)NpkbTv1M1u;d$$IV`jK3b^DJRr)5K)NJO(AD7)0jMHulex7sMtaUL& z$<+lG-l@$#E;H!1S)3M3x0xQ=e4b-Ww;4yy#zXec5-5iaj>~ExB+{W9Z@K0{8?W09 zadV%uZnyNCqkabGTUGnOrrh^y)m;SnE#oYLwDv_@JP{>P(%P?%ziJXC9X?71q?7IB z^diWwRR)t5&`VXnWd!3Wc_<1|Ib#wfPgX*R5R9|JgYd2npD;~)`Jg#UE{hWzJKyHn zu{d}v^tw&8FDbcCvO?=(7^v7q>7UE)w|V~Zm3kO?fV0Byb(MYD@cq4@!lkODiseho zb*PX*;Y;7<`ME3@^L+%~|Ci5L!Z&+wCiH&q%s1?x0#=}Mn(vB1b04qEh$g#L$04DqBkIC$3J0 zMukjBh+~Nw)e6SMt037(GbV<90N7v2wUQ2Rsf6Ai5tU=&@qUj>da@DwrW!q{=%4!>C$K-3i9{mB9NcfADyIOTXps*>L)eTz* zVEHwlPCB{PmI5z_Xm@Vyi_m_fE-kQdRb_7~kSf-lX(M#&fPlfI1;!l>OAn|B##SY_ zZz(V)?o1xhHzOE_@3leLid8Kfn(y$aiEj|4lJMOtHYs}lp6# z$kl1WH1U<2b9LJ)0p;qz5^o!BEx!AWdt$)b=Wf-$biGSdd-E$a1Qm<7)M@orqI#fD z`-xezuyr7s;j^+tbt;cpIH}}XoQ9h7D-0&Y>2nONEp@>(aoRE5I?!iKh+|b4A_QaN zc(Y?`Do>auKCIAeaeZfE<+3FnICm!ezT?(~g7>J>?~=~U2&@6Bws-!cMt75hxCi@B z%L~=6+t3{TxyHr1E$V5uv_p0`Eu4_lN2u4|EslK(ff|Qm{SbBd3_#$AL z1UoFrD2pUuV$g7rN{CCjpk~R8jyU(|TL_ecP(%!$T=(1oYsm@vHFWV3pb(-7({vZD zHYaY{cB*G5&Ti8|R}aUH%0Bn0_Q6doJ#@z-O%huOsmVUJ*TC3nSVZD1%W&0t*yz+N`GXUA{dV@eN#yy*qay8>X_u>vR4f>GRu9E6uXYwqeA9GS z;)-^UXXgeWAj3>|_xO~v7RN$*p9fSs<^-MJncKRk@7USoSy3|F1ZpPAe zyQl-B{Z2!D532Ts#H|zU!`4B*+eNKdb-Jk5;Yemr$71KfH6CM=3BuMDbM#RLQp&o# z?xF_y3`$c_7qwzlFz%wBL^c{;XDqR^!brPya7{36XZmOZ1QEs#o@ox_C`!V3ns3Hm znK8R;4!s^y?JyXd*YHheobgxdk_c)${#rG~Q)Kq*#nBd5nsmK7;^D^%t7lA4BkpvD z!Gv#!WhGQA82dFA6k1m$NE?-^$H!OvuyygOV8mB{wXSvbfH3y!+=2{U>)y~q3T+oc z#>42D(p`xU#n8%*MTT`U^y(F!88h*v@Ygd4Z#MLZ2s6x>bn0U0p`D5AvBT2=j6RWwzS4wk1u{gdV*t%MoF(Lkx59`(hW8z<-+~PUHH1REK z&BQSX%g!BNbS&-+!j8FpGu&J3^BDTBYGQF2gthj`DRm_VGJ8Qc4DM6TYu;OjmfeKz z*Hm^(pEVO3tDAF}Dnc{SIaQy8hdy_F!u8MKxnx-V`6Nb+o2{^$R4G#ht!ZK%Yj#q|N`RA|P4%RQ#dle;K>jS@=uMj~%VDGtP_% z`xG_sK_1a7jmH0e@K(_18P&M7sMnasOEtL;CZoaV7j?JyU^|KL3}RD~VfvVA{pB z^p1rh!Fnxy+V_iI3+XSY#@~I_J}NI2IMVB70WJ4|br)mqa|6M8Eq$SxC(tnKMb$VR zE$^450xP{E)y#eVys8v5Uf0pr7p&LP)v!S{YkNsGE=(iC7(rJ0{2x2<Z0j=~Ffpnk5(toN6DAETkASb4>XMKjDNRk-$&mOlKRCrZ2ig3NrX{p7g zp^m;q0gVdte|E9CbzG)877pBUTCI+SD}w#s)Y^Oe7H2`NnrfU=RqdOUr53B!{EoVS zMy-yPyn`ib4Jx zbMwd$X;Z7|89F?plHuNwilm^G{o0tV47xR1?su zu!!$q>=5(kb!sR!NuNNpdjd)1$OC$xY zmi=NE(;CfhG);kWO%%@c+yRNaa|gjS*+PEVUYqI{M{ZjDu^Hf{U>q4XX&&YmNF|w$RGZ=s&7a3RL@srUI)`#|oV! zX+P>%Iuc37Y8^|JU5qtaF%YcR8l}P^7ek}>pixb=Zy?v>SB9n?eoj!^Myp0H#%;8O zK(?#uSTrqQuQeKS@?g5L{Jv_OlELu3CKWj8Z$wo<+a8M+T#VZT)=!RJNy&9%MM=z_w;r*g+ZqYvT5In};yK`M1L zS|$+CT4;&9i?M}PsJj?zG`K2QuQiIMjClzfeWV(v(vIY~4de?32KWl0kYJIF4kJeQCTA~1cjVmu_K&!&?83BPM6-5e7VAc%VS!xRYmKIEzU8&h=rh&03GTgFPAYIT8XgO1HS()2#u^RvU5wkv z#!Ig?nq6(d1IB&ksK#w|%pEdPsiV;fX#uT9E5$CB_>n+Pl3qLzu$LMgUtVaRgTI4D zpR2~*6~tOmDsVJfA{NkUw0OnESfdd+7vnY>YAS?A>eZifSY%SQi_+?sM>2ZlNB#0} zPA^=kUmi{C$SO(5HDD`#Ac+il6Ji*dWPmIdpzMy;_fen_8_#tE8nMVXV>WowQ_)Mh8+(H0n(;xJb&0T0JK73GorDK%;L|c<58=Z1+@*edELR1hT1ac zU`a+_qac{5HE=;|4XSocrSpQrK_Bfq9lCvom_*(hQjw|R2nbSaMgP!!C1?=A1b)X(=bI+N1l;nlNZPX!*TrT!(;ICy)x9Vey&AOJ6>}ObycK!WwXal?qaUBYizntChZfP6}G->y!oSweq7eY3KQG53uF!Fnxyq11?{AiYC1uJ%>?b~&lQ;XcY2&`RG#Acy-Vv4Fjn zzH7V~P2he}jawjn>xxw1NZ+t7pq0LAzx-4e67+lfFq_ zYPHfgFSr;>-`bSxwe*4Ku8C~Y=Qq`;WK{cJWvSHRzGEPul|H5BVk~`kQ?A$27kOrJ z8kYW!$$X&N$ET%INBZ_T0j>1yt1iaU_cB@p70`>V$7@P)QODsZH$vVc}PZk{EFwZ;zrckV|mKZ-nYfNI=P zR_(jyrBcTlI}`-8*4VA)Vr-2`zKd~tOfcno-5&eAu+w;GG!PoW8rw^q_%&0#Z6ZOf zMiV+=tkIr^j%}lffn3{bjmDjP07e;o7eXIVRqZ<%N{)plR-{&vl(a|D#kf7T7D#Wj zqHQ(3ng3o;=zH$cgQ3F0s&P-C+Nab_HMUXqj0LnRWUCIA%rU1#4wj_vupk&U%3Qo2 zpdW8NqJPrEv&sIWAJQwlJL*R$vIxeA@RzA_8Gie!i7(|TDtB^ttBKF%2-~J6C3_OE zdTz0`P+5y=+?iADd*f<3jDX1*fiN9P1ngxB_9WoIc*9*Fz{6OpqS_}(RroamvZgXC zAgoKto&@aw&6-fKZz~wYs(tsGT;~YbHW1JX*jXU$8vn}%>io0JBZv`y%=j7cWU~$#>cV4w;yA!Zo zQ7W?ncFhRZ6NAMzoq8(-q%oXNsrDTUavd;n)2Rlu0*(lzU{3-DPTBnvRJMd_JP0@4 z$uM^W>{^z}tboG{g7qX|+AfQt1^O+Gu_UE=&qq1dSWHga7t>FlmS&`bTfWxYS^~7N4%pF!l zWy^v=UA1qXm+Kq>$N2(U0S6nQJqeh3;Ndk?ww!9*pH}S{XPCpp0WH<@VFesm7px}% zsqg$nAz-j-l=G^6i%70>7#vs-&5UeKwdv}Z-i^`VAK)tBix6R0Pu7I?FR=~a$ z!Fm#~>ZOOCgUVJw6O~o_%t)?t1WYdrXa($Tg!UvLGdj2hl?}n&kc?`d9!On|fJ1x% zt$;)Gg7qX|xl#M?h00b`jfY@^LyK~qBVd11nH6w+P73xU;Mge}+>OeHVqG+)+Gm)$ z907+IsaC+Dac>2z*FS}|jJ_+W#wWgN-@hi;IRXwd+FAkAGg7c82AkEE#JX}{Up1Z$ zRQt&Vxy})=w*jqy!_!i*CjlFb&;A{it*jdFR#f}(Mlnag(FU{v_AB;Iz$bY>m45=2 zt)d$L0fXr^xy})AKvh61;PAR&|C_-l`Sn&`dOuXQDr^7-C&Y4{BjD(=fL1`>Xws8_ z-3M)fWv0HvV1t@!KdB;hIRf_c1+)V8?lps@FJ9$aRJNLGe4bYAhncz@0Y@0AR=|-( z5!w@jskwe%qq5RGy1Fx0jsOV7Z7lOxz1s5OhGEM0*>?r>q)>iTWoR_Dq8~rGOE2$lj|G- z`A9%3;HbP{JqcK7)=?-z8>JerBG67VbvXiN22z<7FiW5whAp4u53igw50$N@ z8Z`vkDMm3zz&?@GW(DjY3)T~Z*+cRVqO!GBEHacMML*NM%;Q{sQ&bMEP@CAA^95YCM%!?MIrr90vOaQkxZUp}~4$uyG50 zlc(>xNY`Lsv#=xJqGGdvON}Ny2^e+v%q3CTXw`VSsM-%qOI?nD*^z*j!6{|IdJ?eb zyy=vS)>Dlyb4V3+xy})As*!31oLQBEJqg(1i@pO<*%%0jRr|DpT;~Wl#WaT%aF)S( z60l8m>c3Ih`l|6BQpIdrzfISt)daNyW*e+00paj5F$8RYTvS)>$M{m0!{CInfK~t& z+>)aw0Y{Wu2cWVIRpX5^BDpNrIReg43upzL9thTxfP*g`ehMnvNHsn~6YW=#>l^{6 z76h~c&Jd`_KwG5mLhGZljaB3M0s^gSF0?lIdr?3u;LMz0Juz5i?55j5z*sPV4Q89V z90n(*r7|nv^on3T2{0h>a=rmFE) z3<2gkhrz{psmu!ar_rP*0lQ`U7g5<}s_{Y^siG`(IRefv2xtYI6A9LnfGI4*uaEI^kTU~KBkb+qW5ENh-q6OaV_2;Ei3MW!Gy{W!DgxFC{p{mts+*=fOgQe>mr zf#*Y!Hsmb0^ATma&Qatafq+(#zxxiBC~|gPFssP<2J1iUL|iE~q(J(jpgD1hb0#Ef%aNMV4D~ za)1`uN;RHAG#qB?auhkSE|pnD&d57hqR1JsV2&aJ^=O(EzM8Q;6qx`;D)13gm!rr@ zfz)Od`G?Win&#xHl(UMQTNI31q*u=%uj_9x2pUbq;60<-4>63LTQ%p!QmegBa&pea z_*TtrWx;way|uc18qz0Wewf2Tj9iajvw`MsMkOo#-?5Y=jHO={3D#@rshu{T4Cz~| z#>;uteg!m z_W{sFbzD_*F$$%d3HujHI~e{_9e3pf!!C`9^w^{7xY>8GN&Sk1-JP-6|K8`h^h-Of zjfUC|4OLg||B}m~o7w02FNop8CdOL?(j<7F=jE}0WV+c^Qlu0KCXVxe*uuQspyNkE zx14Ic4hO!@ROM`8E<3u(o{TFXnGb5rI!d513l5gt3BRM}V(jQwmIV`id)yqn>ci=Q z&~kgshSI9NSd(wF)`&jTi7Z7!qQFNma4M|!y+ zpp|~JQIjy1ep4XjdM$mec$E#oeFsbua;p7$Bgm0{bwz5m(r?MTSR&n&>$UXO8{6hU z`V`f82kG@+hLR)wP6Jx$*Z9rS@2U#cYw4@rJA_96J3@LR8TU0u(>?DrE z?uNP)gbGP=sC6pG?s$(W2eo?F=WMxO?j&fmD;lM&+HXjUAg9lHz+kq|xvwH1Vch3D zea^!Lr`#m&a~`i+O!}NxJ7aZ9R4>+~ob7Y&b+M$+ zc_44g^|HmbUuM0t(PC3!LnN;o%2I*TVo#<8v@M23*yOM+_C(pmxR-v)Xi4R`#h%YN z<&qY=+r4%ox7bS+r(DuvPZlgDE%vaZAFw*L*!{kgvn}>O>|jZYJyx_>FJ}_o^}$rM z*lw!v5fbh{eW}3l`iIH_QjndBKUBvP85iS7csg(~_WG9$Cc)Ta_c!yseNKQzyF;T` zwg25vax{9l?n+{fo{e3MHF_j+G1ln8s$iti|9WC&+SI+jfg*dT#>aWpezj4=8Ohv} zmdeN>_QGGP;~C$@cqH?zKp5S~Nam56fRqsIW0B!9mD~azZd&tz{SSghdt!|srP|Ll z6*vz!JsL=@R-=0gF2))?U9p%v+*FNSjK^Cq7X|C8<;}$fXgPg&9}imYrRI5Xsk7=* zfurTizJONC7feCIl6K46a-!v9&b88(_XSd}tClw{NXyoLA4k)PNX;8q!#KwXceH%2 zDz#cIpUb%zY3Uwh-P0o%!RBjY@kc9irb)~E0@`xTd50l6_1#;|TPVVqpehwOT0Uu1 zw^~;7Qc&7b{-)pmt>yD&Dcfr;m++_j16uB*8sDNV&yA%5N6V_gtd_6*|IqShX5 z%ZDlpXv>ytdM32oSItYMRr`5HxTEC@X{ps}`C!h)*e_pMWz&g3=oy4AhU@%`-|m`w``*C9X-zj~&vGvdJa!v}JRG;W!G` zHDsXvnjE!bOX9m3Ez*+sS(0*6uXpM^Xog&hUNXa3;Fy)J>h=G69qbK`FIR^ZiEYufNM~w3QG)2{vp3OB`wGT;B ziwJD^p7~KFm*>baO!-D8g0kn3QwAdC8L)1R0rmB13cx5o%#x@n-!F1aQm)8ojdJ+| z^CQZYW%6tGcpKNEU3;V)3+sMOB4vpJu<^EBMb+c&$2>Xe@%FuH-0a@X0}tI3dp{G_ z;Sc=RFS02p>;0<~iMscXT&~H{{%w}DaE0EDs?fpN{{{EK$HBV4@nCdgjsma>ee02^ zEA*4gwaV--YE4#%-UqLGw6Efn&07&B>~Q!Jq#O_H)~2EU78QWyXq893=^VY6%FM5F1@fiKw8|C8caGNR3gjc!2VH@DL2meE3Ee0B!Xpv2$`#1BB#AFo znze!-wz0?4n6b04UL~m4m)NXcpA{*ruGa^7uE}~Wvq=k&>95KWtIouZnZDS27LRBi z)IXS`0BlUJOp?gPG|Lg4tS&2QKU#I(Qvvmu{zPfbp7)2}IUpES6TxqbtIor!bC#no zvb=YBl=B)9$sDaW$gkPG_e##`g}qOLb*pe?enfS|dS9vbNmuA+hk6P$(R)Li6lu-w zy>{=^S=jqzSocR3>K{@8SnnGss8~7VzWX`6y|b#Al#l;wyu6;Bm$rF%O=Q8ZRV zYnMcg^;eN;604y~rB!9Cp~faZ#AQ{$lgLf4AqbWxE}QMAPs>0Er&WQvf!b z8e9_fZ1U4wlShc(vs$Cs#M)>2W1BBj38&@!M=>s&ZTw%hH zm)>g>@TTNvmeaO0I5-2E2|oV*eEriNMb`P*upvvL&d)zwqp6tZewDJ>q-_e;)w!y^ z#~y)Lv#@M=sDGA%;49rcZfKDvRb%~`WLjk^w(^)(xinc3Yu>LHQwrN<$-L%{Nh;Awy2uTXn@CCUwJlcZ|OniAI}<=V2= zh;ns?Yl3pa?;dHJR^pMprhbN~Iq>6H;^z#CqD$OR%}^`XMo-)YHWtj-Cy_D?iq|@(Y))T~z6Mbm;dc z_C62q6nL1AqKc|Y*AOp}s7u$j%r#NEhJpOEzUSqA_#?XG1YpnG<=NoC*3f6CUW0!qHo90_ZZax0JY5M`$xsfb*T zz3!NR@_GkNHU(gm+a*cVlsy}1GMBxx+K+PCH^ntcxsy#=m~zJ`%0sUTenGQ( z0r)L3mrK(WfKhf(Q8i_^3^@jxq};I)kyvlmZ?6Id`IVGgm#A%+vPUT*m)SYVCy??Y zJWAlWd`or2D2@N1?817Nl+<(Z+H}RcD%UXOj>QPdoxa)jWu&|q*8PL)%~G|SjIwK% z!s=Y^q#SiFx6ErlS#f$Fyy{%`$&enV?30P0Jh4miCZxOs*X}&d9*+Vr%FUA`YRYXL zuE~n`aJ5F`5=T>I)0CZ3q#vu4? zc99*dms=D`3+HI>s2t7odzsk#Ww5U7LH)~F3czyIIY*+-(ar|fWRA8?X^nD}bhsvG zMR(OnvvX8^@9}3M<>fec4LrV;C@9NOj|_=AM}~3?G?}ALim2JW4|({o$FcV-V4aT@ zx?crly?0LjyZ4?suF2jzsK((69S}9wM!YkvCH9_&b?cK*|Ab9JS%r3VNYoYDF2^-l zq3z0AqY7fQcE zu%XPf$|!EAa~vB(g0kC3Tl|WY*WoQN1M1&PQ&3IW&^AY+qFmp{<(iyp z-!{sdI7isfg85Y_H?&iG-RyC+WfyU?;Cfj1Ll)}a&r#>Bl9wn^SMrVwIqFJ2ue4Al zqc6E93_qhS=4c^)--ng_K<%c=krh8Jt9z2)cw6zIj7ZLOD}F{^`^ie8W^gT-=~n!N z6lu-Q(U4X%ry}JIcz%!T(K`xAU2R+OV~Z3u$j5wqW5tiLxfUF0t@v^HuiPkaR{WGS zY2mz`6pI*JJKZ_+A|xu{kv4;4t3&}<-i9Vgq_JhiM>$*z#+DVgRpWptYK64oW2x!C zu8YRm5tMTt=yU*5*2B8racsSd-O*OLp<=~PWW7^$ZJXR^o?`Ew)~LE8%UqMyJ*7xm zD3{Tzi8ZiSFa{UFy45jUO;k{p%To=Cs&jdw%{7_JqjOp#%3+Eq$giXvt^C52kymeX zhm6@8DQ`r|JQN0}sUuFACs9)l&vGrG3z(j?_^)Kq+P+thQBoz|YU9^oADw@E_bgful&4*^;6k&0Nu z)s?g(Es3McTBD&iMg{y=y3!HTc<1EFpCZvM;O~h4DebWy1!YA&rcv(!pA{dC|H@4l zbxcufl&-N^uE})O*`$Rjr{y9j$CYOfM9N#i->d|MgH=#QIWk94b>1>5uF0Yv8(P*Hft+NJ7EafNQR&*h zvvEBV-GP(AfxM0&l-UWWU7!*$W zuUyVW2e~oIMp}?dzR}to*5ouk*CD;xlshgQ@d;Ai4gMA`6b2#c z()-}GY08{SzDU{R1j!_41c$eK!0(=ef|;RqY;8W-(ITDD%r0?F4#)HJTB8XvNd*k2 zD{?&9XPz7$pt8DLHb~tKOL|X<^F9(}EqY znYs&7-Uoh9q}0bkMmae}QFT$rIb4%@J14C*qRg^Aq+Fh>;sr%*)}vBqE$kQE;#-Wz zxfB#mrhf3X@-4F!pWdja`S_6)Kd(fdL5}2U{=6L5f(u zP=}0$qqR-du(;xwFj1}`a$s98&H^*q5y1c&B&6-#+Gu_GhlpD`_b5%U~^3_ zYZFOo{$qHbiCYs$ylSI?f+)q~W0*|zCB_qH38Mvs&eMs~$_(>ZIXQ-g8 zl4oWps;=Z&%28Kxx}p83k~2K6$x2Qul16!J&LeAA=d9F-zY+BjoCYow=DHMxC2zh8 zt%v;C3|~G+WW{HusBz#YlQ%0z8e#pP-@oscnz00l9tFQw9tt^+0x+VP4vD&)bF*BN z<-FD7nk2f}Cau{-?S41_gI7O><;2D7Y-*3MYJgqlk*bMqE^$o~U7zEcB)Z%sEj+-U zj76LQ#Rs3TFA_Ztes7$F7djMx4X|7CBio9$l|61n z%BR5ZmVv_jGzDOk*Qqr44pHn+b{TL~q!At%$6sF`ffVz)!i$Nk$TJeSd%B2;*q^SL9Tsmp4$%^Nb zq=hM8j-ov0nSFzAv!2D1I6SP)SDmw5;?-ki)0Ed_xh7YgtI}F)YFu7bB)<@4$H*u3 zQ(o6#jz5Q|12}sw$WZ`Bd9^{Jro6O~CMmDZYd^~6O*yW~T;7l-EkxNV@*1{p|50}# zOsI$|Z-YyKgfP=tlpR+ zN1fH{m_}K>Nd*k{P>#@Bv(&cPln0rkY@~b%?^uq*3uwmtc1qNNckGxsz=IcE(PF}EFW}hZAp?G19CY>?sK$8b7V2|qg+0c zBfl`^Pf=$V(+0QN9w}c3e{)>L_4g}`a!Hw@>Rjpvk2K{&uJ)6Z^geji>&;_n(!-Q% zqgKUjI(GOFDc=Bpmn5!=4h3M8cd4k1(k4eu`JAUU%H`d8uF3W0Ntd)RW#n1!en0OK z5@lnpsa)RfkZ+hW@~KXE<%G~>Ncj%YRY>^QsdH!-;*U#uj&u7wrV9(dl;gO zUe%|J?RFqimcZZHfWkNxfKe7b-8-$9kCeH#Y2)$hI%sbt$BDDFCB< zq7jjNFkp%5iDr*(DDxKF7vh`F<);SeAUadm3u8k$`5f|;_S()&Kc#$HbvExZ;{02 z_)7+fn(~7T*W`NnszX|cvQy+8m+fXBgr{rOAK}#vj?0M#1z?oVmr2x=uQk$SE}Bl$qT(%dpqehU7!St#h+-s~Fe!MyH?uE9RSMsf?t-wIe)HC_@lJ=wZ=0ir_ zn6hUqg0g3EazObxeqV%?_a!MPqg-Z?s3|{TM09ntEU*1&^nK=WO^&{I%cO|#P5~TP*|h_Fv`_QimEApbh#!e%ST!j%1@a(T=%oA*b`ukij^ z84CB<6o65FpC?gM{#@dkr2HYPHKJUd6G6()Hp;L{ezbKTr(H10jj?S|5!Z2+^m2Y<#$Tdx%^%AM3h$CQyHaPTJds*i1g8V zMUu1-W#@g}h&kSF(uqGJ&<+?nHn)34u*Cgf7w$^C&{NQm-Qm#>cA7mn75@|QH%B<0s6g|ERn540n4Ic7}nfk^os z`1_ZjaBWdV(5w229EqB8O^Iuga(P;7MCp^nuE9QcNetyOdXCTjO#Ek@E5Sbib9r5w z0AI5u%K)_)hlzcVI5R2YLf(j*@`;$9Mt8gkCE~R@H06mEX=8>y5e86-s#@JS2j6P zF0J_YhSrGkixk&nE|(Wc3sFYj!5A{-^o2C;{@6&{w2FW2 zQM@o^4e`J0NWDZg>aQRnifq}F6E>3#63SM^__av6Qq zJ@Zs^2~z$9{$5z|#VH+FjmuvR5;f(od9I0ZnP^|uTBYKN&6!{2oG8&DOManTc8dIq z<#da#y^!)}@b_`?2$`k;I+uyotalohe|hAna+#=3YmF#lNv_Fp`FDo25M}hZJnWmZ z9zx1rz~37yeya+~C^xeys>)@e1xe!CYSYH$dY2l9D5ERhI=18MNck)Hhv4jSYzm+$ z;|)0y6=l4kQGR8`V@#u5cA%zgT>eoaF-&=)5pnEkH*@l1NckK1hvT@+|5q+sx)fDW zCN@(&>55k|jVN1YbXyviRW@lM%ILG;ac}L>4Jpgun;ur&p#UtGf4d|q%J@Giu5D7q z6RmU9HbmKJYE&-AEu4@|wsYn4B<%UK?;w(6kOp~L^W&E$K)+m>;9M{C` zNwjlF3sVk@q8vVK1U_F=y&8|>WhfL}3ZN+yNrkR*nMf!*PuE|`s@JI_$Mz2I2 zuB&<%Dc9n;x($UJ916fFTct=;l!?s^u5H@5Y-3Z~5M`&xZ^$~lc6@M`avk`CaJ{@u z0cpzkA1bP*Y@MW@sN(cKcy0R3?aw0l29(XZvzA&k^eLqD!B3~4a9N20usdrnC@~;v zSq|=8t#ZgQ(8Qg!M4Lu_^3K}&4EK|F*8WU0t#W5=qrtU*f<6-QJku(7);2PY?yUVq zZb5d$|E6Ij5?K<}owY=*%QSgsEwPQGwMO@>Iz|48UwpW=5i_?nrNG* zsJgE0lUx&XB>s=1H91G&@1|B2qT_cUGyv;t}XSz)xkNa3?jxSoDX8iB=A&8m?Q3YZ9(+ zT5GgCZ>zLWx}q<$W-U%UiRtxMN(T+z9>=ctF10qX3Muk4>V^+b(&oNy=S4tu-}4Iz>@N=d#Hy={?el@zK@HOP)>@(UF;`Z=VtyTX1*^bh!h)3~1GDF91XABIUp zTc*fS&(tk4TBD)Y+2EQyGcdBGg(zz$l_G{-_SYFV;BSV+kg^1YyHrp{xsyjxby2r; zxF++qGt-E&r=k65f^@S<3sFYj)5*+E;kjT<75IP)%UwkZz$iPasG72Sk{l_Q^gei{ zRWGc`TyCx85M}fNbT4(-X^6*nlzo#T$6A+Fh3f4Jrr;#UC~8tw|g7Bh_7jhw`R*wxZI|W zSh}{)kf_tOgTpmR)U~X&rqb0jPktfFPLV&PdRE^FFCt|t@OO*hSLZ1Tz$kYtlBg+r zC%IOc#;P$*5G%1=Ui(oldzVQIQP$3nT5LwNpD`IJTZ6wlF49+LDFCC~-6l~}9zqgM zSvrCo&irVxIn*IXy>K6FkQSos6#39&pDx{QManjq%RGJ&OzrViod}u=T~p%MUzJT$ z4oYi{C=V}jO)fTrill`oqmRbhyb{YJWm~)%k2B?Zn*uP(gVH2w%7au-nsPu{`w`_~ zNv=uC16Prl)J5OYK9HwRa~YhTd+kP5lljH^T#72@3i#7)H65M^TB=N*s{knjCru zv%Qf+k9>kxHuO{~!jyNWA}Fnu2ji1GHA(RIz{Tcy7FR8aN3h0NQ+F}t>cEBekY$!bIPyj}`f0jf|*)PX6S@FF{Qj}IAT_RCee2+9~Vamv7 zW4asZZ;^5fToUs*pNkZLQSO!`QB&?~b4^n2?`e&e#J$)a(j4E@AU~vRa(A_hJ8{LOvCL@lA799qJNr1ov!`zq=nK|dr#Dv&E9VvayJrf1^$2} z6kb$8S-SQ!D5@qp(BYa)*WRwynwrKLgZx62(YJv!t4|w`lpT@Mfx_b%3cx5qiJJ1H z961JBK*_hJC&&rPJ49K#v>dV6>}S+&j+C9iKPUr*m&&>`wb-1bL`^wV`4Od+I6X}w zQCf+Ul3bJ1cvy|9#!Pprd9mZ9JLKmMt=o4x#PZP_eJ=q%UdN;H&dluK~6A1&OLLt2P3`oWUjoUO+oWjFlNIR=FXR7Z^RSQS-M zo?fJ$bS_6QjVMpEwI5L)l_o7j89jSuR!=$}DYwO&iUt&3&QSnHIZ~l(%F{~ZNR;$G zc%_vntjTeCYMJy9W%PP^T+jYXka9clr{U~*%Af#@^2i*Cn(|216H!`;<819mxg5>* zkaC&HlV6zf&8Tq+i;ms`DGj7_q41yzz$iz06jf7>GPowk<-Leeka7p` zPe?;yNtyyM%9B-sHRX{>awJMCG1%4`jSH@y-alOewlW%Tvx&aK)#ij>{KKLaUW zQJpi&(H=$BltXe{3o6dHrgM2*iF`wpwO%P=y=*z|e=Cu)2l!)(xT-r8fKd)n=$i5b zgB*1(Pt0hIayiW5njDuiA}LoUBPe%wgYRByb_9Q166bi4f-=gpm8dBvFe19V#xuam z)^sk%IOG?~Wv9p=jy`6{Dd!?(Pw=N_pind@0Hd5?lc*`@Ww|Ej_!N@V?6DGOvOT1g zXnL6(LzJ~&N3BEy_Z+kwDR%<@bXDeV_@eI zcgN@2Bx=ezIj+fy&os0~loM^PNy;;Fq=hN{e8lY8Yu7DSAmuLL56ME|wG0Jd70;@u znsQ=^9Ca=y6}3i`bt<5qJyTus3sFXY6}MOCEzUs7T``wsD7>eFGRia46jf7BYNW|r zPETq-NlEX6S4}ymOnS2^TYNR-1*H5R9(x=pyji3GjB>0^qNbc%;+mu!XK1Y{%9(lc zYc}Oc8>ZcYlqv9sC9&cj1z?nu4H7lw6y>NZJ~gBLnxdSRBEJx2yPBxk)A85s??lSo zz&|qwh1atbfKkqNNz|0*sGc-sF0K7&TuyViCTCBsNLsTgk1)GzK+4_0KQ4whrBzTy zIm@G{nsRcUYqH|!GL0y2PyzM0thcFch%)*QHv0~4Q9#N)z#ols{0(Z4uj)RL>KtbP z9eb|#$W!O?>ax~|@)Cz@GMCqr6#k=`b{)$RD^b5WRi`55p5Tu_%2G;2(3BV0Br?h@ z*JLj1OIjn!LXvBe@;ZaGP%hhbC`M3@+5MCkk#aBaCmK*#nxOzJm*=ydD3>?p$x-L> zM%6nVA+5wEDeXsRZs(~^LX^?#<+0Dyy^NH5gFh*aE0OAmQ7%+bbuKSW>fY&WBkyTV z=91nAuXqQL#+ zQ@@D|)7r1{)NkVQ#+qn*VUhgwQ@;!HOshQgdr?+vji36Be9maM6_b;gvHie59+#8{ zsXe}`<++d}Ro8Wa!8KXe3rbpRs;-yW zk~pwP%FBx+(!xjYgIB$zEObc^QAR(YFgIfdOUePbq6X!J#2J||anc?l`g;Adk{cqT^y*yy`0O`@i}w~-c5@~!DP@?aEY^uuL|FN|eK zc@X$RZTu3cq&rjV&CM=}nsRZLYciLQFpYBgu%Z3vXm^K4S}2$84#-BFX!Y?<{}L$= z#uF`^BhRYcWVyV%Oi?vuvBWh=dB3YQqI^8ZH93=SH%JRnMn6e$h*O29C^d&*F3b1@ zdzu0;%E$kM@{TMy2IQMq@f@`cQAUr;4l{PgFWhPd;+X@E%ZF_Wz$o#Hk;@Tkj_t@B6J zAmw4;Pw=4di9-Px<*h0zQCf*dJaQyTD{*H=YjRxD``}ftM2|V7hbW`R<=AAaosjZy z@J~uX;ak-aqg;}usG8DAac$Eoert)^hA7)vQL8$<)3X*S2Z3*=q40Z|0x-(Eb0liY z2OO@+IsR~7Yc$7iQ2|*lQ{?wA%1p#cH1>y6TOj2T_*ECqo)uXNz$kAklBg-4u(`Hr zxqLK3Z9|mNU&!^&)Xqjq6a3*gE?4I$0Hb`OM53mAgrxt6*|Wr>#v#gfL!(ypUK_`M zhm-*RX$};Y{Z}sUu_>yid@{{7S@BzvTBC|TS>&2r)t}0f7N$Hts^X(=>K#W)3&$m% zZLCopv0OS1Mb(t|l(;4-?=!U4)EvJriZc3PkZ!3NKO^OlxDsV>_Sn=BqttizH0857 zatt&{`D|HhluP|Qk)AytMp8yTB)-EJovua7!T7bI0fqOeAAD738*e5_)s)ZKT$7X^ zq_swr@1?jVEB--|v{1!sk1a;b@$P^0yA&yp0{;{n3Lh90fKfi3AyHGlnd6$Id^N8% zqWsX{nxuTQOj?LC`UtuGzJR1D7vUs!JqX3*zX+&uyo>z`UX(e9IkYm$l!SCeBFGN}UKq;c)$9`~N z87Yqee%D2;8la$XmTBBU*3n{&- zze{f6oAvD@{~B^wFAL8cYEHl_-8>XNvQ-4Vs=Ij-HRbapsg=k|yy%cf$aV{`3!^`ir;WKQ1~%N?bxl`yBsajt=m@(u2qI(PHQyuUQuw(F6x0_ z?KlmIPQ;;yL?4wYC>wh3+a&6Ay+#sC*J~;bI$fmNI48I z8eAy+U{e4_`BIWZP5FkyHJP_}J*_o0K}s(9g()L{e&B#b2cL$NC*g^11_~eNC;+2; z&mmD$E-P~_pyV6nGOWp=x56VmL>awsL#vwYk#acrr{l-Lk->F=4SqdNkmWW7V3a>7bfVNw|1W2WUuCF$ zh_e0VQD@w#4~8y8%2SZigMyc%0F2TrlSq_S;@2eCWG+|bwMNIeZw;==X}mH;T8J|G zA@LRq=KO(_r-Hu_r}0lN1z?n)I3#Myk2748l%JNhMwH(txh5$;FOn9bY=3Rk`B%62 z!CjH^H1OxAq42c|$|!$KQ&dg)6G`mw@>7;XS`snmIj%{{?_APCl+ll}Z~ID{o=AB* z_;X!6yp$;bqvT5wtva8i$T1+7xhxm8M!Edm;F_e=!zx4>UGY)IIfIdM6!?dlc;m~StCtSeqGXjMEP4IO;Y|^CchA6r^w&@nqedsAmwQAXJN&^aVY?!TxF1` zDZfc`O;Y}n(i%~&CJ9Fdt?Hj9Neod&9|X5qG3YX+tONi0GM>xkDFCDV*(Fg^e$_|| zDEUU?GOWp5eyQXTW&7Kb5!VH~wK{GWq#OhO*)b@5Us4hDx$L)!K~t{w$T84lE55a`}xCHRXydIcmz)OrwggAU9U= z&(kD^DINGt@MjuOSe2vzjB>d{qNeneqo({Wqx~c$y$@d1xU6lj$K?itv=C+VFV5_~ zXx}wRISyC#64ZCdQvjXI+V)uzHRW1|YjRxvV`z;kzVSaOH|EGML>ZmS;V)(PN6PWw z=R7F@x}?^K@*mcgX3t+X`GqK>SEBYWR3C?w6TrVN4fSz@ z0x-%JB@#7doDs=6PCmga&GE1%DqdUVk{+ULza;9MXx#9&=ON`;;Lk2YeS3!jFv^x` z5;bMZBG*L4YvVbs(YUNmaZOUTaY+kNc8dJ-zSCRnaV}D3!9U-I`Zie#peYk;R8&Qo z_|qXrRq@&ymS0IpKEbP+TP!&dJs}h1pkI4)VIx308Lq2lOs`4 z*0xP?P0k*_q%|6sYndO7%Lb49LX^?7XVloiFCgV4@XyIXeY+e5V3f6K5;bKDn`@GC zgW5$JmsV|?jP@hSjY-l%l_DS)O-tS^$NDdWmfSG<*> z{b*da%5zPQ%UG7Q5M}g3k6nLgI|(VLfPX~}3L6~?z$n)>B9ec0`d5h@HD$uqS`*{4 zwl%qh@0>-iL_>R41#^5V_<0}xBf56M2Vtm%GMs&HjQ#!j@pJO+rJ+*$4~uv&QheD4*q#~ zw((br0k)mB@@()g#Btd=qeIYr z=h`j*Oc}6#?83Ox)v#CVlG{%r;x#`qD-bp zR=KS0zcQ`;*|T8OfVTy{8pHd4;QvyBq2L`e$3D7XC&${sE` z>Rk3JYK?NaMI%k-awnVonoT+Su;d#^IUBFe@Hk#&Pyj}`vl4YKyQIlcQyR9`h;oNK z*Cge3Wzw2WIqr>t*C6FNILC`n-y%l=7-bihkIG$x+U=6$s3~`Jv__OWmAED;H_MO~ zri{FGe&B)wF?h`!@GmXmO5{=iMp>nzs$3=-l%J;D76Zwx$yL49P~>6C$nWA$Uc6lk zq@0UqZg@TZw*q36JEnB+l*^tuuE{yxxvVwHWzQtnWG<5qY1Of6bIswwN@Py?9PcX3 z#a{|vb4WQb@VAwJO5(eNil}7z^&k5dp8P!z{sLSt#bvLEQH86SIb|LO1Z(Rog+;Y; zivr+ho7C!9RTXx3XsU5{1B?IeZsMI&@e8o37r?(JAJtu_GzI3}Emr#*bl3DP_o^@Y zcEHr$=^WK^TNl5CargrsqSAen|#G%>ukfVMO&btr%+b zTShdx2>h!e_i4HjYP6~>8eNR{Y>H7m4W~Agh@WInm*6EqWO0Xk)T)xbU$Ua7OYz({ zG7(uL)To>njV{A|@}lt0bVH4Pk&P|~|LSa1qe=g2R1!U10e&GB)o3hrM2Yx4B^u>% z`G`d|8WHa4H`&vbcq=@zr^ya=RmomCBYL_D@!Y7MviVRWUpBfL{Bt7{F+Le?loCB% z1O5fMsGg>T8?6yS&9(UDSY#qbmqR_RmOWhu{zaLnp6YCBR4o^l4Ml->J)WvMQH>^M zLygwUo)%(HUR0wC!i^e|qNf|cza(;>u1be`+8`Shuu(p$rz`DHBfl63HT8G`VMH~W zFMI;ON+MR5MWaRFKU|J#biNVz1RFKV2XAU_1b?v`)hL$3k75 zXjB=nYqG(cnw!CYGBOb}0vEASBN3&>0srQ76yC*lr498Y?`YTD0{)GWb(&SCMl_6s zP;)ExREp|pj-Uw|RvN8I1#fC@1OKMTI$h`nKEYOLw5}Aqskt2+6{C7OR|IB_?8 z9pK-QjcRmGI%p7Vv`@09JHfvz5^t_-p&K>GeYy+$XL3@ zThIgzD~*0H25)Na0srZ8R8I?>z$e%$jn>OX_kw?CKC01qcF-W$2rn7DsksmQMA%jqS1pm3B9OBmx#c;QC2i6g8xt{s?p_c;1g^ujb3@vaawtkK52 zX!J1nw`Zbyn(GBV!B**MV=;JB^9U|sc2uJ)oWLj8DvjhVyP8MAeQXiT4cnPZ?2ocyV9ZDn0!z@t(y=7@3IcMc|+vH4WrKp~6%{K07vzUld zvlIuc7uD!mmp8)8JbAejt2*(j?xTW_@WLm{W6LSqP!V$XPLa^;=Ko~Xc{q1*%D>O7N2Eu8!e$~yuqNHG~c*z7lyd*aUX*gM>rUXJok zm@2mO)q~#XyeG=N04^@EAgyoj`4q}Kqj#3cyZqhba+G@`iNWR7#|F3N`T$Yjl zeoImA3y{w-`N*N?1cPlCfJ^gCjxQM-1lbkf@{E>S95r~-B`E(7y;+wn_FdNJZY1@2w}?gYs?w=Md2DxpnJFe8(SKwf`QW{G ze-h=r0OqHe96xz-@D$D7m~ZA?eQN(x{z7>l036S1HdKvVw$&<>`vKg(uKyZ74-?~KR#13Z{#G7Q~37~lH=EXpw1DEAMB&;9^+6`7p)Z1Z3*4*)0_Og`b_ z8A0U_1h~z`*H~--!2Km*r4d6~2Lap?G>*j%M!$@(4gt6$C#-=0cN@Yw6kwq(tiyo5 zXgC}@lx+tAEG~;rj{tCr!ZHDFkQM-3n-Q7?aJwU{BLS{U32QL!OOESSJ8HCydxofX8IK6H$}#h5;0% zbrQg)bgmo&wvr;<^{mMc+9pE)- zjRJTiDYVf5Z={7)2k=BzSYyyHC#*97UKU1dEVhts&qO~@h~w~6X^jV1>I!WFz>^ta zodxi`Y>@?c!4}#?v`WI71n`P0tjS1F6xI}ghh*ES08dN5X#mg2Pp1QXniqaE0KSr+ zo(=GUj4~5ox%8U_@V)e#4e((~v^WQ#WD9E!z&9CT&Bae0VdVh6&I;>XfOpHnng{Sv zQds8!eCr5nKEPYj?|gt|hR`lRDrsE^utK(2fS=~Yrx#(Aval}3PjkY$1mL@pur397 zUl_5=a6dA_yd1Txu&w}DSrk?tnO$LB3GlHktg8S%Nek<0w4~oP0AJ>Wb}jCaFk;tX zHVk224_0+rSPQ|bF@$vkzz?#w0zgAfX!QW=Gs0Q~@J~uuH=^YT>n4C;xyS8hfU+wr z2VjjYtXoi%ZEwZiibA^$`w&L#c7P2s-W>oxm4$dG!0%GK3!{{Tb~pMt!ny}QUhKr~ z1^6o~wEK`WDXhf+f5;a11N@m5+5-R^4PiZqR$f>|Y%7e|LjZrf!h9HDogu7809HA| zdKAB-kntYFz4V0kIKbMpu$};`T}oI_g4I4Ltf#kY8PvK))Oi7nFN)3@-`lCa(eYYSIc?|{|H5!Sn4 zC4>j~>4usX^XAA{9HTAzT`Jtsc> z6s#Rm!ukxXU9-aa9IRaoVSRyCURYm()i*7yufQ^d5nGOyY~g{mV^N4-qvi?g8?d&| z2K5BRv%kf--FdVDXbrmRBAth*-Q4Z3d}AVH8<=~0LM(%MfV6%`O~zXdW*^zx8ZZwk3BR@2M_yR#FiKHa zK9~o(!deezN?IGRH&18{VD2onKfvtm2<=adoDK5}T7bE~A*`18X|niFzMF`%#(}a)6QTH%nEC3Fo))a)dfinVQmBE z;H0p+qMs+MZeSiKwQZ5AD75X+FDEPmOw$n7_DGr))(&WS!s-s@p&4QIKo&<>JA!$n zw0eShlr6NKu#d8^dVzU#URXPWIj$(I-e8_x5>_8D$7F=n7tE|9tX;sg10z4{lPrL5!QaF<%P9BQhCBU0L-abVI7Ekwy*|(Io%Of8q71Lb`Y2|WN!y! zAD;O15Y%$Q8i+Ztg>@*Hr>2B;7?^c|5vw{J%(Gl!4MI)!b_AGLq=jaJd2wLiwLe;( zuq-gI42)RSkzihz7S>=e=NZB}3jJi144CK3-i`+Il8pHD7%;Dv+Oc4sn->%sGy*PC!5T=}<7|CWUq)m=~0VH4NiPzmu>JS7^h*yhi$sz!uW)WH4uY z;?t2}=JUd`@zb2JP66{W+2T}WNek^X+)Gbbr-OM_Mp&cJPsSS!=H<4~>cBiNFk)3> z(DHg*FZS9AQldb8%i+Gr+vDD6F%wH&<9Q!MsU+It$F3 zJ)zA8vzQdtIcSxIH3vV<3TrNy_sCCk_-RgP=Ysi2URd+Mydfj3^T1pf7_qAPVBVJ& z*7;x-Qo_0b%-c%Bx)9^#gtY+7+l#`w2+S7(BUW`Wn6IXVbqQ*our3AjiL$UR1M{i0 zur3GlZChAZpeCc_!F<;c+Ld5F<_ha7FrPPsbv2kT%P7}i+mg_(#olDw>%e@{7TWb- zJ}3PaB0*MYH-Pzy{Ime(qk$Bwsz*zHx(NHo3++ZQ-%1MWCj8VB*3HP05takyi_*FU z!Td~G z_kp?05!zxfzsw8kelVB2!g>JAuVmW?!Tiw{S`p0mW!s0q{3Ii^hr#?wwtWQ5Z%RUY z6ihEAtjECoI51*WkAqpt3hN0lKd^=MB*se$>nSkb%Lr=;n4gz~^)#3t<%RVOnBN6P ztm;`XR~W*24$Lo#!di;-QghKSE41gq{N54P3%KVwVZ8|ED%s*C?9CP0%V2((7S=1+ zn<1=Mk=Ya0YhZqw64vWxou3re8)%h<^`=?3))m%UsO5z9wpmx{;~lf^CqroOnsr~x zwk5Of7guQSnRVrou$Gy1pUF?(H|xGn3GD;49ASNkezveaGV6YopMGrCt#pOGv>TTwYi|z<}|A5v%$U24s`MS_K2< zdBXY$2F#J#&*&$$Utqv&SA6;_449A+)^9LiMoCy@j8YcX?=WC;URbMPzMLCYw|b0UuWuh-uHXI z_kF*kj{fRvukJUxQk}gi`M0}KTu{eRTXAwUmA>hIAII%iZ0cH_&!>#SUrQ8Sam5u= z7hSw|>f-h1ZJb)S{-U)h6v|L><9TaVUAq3FHOHCeWotKIynfS0b7cL>4VyNuG3WL5 z9KCA&CG*YhV>-Kz$sg0zgAWSME7Rn}(2}l`Cjq-Egp+41UAB01a%^&H#pu$J@d*g# z=P7_!Q^TdnsU<_DsYPQ&xVY_bO9W#JCx#}@06qi|io;7zAMZN4uP48F7>@s?PI7o+ zbZFV=)UvT9qm#>qmXxS%QZO>QVr-bk4t5C_E*~q7Of4IlIC&KR4KIdZt`iZ2<&9!n z34FUMuwry#a%_Alw7+Ucw8hNuk`Wc`oHly1^1z!G8Z6Ds;h?L+yyIYgo>?{+7PF6o zLrlihMi=6x=&iI6>svAR^s7>-S+5wmol$kFZGGod*VILqUNW_LZF#D@cdA=JPxF5y z<^C!)H+FUo^aA-AK|O=r-9T?PfZ8`soHm*=vNu^2UA}5+&B{yGPpwB!D5mS0+I;aP zsrK#bfJEc+wNt&l-MZ(r{XFP7xl3IZG&E^zO8M6Z|6d8pfZ8<0zWc|(V_{hAoWPPQ)(>jA6SIW8{d4^=!JK= zF*7O1qd|&BIWC|s80nFL_1<3Q;$AnPFS-yNl~zYQO|kvgLn&tmO2V*V*Toq2fs>tR zDYo&b7iHK~qjr?(Msr_gMwtF7ggnR!N5G1+Qeh_)Z9mH7!ECT1jI?f^LnyZhbXZpN ztbCD|Ov*YgPCG|PX+JZJ>jO<4rL(d62T*oVkJB#M`=+pu=sDwfMc${}gRHYtb6lg_}q zTh8&Qv1xPfc{r!GZ@vp3l-Gy-5h{SQ;|uux`I*}E-81d$@sJ$9(WT6*F4dN-t2qtIqEgw#Nw z9oxY$G8i74%1`wU_D#tNC}niLZ$(7C-BX=BF~O)Bk5U9y@;oD4=!B}I20@+Cqb&>z0g42KWf(9i_V6YG6QwVOZ)bfmL??s4Ee)OlNp=p z2|7*t_APExfcy-ycU%)umd3K0Ix5e$MgW|C*zCDWNVb=1R_xjO zm?yTPuXvujE}@`p<3Jyd*Xm<7=I8bClF^e#mvS(5ll^x`X)Ct37iWU(*P|S)toN19 z0FF1A>X=tgr@C_7PyS*@@r?9RPaU%}u5;Bfy+2#?^!OA@@tp1pwmPQwmw9sBPv4%x zp(Gg~cf6%QA1_|!IT|qK>LLzpL7$fTO47^J{vO9-fM?!zOF&;|v}p!AIriVTm8b`L z*)on<2#2>?>QJtW_B9p2g-m+>Idu)#nkV`i(6u`}1!$||6-xtJn}=fGuXq7o(=#{dz7a*qvsBGg+ax<_*m#G`Ctz{yvWn2n^w=W0>l=0!3_{= z|Ck*h{b^^ruJ}?8smOMX{ zvFFT!NPNMSoss>89U!*RE{;M2gG7TNVg`4&0~vhDe#{CGy|&!|G4`v?0Aa3Pu$S&t z12`8N+2fr+Mz1#oh?ghXCm~Yt(X+a#(Ew{W$PN%|ulfr0cd09jV3zJ@7dgh$!hWj0 zV<|Ks6VTIIHZZ_pvlB#8;Q(Db)C~}m_7gKe>>^Ki8sQqq$UY6A%F)rce2se9ENy{| zcVfAe6Ud;M>-RL0;c=0c_0yN^san?00g-*wSDO8Df%RG->!)YGcLN!dz17i(*M(Wf z4pH;;1%3r+dfYEp)8F(0ME^Hv)GImZbd{{HlWBj>)=0{2(6WA!RRhEUogIN9Yv}7n zE^~X@8g((V7kB}p&E60(?g2~5dij7S8%zs*yh+II>1!G1`>uD~KrtRj* z;3#2Vzl;@AA^JYw*2f&Dxno>~*z11dtK)v&_T~CC>f zGu1uO#o3eu@TPN+$;gdaf^d_~f%lH55WC}CE$KnWZQp*8M!oDtxdXHwWU-<33W~#a zSGSy-_H{Ip>2lapsEe0Axm^RqX*Rc8fcn^hhwYSD>Ea`&j_r;{&>IURa`S(_uOyvv zew$PXaWRsYO_6oV2;s;cR)n0Eukb{OdvRX9ZdMW$#CXXq_eBQ8wq0fi2q`Jtp%U5I zIY^7gn@Yy&eq*U)F>$u5z+fL^v+V#ebE`a!`Z-nH>nJoJ)6E(HX&D*hcYA@1!}wW^ z)FmCxlkwE3Yx0g@l)Rq;*^`GObfp`yaiLK*xlc;!Ho>HJ}!DGwWN4`t|=g-0q{lT$_E zkxYQ#T;Z-z#>waold|<){R91xuLpQ`$nB#<-Ti|DTBM%`6rRjWp`JX?tc72R`3>rU zO?um~Md5}^ubArT8kkz&9l!t2?h+Rdw1}5^EWMF~YoV2pWp-{UfHwP zzvF9Wus=Fa40bWydd9(7F1@WPilKXbvHH{7zGTx*D2`7b>22SHG$M{a4@htOyLjo? zmn`sOce7c#e`(A#N$1z15G2TRqVxk3+iX(qkR(oAotv#%vO+LjtY98}pPFVoR} zw&*tvyb>cqyOu9{eW8;bFDRx*sYp$736e8KQ}gIEn>|J3F)^pNea8(@KhVue)~#-C zu5(1z9Wg1ly2op+2;YTiXx|g+34OVZ>`dC>*)n^+o6wgByg#yHyflLZ#P0u7KPklc z(v6{gh2CMN(i`aPQUe}JU9RT%;GydSU!y_Vebm;7V=_C((nv0;5B36txhnfTQzJas zQD<=7Q99oDwWKp2w$u-tK)WZdojt)*lK#L$`7)C??cMExXkaipFtkyOTwfO*>7gSPEYtOtK&~rz=ic? z)tMC*aDfwyo_?rdICbOdDdhSBEh9ccQC)%TuCo1Y~yw z!HRf7?l;wOC$4~0{|VaY4XxGHrBi}xHfyfwbk5V|(XsJZ&(tU%Ohh`3Iq*_vXIC%> zMZSqf$+VK|DI$nJH_Mh{I&sqOy{*&GbH3J57UhfXX7|3!O-TEKiOA@9+e=D$0h09- zJnnSU+m4I#Dq}nw@wsAmYKKx@a2#O8Y&Of{e#ppP1|d($(QXX-N2s$*beoiSRq?i}u?O-}Fow)E6J0@&KAa|f=nlWjlsLV-nFL#+2)6sn` z`@FAcCgPVkWDI&hgIVk|cU>*-4tifCB9ve5YdMBt5QnF^{=wjWf=z(Od6A+@Ifx~<&=E7SPCd1j%WAaI z!b=TR5$Zh0REPcHj4Jkn!jW2_e7U2QQGejzC2qhqN622L=6dxsjeg!?X%06l(wAWG z=dR|u!>J^1)wIFh!@geb@=O=G-%3 zDGs-Z(R9KwTWbf`Ws;L{{>?5&Id-UCOiDo>R#}Sc?hMWwQb=F9z~=8Ar4Xc63hJig zc;^klv*r$UFohf$ScuNZatHGlU&_TM+`zMrSFLG;GQ;3hoIM*t#1a&h>tLRg?>1w+ z@|IFw?eFI7K*+~P*+;A$NI7TuJ1h#}i#rnXF7QYt@g6H>H9Umn1dzi6l%0@9DziBf&>JE8Qp+svenZfRIp;3TDdc6XSU;Cq`> z%+Y32%HHt(X?WZf=8p*W4A@HrZFle8XoS0ozCJ_F58X-&_tm(di zV095LF@0sHZ=gr5I8v98Omh(urme5Pwd;>sTGH3jrMGnlW%^n=OGm>E$^_Stda&ho z*JZi~njQNBtsPvNrRSemSLHRMPDQxV?bvQ>!Jxi@4*E}S4=a!~XW112*WY|zG8}Mn z<-F9f^#Ss^#0SgywkrYt-hQCCt(gf?L_Eigv; zt1uSSN|)yiGi{J;m5DOltUZ)$eyfBsTEa5o*NsbQNg^LQ5^U(gjURI%XsRopS;fWk z7+|DG=+=!#vW!kf=(e=yjf2A)e}E)nPhw#znwhxxBaI!X zNRFj~Z#YnCS1FmN;?Nar`jj1t7O?Sd{09?+ieLfak`}_>XqB7(-EZok7SWMpZv7`4 z5>=D-F=%AT5$!Y^`Np)_v?CpXH4ZO`_XZk>4G-09t{W{IbO0(3Ba9oKaN^=&>1}9o zG~YSw2RcOE5DY#LDUn;n+rlg+4OXSu~J7xWG~%lLv)O>d-uFaA+EQi)b(|4}VDuURcq_%Sz7 zR_zF*tnfFCSjE6(urAOyUSx)JICUt|)}kGXnl)&ddRWC_#3)05RYU3;FAP(oGa&)e zm;EzM%Wy`fdhO7rmmpHD$l)0kZQbBNgvnu^>Y`y~dgfG3yEUf`;8cQo*;gY|#MZqm zi1(q5BRR&mevEjAU?c^^ZY~JS^-P{5?&nM#hKM>fHGAR>uX+$5qf*h4YABu$O1a1h zR86ZFFK4*ox1Lqmf0+EsEC@miDvdG>u5}=w6Y+WYhG)V8VbWDUi?o0qC>LKC`W>IV zIO%8yHa}5rIuK@?S=azx2aP1^(Ib`6zfBK1O|dekr-V&k4<)KrsZC~!t=IWMAsQ6F z#c(*bFP>9@hU6pevgCHtSKY8YJkjGTdYy2iL5(>~o0`DCYlS6(8iOd+nfS)z9BAw= zCBo6d?j$vC<^{XTf*PP@(oS@|hqxW+$JJBZ6*rqn-@e9WeKZIOva;OtR+}Gv??GWN_JlAD~kk6D4X-e@(rSN`P zqzgxemW@%o+7Gn(z-4Ku%zDm(NO?ggj&TCSN0;Y@GP-c89mwdx3NJvYq40P$KzO`Y zG#Y{yPE0Nv15D=?JZ)4^NukMQ3jqr+Y6xG)Y3aqX5)-4I!t<3ThKGgc^GysN3oq82 z81WR;~`#8KVyqgK-V=VtlBgEreiL|{bA7}2~ZOX@J|GiSEKSqE4T4`d|_g+LF z^%dK-d}w5Xxi8MlDE;ALfzhW*&El;7-Fg$F`eM4O)z27acAlwdxO~x)v58Y@prIJr zNBzaNOcOJ{53~Ww;eRnzZ_43+u|0z6uMxr0cA2I=^YMH``^AID9D{ zUZrSaCO8Ton4rveAhp zV@ronq&Tag&5RFEEg2u73wM?@H5pni&Z=roS!T(Z<)GvW#3*$I1t&&PIkHfk(Fk=$ zCl(dQPov{?%>~tkc7`Xk)3Y-{F;LoeRa0Xjm2QO8P-)rn3HtuFHl})Sp;}ie zrA=UzvxUByQ8KY#c%z{aG;>RRfc+3s_7e{2$*Dz?OQzQMpwu1Z2!Z}scv8%04Gf@c z#gAyPN4`}TqBOQ-d~%F~L~iifCQD;e#mR-(^#BgxEz(sE)b_zf3H0?YWfdx?4HZV0 zmsm>G463wv2)iZ>jhsTZqK!{Y7Kc`hPAwi3b5r;o3ok>6AUn#RYLt^jv;Dd4nWrTX=TrYF@P zeXEIO@O-`Al#jBl-YGW;X7F1jjhRvo=LaevHcc{5HwT563{Ng)3%!#Gl*cB=S^8^@ z2*J|d%m)O_Nrm4k2MmmG+WdAULU5k_Ml~Q{Rmyxj6EG;lsqNcQBkVjkHzFFGO}~+k z5bP`8tV9U(roy-Cnt)BI<$p7p!1PMr@2(1FO#Pmn*{FQqwuD&Lc;;ii;JJhB#3)~Pg;Qjp&()InCQbx9q6-rTY zZXYj%^lMKbofdvee1i*U$Xo{DUbYC=u3U2^2KfU`#J98unALl$p@wkqJ$t{?Jo)lGGN*#MowMAv)h8amgl9sA0pK%*)O_^fwq3h{!1WudM3m7 zflu^9tjl~UWERWIyI-;cjm7$v<2M+U_<${H$|t&^Tv;d{Rs}PAVq_jV&wO0G%gt)r zgrsE?PT}x5-e$F^ z183Rx?Hh3%I@XJ?MDf0e)1>Hy8$jhn?i@wv=s0CsAtSqp6dtKd5ooMN^vH+R#5_;@ zUbzi9R&%4feki~kU3eEgFb5b!?udmTp+@#AR)j!Q*{}K$g6;WrGeV%{i~Fg#7Y@zC zDCb1br;1?M=YxT_e_09zGXd0$;{GhxHX&-XYY9}Yuv7kz8zI>DzT!s+&ZA#7BLrvi zq7xxHk)rHZK%f$3m9zU=KSJh3r55 zuq57wDEDjCvj&6o9}$FrnG`3R0QD1@8CCeK0rru8Z3;-bNV+^=YI%QP?eL%kZaE;g zwOmj8U|h}g1|@PqjhL4)%LnB#%R}4O+IkjGmj_MFg!A?xCC#V?3ChbXuAV7O44u^# zcs%Ha+B$}QGhl+AUwFT&sL9P!y?pt&ct9i6k&~62`3|ecNI7R7qD!KCP;r2Ld2TtT zH%RW#QjEh%9p~G)JvreX5!aJbbuP}y8U5f&%$}Tv56%P}&PYb_kPwC^+~PsiKt*vf z_RMmOlwsR%2V6L8&7iED?*@IX5Xd|J;wWZvbC>Kb()=c#uZk7^m=DC=NHWGp;pI}u z0KFEa>MG)e^0jibc%xyb8WA z1Gx57jF7sk>c!goUcO47ty>|%eQY>(mpCCcHid;;YUN4$_P+~Mv9}Eu)fW$JKyjA| z%y%;38MkcoL0o>S+&=~`;P!1CS8=yF5Ve<F)nl zHRMwtp0&Oo$Vo6gw*}ToF#hj0B1L!-`d%hN@ML;xIYJ=v!Yxq^w$gX=5e>|lg;(n! zw#W`Ug*wKxJY9OVp_xuH^!z&|&ENsfIBkA66CqgZtx>f&==m*`2tm(ZEonlkVfeR%44%U=g^i$$s4!)J3RUPEYyMLvYKAraxf*5Y%%93p zh5`IJR*LR4LY<*uJ{2o`C$9_Tl{dxC3W%=K*wU$m%O}T1PKVCxK_N*V&AbtoqJwnj z^-N%g41@SH4Y6ZODJJ-hm=0ZjJ!Xf2{yAi{gKw0gW)M}ei%j65de~H)sfw}5Ic(uk z_8YboBn1YWg>1V~GiOYW4a*~=R5?_EH@R*%fV>97OC9<;6cJ~?0TH5sHMs?t)hr2* zW>y2?X2g*FVpCTx&9VyU7Da`(42j$R>a)aLV<+!QKgacWov}pMf zHs7lipmw?r(d90HibGsMT8^*-O3pm(+t0H=%r5aU;hhbU4Hw6UaTU7lD>mTV@#V{~ zps1f_UmnLcLdE6FknrLR5^dx1v@C-|V|>vfX2Zep3FDaSvfFGN{s?_O`vo4gEg4$B zQ7(&dp^-b?1-185j);4u)}`Z1vG3(4CZwLFqvNOsF7!|>vt&6UD|VJ}Jcm~14e~M$ zkE|RpO}pqW+0TH9Q0yB2>cuFg`g3NC;@tZ0evHDkQTAW07{&Jclo_Mg{-3sE6uMUS zlW__?s^cv))Eb;pyO3dxZ}2WA%FKjZJ04XC*CJ;>-L$Y;-aY;ID8Z;c?}sK<%PPh% zcoBk^cb|)DFzU}l2`1~)Zlnk!{)`nNIEtTQGpMA?HGcL}z#+lWGusV`Xej!fTPU1u zO{>sc2fG^bX_65xCoa5N4iwHpUpQwTFK4oA{D7Q_*4tcYz1EyoL9fxpUZKxh(<(F< zTJH?`G>$dbEY9$zRlsY8dYNcpdze35{o?9UeHFxR-MkvkR0Au#<~54>N{A&~UV#-} zXetRQmv5b!xF)at3NMx7q+BGRuR^hX}l5bFB%C4ah{w?a-|*u(Fp9l4>+JE2Z+U0>X( z5^{3Un)f1Dd^B#Zl6zUrftNct{%~={FdiCnWp&}#RS=(3^KjwUtdW{ii70mm#TxDJpaRKWjU?TO zf+#$jZ($kPXTin1mF-YIXScF);z+Q}X~1k2of(rne`#QMpEE_3rwUaKzK z@@sYrEBh^e9AqpCZl;v|L_(KQY_nQ*nTLS7HA zo&uUJx_D%X?G~+8mOIQWcUoC)OIQRMYc;&7-@bh+!Sb2onNF)NH#wU0TUkCGR_E`? z4K_CT#=fjptFAnEO|ZyLv8}Z`(Cms<)gH3vcr9kJD-rgEby`^le5KjSGC3u$m1W$DQuwEd-| zb`R*!I|*&Rw)f$rHtryAdOoSmmy5m+8?mP9#)gdPZIf0j%^iWao$e=SHo-4jJ0f0% zO>diFCzKh@cak(yvNx3Dj_~16cgjl8bo+n31dUmw>%An6fztJUl1A6-PT2_~oRELf zXr(#n?2%BG%|v!i(s29snTd?>)zsT(BuyiKx6jHXYUB*OJJ@(iWM_cgk~C-AjF-^H z-eje&}Qf#SP7a9JTpnNs~LVmo2SV3B+Yi8;U=`{_fA%VX6Un=1daR^ev#;bR9@3+ zql^1%WzY3nY;}V@Nv)zyM5;RkCIM}$`vbUaTCTPT7_(|&kmYvHEN-8sd`zL7zxwq45ntSMF_puW+ zd+}*jf@U;5euAd$rAckJ%~CI+%}gyc6EsKPh?}6k~C+vA2m{~4nq0c31!((`&y?}Szb23%1+RX{aaw; zvCB4W-!T(3-M%HM&Dd*JLYwo{4-;;)ZGPgnD$C3Iw7IOy6y8 zD=m8lf6qzKY{(yjjfXD#GyT#^&~*D}Nt&VGVW(P+(82$65;O<)U0#BQ--RF4TWKoa z2Quy-zk|=-<0NQCbFZJE*}lKA6Evs5`>X`b0rFr{o6$VrB(xd(LtcWW-w#*`nhAW^ zPta_$=e$;0wv>I!P0)1k_jZD2ln;9eno&M!C1|GVX+J?Tv42d`^!p_@q0OAU3O3$4 zd4}^xD?xJve=pI(P|lrZw@}NC(DGpMZNJrsTn0AG1kJo| z2OEn<{W!>LNt${6lbg_HUf*&OG`qn7GdGl%1v1P>$Cqo8Infx6-`c%k6Bo(!Acw&2kbng3QfGY9mv* zo$Q1*dSKy^MuLX&t{_|dV=vj=YNdI-m)j?yEqe}U{Dd}IGPj4DpwUZm`#1@jZtra; zXa;$h-%4{U&D`E@f<~2v$67}S*PG-H@>-Q;`|17d1kKnFOlZqi$_M+c+Olo;AxUlY z%bW@2cwTv(pF1?E%^(vOwVl`^9UFgJ2_tC9+&r_D=JxT0$11HfYdpeBunaQmw$ic} z_J@-++kGCCGpAcLYpa>pQIVhf+Wq9EHD#BI3}McwbHEd#Dp>)eHyt= zJE4qd3XkPmX)1RmZLsfjd#%dc-#>SfpP-rTktEGvM$CjZ-98&^Jgxjml-y`in~7Rv zCA8U+XWFeafBqo18f@IQY*Tu&m!KKW$!3CPyDv^^Gbc-&gf_#ONNO{&OZn3O#Io(UpY~ge51kE;^02@y? z_i@dgVJ2v1>I^4AqsmbNkc6Q;9^;y(u@L%#56tq?wTwc0!w> zPx%R&J#D3xpc&&iUV>&u&T#PKg zOl807C1~U%`?VyE;Im(~6WWL}`xP@mBb@A4yade#elC-sq5NM~f<^2FztKwLJCmK+ zMP`p+?U1~JfEO0U#x}0MWP&fz zsI@x-eUUw#`jOh=zO1#q$k#1%XGswpbCH)k*gxEYuv*l=4U8_~K)a0^YR%ZEeT~&> z{Z6*wzXm$swCZM;Q-z*jU7>l}Ys|pj;j~-O`VcaE-vpXs=Epf6OqtI&# zKW)S)^smA%@-d3t;*M&JLNgS8SBX))XDd8Xj&m&fa6Qhk>}{Di$IkSFQk+94E&MVc z=g?MlJ4T^oaVM61nQJbP^nY~$K0G!)B^&jnw|&Y4-ZD16a5)yu75pmDOnLP%cdCWs zIb%yt8695M$#wO)6^>%YmJuy6qjG#LGo&1ohvm0_qy>ijReR8A2PzNSA&~lOqNCziIN}Yo7OJLS4S3(|k$u z?s_QTPvBtzAr_z%?kR^XR-R6k@R}{ycNzhUcX-D@+*yqf>UG6CGa)rH#Px$;D@6%; z+3=lugrc4M8XKVqVXZ*H{};a1A8YqFz0UJ?4VQLJ^96+!hp ziN&L*L--dFa$=ht8Di`YMG40KplE9imDESeG$549&+ki7LX!HmYC!2Uyc^X)P1$We zG=9W7AEfB2leqvXx4VbqHXH|w%KswePF^}9@35!0ecXkDd9w7~><@iv>olm4`8{u* zI&-+hY3j7Gk!6cf$Kx5%L>3_5)d8rBT!t8aos>-65YKgnm7sY>Kf+GX{2DKNtefO{ zg?G|4`n&|_okpKujGqrPp*QLs*IlMj#DGSaM8d@GZi(;ui9f#Pp#+T zgjG>{SaJ&LGAmY)4o<|ixcXqij%neRuzmXyE5>nU$TBZR;VlU`>%=+MFlK6wR|{|9 zIFb*ZQPc%_7$Dw!!UASHriLcLY62(D(T9t?I7fS@v~Zx-xLS>&44WD-NtXtIS2!EJ zKr$u2>LRDdV1vu-bB>ncx2(foyv6?exWB=I3rh=m?enA^fVigoVA30bus1H0H8|H; zx}-dGJT_46M3wTBu>FHL!RPhuQvpW-8}w9DtI69W1uH~>)vl&h z*^v~t`|aCpJJ4gxo^1vQ;0!+iR9x!@h&7+>1c+6iVF!pVZU&InmkXV@ERA@={dESw z!L&HZX%W}<#0Lo;I~@c4RvY+otTo44921ALMRW>h&{cq`nKGk76+c{**K*9TXYdlO z4%{}f>C#JND~jB>6<}GG&g+f`8=$TpT{^T-uHWfJd*uA1OKCw*iSa4scc-lf-NEN0QO^luj{rfY40c_a;d|x%d*j9l!Vh`}4P>lNc zQo~0)=s!FVpNalBHOuBqZRd2y9gMJ%*p9qO{!ntK;pd{~3 zcRK+LmMI&>y~<6Fc4V3MZq+y`tEUf$7^kMZd$(UDt|>3y9oRz3+jo1m*yJk`#Y{O~ z9IL$3mG=83iXSY z>2i-1a>45B;;z+@gygSg7qry9xvp=)z^D8>zCEny-?Bh>($rzRcR~a zf|mrdA9sY)v2*7Bgc)VfC5!ve_H0fb`VKOCqaW4bN$nd}ltHh}-t0vgUQm3^j53%* zio2CHqqbIHt7mTn1<&D;dWrYrW)rifk9$o_fB(^MVtAXq(QRVhVm(o5Vz%wqoF?Wq z$Jgy9hTp|qG8&^FL;SKAi1i5wzq!@i`hEa+8Rbr=Swh{A7I&_OR5*a<#5oxZyVPTP z(!+PD1f0ANkcq3vaM?Z1$r#wH6cm#&D??z9OpKEe(CGzSFaU~~MnFj)+`Sw?>Ds%7 zMxY;opH4_jFyLu8NpwX+3E_HgJw zWJXIOv&RI4R_v9>*eBRpO|fW}yUW5X8^$F=_MdQ!`X|OulaoALDm+>VDfLR$O-@Lt zeJJp*oE=i~x_)tvG73A*y-$jBGJ%%bkf9S$RTl2ZP~52za&i{fIZ}q>TweO=v?5A+ zKA2Mi*G|vXJ`DJc78A_u{1pTFJi1Hqs5<1I<33V9C`<80C-eCNu6x!4ruH7j-D|Gk z;&9F|UrWTjr4Mi&F0d$YM8F3fh2O$b+gf>xQBFd8%Pwy(SE121jCZ&3jwpIb;mHz+ z!-hvt!x{&Nmk*E1(}`Lhplx{3$&<@Q7GkX2{2LR5F+4gxfvu-d@Uey{ES$g%IF{;c z%TqpQcz8K4RaO(sCE!bykClPih8IrY_}Ppc!+|b%fKFC;N=d<>hZLU92XegE+9eCP zU12)I9*fS2IttH*S8g)28+IK|QZp4bq`J;rhwHx%P86W{no@M$B$#)$$wzRZ-*>TZ< zb-D$~+#ya5uT`itpp}|Wz;kksK6i-C{X_;oT(@!+tUOW$+@FEHQ=y-wSG@vU$GYd z0B3kgx-P$#Uft>d?uv@~dBL|}UP(*)VG3U>SQ=t3hw^s=*uRdW?|Mf|d)85U7?Aj! zm;G%v+X1AL*>5_42p^eaCYP8>x^^8ccl-&6Wmm6Tjb4@OBSe#}!9OS;s-h=q(R$M*fOb_y_6JE+Fbfn=t(IOh794u4aJaj)r}!IZbY|HOw=> z?18?9y^`2pnt=2wFUzI3YU#j$B(`6}l_KqUkIJZMrMS@{9f@$QtnxZGQ0`jEY|Mr( zl^4m$IGfRMVBG+neBDvffljBRv?uhsN2;GU=Njl;s#I#(E*f7 zMVyWIJ-8Z58Q>4hK$_oBYs)=AqaY`%oDXM;_WbKym|GA3yTI zQA2&~u#Lai%$!dRo^@caJ@`onHK^{(gWHPQ3isA=6bvuAlbcEXS%LnM!9dI&N8Si| z}29h&#FY4g~v%8M>F7VF63H*nmEWPDRn21+wp$(3*i#htA_~ z92i;C1tIp48~TX2#oo>q1h6ORph>1L;y}lHo>qV<>}DR$G+po6LCGN9+Ge)sD2d9i zYJt|4p;Mz!qf<#eotBQ5S}ege@sX}~Jny5pq=LqELkGbrKT*l`p;jbeO)Z`OJ6zb> zU3w96#(nJrgVH{^JsildNa4G z2se&z_L=2oQ*WBy9fs4C!TPr2QAjx>PDg^8wt$gK+0g<)FHjCiH#*FTD(KD-#+d9q z*-X5EazPS|2K=KRT2oy>vcuk~(vJhA^l=Ybb0qb^>20@I)09%pEuLtvP}29IDgBFv z|7Q5vvKz6i(=YQwG|-?~;QycF`g&L;rXSUz7|Lz1A379{h)A^ft#cbH(t*Nchzs$? zU@r=)i;T(ab*vy+x;dhmp(cV$zpzlkknA?QX4s-Ct#ti7J7!tBrkX5bgP|E~KMgls z2@6EsT}7i|1F8O=Fd7awcyX(T0=ov@N`F&nkU4~YE^(m|R;znt*!JvDxg0c_wW|5| z@BCOX)&H73B6REPxlwR2yN`3KwwmLL(GJ#jjn`w*q6+z8CN@cY-p!>cF3Ampe@slR{gH6 zSgj~*dj2Aw-(k6#>M#jI4n*mBXbAo)l$eram;ERo6jY9W--XNw%IKl0L%o)Emt+a6On~& zDy5;Ou8io!I#>uD44Pilf=Q7R^!x`)P}AWzyACclXyXPG!gdv8kTsZ4!e84Estm}5 z3ku|pcfhFzP@zS#@<)htO-dFId4UCSXpUJ^)m-caJZ-67rj8JNEaJPMh%tfI7w3me zKrz@%F^5E?U+iX0t0Goal)1fps4xYpC#zOuPh@^xfGnsYY{<~ZpxyNtk`fI&QbKvc zkW^Pi0#A&|gPe=WXwo7ka|_L=N%*cEXkP!p)sF3MQ!8?9rIWlOB9#Q`*-fQ8lCeEn z6(bFNq1UWJj3}AdP_shEs}5A8L!lv5`yS;+sGy&QIn)ize%l<*kC`Zi#`^sBS1W|^oHsyTF$ViAWug>~loeO;cRM`{clgB|hh+fWNScQ}PuDDPP8s^_!;!Gq3YDX6Nd1n#P+x{nWFlvwz3rwzbvR~P)t}z?_zI+K<>(9;IJd7A z7H!6-iyB+*&p?WQnS0=psqegUYPNyjw8`@j2k+(icvT;~SJelvX$B98 z113CZ1Wfs|XLdV;bu{a3dqZtYY1Ce-7`0bmdpo9CAk(P5>|u>UmFUGPP)Tk524oqv zKl*B8IHUGL9jJ^iIvUh`!3M$&?Xz{FCn0;jp|)%Tg6w$*3vEQxZvbV~wrobtcZ}L| zE>`ANSkBJ?Az*&xWz?QS}zXmsIk9$V#86QZ>JzfGT8%2I2Y}6j} zfl@}1Ul#+FjoQ-{e5Ick1mZ`-b!5PZ#wvF1oJ~pAQ5Z#6P?k%f*y@4E{l-l##sQm)v z?m~TsOSxa5+?}ZJ2r2h-l)Dr4@zJDF`#H+}8ujIrECYo4=8EhN*Qosp^&L{8<{fz; z)>kF^nFoaW4$BKF8MXhbpgxiP)CV%v?>ZQ@pO%fqxOB+d?h0YwSONrpO~YdI(yNRCk@d;=dt+)$e66imJ4D3;g;nYI{Ix zyTt^8nfs#_uT7nJk5RiB7XP=3Crwi9W)%Hj3%}A+p^1*DHCV|7ifhua`yk zKaNrRrl-Cqg)9S9QeTuBwXeEx)mPuW8nrLO=2ubfDJl15*!*vld$LZO{{fr-jdD+x z1;OT5Q10=DAlUp$8H@KbM6L^zLY_tD=8f8aRn)Q@qxK~a$W%Yx22?U?|5-&-R9Nnd zK9HprGaI!}TVP=Gu`*?!ssq91qoVmK+o*j3HXo@|_Ad<}*nC7}|Kb?6&%@>;8Ifgx z&{JpBBW-@{*@NFUYX9sSwa=jy(qiPF^FU|?qs(HT^o-iSqZQH$m4FJhg33ni6E09*5agf8 z8-;g8^SX*r`?v>LhO+BSpc0nLmx!FIQTqoUU8yX{0xDy7_&U+nI#3EPvxw$a+o;`G z!OJ3f%CBW) zL;b7>PzlJvyE`Jg+6T&DF>9HcSC@_2^)66J5SZ)p*mpR;mqK%$WyS!l(ekGb4OF#}# zm9h;!5cE&2*DST$;w z_}Gh3WEWaMWh@Z3Snh&45WWVwP^?^F8?}ookeQUNZvdI<_f>!#qqe!Ke!&DN17rb- zmGfPr_IGvJ44YG+n&)OOJo!PRc77frTP>L|YUg=KR70(eFlwt{^1Kq(jC-tZ6-=)4 z)i2i=wUscru8ei`;>t=`S(j?xew9>b!^+wUtoSTvmw-&HGD;Cm`9^I`RsDD+P#MTl z%aVW~UtPx{ZI_zoR)B1^WE;qY2Mw&g7n^5gjM_Q0BIryP2v!OT<&E0euu`&V5CFwr}5HQ8o-Ki!E$_DVoDzPOht08I0P(3XqLAccg}e zrcqneP%G|@+E5k9!3LQrS{br{GHPkQQM2ksZPZ0Oh|IEq@@fsgQ9H3@)IJO=yyr1$ zC-^|Ha<(a`43xoUo{k{MPjc0-7a6tVD?oW{5$jQQya`JlHUqVZ@~%-ku8OTpeL;C3 zOZ~W!Q9IT%YJ+v`44$IwSkyjfW5ZD?cZ_e;1{zo#>QHtJY9DZ9Z~W$!#Dy1ZI0hn! z2QpZdDis_JSNdJNY$isIHi7b3f9BE1QB|YX=b>^da%!5_zHVQLEQm^KX{u2>vToFR zeYG$Z$ObB_WwAzWK?5kI7P$gBMy;oU%1u_VAOmEo1+qqMzH8LFt7>^HP#(xqOW};# zJkO|g)v-Nmisj~&fNbPQtmJ&7*4a>-pBuGY8OQ9g!S?Y|&IFT1 z?Vl6PLn=nCqpJ30H)@BNK&br-9?Q+G8nq+p*w{EF$O1y`Uy^bM*NxiY4fUfrM(to5 z2({nfQuCk&P)7aO4v=Hi4s+E{{s3iw^6F=LjM{;&Q9IOAKj{OM2P&yw^f77&c<|gu zuEpm5J{ZVuwpqje{FK!%0~xjbOnfTp*L>3Lub0y8e};^`6Gwi!{ZEjcGlU~ELQX>dl%?;sDbk=d@SPwbV`couP#1$ zBR6Idz2~IcAGCm6qW5husC|s(j8p|o9mu5YP7gNA*n>bc?}hA92b&;xl>G+f?tzs> zBKv(EzM$Nq6lM22>GsDe*mFT-kK|DM_WYCz{T_~{|nCN-aem8Wdv z#UXkcnoq;#@gjQ`%rhoDvnYEJn$MO|J2j1V4yi6Orr@&OyFKGh# z_>|SpU8aqUhffJe>f6Ij8++u{&s(OAJso^9_$5rKeJ>lR0p!!Oy{)vdS6%%|X4=@t z1XES3L(|5-kndB$QZMmyUmr{v$YZ$=dH9r!+-?rhel9+FAd&6wq>cSESbl6%c7P4k zFmk(y=7AQNx{;eBvV+XDabOh-(OhaCjL$(8AWx7FrVPy#(OeIo5@do7anr^jc_TO5 zr_6Nl$zVexksWFSHL#w-rR*>(Z5&$1`XA9e+yqm_vLn$v0`kKvkeSpx!pEl!nN8H; z;Zp*#h_Ws|d3=rT5ak?vaF+Q%&^#Nc0c27(-%1R8=t6D=^oRI%*GBRY~yMJ=`k z^6@FFb-HQeC=Z{Kk$u;q=Fu)bd7u>0F%CW%SP|K=Hc$iai29V}t+a7$T`kc~8=WSY zs#?FBHt*JlGY)T-aK z(Ql=VzB-U-4wzu7M)oz2N6lEvcKn;9JDd;2%OdT?xvJabSZY@(tCrfy^(^@*04V5-pe)7)cwGR@#`T ztJgQu#xfI3RlR$WHkPNrRIo{&SXu6aDXSmFO&cpbd`jvkn$pH;E{Ib!-9X5S?X$sj6QgO&e#EsbC*Kv2wN#rVJ$I&hgU5IVEVC zEO)MpPu|Gh?Ga5m_+)@Y)3$*cM)pq8Txq2ZyKZEEoucL{Gi|J_Vl9MCw3sct%_`u1*VQwz#e5~Gi_|D8riKP`#UlfAcwNQ^YJNT<+Vw)*~6z~WUrBO7rSZW z;ym{B6m*G`HZI8+*-J(9QX8lN~a%K)yS4plwE<(;D?5ql8 zoN$z9oWPm(V-^wS;}ec5rRSeh7evNSFW5^E=J692RMPVwEm1b#0y5L{3l$>F|0m3= zr|18wEXV<}jqJV-5zZSY@N99A)YsvG!97Wbpd(OUN6EX0hvbjM4!ma;Nt+fL~}iSVC6&yU!6Vigo9553*kK?zux}DlNOLIvP}CEPnWSO zHAVEa54mk*OCo#GY=7cK)OV^!*-K@7Qbu-#Xg*VFf8rSrU&6VRJ)6hJ1u}`AgU#nq z-@byLw}BiZ`yn5)*QYA5;v3mRS?+baj8DqQW^JOCB_PP=rHEGL!FWIp(drBs*T^32 z32K0Gu<&1GYwGQ4pJ zu`@G`=Ijrja{8yK!7l{0X5oeEG@7&a+zkK9FRzEIbi#e8KN z%^Bst-*36rw6$j8x%@Pm>BFA8Hm5Y73~UyDU!O+Pux{TXw)o{_VDsV3G@9)j-Za0c zZ2AqYS)5;*Mzd}5-;X*;YdWE3Wg5-YzCEj4t(gfl=afUuIbj>MUF7b2ywZF^O0bP~ zZu;5OOf5VmeTCM{hc>IB&7I3ZyU#j*=B(?L=Hp(Vx$|_IGdoYpU8prnf#xptX>87z zHG9`CrTLg2Xzo^?Ml-$B*~dPiHOqnK?$g;cR(xbn?dM}ul>V8SPP2Wl^6ERN!7p?J z%{?;H)ZVuGwmmP>nr5K6S7{o}RNuhj1xoWFKh!LTn)`%pG^_ji_N7`=B5Fq)?c1!q zsX24ee(i%=lYdgw+^;f?%^AmDe`Z=~J}41U^ML7WrmxL>ctmTO{7cOPr?Y8XTR*2m zX+BU5G{f>w)H;)7ebzdfO34X-zxO+*|x$ zyZyF7j=g)IGLM9F_?H~N_U1o+_07M+NeSUha*X>!&6@YC2K~M5sAtC8se!M3N#OBgmE9(2yE_r{C{6^IW_P#dOgrQs9AecbI!T>;Y+oq9ca#N z*51_IY5e0$_fVR5No1-Oi9#+s1{X7$B9$cBm z&-Nqsn{%eJd50Tl9+H_xvu*VCQ?AmQ`9RYwPotR{|Lj~|51=)F<^`IEHbTwA!oD&kvbB@xy&F5d(l%*D$lPJ-_L>~Mn8Nf z-AHdc+)Ceczkk9m>-VJ*Nsh zEgO9vHUCM_ch*y}pzo^xt)LgZzu!N?2=0j<(7(>$y7?$Rx;n2)==QID z-7?0m$&lMoNQL*Sv5*RHqjCQKtyFyGd|oNeGPXQiZ4K$lvRb92M&LD0G^A^7u34fp zk&wPtM?WenG7{1jb7!>a&1Jan1VYtHU$c#|Yi)8n4C%FaD;2iq|5iwcJap}cU~x7= zGFwBss-%`$sgSO%M?>0D<-#jE6AcL-UR6?LB&74Mxm2wa8@sk12vtb`(=f)iRLSiy zq(8QV^m6%cg_LzJc@7qLGPeA#(i+m$u39gqLfUFZLvm7FJw|6DA<@IDT}4JhTJrLY z!&NJ7H3Ojz$uY(pQacXm<(7{3?B8sqBkN}rU~vvY3YOT0)5A3lwQNs?1g&NJVq%Gb*I(yg;Zz`l@S;eZ(cV z!;qeC>3C1o|5iv-cOLl^Ebd}#dC+eSX={aUi*HE(M>EloKHA`7L^=~`C3?8EqR2={ zM|GWZfePuPnLwyQ`f?q18{~EzQYCDqr+GTUGqZvm?C`uac}e%TVR2W4RMH^@Ngs23 zwQ5qe()G5Q|DG)Iob@qFt)Udx#{S;q8cMnrIT?T1#z4_zB)|=ypYx`y`5e36Rwb|! zlQy|76Ltem1^pk60qf*;81$3Pt|_tlXvhD5(5YL_ufXDN#+C=X)}XJis|BDc=#M(8 z9aYdbz{#)RLQe&KgU{ulbS)C}f1smYUsq%#=q=>~CUvViflvkQq8r>m3RZ%1o0|vc zliOj?PgKK}mQ&gjrT@p-cYsMzq+yR+Ehr)kK}2GUxGQ-S1O&vEAj|+dVnR%<7%>g% zj*+#`yYq}V=B#bbI(o9VdWKd{PtP=>V%9ci92Ilmf4};wdTM%@-T(jRd0=PW>Z?pjPTqdbi@dlF~b=jwmgh((*7`OoEOdr*vA1Z^30do{3-g zB(>$vO41FvB#c4nnh+Ty#h^hcV-0(h-Sy0)Z7yJV)AbBbn)Ik!N!Mw4hp zv|Z%FtFjE51h1Gmf(f2o(uI{2b52oXY{v`BB7JUwu)3sQFGY5Ijn3@eD0g&{GwwgL z=p?6?Xt}E#Cw-2?_jU70&RJ#iBxhO995~L)(&-S38=cu*=!x_>4uj@N&RJ!RL7VD~ zVEFvKIPEOU=|U!bM?s{|%Mw zCh0=TBz=C8)WhOdNGC;l8DYeX8ljw#{G3rT!=F{Qq|K*y8_$pWE+U(DfO0bgE-z7*k@MJh_F zFDuGQp65#XOG7wVdx+tk@ zm1wg=bn!nF{jZEK&!<#hJTC$AYz~<*OUK~Lb4i?2cP`^uhZMf7i&~_pxt;NNz`6x| z>6F74I%d73`m!z+5wdPs9cb`AImLPK=#D1(}HO6W%E-;Uj|p$NVMGODsLs% zfP)>0E|MyQrhjr!S9-!i7RgdESCmm`E&GQ*^NItIcQ24`MhCz*=UQ-GdhCLJ(???2 zwP2`)<50pMI!=@bD}+^8Qert1YbZ!eL$Z$sS@%4Y@Q2z#9RZL^Ba!9!y081`!gpo&&2+~5%ge36_%A4j^+d5~GT!h{Mf6GzH|@BQljDUytfjRdlO*Px)!FSQ zj($)pf&LQuL$p_PEt+tGkxtzF$jw-{orC`+hC$eV1L! zam8BfyS3E)rd)emxloIJldZ+dxmxUNZqL#B$DlK3Rg#W7wPa$SXO*$WpLC?F3+OLZ z_<~GNmfZi)CFjNqKhLScO?q3G*A$ji;lMABe>z_HX+afsU3|nNHH9fvIAq4~>uUjAu5PaudFAjU;?-|2sp=aH$-}8C9}@YtvMSu< z=|MF4jy_a)hn8yOw5`)Kr`n)eh`;r_`XHPYT)QiU7$Z8)wp-6phsSFcv#QSxM;^8`UWf%iP7w`1cm8L*@SiDFm?~!b#S8D#HHUn* z`^zy@!R`!pNAFu$P4YdHraD|S=HRzI(W1$ zpubeus%uVOnRMfY_ZC&nDF>fI_tQons`;;yDm<*^;KQO4e4)Yzv#Kz0&e&D)!UuAy zaKeC5HBQ}@QiTn7E|J%cb?pX>I`q~vebq{y#kQ6@I-%R;p=0TSZ6DF{NGX0ocV57c z%)U}U+v#PYc|v!7**u}UphTyBB#LqBekxAg&kGndPw19~8e1WCgt=L#BV3P9=*}qtOOD|YBN*OfNh0JYf3f#(s)#sLsxCJT2O|DQp0+JG`%dPuIyZ1!X z{YA@dHST<-&(GzvWiNO6xqN1Ng<~?k(x#{MS?rq7^u=TovGeYvZ#$g*P| zpKubhzrxXl%>Lw1q_4CItIPh;Shjwo(2+aucC*t9Ee;SZ52WJme0hnt7+}(ud8RvG zl`u(P6_VqVXhya^IR}F9g}6Ik=4mVr`fgWA$`3(XZpjYXu# zpMK0ZCVh3F3zemzxodpF>XLqQy1)d-=^GLz=^H{)4~b@yhNb-ygC^-~%NmQ^d+@?BcQWZ4s0^)Olu6PIj5K#c zNLYQ+#cI<3Ep$Y>=YbiYDnB1C#VkKBAjaddw(l_KZ7Lo3L;3DPTH+#B}Nt5PoaS5wS`l&eS zTt}pHZ*DjnEp8@SupwCN2FRO}yh{y}zA0srzSS{F-)56~NHl}=QGrO`oMg}>eN#$f z5$SbHL$_l~-|FZ>MH-sB%_giq>0+H(%2V+3_Gc*r&e{42G(A+bEHA~`-%{dTd6@mp zWpkEtd%|RYdq_L>kc=k#EUZ;xP_w65%FShsMeIkeTC{}O^XYgcxQ`4)`u312)MfuP zE~?1+r*-y(0=_&0Kc_hwUX+a=rRZWy%ULI;(az?g;yt$5DsH}?lZ^#|zOG4iAkg)_G}p=zCv3PPdn9hoCxs0`=JcoDC&R?9Z5Ix6hQvKx%wX>T;sC|YhW#4USUfp$?+MoHh1H7$E* z%CziVN!nqFdsG1oXviHS6NqJ_J=znja58+>at(rsQgVYq!rlK%9USmO%bxc zT9#K5@!x@!d%0)!J$@s!xP@qW*p8FF%cCu?G|fBV@AnoPRvqGy_uo^b4Y1UBnl2V- z11z*-ntX8yy73q^2rfNs(P}1mr_Y6|&9=oZwW}*I>BGtbtrJ$4^igy-Z*&7Y{2Z2U zf7zhV^}V{H#bKi5jvCVUIJA40w)EYu>F4+6OiSOFrJcP$)459j0=G&YXH7EQ2yJ0^E0woE|N!!dd# z<0ij1LHmXV~m4eSea$y6kb`flW>?T85t=2-5h{4(S8CZTJjY93fhs$STrW z>hyg9?Wd+qes4(osj2gX^!;VpPfaTX1D`!V5 zHOBY!?4JV5vc@7+b#Z4+V5eAK(uM34=fjIyi-grx%L(*+0!2bJtkDkma#*AH7e&L` zo;?qH7VV4_EzfurWnctLz#6{X4UmQ`{JIqs?@q}v3cv36XgfK@G`-xV?d0@u_^$$O zC&wZ~v$8STS`ZB~C`ZAB+#3_kY7B!PFgKva6{ZyFg70!yV?5OD7mD=13WUj_E?Y_V z<}wm?oC`WyM%rQV;6Z3+lxTT2rBndTVYCI!f7!Gbp0;^gj)FkD{R3Ir8IPsXx17@E zd8ovo;r0_-jyr(ezAdK<*_QjE7p!aJQ`eUB-6*Sp8@6kMrX|T&vvpE=k9~J^(c);) zQq<#DM5zr*UIY^GGwM1p-S|PDHuNK5jFqu{3nJY{7(j#4`nQhXncee&Ko>HleQl9` z&?l@erF4K0CHHJtHQ$qCQRI>1TDqN#s$)dUV^L1xcOAm%9o}Ma4;&!U|4ync27URf zOj`_UAAG1pTMXhbVxp?I?Uke^807aFinfJ5HKegQKALJCpD!~ zj;wT`f81iUI2Nm_HOb&%hxWXiztyB{EHh(!Pfh9Zq)F-VGHv!r!kCm2BK=5# zL4(q`#*@|R$>T{~$X3c0MEdbEVRb1jj9KYauOmuJ`V1v!-deQWn~lfPqap1|swq9; znw0+I&>p2Ej6o@DBQ!z=4N8p{Eme;UKH=&@rnFO0r2pd(R+rKdL3Mh-^tOZa&?R%? z-_hcD(Q;i)EIpQ@%~Um|{}fG1PZnrvRT9Raw37orN-=0qntMQULw3CX6m=m}nwt{o zCkuqtrF3Ybni5W{I-rz4W(rZ707`|pl^*wK4_HmNCDYU4M9r%Af=tOLMX!{ZvR;T}sErV(HipDNSCsh_p0Ov|Jm;DLql5t!p)<|7J}} zPp4=jTN1{wQYIAXCrS(&l;(`y2GgHAb0YoUtS)3LWq>`MBCIZ@1N>?$9btE5rDLD& z`zKo5MzmnDoEPPy>Cclk?YOHcJ?)#6p7Ch^T^2C1QYHaEvKcfeZNK7_RZQt=Ul%f^ z88GWJ9$|GU?Qd68qPcVXL+w!w!@ft0lR&8$r}R{gHVW31o++D@{#T-HgGm^}N;Alh zats=jHs4{V*O<~XWnIXWro*iND-l+gk{ec2TIh8|Y07=W=(du{qU8oBPU*h^?Qg6p zJ?og1p0jDwcNQ?R(sc5zfI)*&zyB4^2uh1hmsM31d*2X2XwM1`SFZ zE!#{v-t(a@WGii76zLZN!s=355L8=fzeGornm*Ywj~1tjmTQ8zm7Xoqme`uoiz$;* zDM=e-qozq|dqp zv(nrSt+eg_X#;Xi>7|lMX+@E?BPU@DN>f7kQI8B=?k*AB6QcapK zmF2GXiqguIN$IsDEt-)q2Bnmbd{Ac4ptSyd^Y>v&D^t3Vt>gwG{aTW+x|A-htcJd?$NJ&^fgcJ(XW`k?wU;BfGM|eQP=d* z6uDQ9LG7bsh>WMP$VaD-KIRN&|GKLSnf;ioNWb9_R+s%1_1Ir!cf@{dIBXD_o+(;h zEXLWt2Fp&wXvYz{(l^<^X`AfdO3*tANJb+gX$U=qyaPzv@d$mRDBq!=ja;gt8Pc{dB#hzCqZ6=C zkwL?qx1Hbr7-i6sE@Vn~*q{^=R@b0sdl97%DMHV2JG#GU-i_U8S$mdfd7%)u*pcYnYH=d#N_e%7(5AHeA&I%8KSYR*`#}VoGN`y&qE{lnCIW$(+SSP1u zwV~o1JWsBZjP5J_t|wo5r^E@1cwpY6UJUK5C_@tp)Z+XT#tMscpcLnFr`lMTx2v6> z#|}lsxuWHtI#wca-gD&}0F^lJLsvK2)Qcg`$`G1x8Pwv??h5ZqjY)BCv#Z6q&22|J zd!4h_@n~%x?kUj&P$W+1$k!7paX!e=%UHN)eMsBeHZ1{CFb48p2G+{FsiQ|g&hgrg;IClos+QB9) z^?m1{Pi7u~iaUvx=fb!+A0*_99hEpArRa4u^f8R}P6C=xpcZF1{OO|(BM#2NhK zQS?ybd^~+prY$;wK%k$#%J?TFKV-`v-z zxVvcimlqf3Gh4nRR*CaPmUcP9v8J&?xb0^)gIYV+Lpxt&36tWS?^bK)e6JmG2A;BW zS5(|Xv`(uTvp!GA7uYIszD&_8tEd;lcR~-EAPk@uXA8LZmnp)eI2YN~;#}08IGtaa za5^e3fH-zsJD-R0?Yc^wuafl6Eb7G+rwnmI2DLa%p-6v~But8PaZEcGw?XBJ2GU4CBYdNr?2`Gfo@16ocWpK2B6}8 z5GNHEr<{;4>Q>@>m!enKanF%uY8gWAT7I7uc_enZ&rd|x~d_jf@8Pwv8hVOhY4`gwCC5~vk#=~jfHG%xH_S3%I zKiJztYx_f-WL%tY1AciQ2m1GAdi^r@9BF3|eCOMMK`qYxS%@Q5CdIi{8%v3Et<#P; z{n|3~(b@r`b&3}k=R2R@9?0UXF44Q8W8w@f!7zSIoIs>k%LAo2zEiCo-)={o@kjM0 zaTbZz$>q2>-+OdtvLc=Sp-3;T=AI+%&@IN_dkkvrv_WG(6bWN-ylQc-OSB_S|Is@g zjfw|C95*h`YFECrR{7441$wJC_Z*3HWC%^T3`XJ-oD#gY5!J#{swRV2W(JQ}ktm(>!lO`MnwKxyhBK=d2Fe%RUiE44KciItWP}f06 zq2j?1$BB#cqb+YlRNDDD3vtTabEKW6DQLoGFcJp_{5eY)ixXChbA#QEI6Ka@u13W} zMC&%ixHvy0=&4GronKP)>U8co66a(X>nFmDyz^jAq<={f#^S_$=LWYOac1^A@flP+ z6yn%5;)MJrPL8Z!lk^^W`WSgHrd6Nw{4>-aD( z&TlTijg`guqX2ON>c!Abf6|1@U?dJS_D6v*Db6i1-?^ndagLq1*%zpIB*e+a#rfUg z*TJ$lf96En|5Ds@q@DioliwW%BXPfgaZ+({{!H)- zaiut!L`t+ho8+D&?Q9Z46NEv=NSuo~tg zoKU`zm$Pzaoup`ckvcK8vq=KtgbZqNp7jI{CXB^#sF`{*>7ZWFw z@aZ`i)k~(K1aU&@#qgcO&~?IRP>b`ND{wGjQk;Xrm^f}b;&gB8inN(HRuErMNi4k*|za z+F3Uz+FnX=&&qe`t^y11htSvw5XY_&CzNmP zR@zxF32}VxIWpEaNr)3N7>NT{UN1=)Ysan@XLq+9agOXecOfdCC|bu9;^K4)K);DnFP5~M)wDXDsams{AarTL6XOH%@GwnZ97op-w5GN5Ar?W5LTdu@e zzXWkS>ctSJOos6p)Y^FkuDpJUFe%QSLA7?WK|9(RaM`;5LdBCsD=tr0uexW}^W=-u zl{j6B5J!?W#Q7lwO?cFG#dlt{MW#!UFe%PXeziC|wP&n;yY@$=#3`b6R2Ua$eOJD@ zU5T?nLA1T;QZJ@BJ~W{~t({j3BC|n(4rFm+#&W%Ow9~WiqC-$|Da6Uf#p&Y6SH~-H zy5=B`w1FYcj~+DPP}ddhtW1bZ*BoIiPNG^n`?jZ@&3`^(3M#gU){#M6oDFRG?s_H8 zhFM(ubEp?XoS$51!e&rwXJt-gHp~(x#n~;=PSboxh^9R~L0{HB!RSA6=F6yfD#S@C zaq!0HWg*7mOxFZ$+%B4Sl)t*AtcIUT>d~=G_oQfh)KL;-x|b)bj4NXeP5-1flr5>LwNUaK`GRf`gkU#O%O}}jbf=EV(DjxB&bkoc)1KwT?S1n z_3;#@DTN}lNrA8mB^qb?O(=ng(!H{MXn?d6yt6f)bdAPhY32o@Ymy&3+ z?Ju@KON2Gl*+{Wzm+BkinhtV0qLkgY@iVme57F9Gj8obW{`Of?Q|cd>lm_^q6p%0m zrB8i~k_t2^?Xluyz8g2wKPps|3L-PWMOt! z5>~?}SxspmvckQ%F-{7T8CVu=XQxOQlTr#kLl{7VQkOxKA7CpDgl)KxDV+xE4=fW_ z*Gl`wC@t*J@eaOZ)c$DkOwrm{sG$^sQlKdfa!pEu9Z>Q~7=zLZq7*V{P#SZ_emSNz z$km04Qch$BJA~Dxw9u)JrFmgTR+{?U-ru0bvp^{kr!*i%Pc~~xgNr65yMT@CmCp5D zyKQ?0@FQh9gVK^qCPN)}lK4dupG>GIg(71Y2&+qJo*$#+bwsK2kM@&j@odq$c`i}~ZJzDY#*{XtGK11Np2%z(5>}Ve9zivw z`9VjNHofQ&no6G|T8D;lN`p#P!z-?)G$dTcLC zpR6<_s|(pm=afZevlL-W%Q^V9Cy|qhbiyRy$r|!JZj3^shyJUtYjjdfW zLjp5eM>%-huuMWlTf0~d{|jKw9E191{{4x$I#BN|xqk{#kkrRv`h$Fh4WVaW(PJAG z)qyVLs6HPJk8%jB8`THLa__7T6V>oLN4|^}3!-(1A5T=9LM?B4I#CTrYN`zSql%*K zQj&!Xtfyu#!bqD&)FVpkoU_j>Olf$EYv|$R+!81i39CzKmRFs7XW1R)-mPEX>K3$k zJ}4#Ql!lhAh8G-7X|!!p8iQE8R7oFEdLF(ww5+9$C~dI&r1zN8Xj>Pum6kaoGlro0 zl-!t=c7Q}DkULaaG_2QI9BGg_fX-Z>qCZ$vsltL25u+m#l`p6Q4#&ELj zckYW!X^c{Ww$ieq$fUAVp{|u?2Gv&DJJAuPso_=w(c*=o)h@>=H5RRgcM6(Psw(ET z^hMicQW%5M%N|l~v4+x|pvU7(DHZ5Kw$gdF$ZY8oR-ckvO=)I_j+fYCHr*|M5h%HF zN}~&iC8S4qP(oz3N}5)}C7HI%0usic^hQc#Mi&?~taM^_8b2E$5Q7pX!rH=_2G$<{d zo#A~NGGkp`$dn3D)i{T+`jisYR+`bFmBb)xDq6e*l$)Jh~%UQyQNz-a?qcMgO*&vr4qggn+JevAB9@Y}+D$S9uH?1oyD^ zSx3HwFf*RYpb|Z-T~`)pS7T&HzCbo1AgnIwsc!XrbSh?Ui)do{|2QA*y5r=|=;t!1 z!d9x#6THm$9PKTn=c8NOG0_1&9&qt?1y>DZgjpw7=`Em~=H6L|6+T@88WXwl*K?WF~W6+$BZf!GW z&PONu`Z!5I&L6k&G{*DM1@P5LuEr|!(aBDP;S0}4r`Q^+%tyB+h%DVS$0Mu0<%-O< zVTAdFy_^)8sR3cIw3?6Z;)~37C}oW2qkCpW#_<@#fm{34*5Ath|FiytJ4cX|my6bc zg}C*%OVOT1+WOnV7b@1DTx2UM>zDZ#TYn&U($=4D zTMf^Zwe@E}Iq!Sg`bfOAx015{%z!qJB4rrX-#G`Zr5H4=zpe5J)B2N(`Z&Y-WsR}* z=R@NYqxLG+pODqZNlMalws$pFvHmnC!aTC{`(=@tZbz7}Jz@qyhV^%b*Ubnu#@5IA za|Sa8d>Y_zO_)OI_dL9oMV@;&hm@2;?2ipPdMJ1Hlu?(UnJZxML_G7&$XN}cuV?ks zFsFDHzj(BAVB#gN&V1V>t%hfEBv14(C#t3(xB|Hm*fS|I(~5kckqrlp9F~(?_+^~3 zF67MjZcb#jNfK5!^G&StNOIGbkWcCZPo=JXTh?QPPG6(xD@E&oT%7&(CE9pcv!9s- z`;=xsrC<(hPLg)VW4WWee%Z^-JT5vJSSz64$RwXP6NqVWfBxQTP^Qra>&nFjnP?vXq%1*onBCwTx1t*GoXwe zQW}$|;0>RD-wt#t4a9!~J( z!{!wSALc2)o@Ds-yYn7HJHBY$#E-L_8^EAt?bmZcX>7%Eo^Lh0XtM}95ZdNsAw$5R zW=Z}$C)8NPa?3~hKSQAYRIxnqmDKgRfc{okeuUQM`GiT96Yc*ymWTB@X#>=`4lI*# zmOCafEFxazy#twfDI_I$1lw}QGA;U2cabfzunouBG07lTLxxI0u9U_imIp1~v@3!7 zQ^j(`RY#ww3+Qi!B`qEADD5m+j>0G%x8;OT*>ac@dF(-lPC6RxTrXPtdht-rA{=*& zZnoH|M0*VC9JP}QPIwvocSoe&uLG@too%b(tB}Qu>^v82vkrsW&N~%FW+yZtdC45xeAk^il&L1r!n^3uW}-@vrU-foAPVf9h&H!jEd&d)(^ zoj~yri`?~>#melAcpZl<%zU#&tKmyq^W7zDHGJWaD2BzqEQrkf9D|xKE+5HfV@$ro zJ@6=~;}qX7iqKV2W6byYlF00mB~0?&%1_i=*PZ|C*bzAFMo@GW#b{l3eu(u?jGuep z0Fl`>Wi`Bnu~iO%ncb4+Xu4aOcIClgAQg?KD~VLdpdL-%@TnnHKg6Q2R?`hi50idOpu=el$eZKI)^S&{fWzk2~T*}X&+>dx;*tYx^E>R`B-;v2)o z*h=tiU?0$g25$x`U6h+%Lku&b$;8#uu-);!E*8}a0y9D4KO%N6otcDLXOAL-+8Hl)fH@kY;6s|q!A73K*w@?q6dW(m7(3$!Xm3G*FlozaZf)>Q^i{GM zG-KZGnyC*#JLq`dh*)zwfN9Kggo(M&x9u<5GY^&iTTL<65z-st7 z$Kt7EgNBMCvuDVl=1U1^Pj#SDWE&-*J?Sv%1>{O-48~tzYmE7R4!#Qm!X)1bcI~`i zTNj3&6dHz}6hy=aopsW4sC+Ak+i~K1CoR#C)x`Jmk+xIX(0iq%8Wiz`SyltdBr{L@%1S+hPCe5gyEv8(Kp<)u`(!* z=$fOYv^zv=ubg6prCgr2x`@QP;gCGl+1HU{gc=layR_W@pB-}ltR>b}28f3JTS?rU zqQH&FbFFxb)1;!)h~P)vW{1 zT)f2rhobhKqP1rjH=`TU#vs~^2OtV7p1BC|x{j2`FyrtfXoiGVdFC;XcR|dOXC9E! zh3uK@G(HdLCDNXZm00i=ASxe6c z4uo~j4E2TkgB7{Dmx^>WpiaKhlKn~t|(;=4d|C%Dmx76ty57il`ZyY z;Y=@;9qvLF{Gt;ukvYPl9lY@s8r9;;e7%3bpt)4G$Yac0Dm&2C$5ocf4stZcOJ&)D z$Q*2IY;K5-<+9iz1d%D5BqhdB%9tc3u|tCh^DuUZ&bG)L=0_O5@$%VXluAphh0-jJ zJKWWnB;2;MB+VE)-o~wV=pkW84n1`4&Of30-J-Qe5O?UsWuCtBn%H4g4t+?D?h2)j zO^5bGW^tK8BQFhX+3X2+=)+RFkR3V!N{8eKtLxB1g4(<^gyu)%9V0Idv7=#gQSaF_ zS-%I2Qi_pIESZCfW?nkDVCJR6OU8RiGK-V^1~$%8i!f|3hwzU$zrBy|NzTC5iWD-%|i^u`rC%B!lq{f@4xc3N?gg%}|Kr27RJeqw8P{q!l=fXY;_XL0;ZXlJ=--LRyn>MWQ!&bCCC zXsWlwv&05TeI{}gM#jo1*fB1jmvBmLM%NpZS!C518uA4iWKf@pY~aCop2m3MM`s;$ zhQMCIN}HR4Ze5LWO6}^3Ofx~y0fxtZc9eglk^3W9=}Y}f=bg?Nb0%uHg1Hkn@^J;) zR7V@R8NpgHay~GPe7tXouF525 z`-Igs@&Kor^g!g7_DRn=c*-eg@n52~YcWnb4_|JyHR)p=lk^FmN%}puUVTJSwp2nDTH(O**bP20VdZ0epmXA}2rcE&IobOn$J}npHi4QyLmh-GAB8NS<#bZKcVi)Heu3v`)lV_X?Z}U;JxA_(=J|tSZ}HO@ee;lWs|wr2m4jt=!gh znr(?5DH6>j4I`bJWY8eJHOv*7bro-@hSZ#}@S>)8De_xU6R$e+_vpMotYfe?@1#N}BKA zeR>5ci>H;>HVnr4TcBi?d{M;(mem;Zr8S$sh6+=Bdpp1gB3-dk+YSjt=I=gXl5dZo z+PmQ!9e8*D;p=Bm`%y4Y#l8FQDcUGgd-v&{>D~WuP47O#u|)48iD7v67Fo!aV$ksJ z8M_{g_YzS^pYG|x${_p?S7Yqm8`&arhC^6g@9wTo-Q@(!T6Tsj?%M}b&Sf5~zwES% zSN9z`<#99=h}O=&;z|ue<_4;Yzt*yU__ya z+_66YX`|)m)5{DR%3eHRCSH3tIw3NDQRY$S(V`EqGi|C+SFt^u+BK0L9=L4?r-*JU z*)WX4XrluT+5incE?PTz@i00I!O6q&OK1E?qP8wVg%&=`dgUu*dXzRBZM81?m`DYU{EPvAH4&|XNG3?tki zqIR~g+-x9;cZW&VMts)O<6lGNCq(PI_s*#zEAl7^gPzo)BCPOoBx46T%`}aPMSFfd2t@5y7O=VI)|fImUWkvczIT? zie}D(+n6vMb7n$=3Vz*!4t|dN<65aY{qBHI?+ILyMHdKBJ#2rN(sasBIG6h@S z8m8j?{E%-O<2leW*AjM;`i;o(T_pvZL8UKwH{AK5#z2mS{MFf+w;uW@f%-!z1`ICT zVB&61=mPpnDATn)ky+*vCZoKwTT2%STQqg{3_EwK44n4OGe1P-|B6;ojNAFrD!OMN zgk~4DozL~;9ds(MpXbQHSJT1EU}w8bGR0JJsm-9NiZhBDBRh+>Ob(gDQ<$>)+!*RU!Hc!kfPCP&t~JkVI=;71m^ z(pp0FsdDh{on}g!y0qfpo7p0BrAN4=EBsozf*jmJNJQgzgCEiDHGab-sQf?BO(?q2 zMdqtqlkSyeNmpHDzA{O7lj*6-)s7`LQ-+9U{6zjLmqdfO>I{d-Us=`|Sr*OYfF%`H z3F_pb_Rj?BPlfZ)gvea&5H2}4I0&fNB5T4`r#fJzZv)-^A-Jt^DsJ2OXC*zY|6rchW1a`2Y}}UDCuykBw!F@_1a@;# zZhHwFby!i`^4id}<@IGt3@?ymQ3RtQ=>Ga7gWMz1_ap~&1=B&?n-8~=#8=O6Hr_T95bVagD+_#!B! z;*@Sc4jNa`r-L`yCZ(GZ_an0`V1<$=GB>yk8k7d~KK?PLbd#+MnbOEWWNs#?KBZs6 zTKD`FgGl4AWUeBon#Nx%spf#UH|mWBOJez-e%w88W~WoB=H{FwMy0fS-sqUubNoQw zgQlhpx8T?4B*_`MCtjC~ba8XYpmxtuKCqm|$UTL;MdL+EYvZrB;`>u29Q$?J=v6fI68I)77UWssEm_E2R>C#El&lPzR`W}qB`D<@ zoB+6xA? zItYIbJM!43>v!4%wO5Gce|QzXoKSB~Rc-{Znpe0LkF}a#b}W%9Qpd(6=HX?Lxi!V0 z;jxp;EjjX7YC{*Y$8Kp;ON7-8LX4c|ErE?c_#IK2{?LG9(BjKt`S0awO3+ogawCA% z{EBT-dKDhBWr2h-D2;Hb5`zY%!;2H~q!Lkj#ny#PX)9plLG4ibDMslhuOmvyRGL=! zUI8UHPU&T&!>w|hSI{*yeJ_RuDNOT9cOcMD%Y>;7UC5Ni z1{PW(tge-QbZYWS(_{~MonnO)P7AY|FszR-8V{=n^>&ox2nSNZ76oUQhqch zj)3LfP@v(vr~Eu6!QuFpO`=kQWZ#|OSj}$}RNr^M^=f^$R|wzz&NqCwcV$c*-+NnH zdwE?f|0NN(<=depCKRh!$eZ5@EHSY_azLVw5-(}x|Y~Rae)JqUg*gQYJ!9$ zE6PS1o`>1r^)-f&L=hJ8H?g+gh@O_Un&0zuA)9@hkXk3KZp!-Bt}%OK*@fA^MYlR- zHGUIDDQnyXb5BKsZ^G<_3g`Ed#PY3zG^U&iLDZC-{K%O)WJ6k-l+{Vh3gKCeVm(y-z}6URpr;2yMTJR^LDRrObi`UA;-c+H)cr6= z7!!AEi1YaLrBgAsIX<1ddhA!I{I*#BQy3@yQD7STqbxsPA?0iSFoZm1JwAPyu*9|{ zZTgRWOH5U=N5skW9|a_6g?K{%EUPglzO4&Fp~4jLsSYrLq#v-wx3jJ0kA1=<@vnkf z;g#IuSx5&?VpC&|iXRP+Kb9}D%#ew#lj)5C4_G5mU% zZ#93CWKjF{Fj)HIl*T}gefW^^yRRfre+Z2nd}5a&HP4^QZX3RY`aUUBB}w;luZC{p zmkH4Q!mrS6{KAds4s7T_cZa~&YyU+ zYNS>1xlNChlT48+a4?$q%wbTgf^7dO8fV+Hot+Svt1xBfp{VgN;1bPohV22~@1QtVeLjm`1Vu$bXebBw75#Y_iSnJ_k3 zSnH{u+VIp*0>e{3Nko=w%IuOy<@Z4=8MoXj*9^?}$}%vO<-SC=qICyO#b5dIsZ$lS z-#C_-S!6k*j6^}Z%4JYnj)L|*DSX9p!#!9eP{+yUMcaO`=2yPP*m67AR`WLwVUqYq zYYEYhJrMseG>Cr`M#MLtdLW(sejt`(J{|2z#2E?G&*;Vu^inj5uPX5xl9ZzPTbN~s z0!d*wDN!xk44PEGQ9991Iy?!Ll+Y29O_r8Ta>UEgH)9I8@h3QW=t=qGlDoojOIPJ!VLQ;-VVp9h zC>akH@fD`Rlui;?>*JKmHbOx^*>s#F{=S>28BpG_)qwJ@6Y8QVDU}f|17&}{uTC}Q(_S!PEC;Ymw-W?f9wP}g&G4V&NU|&ces#1{UJ0; zM0>32@tiK8zf{O9=Q|kzpNr+?f+D6z_vYU`ItSNT=l7B&<`(tj;14+HuAUCaKap7H6{tUBazJO- zzj+MmtTWHHntv~8jI$1%*!@vtOq*#()csR7C<(l^maHSki?@&yqH|MYyy%?kLs{nw z&`QLka1Bge$vQu{me?^GFR+{_lPQlEe>moNu_mOQ+F8!3th0tN(!R<%JBCP^uEsbD zX}tJdW5#&#hog>T|7`da$E^tolf>U}Y6nU=e}6qNh#T|wZVfG4qVktw`8T;3@%%a| zgLpoH;M`58pL_x-rZRtDle5H5dZ5fVz`^%X1~Q4GK@wCGm#6J$R%1jQjfNH)SC}Hc zQvi}VjZt7?lfI;djtFDob@uLRd_C-FEvEnYLq0+?Uy0?bLhaIO9LcZa^8(u|=o9@R zI-|9iyeJuKF?p+KiEN5x1Zi>z(Ke!Fp$P_!wHP#{v1l!($Ncfv$h8=>s|$H8ChJ>h zov^xVF|WCGR-#tI?Cq~aZPhsUUugPku+PTX3;6oZ7*i$t=09!xDyx;K{JI5`eJ41; zd?mMHkApocFI+S(GmUIS%y$u}w#Fj%O_LVkQJh0l7TVQ?%zhWg%6G~UR-b*nb+}iY zj@UQ-+TBOftHkoJgE;$j!FQLUVxPAhlYQr`$$q_*C3aPEN9=dCLB>Yo)$Gxb#v=B8 z{?>x4A;i9ORu?k+-4a%Qy%b?}*{@903HevuwTJxCD?0T>gWrI2DjxFd`DVy>f(utd zzQLo*jyf_rg|xke%D?&bQMQ}3QZ#PK8CHHhpMPj?CV3L63!KrYzQ+>&MN$KC)Nd*JkhHT4MLCcKQt*5AwG*;cmJ10$|?fR_z297Rdv-br1t~OzH&HjpC zC*)sBbi}^vkG&s3)8C3UyOrbYyCA9EXlwSJ1550Y((E^cJ1lY4m4W<*p(Pf?*<Jcg54Kcj69)$B76mc_upk~+k^~)&BXm@(Biu)mM z@M(O>>FA+`0e&Z1)BawpK@N+~`pHMFd^ebN&yqH4GRaqQ=>Y^Qp9J%pv)af#O4u_v z$D&1buF8%8N+(WKtLEhZ?9|jY%WpMW$B1M~SeyMlQJ#X&S>CU+_Be z?Bhp^9nj)xv1X5&xK4VAU8qeuWq!jPJ&B`L(6ea9b*}<#NWkJM(g;)1jmIE2BJiXQ z95tu0$g_{?xz9ly*FB56kVuQRqXH}6t3X&?(l1slElIx^c0_vEF_Ujbi$91p$7SP| z?&(-!VNsLr;aXy^f+pP?D!3PA(jNJZvZC$E5{nyIdM^;{=`g6nd2cA9hpVxObnjcI zJ;|hd=X4>HzQDK8I$?E5KWEpDVK2Ba`SY$ZhP_ZZYu;$9F+I`Xk7CUk3B@^z>y6;u z(R@AM%Qmhh<-7Zq*gL1=x_817`($-o_d(gdDVAL~CLFNcC}2>>HBLa#tj2f@+dD+O z`U+EVy-#4}dlMvc8^`s&zLoFe6DH&Oe_pkVKO1yp?c?vB+6T3N5^Mfaid(x6GShxZ zZS9TW@W;V>U?Py{>zdZy#KDne5+fSA0CU)Wr`Zy|i_pT)Go_B894ek6a)|^(8yf;C_R+4w`P@Y8d z(nx;eq?x=oF3TlOK3~fBLn?QnkecMZNs&QqWSn5>%Gi zhnH?T)PXSsjfAQC*$he+Gz72CE*?F3Zvyp)=3=13h4b!fK2aCYUqV;v!t8NV&W;yS z|Jdp-v>*eONrKOKwFI9*_)3CL`w_u|cAqdAb$%6Vc1kINdhI9QA0`ArJ|)igM}zw# zH!^Zx!>x_6I+tc?+1}c+G|Kry(eG&oM_>^NXeaemu+voOU zN1*cWV$GShVyZJ}eh}jCQdmQ-u;vGOm1kwG{GcSg=tZaFfksA)F1MB=KQ>Cp+~|c=f`T@x@ia*`*FkA zO+zZi-fZ{uYpDFESaXbaYb|shyI|3dbBgm2q^Y}8n)8r^u~wGf)UNFMWaT$Qgde1A zqZ$)!6aYg)bS0yi)JP9Y1IuZQjg7UkJXt{=CymWBtxau>aXcU7Q%8hJ;!oH}GS$OS zdtWBxsY|JBB~vj<`bJ` z`9LFjL^)VcFlY@{KNGlI6orZN_?p0{79-2;4{gi0*+;_5T{}ts~aVFT^ue69Ts~ zfoyVM#GN{A&5ZB5#IU1`taih|sN{HAk z6lyH1<5cvnf&*<)AWRZ}#8%4y>aA-JBb&aI0`W(%mDB+V`1h+>{42{#{P^!cFH*rC z2PdwFs0|p6gNh0;`omCL*p@h?q-T=Da+Wx>$Qv+N`Qh;LTv^X6M<4SV7@sB{0=mPq8jH>>#^1Q)7+xqCk7&&MLPyAd*_D{Br$djT0LC;#ND_!|i$j@Q#8jxFT z8St+K4*lB7mltj2^V?Q_tjA?@A-a_x=kl*PvfkDX{o?1ht^9cCadD2f<+Sn>;CTJj z^V|3p;^7Z)oFiMA7@!9aU*SReadWzt2qH6Bd48J&XRPx4Hn311M+E2@Y$BmCqCtt~<`v7!hM^YbU}yP#23sq8MR5VFPR;N*~0wdVV_tHBAULMuZ^? z>P`$8!y|DIc(rc-FB%9QKr}v6ROciQ*ijZd;E{DNg|a${HHBO}-p3){clC6d~Deq@KZT@jGbkPVw%Wpl~Mw7ev||LSad;JFs3#8y}joc0`-T+bSRPj ztj-P_lv+<0&|gA1$_K*EDcP;0+geMvQQEo9snBgS?0o!+^-5@OJ**hj4EWnr(H-HN zb{!dHY0JC7+SOrOKaMuu=yNET}Fdoa>+LkynsbhHxVmX`Au{_10*FNf4p6t?lEp;qUg}RSaWR(U0 zcvgN}n*@dM?Cv59;inWe#zWY71z?WCl#S1`LDJP2JNHqpm7huw6Ti=`B`#MQ?n5|4 zNz7Pjn0vy&m5^lvv1X1QtD=>oZ3}!Wx$G%FHKgTz?mePImz%aNFevG8Fz%K=3a12> z4{-%AF5Kg)eU?^=q#`ON9(~$jzs3vMo3AT_X-H+##Ko}2o0}}8Yg##KTBnk%4!v^` z27`6FiZ$malx3r2wO!T{N0qc?r{<(Lt4!>qEOE3lN<{Z)$I5S~bimcvf2Zb1t}2#W zzI))Q1nLiQkCN5UzpaC4tbC}D?U{p(ofMTwmUla~_Pi$nN5025?0JtHIr5@qgSLhw z8;Ui1X5)^$J;L?oK-1kmDKAZ_NvPw~>ZW$&?Sjf)TvmRXOH+E1$w*doiE4X~LDi(7 z%Te1WHAaq%wK%BE@f9W=8Jk@J+b+-;6Q`;EG?y?Yz7|Km#|H7cp{mG{@2W)b7CUaR z0s?juYnJIjDau;Y5v7&Ucv?vgN{aaQoY9okXV|oR5=$0kEgGVxI}9qSGDA&MI^kqM z57L5uSshm~cGoVG=#H-FQ!#d5-^$OhsX%h}0_ZE2p#K76%f--B!^p&_{xcg3}Iuwv1H|7*j zGt{&4X_s*3yq2_eQh?|^$u&5ioQPa{(Q_w#1W9{}HK*zsFn{mwOO_z)Z z`|`8Pa@Qmk%X8rF$7D&SNCTX$Geriq`9Drr`HZ76)&RwF8oHp$Dq_Sy95=hHF?Q)= z0xSQ2IC~Q~DT?!be0ICQBd7!70U|Wla)>&j?xG+z;(;t+qnrxZ47VJPpwn_KEuQhf z(Rhp4QKQDFnW))p)M!T&qsExg81HC%A5k+gM2*+}-?!d+t7~W0@9yv4pN}r{bocwd zPrXM~S66p877&xf*H@RVA@xXneV7n8C(rsF(7ik8*xhP9H>iy5Nst~r(j423cJeVG zk)IR`YfXl1;g*7TI>HXb&}cQh3V}$Y&6tkuZZG$dAnw3TvBW>y-Em=;AE;PsLR5_> zNV?FESH5#YE~cnkYtro+X+KY$bG!q}dRndLr7KI<6o}u%&yyq5&y!u#&y#YdpHE1` zoAJ|VQ-zzRH&0fP8U@H)mJ=nSlu23@nKKt)?r;QBVz!P~TC zXPX#sJ!QNaV4fL(pfY0=;QQMsTw$ta>Y=Rp9C&QrU#~n_zXVTsfpw81ZP|l z;vfz@In8BEhLW5fI4M?`@;FWpoP>}p0Ft!=AW46~Fw8_YE^yG{(fu_f21dJ(< z=is=s!VHg3&oD+F|A#H|GfRjO@u2N=pl|>GoDS^S?;Wta7qkc0JUtya)00nTsOi92 z5cA1&;OqiT>!EK&{^T6}qUpd>T={&5nhunaFzuu%*P)f%9`PQE6ji7}J4A6`+MY0?p~bSw+Ik>A=|qJ`M@c^xerh z#%MZld@S;(xQtDT`M5b5jlnUNY+`N$j>WjEJW-y&~a)Jv1B@M zJT$0RV5|}T0gp{WD$LIj2GfCgJhx&no{QCB{7hS?`H@f0+X33Bx7E6iJ8&KCa|7eC z&ip(Wk^R-?!hWhFCv+(s^PWvFn~;H+KPAE!`k*OBwBuCl+<-vt(cT4r%<~wdXvZnm zQyqn=G{<)KY{n?f_Ya}%Bg81$+v6K5zNBvrovi7pe**zyTJF-zw0Q1sCnlAv3$j`RXKCb5=E`UTpNMcmho{IpB6{h%NM>Z#9 zjQILCO!vBoNxrMw;d=)A_wufkI8xg2PT6mi(D{aO$AphdMuKBS#PF1tBV^qPI zVLM?#>Myh%*49s|5n5GCOz6&xG z-4xG@pz5quG<3Ix@w~9CY$lPl7|#m>#)vP*?!vUflz%bC7i1VC|E>jji%N(|zNdxN ze3wIiEia~*hdQ3Syu9Q4z_%~(bt?HTfvmh;k53jCt(GM&`**QJulFXti#%bigV74{ zT?(rG0-2}z;)KZ(n?Pzpt0fzV{Nf^G#CNC*n4>V|-*q8y^B5z(7%xi^BEBnARoygQ zd8{@Gujma)B4|WpW^`K#yi~FQ|mwMIieS_WmW}w}> zZr+~#K#UD6?Mjx*A~T$qLc$*Bu?tTNfn^!h>5?R$tj{lt2xOMnB`%E_0~ZpJvpyOB zCj@zG!}7?!vu5%R{F|`c;E6m$E^LzJlDLYc{4l+oA=%H?EH~Q6z6N6YTdny*We_hf z3u{A(lXiIka|!SZV@>3jr-ik#$VK_IjIf#t+yW~~cInoujk&bZGO<%61*#n_`h)Wh>*UT3JCP z@+*ssQTF11dZnW~gyv}Of5Gmrie}ZIB9&gW| zWt)SIe2hLOTQoTwMC1{}TC2Q@gNUpcn%XSC5h5}!&k}u2>`lj+P#S}`QCwt0pDR(>()|bIIl071#Df(4Cf7ytD97lYff0C)+?gS z3B!3^N!f~xMd54@=+lBAZ*9W)_>n`KcnALFa0ZpJ$ZsehCRsj|s}AQODN78SS2V)8 zE-71w@4fG1Aa6L(brfBF6JsL;=^S0QHm1z}X@dyU5y<4R zN7{%GQlJZ^G1&WTuoaf1@R^q$KN&&Z63#ygM83&I961l)59XIDyQZ!IT|o@#8tF)0 zIIGuDpm#s3^~PdlByWQFOs<$VWz5WNir`n7Gk0Tbyx1up1lE}0viXh7MXzrP(LN+e ztD4d4n=*`1B;y#QDN-0EiZu?--54`QC2LqwfFi`Ck3Y>-@s%&omRC&QCwxb4>GvI& zFar46m3+@BCGS8N`Exwu1#S7D44iD{8>G$KkDH75{sS^kIU3)A4kAhf5?_4bFp6bN z@*OkG0Ul+Asm#UREJ!m(nL7c_-CRUW@_ngP9n-MxNeu4>BO>mR%ym!4EnbCVuKlgn z8w!;ve6CHS4qE68MIQSnRff-lb{*%EEjo(vy5U@#Kz1gU=FJXcI)(S%wfpM`@`e$s zd!!x8Yxd_I_%{h>boqI5m!vzg%EQXCO8FY=f?tl2E5g_jXpqr}n^ZBkx7X~o0 zJ4SbaPDpR)pD6J1=N8N!>4JtgK2Xc>uv3_1oVam^#6XzBf zqqrVY7WoS@h)Lo{lq%y|3_BJUI%AJCe5kAQ_}B->;xg6)t=8*vm3%J@}Ys`=|nWy6#FN7`(2;{iN3#JRzfn30b1i&Ly$5C_2 zi>>n`#)xkn#QH@ZV!+p`+cT=<3*X^}k(Rt@tWRmaQ!|Gw2fi7r^}4t+oG&WL&!#fp zGQOXg!}-TW&x zp*4P7L`<^m85}$csL?|31VnGwV=FIytu%aEL(DE=Eb(cR5;_+ z){h;=$i0IjkQXB+iQ7RnaXSR!{bGZ7e_Iou-LmIMuw^9p*{vjgSw=ofqx^g+#BLX8 z2Fl}0U0VJ{Bg;!5tIvj}m8CSlB@MS8(WHbpJvnn(hCn9X6&mBxh%qTx-lo3PRhS}v zI>hiLImRUMmeWcC6d@*w4}#)S(I3|h@+hwB1|)I4e*4BlW6Y%ROZ?HDT?iF4$aVjQHXRc}t)$#TWbN z%R0X&iKC>zESJ-qDo#xCoMh$LNVD8)!lKA564}d>`LfbEk8|z zh8Xurx(BxXSX|vfPCIuO>qbIF8!{PgJkEla7%~-(nH9pbIGZD#-NQ?xp8+-XR_oP) zY6X3F@k+?qY?*G)lQNO@+ zk-yHSUybs->r?oP?=D^s_jq1cABz+D8zT4*7GqA7Qf>^bmIWT)UECUo{MIxGgm};o z8_ctzg_L8=yNf>oRd}Fzck!x_Flz`BpgV+D2aMsp5W=qU7(+6IT?>1qXNF9Izl2?9 zGls+nyB;A7*ddJpP_O+Pc<}mIW1)=Y?e5$SJIhjtjB#fzoPeCImU#t{zcFO2QObe< zntSIW89>kk0quldyCJH|_PX~12>(OkB>d~%jkV+F?m6vykl0|gURhL**H>8Aw#oME z3NqVOS%<-m{$Lz99tP!uVzeUZ!DQ}VTO^Q^0dxPl0%KU%XpP_X?64#URvx}XPdtz} zOb}J!Ab_qeBQA5G9$K}sqT`&9yy7sXf`)Hd0H@T9vRZRqWu{-9#?N7>?k0Z?6t+4& zQuzt=U`@(qE^O985M@`V(FU+fWx5UIt|>953)_M9yJZpN4TJ720D)+zZi+ED+SpoVj5;u!te%gMB#Gkz^8HmA#>l+` ziXy+wK}-@qBuM?xn}R3x%=`wV9d5O*(!r}AqMO9(DKHNHKwo24%=3&ee=ySUn$*OfK^TKi+ZVBGI))F zrX-1JH2}Atx&*4&9n&|0B9mbZ9R@-JaD+NpiLZSYoO5$Q9Y;#!ZJJx;xsvbLpgK}v z(YRH2lx;++6X+V$__Vb<7&yjiU0JBCLAR#O5yvf|S%ZEW;VYh*>aAs*swT@g&}9v} zHO;he*h1rvTSCStHjlw3i4>M(=kcdG4uZUCAH!GFME=$?;*#@tyK_G7@v@H*djwET zRr>g6j{Ic38b#k$!mnhpk8ktv15@ndTLb(W8WX=AV!Ll!;#SL$l*s?gLHjr`hL}pFya; z<#1Bo0WtPYj+63^xc1E$Y8eIYoe@0R6r(2QR5C~F8$~zE(14$GJ1)_Jv zgpoiP!N75MMuG`drLnBCkYwIAby=dBApyNoO~{=y?rb-;3+>|N?W#kVDJfJdN?Q;~`zFP$ZCjI0WqaMTs%UJ}f5N-P1Euc1DmlMD^%k!N>c5!8`CT?nIVUo9}^tDV7u3 z86C))JH;`=*{HeJ_x+v+c}H2T%Rho?xI9w*k2#Cl7Yic)OBZ43*(vSxGmnSR z&rFF7&W|S}B5%hv-+`s$fpfZ&b1{>A8XdGmR?7`#4(WSibGY!UG*&INBH;`lLl^mC zhCt?gMTCMF!*WZ(es8R>gmc57*_R>68*`@e_Nz4FlCuj!koxTZdI@)So z8ZbMqyG2OD=}>8Bf*g_mRk`-fkKjGYjUoQJ55hSa4BcOZmj*|07_j3PD_i*D%VJ0% z*WHh76vP;cB6jxyPdr(LNbU0(k-xu)7!inmU~m9WOePapl$jO> z>YWgN@7kP~zY5Giz0a$C^JBIm{{Up^yAfKEI86rXozSAvTs4NrK>by~7&;Ba0Cu0J zu*7L6UVKaeLEe}%XmSN;L|k&7;Z$)R(mjAco#`Z;hwPFBYL~(?ti8tqXHRkF?8^T- zPCjKX^1p^u{l3J3`fG66J0MebVP&1j_xD3&p7 z0T5aQ3?b=Q8YSSvvJcpdQB}eYx;a8j5}(~phW7M;c+VgqUe_}re#}=*zXKh|S*?pp zmBd>h@7{x}6dc5f{BIzl#}=9RZ(u+?C}!f#Zta`z>jK?U#tQ+oNfQS_8If;E6UfAm zgoyr4$e7I6F@sQ5Mq#pDL3A2Ox{S$AXUqTxSFDI2#D|D8N?q5^yuQu z&n7+x22KFtP9^aN9rMZI-@>@i%`oxbdREKDE)#Eo47ef99)HLNTLZF_!$&?j{GdZ1 z6L&+A|80peNxbD8XpG-_3X{aGmO>091IEbXA9y1Fkd2rmzNeF_ycTcwTBrNxjW+<_ zNtML;#`e97KnE5_bkaJNg!XGSJEi-NA`#Y!xe^$Oyd}*-%a8B7QW2bie zsRfaL7$J;iIZT5|7U26(L1BvTiLS^$SY!Q60rUM`WVOsnv40!#KS0IAA1%{&Zm5(!nl=|`{2qp5GZi0Ae0W;qqmnY7iUfXK zDj#`_5%E)VBL8SvVan0Nf%v0o#-yXInW4!4zKED4zE5>2lefd_`WVE`g|RcwTk$Cn zp9I8RMO=?3AG77EUscL}50ffGv{xU8fSRT3)8vM_BLA4J43wwAj7H59NM{R&_a0z?UFkaR~<0zBv^8sZ0stlWnBSA zR1WTuO#6k^eU0rvO4_!;w12|1_U%OjK-mda>pYJg$RnyJ0y8q7NXy7nSF(@i%q)x%W^A zjlZN3lYEERQ2jgcc+H|+cWMB;P6EDmCEsT~tL4Zvd-X36vis(k?_UCXiK>}pg7HHJ*B`^iZ5rME#VS#?q*6h=nA=;c@Ba)>1LjbMeSR%eT~c6bHNeM zmdG&G&1_$g7WtCRSkleFs2nyOptU6+|6GiiBmm{A%3;Hy_{snsp41=vkPUcYGacBZ zm<`w5KW6YF-|Voz7QuaGc37!kwHy<&i=L0rVW?b(B%B&S$Cn|Hgjg*{K=}O?nonA; zMz~H17#0+k<1ylHP>87aMYx6_k#g5tX6pH zl%C+#BcQ*vNy_KxI$j0h3y^rrUDT^t(v70OwP6Wg6;Fx$^XfpOTU}qjXf2-28#2PkBzgi5==lhCajk<_5!UHjY(@@87Crv{Zd`F9Ur zFUu}|pnf# zFa8eylE)=JcD(*;5accK@rjMMf`;opr^>U6tW{J~JFBKRAmT3EScf{ribmtWJz=o&}>6+dX z786YW*L3By%_}aVlI}s(o^AJ|CB2_H^B17wWUF-oCoa1t|0={MT#%~X^wo^K99NP2 zN+d5`RV2S0n-}M=1vpiQrWh@N7oo3)1ab@Dh4`x(#>mfj0sBg%FcryoasG15nC!x2 zL3k}dO!6HSSGl+Dmk`Nyhj~T{-xKIz#Eh;@O<>?D@ctOx=WvyN-7|*~ua#-$QD$2H zwY0gM?;nn^s_w_(z~OZdO#}B(>yf!-#)v8ov0h6nEb;N~BX)!7`ef5Sw(!Q>KOEd6 zIUimf(shRi$T>7Pk4}1~bzcv-6O5Vz-*a#(%hnqZ#8XP_>^E$a^FNB_P~!EH*#d9o zYPT=+&?dv#*aB}92sB&Z4Vy99m~trbkD|hqv$0iPFEK_#iG?MRe=~=eBtAN-EL-hA zF|zZkgEoU*bFJ3EuH+j-0FU&TZ|u@@yX50HVI=WXj?46$pzLh;CF3stR)~`oWS$;6 z4Ru96jtC@?RtsMD#4ck}aP1p8;1Mf~a>qIw0{%^eWTvAM`DtEIgqY+zriyR-PmH+V zPq_ia%o7b`JjGX+thXU<>m%m-7EGch^AK;Bks+!xd^iI9@OGL&#YtY@y_I1MIALsV zcgG%WKZGD}i0YEHaOgf&lN7QkCG)q-c#>p!WKf;LvKMwh91l9oUUi{tMRMBu; zSn1w(9q3zaruz^8_na_>7H^}Eyyza7Yhf6Be@+3-zx#ag@91NXk`prOD_^-))q zME;!;VMsc^<+f^P?m5%DpB$iHVJCW$-k)UI(@yT+Nsk50PdHLK?MV2dXj zhE~P(`#Cd@-^WCO!3Yt5uRxhg#NUOiKBB~N{eg?0RU|t#am?fQa|Eikw5$*?gk)n7 zam?BG3JOzkJ=TFnD>6pJPl!bR1F1w3pAc4i{EY~RPlyeVznK*6f%o|zgWma~A@5cO z_y>`^7*M^{`!RiiN$Smi7}joIF%LIin3 zR0nv!XNJNBTC(9zR0}GhSw0MLkEA=%t1MgaR>qXNfcRxnrpJ%UKm*rgMg665Wn6y* zozXvaTz>?ydoYZ>$h{vyiXW=W)`uDMvnC&x@O1-dicWF(z9iW9QJFxF>tW#Dj{?TX zz5989r4^>!o3(+P5~yOxS_kKTT*Bid-$tj(y+fb`S#^ze;$Cweb#~LZ$H2e^qW%e| z(!Kw5%pupuo;l?D1d_H-f$Y=n#mS0)Is`H~9CCf^F{a(S@!MIqAjn%A_wKgv>~DAn z{$=;lTfaWB5tDQ$rK;UKDNyd6Z0nK7h$jvj0b&-4`Y)==)~ArYNtOOIWzNZc0@({a z7PWu=pGEm@301Z}bK%YSvgNgVtro1{pXSg$u$cl}R`5>|k{G!cd#_Ja^O1NM=>^bw zMRlB103b{Ng;6hbS;|Jx)GVN@P+J9w~xl{tISwP{lh%usi00h9NvBHuR zKBDI_&mzcM;^UJYkuRqamz+;@s(t*aqkR0CqkVj;sM!ywE*1?Vs(k!!h~48nRZjC| zNa#-^rdkfn3jME8K4hYN{JDeg96(bHA0Jf^`G0!^Dv@$Rs$6D_h+~B=2MSXuJOH@; zD`bo+^f}OwpF4;V-*&pD3Bb4Rq|os3w3H^k_Po*OgMmv#!#Yl3=cG%5d&rCD%FEX{;mnfS={wwIMPEDgthR3gVME=V%f$Z`1 zKzK`_fsh?{d>XQ%dol3#P0 zsNY_$?5+OiSS@!za8sy%<;mfv^6J+xhq(mRn&fUNiTwW@0_ilX1&5eld5i%$blP@z z#=_mVA;=q|dN497y=f}%z`yKgYJ#tA#H623wxOxoPCpMB9Z)43l1bt^_tgxXeqJsb zb}d!9_nREP4ue^K1HIMo0=xI?f?T_z68e8d^P2Hn7Y5m7G$nDbeC@?IIRaG+jKSxP zzd;Cj&?rZ)WBIzEFcsHW-Tqf(j4Ig?p2&aeA}0BsQq8yS6sTl!_VyG{hjZ7$vU*Ur zLe&287soc=#EcQ&$+pOUA0Q_A&S|HBElxomFAkG%u3MZCKkejxy@B{j z(Xcm%GuxVPEtwY;t)8%k6`A<=W%@1=gkTCRNE?p!Q$=`aD@S(f0)~WI;b3yAY)fl6 z7~kqKMtNKdjq!b1VTPl>PcufuomhY(#3b=Wc2yqNJ&pxz5#)(3V2>vStVxWDz?M~_ zVR1ouoQEZTO+i@QGb+OU8e6Ugr9kvk4u02C)oQ;sXSECn(Fz^YaB3((1c98@L%`e` zn=zv5c|gHY7*eH7pFZVOn!&_9uyHb5Eqww38WEG67q(NhCFg}s`<%N?>2n>(J6$w1 zxRsnOS6FF?Yv~!k79#neKsnp5O_`iU1~_NPGL9819SPrZ3Dlf(U~bGu&N;G7n|klG~Y1?>d55tE#= zPIYW&J&5i3f#K)*No?0XyxVG!cZO&fSy{dP9bhfW{yMh1mgEgl7291s;}K@ROCaBi zMg5n*Blcf0n3S}De320dBv3V@wZRj9*Aipc0&>;5-_=u?OltY&5KzGwCUx!hrLF)) zh)LpJ@B_p>yM5v#R_%8_7`R$A>{eOL{GFiNN{H_SAq{te74aPlCh;AL#sJ*k84{zH zbcS|45#K4Nj8|nGiSL9EdQu7|;yVI0i7Da(9O3U!WQ?jAEYk!Zo00$ zmx}K4%!1=U^cv9sW2&~V(Di>53d>G&aQ_HKT1zA5yK`i8TmDY5(QWzN!0mITDVi?` z3IqsLHcP&>+m~ek%P>ZchAQvx94Solm3Kiv1!Kf_ZX`evVv_H?b{sv=h6tY*8;+hE z>i&9ek2Pz6_?e>qkt#>;QZgOAi)T8zTbW{7c3Xb8wDGKlzbm*sS0FpN+rn3M`MZ<| zG$VW$k1-@p(cG=9Fh#r(qPbg|F(Q6ON`NB7B=Na+b%f8w{(7!s5T6rh;=4RJ;szjo zmZ<;At@L;rhGI#C?^ZC0?`9hhsrb7(Ch>HPi(bgiHpC?+qnY|^jPTtGiV#IOKBVIB zW-H7fzN^C+5uX?cKOG|`iO*@LrePf){8h>zZq)HZckcfk5YLN-W7y-mzwRChYu5sY zdUsbo+o@7Joiporj}Yp(OP1-rYd7#o_lQ6eVzt;gz|?^%Yb@-q(>Zk)`hFjv`>x#sVeL|6&bvb- z*V&xjJu)U|SdZOe$7qF-(7W2g-#s8ubB1=I{_wj-8zL zoi;7i@28-5t*C#j(rNkUAQ-!q*l9gW^zszh(*7Pr(`kFfR!eV}EYpFyivvqjas;x| z`T>QWCB_n`?NL-%;xu>UfC7TNB~I%V3xBT|ap|-jD&9G;Ly)|4pl(NS=#UwKRkuUj z(K`nge7@sEXo_{B!Q-6ecMkY_<>>tYFpH29{@xM(Vh{HUY2UO6_w^3w*DMEr?c>R{ zH1tMuzmG%v;F28n?Q7GoMtNS}6#i209PsGFL5ey@-)5R~-@mLdYyk*nc)Akb%wNM%s*ihc)!auTD=b+%xKPKD%$4gdL#5=tOb~lOo%Q^0K!5iqv zg?{u22Y(RwIVpI9b5yvf;MKYGiwfS5jMZ{1XvZ2M{Gn;uM+I+anSN2h8&<+!T=0g& z-1^t>YZ;If{(c3RB86AHK=Q+{5jl{V=7P7s3%^vO>4FD~3H^Z%oQp9hji$mgs6d!m z@CN7jI3z&F)wzrz4Xb4w#Osg@V@bgqn${TngU%gVW-KXq!%7+pfI{upIpGg4YAgf{ zKkEQQ5mR*z^*TtGF)VNJ%2HeS`@4ied8=tB>{Hlc&M-JMg!ycZW0ACIB2vo&x z%pfH0Fh+?FUzhUtvlS-89z=r!Wq*JUq>K34?*ec_h{wsWhrgAVnR4PCF(cq40=a<0T?>C`z!-2s zlefG1>|wO#0QbO#s2+B&d3a+Ng6aRvQZAJQ)wm(c!7#&E^{5_r>D9esNIF^s`sp*pgLi|h(+GI4v zso>uIBLcZ8?sA~(O*4k>wOZ~f3V)ETFjdvykv>qt7+qs60C@+5h)Lq#$5q4!e-{Dq z@1unH;O}fr{ID4t?gaxki~1{rO5z8WjfEEefq{GuRmJszX9duZVW|yZy0BD0dg9m> zAm%(#|0ugxr}4;wanH^_sA$}}@(+ePv8M&7&T!$?z;a}PKxPTIuHaP0G|PG24tWYe z-rBI-`LxFGc?bSwmY}jMKs92L<^O`JXqL}=4gQ~JIQ8k2j^^~PXXApJ^F>2nu3G%O zmwzy1)xA1K4{@!Q!zRSki{$0XocZsEK!GM2xK>7i8>@=3^SEV)WNaBk|KTL(jn6jL!%Ap}++Qp;3IO&HMg>|`aFnz26PlHX3)`~ zqC5CM=;Du^gzn(alVCpZ^V1Flc^8TLyUUfOc~r4>`*Pg{k8Qd7V;YM^;WIgFvhL1+Mk;9o?NM%p{3pc%`IQEab( za}O&ZCW(LSRTKYL0L1@o693TFu{~^7?oQD0W6_XFRr>jG2)xNF&LG#t~rM#iIU}s<0jnWoo+i^Wiz;g~|RHnBQL(qF(Jwn%)}i z63AhFbOZ+G7}Ip~tEZ$9D1$&v$@OsE(5(4p?vpO*T4WOe(33Gr}M1Ats4`;6X~X zyGstHgNe})gN#miz2SZm_bE#I%*2llYPYYn z$xb~jv@#I>_>e#)(!$Gv@fpUjMd6F5&=^NW3R5w?1)MrAW( zAN&C(Gb~Wmqv*k(#JYAJwQUj%m})ME88Ek!_%S6Jz$%%K@o;4}d;I9K>G7k})MKGd z!ao-LKf^_v43A?79|P3LyErhHtFHZHJjRIl{y_ZbvciIFc%3oQT+uxK?_f(oG@QysTaPr4b&RJ~{o^3G$7V>o?v?Or=vaq9=|{}H z?XFd~ydQ$RsYs@sgKryo81KNpi0Vt@xh;FHsN9K6t(MW?;^W|7is=_#HB-E~G5EhG z)5jARul!`Ey+PR(qW;4|W&N6vGaiBTCxEtvMRxIVw&~R4F^$V;g?6fZ=+U2$BaqYh z)L4KZ#;8K01CO&6mT>OpY-+cDSuGpDyT`kDg7ofZ)tm=^902DJpb4KUs+#?oqr-a0 zyBnahuelOtdzdq)pg%D*uZkx^KKFv`rb2dnBq#1D?)(X{unx;{J~sw%|3Q&$69*GR z#Y~M(dI8&s8OA8AaVqWjNMS0h55ty<8IxgM`!o=53=l)&b-UZug?ktfubk~U<*R)* z0N``5{>bgTn*LC+2_ttf{wR`9H?h1q~v za&nO|axY$9O?DI}#lxdvDdA7@7?bX`<^XmALPR`W9oKbfaBp2YGKi;Bnt1;E4Xt3{ z)uLfqsxp^PfM`wnsS_Zx^&6@DDFw5lxe(=xb7UE}8@_|eKOrVi1&i#NPEZGOmn@q^ zwUbf5M(w(~51z~$JKJiR9}3@%5SPy0&91Ir*f-bhX5@0+1A*o|@$>F;fb%t?VPm?o zi#joFa-JHRoTp}}H>RmQ*M(m?mrpDUYoUua>A0uM+Y{3Snk_IjWQ?4R{qWR`!j!YO zI6zVfR0YFY7zzKxG9D+1?;2DS-_-%)yPCvzi8S%q7aR@ap_*$&{h!K}#HT?d&nYP@ z{gWV#7ek7peZoJeu;tC)L#9<35!7?09 z@)V|s&xZ^-F<^|M{1jV&BE%%|U7(W5fp+z4iw@t_#~X0hiTZMmZWLDZftwhs870fqXLrhoUoLI+^R! zs+<6!h)Dw7+Nn=6WPjutX=;S*seRHoj=o;h|EwxxXF-RPr0EDq+9XY$g?5mdcx>}CS_+sSdR2KWM@I(=q6sp5RHXRd;o1F1MD zhk7+jx*2+kLm-_-QvxS@i~&nxG~hmeID)((s_8C!`QUSS2mWQc^2=NP92+r7w@abQ z#qxgA!(GY=UGv7$Dc4ND669?a^)D4G>CS=pO(yY9DH<>6^5^F8DH7Cc(8X)MIRyfl zZkQ4NDMiLK-AP-IIRio7+R#0DLXXkB1OFy;&kO{pMhxkWY>j`g7A?DTV-uWtlcC(Wx1;Z}rlm_JtDJz^7Y7&51vPf+%#lc)91Vc|7thY zeg)FJ05M`&JxGw#=?ABbifc}%H@+?>rEV7W&xhuF1=M8l!eRdW z5`mHzTDINoQt!d~2s>&>qYW?v}lvjCOFf&Fh?N!dVCBRLf8g!h(+tD7pMlM?pl6S6;!C>WW&q1()x>B z#H5SAjjEDYz6V4;+B7=hyYNM8F{rym)Zfd|tog!ILB<67;tc%Km#>Q=xqe*nT^O5u zmjw9q51FSEyU`W?;*db*J23}XhB28YaxM9yNMTYu@m&}*CL0wWmGYMah)GA+R`WgB zvgz`5p&RyQuZz>n``2ir15bF9_cPC z!n^X)WF9x3H8BwW(h`Bh7rrp#0Om1DY3?byk$i^PWt!j zFjY~U+kf3jU16XLd~XvCa|@MxmxKEHNEzp!RxpQ2r`dA#sq*hK$M|%gzdXio!IR0_ zzgVP~BPL@PM_x2&I<3GM@$FX-{%N+tNW3*N1>79Q$iEw*t(M1#Nxt7y@wM8G=YwYT zgAA(qnP`|0Rq|bl5nN`zD;;sx)FUo>OsE;i|Jk%Q`sa7$v%Y64>*0oOU1(zg;w(5L6OB z-7^cyu|t4|?Z&M4qMU@7(-Q>tVs_8eW1 z0&5~z z3#W)_uig;~e|3!ZVb??Hi}#FH=NO~(#d}7p5t7_cJiOHiurqAN$g6occTI#C^4;=V zyOOU}w-1asWOvj%(tIb3=`|8W|6J7HT2-FUN}FCiGc?op%nW=nv&fgTYh3f@=UHWZ zG?mQLe9_})r3qxd=LM&3J$6Cg6FOsDGNH7te)8tr2(zwCepa0=U6Y2SA%8UeW-8()d&v-USq>7IXsL zg7st9p8}`+LexK7R1u@^A?6_yCKQRT@bjM8f@cM0oB7bCH55sCn>Ndr2sGO)?=eO( zg6(rwps*x4jvP9&YD7nkHwqfhm)d0G72BzOFbN{I{30 z43TuUtuPfen^Qp2VT_1RiG{y5Mobbv7#v-3#Z`9s=xEyYP;J(IYN3RdzemE2hBHrW(>$n`*$*m}-s5g29D&o@78_J9k@k`4< zC{UPk^rZnbTF4kV`nXv5O%7rd;bE1d+r6~jqiOgw;QLEa|6)q<)ty{Z5z<$WW;T}0 zPHvOD8X*&O`r?SCsYsxiz8g!70VnLSw!8g{qd!KFw>F*Ju}za;$}!ovlcO$wQvvr# zmZ_?eCAQq>P$#$LZbz4_v(h_m1(rpa=dmlj8pLu@wCd!7oLm&G#^eD+6wFLhy!o4K z>3`+bb0T3KpFvY}ID?=__(4n{wE!)I4swihYoQ&xsJD z^sV9x@A9%$iM}UE-vdXD*$YJfO4Ps3>8nfhW{AzitD7@si9W|Q2WRI7_*?`T)W*k~ zLjp}7Z&ppgqf&T9kbjP=j>85dzc1c(>>>ntW7pDS66XepORD!qmBUl9WpoNW+7seO z%^--&VnhZy;hI@niIdGguOzG==}11$!`Wxf+;hvOkIzjDYo0FA z=fkx9hh?x5w)qWTV|NJ6ilK4HT zO5#?XELmMVO&pNK*<&W2@k=o9et3?tTp8RKrp%IcLBT9p7uZy!Wc2yxJ66l3X)ak8 z##YN*hwS85lmU7nV(3fC7g@3{C@`i<77)6?R+uVTn`0p9FowYmGlb9>7siN5;x|`& z{Ib{q|K6Vv7h8T4YmZMHmH9W=@&HT=7AlGVIDnBxi3`R$t`edScG%3v+Uw zS7r6ZX?%kt+GKbfhnhdm5Xdo)GrJc>j3IGaF?^A$Fhv|^g)hu8M#Md+lNYBElf+@h zy=sLI+?fztX2pibjXM)_)-A;``>#d)HtwW!dS6W8tjgKNaP74UoQ3uQhtOOFaY+f@ z2vsD@bb4c9y11;&l|n#34zPeRDM~KC{BfwTB)uo!)o&bvym5M4Ez1JoUjkMr&V!vQ z&e%VREwk-}vpH3tex}Dkz`0q}U*T1DRF^{RCR6p7c*a+>{mX3l6{244Q24+FIOS4@ zKz8W4V=cIe$F96~VtKcTySL4ayRDXC*f#I`ftga4(* z)`LH^bx0quIQ1#$_zk?zi$hv>$Cnl8u|2$W0`rUJU8XHL*yo`-gJs_$*iayl^VRe4 zRK_&RzW4T=jv#MsSk8XF&qm&Xe-oB{9pP`uAtqTmVWm^WmU}!P_Zw(5U9*k3(Bu99 zH;hv?Eu#J*rpwjFzdWKBI}zQ>U3wP~1@e}dZaz`z+5&BBm$`HmAjs!Po06u$S2}?} zG!%@NVNLU5m%EIiV7fiEC03ZsV0aY=G}#t}G;vT63%?K|CW#*zR1&vv^|;t_WT=T- zb#N<&JFAUi#GWAUx1#=G9*}51Uy(NbT!2EB+)ccq40jXDs5c?kf_}atO`z%LLWVKz z=epvVSp<1&FosItQLZ#9LQE1rygH5R)V^2 zlkwNp5OkB%T%fNi&?Pd(^-mzh$GIHW*T5YAdm)-)xE@E`SLX=ixSp5;3?X!&to&9B zUNBz;j3lPwdK_RsDKaMc;#ceZYh1)6-f| zHIc%Uqj3Sj)iGmIN$s1^7}o`eN#bYx5b>=)5aGvN*)SXo{GDhJoX{MU{`C;k3GwSa zllXOz&^YWO;@72Vx`2q^P{gljlASC}62HDgph^6Ck1<6&20~?pDdIS3a9x@)^7u!# z@NXz0CW(jk4|x34A0WQtxBf4H_#>jBOR3W1TT>?S8w)1!8*TcMAQ8X8v0AQ&m?Gj^ zWBisn+1Z9TT*Se~B^<_qWD~A@R#TXs4#h zLD)f#QPYe;*vdI$;3Bo=QBnUNu4#G@b`ym2&teYsn_S~H@BY@DS0H$?=J5cy(v|0b6)q$=d{kgYj|Ayur{+ugqPBYuk@Z$=&Wgug9BTsr%<)DJlO zR&a&tuiIQ|j2}DyUtnn@>fehhoqcoJboR}G>Fk>!u5So9%C}{xs*|(BlBj)75o_b@ zo6BfuTW8-KFhIMPcB{oS|1k; zOSM~h6<6qq+UG-FIq_4tID97Hl@qrVWLZ}cd@DG4&m7snD<^8-i@^wwKqaL1BQW-- zMaCLK9E4y|zokH!N(ub@uYao)Set?y;~$q50oY$|JMp`r@21<~+#0wamr_1LQK_1p zQZ*#aocXPV1_pU=Wgwhzd}=kuumrg=F5^Gi3Kk!)I1A*|T20RfZ3H9M_+}bSzh9at z)xrO?It1hf>R?&h7U*UL{0Ty-6MsY3xl16nJm6X4vVYoE<8ztb-;P}hR{v3C2gg>^ zt7R5}fj?wg1k#T`D_{^q{DlHydC$b2FCpeTCiYw!v9oQ)WJGkvqUF!7x&SPCLS*Z5 zV3EfH42$xhkG8}jx(R?NVq{TrNr4zyMANi*T&FGC?dk3ZfY?8Y>=5wvD_S`afe*|Fm|xVS!k}ou+#kBkeS0i&&?% z@40!&yCC){ksWGVO)nSmWZJa$wK;|o?KGE)c+&D4JwC3}+7H^+<4F+lG-xk^cAEtl zEl<#Qs@ihdEZ$O9eccHqCu~$WWqvlWk(8Jz%Kw z+U+sp;jEfxKs$8#FKGb=_YGx66YW78Z;*DHA;e=l&Hca^R(}NA|01%(J*(+OE!5E7 zq^+hGB9>xQ$MZ@ICT5)*Bp91@Awx!vUKlgTW_8$u^uKQ$Os3X6 z2Ns23QHBK=zPg~mU}6!?#UhRv`RbyGkL$Gd-6r-gf{5oucK-}?mmv!l2U?kK+ud8;z^@iR^a2AZP8d_ z5zQ>(j>Muuh7UxHEV?4iSf?$Tuwg7*Y}dRbvYC|C^hk*X7#3X~F_>7iB{ne{K7A@`bqcLF7t6)*lYKj6D zU|4ju#*#L=%4QHTvWR9-5KkZBegTdwl~UUK81oj@8r>;>on>A<8uoLy7jQ zV-uq&zOKwzC$+y)9QpFle}MMaL3_z+`nhgoqdn;E1xilZgC;M+M z0Tz|5rh5YxV6@SV1qKs~ZYY`{LaKzcH4!ez=>W{(eoLFQJOXWalDAm>$F8_rdwkM zJ8jXxt=*mgRd0)IeFlnjkp&nQ(Ub=6NGzhM55}mCZj10YZg?EE(a%Esja#QJI^xRt zkAaAHz@o@%x-*t%%m3+wyUk@Vv4|#F5Kr3Z_P{)jEV{#EtkV|t>+3im_Fa)}aIL1N zLl$7P(d}sl6N`S9F)?bRI~@}vi+*l1)=7(ADRx^ifdtgy)HT}B80t}1p&|qTG z?PWeNX`{OsGQ4(I%ph1~-Cc}ulIiXz9C68sF3tR0a$a+cMi$*$;^Ww&&J^=kif1f6;~g;TBauDawwiutvlPRudjbX%6YdTZ zjEng%iwVYN6$^~9SsfPhhOMLC2a7%ii;7m$FKiZISoBMW!K9(?^-PSC@KG)c^e|PB6CZfpUWJfHNsONxU@| z`8cq*P32fp`hm(Z?!KLRgO#6(>=@5#dMaQ6x^h6vgbacvS_N?YomvxOSUIfYAJ8YG zMOZnk-gUk7~@v$F#J*(2geehft6*e>CYJ!04lgGe`7O<+9}jpawbNh z_FI>+PHP`<)ow?D_J4`&5rNfIj97r79WJ%hmT3QNWMZWK!H_Yj{o*iXnGtnysAlvJ z3FmAbI0=-NMHV0J`+b_vgNRkP4UTVi5|AD9kZ~Y8=Ap82oN~g$CB{143=mdOc|0F0zgb zS3waAFtq>9VKC7i=>riX?Y|HCxK3+dP&)>%c>W98V+j8Y3ox`lQeZIA{#e??Nc&@D z#yYLN-^=45)M~yES$O?e)300>U}%3dW-!tIxMyOd{SOXfoz}ki@bTd6nlDB6sFc<8 zQ$8R5>X`q1hS5a(ABrYM+W%N!tkc@39J>w&nqPtT0%+F)4DF8vEH%;o1VhH4@`;$i zPHP{z_sAcE_Wz0Oc-v~aSqm_ow{&b14PHUg@ z_PF;z`?sLIWHsIDumD5*pCSem?SIw>B1YPuvH7@8YoESt;a1T8oyZ>Jfp%@aq5Y{e zOHH&tQ!p{oo=oy}TKoJLyI%m>zX$DQh&q>r8rq-s7)-SPB{nhA{%pipr?sDa>7+YB zd#lJE8(2--v;af~U$UDa^3|L;GJemS`^p z3?fF_pYs^&wDvI<&HMeDRNjFlW{lyF)h!|;qDa}}?wOhOP zZUpUJKzj_@9Ts4C)wuzBNuQ3Ga2P5(;f{vHktiEnxD_FFH$Tp_HA}!SL&@0-^#6vHa zSXJVo*J8$4duOhHpUdRF%`F8Jb_5d&R@1WumSQx@-(3b16J9qkzQ+7V#ypN1<&89B z(k!^|LF>Q77Wk)Jh(zm;x;gXf@+W~IJBjQh+iJQgbAttB*(uVF$jj#ZSfF$ zEyq|#3I-m105|_TRXy%53R|_mG zQSfGov5pi>un+GK!n%Qi65QA=@_B}WHv$F|1+hM`BL%~H`hNsryNK)*&uY3~8*3?V-qT@OhJsjw34^zDd|*ckj>AF9_RBWKRg75AgUr zL%~}%gNcH7T*f+5aBwsZOL|&l-L%#8Ow8vQ3f|UOqTt;OgB>ZD{-U!t2D%PQ=0t1!cxMQZV7}gLemEyMuxV z?qoZBo}u8~kikU3`z6LYQqX_a$}S+Rr^v!|b`wOb-gFba7ciD6_@KyGM+$~D567N! z4^R-p%t^@S84TX{7)%s=SYWIp1sh*(%z&^xMfRke)%0M@=NSq9#0(}1K1nmyk%Dz&yTXiMZEsLew3^2i_&h_w#}R{u zf-++rDQLJd4Y66fkI2q&Kmnfye|4DtGh{ST@M(#$jug~)>w6gp>jMf(RQ|(AW;~xf`0>b)zipnvAiGu&68T-E#{LCA+cM}%7 z0U~>H1{CP?3SeT(e)9Oe;*RS@!1cVI)1(DS}CSX~Hg3m(+69wB#jCG`7zZbg0 z&92%(B72H!HILSY84CUru&_kIe~XNDq+r&7oo@tTgF!(I3Oqi~P_SKtiGnW*d|-zP zjvBBF2&)s>IXSEOaLwFM@Lz|8B?`XGG1ifSW3M|J84M9wcsQZ?a4pMF@P*C75(Qtm zjCG`7jk~N2!iIu^g4KLjkS` zPBYe#f>U2Tcp3;B4ho7^^QZ!!XDIk8V$e`fW~?Ixi+c3H{$M|mJ=FmPd>Z`K{lWi2 zMiT|!lo;zs!SDinI51T^0u+?2=7t=fXDIkOU@%eeZIQ8#6r50R4FzHQi>&8a&Gj*# zXDIl_V=z(hU4gNV6!fS+5q)p~C@6yhm(Md4eCsfnDEMAe?MQ($Z9)qOJ5Xfj2Uhc; z5z8_Zd}lM5C}`D|bfm!RHuXFZmJ!)(8Wdz$mZ1Pf9ct4GCZ_a(9Vr<7p<4oB2Z4gn zYCa@nS*C)R!9+n#nz4=)4BFD=eGoQMWEW&Wfj-Yr&>FEYLqVCbjug1lX72&Q4h990 z)qHTk=Oqdzra}f21+_q%wvH5xm^11P5O#>jE_AKtk=igrK~2EI5(QR~v5pj+v|*=a z5Oydih(UqJ=NSrWHJB(61wODN1>-h|-+-`skzJItnh(;<4F#6N!V(2ta*TDPVDhEn za}d@bvWruoK+7@|2%Ci^3c9+Cb)=wMlsX24jRFM)t2tBT^9%)DG?pmXA;Vxt3cB{* z?KKc~n8+@%L4nQZnF?YC69qe_8S6;Few!!bb@Jh$plCH8Sm5&v1zjTs4FzS!I#RGC zKk8%;{lUZ?LPiq>JCzvgNWtW5y7vTOV?aU4YCa&x=NSri3>Zul z>|A85BLyQ4>4Sap5hAqx=rLHb`HY%C}!g94Y&GZgIX zFqkOlrm1$M;72{`{|v&86xq`Pt9eAkvJ3@3vKdSi?4m9CKNVc|qAlLv;UN&_i0tw- zD9ErZoL{AB&57MK1`38uiD6oE;;#BYz-YzH#NE<-py27xiMy2<>&TF4-%eW!hKvJ4 zLaTYdkk2y=*;RvyA>Cp=Ffk-uVk|MFdy%n@3>k9NlIdW`Q6jq{1BPf)h9PN>g(ZgU z78sanksbxc5<_;+G1ieGhb`R;i|lwXB(j=^Yx#yDJ!}@17}DJ_Fl9(jm$Af>e|i7_vJW+V^x=rVfg#6$ zAu$-@u`I)oJwgT(LwZIArVQDu#8_g;-bKbbGNiG5$`@eBu_C)FXEhJivJ6A^@>p16 z$ew|LDMNY{7)uQ4onuTIaz)Vbt(sM--33^5oXDP@0*f3L0LiM>k4@}lGYA&Z`mu?7 z>jM#!_e3V{Lu% z@GooPz>pAFg8`q(XoO$Z#6dxVakdWjOl+2e$JN3AX+wFFV#J2n3c|J=G6{ZI6X7CQ zZHP5S5{nZ|Y&iseSQCfA9@=n~FwArUHat`i+$Oe$OpFoRFEFu2_+d>P;UyTHd~x`L z>pXYAeflx^Lp^XFQ z|6vL9|JT`>2S`y|kAImeQ4zIOL`20F5if+6W0%`T0g-c1sDdW6Y>{8)?rN6LnOgCNa+MRaL*PN5|eQzy5=H^VzCbpLx~y z^e&U1UU)LN<$3*b1Kcc3r5|A)d4h`&Jk&E8BTueq7Jt1wvWuY^?p`~Gl&Mwi6dM`( z{?CVlPri51Ca@e2mH}((9AE+{39c^3>}L65SybyJ3mXdac=RH&7LVR(+v)>g^s{;W z3JXRzFaa68O9i1ANsZp!q)d(815wMLL74j1X{%xMb71t4H67z88T4lt>xNqN=sjG@ z)aX_oS&KjW5k2P(htVhS`i4AfGLw%G3T^^GIh+cea%`}vEkQE?hX~33Kc7|rlXQIfmG}?3$yBq4I)*f{J?!l6uAiq&5&Y0CqG49l4t_J*}R=kWTq23RH^ zomh@?F{`#5S)fdxizo{1q$RcyuYxJd(Rt))%R}r8%Y5tAKLyJR!7^Y?hX62({(7GG%JL9On=gua{$e%G8XHH<6_+56`l^XzZb|yU)$! z_3QGi>9FKu63eq)%&INVMkLm^cpD#IKnN_h%oizBmgnS=fn~E7ccSkUMps9MiAm@5WE~r2Y@S`)b`YoRb9ntFH88r31Chy^R75ByrU#6{Movl^ z3KrMHC+*_NIwzz|P1fWBvb5##@R8wfH^Q&gr+%A$-`v0t!E!EG7Fg4vi4d`z5@A+t zImM++Sx!MDcWbZ6Pczv>2z}$3oEjoaTb^uYSoY5id=@M(;`QrIuuPH?%V`E?)t2W4 zlqt*eEW+YiPR&!MYB{Zfti|hF-)E106fEa~Wsxo1%7F^oQ+*I#PG=-3}#lePVP2dN(Y0+TW|deTf>oYT{fb?^uEzJEPh*|cyB zjJ^OyFSDjYTue%4$Vs4{q3Jd@6im&~#AJA3Db^#p2&QJ}!aVX8*J$7~LyiQ?g}nYU zhcz7>VFFSkCxK!lHAD3lHWW+XSO8g3&85dZJIRKrRe1D~uFh zv(4}|!z+-Pj%K(on`Kj`u0Up+l#Px+b>tjGVmpoR6V8F3s6{Y!1u{3(46i^g3Mf-o zAoF}=`kHONLzy@QV#Q1doyP;x>dHv-+YdR>z1Z2MERS<%CJYN@m&wR~s zv0Zwd9%N^%)8}?t^g4|0^7;)1jGiPV>-4N5W`)t?J^1VbWon(CUnVTx>&!DKQ|t7M z3bGcj)9pw0To0B@z%pP>Mt})Ojb`pPdc0024MpA5I=#@u&Mh9j-A4n(=u3J1Mhiwy zJ`x%If&ymMGqi9wrbb`nV!IZPK4|@HaXWMwj2^P41AR|#(@&g(bjSDDsI?a7HAAhXWzA4)SrHj%?RJD1lXZj`{+KC#tEqw4U*WQ*{gb3* zYg%q%RxpaUrX@aQYHM1Ah@5FGbr6bAqqe3Mh?1MkEt%67tRr`w`WdJ;^7_p+plT;+ z^o@_@1%$eIDv>}hFwbn9QGt^q? zYld2@9Ar}K1`|#kwn*IS;gotZBajW+C-iRYs`mv$CQY)@KbOxrV7d#F_}< zo@l*bozc2S7tmVG>#wpw3zNWWavN=R;;Oa0BDNH_NAm$}XCv2!n&Grv3_nrJo@w=d z)($~y4QQ2F)4qAkLbTQ?e{Yueku1h9(W+4Y7BLTz+OkutTHxWYsRqwltYEzFv_`UeL+ z2cxg&^#zMHwe~RqnL0R@$BUl2D+-jUsoPj4ES|az24!mMHb=->Y&n#l3!QS8f@R2> z_Nrh4VtJK?P+MLZQl>1g%o7${ZgweCmRB3dgk_7av8<(a1Hke!UVm*KEFDZhuCcBP z5Q1fVjdgWJGrY#yQq~ODf@_MDscWo4fiiWCb*;M_gEwsCb$QB0g@RpgX@=KWHyD(u zYpfe8$n-VVjb+NzHP%f<%~ES0tjgbe2WaF~9a=%fE{BRmtcfQ{$-G_{Vpct`*9VlT zdA-3WES}dJ9m>?aUW@3z?k{BC?4I6n>4jjqf!AN>f~B2gz`y#)Mixwj+Va|nGGR#< zXeTYxOj&Mmkf*niwOL#8f|~Qi@7QhR_1D+1CYDSFspZWkX4RIPl7@n*T3+pwc5yAQ zu_;rQTM_+NEiZ{OX8feL=ZS|WH-TjVEX^c?uH`LxgxYdzM47VO>Jk=P-dvzeS>9?P zOV{!eC&TirhlZ^K%PV;O4JK>KB}qvwZw)Z3w!9^zY|m!=HXr+@EiVr;Ea%@lv=3Nr z2FoH?Vt;sz{~9S9xy?bUEpMw(rfPYcL0B{E}7YY=F4$btSLA(PmAp zTueYL???))E$=X~p0#1Cx^V z=z%;!wB##9Rn#Jwu%wHqS$;7~M%S;C==^QxTya{biRjHTo-gWa;%I^Gn>LpB&d2M!yk8 zkJ$EqmoY)Ie()8qS_oluc{#~fyb@9-)(^g7M}aW8e!vXDPt+pJ)%x+8fh=XY@s%iJ zEgt)fWrO8Sy#6*9EFs1P{|CMmuRDme!HEjF8ikN_`N3ZWz549G*X<|cBBQ=w6A^NZV(M&(1Mg#lj#3lDu7`?!@|1(KI zX7a5FsjksmE@i4lFVl8$jowH;j-JUshuF8pH9E81#Vf(`7G8h52{ke?0jxmksLEIT zIge0`B-Sdv;_ZMkRkxi!WvXtGgDkyn?aZp%@$KDb!RS^nDni|0I9!r->&*n}y1i{- zGr?5db{d4qy5W2As_XWSjXZ7nzMV0^58`!_BoAhY}K_gKDbVnc2D zPLZ&9cB3+7Y72NT86;)7E^}{E|5g1=u-pcgWw!n62$K@a_d|rbmha~&Q?-0AA}qFq z^*dg4Ek6j5r7bhB-qw9J@lde5o!8&#fMqf`vHZ}$tlIJei!xzJ7h#lrO#HBdZBv#T z{}yD-__~h{ful+84zR4S?O%nMfLMNHBh;3ENxTG8wfu`i+Qqf}(5Fnz_+L$AY0FAY zhGpm07r;H_+?~8W@WIl;1jO=V7ooQN7?Id2V#~i45CV%WKPpnDEdQ2A2A0h}1mwJX z3|x@r0$zWY!M6V+z<$X?Kzzl=k%oA1`@2P%D&Z#vVex_NlM1qQxjxG(*Q#$055edz z?zMNpC`n4n^{Indb-8vXBk6Me4HBtEm+S8VWvX1C*~tFOGR&CN_OnO8jh@`y+&ip> zZQtc%0%BP)5o*iNij=9keOe~0nGNJ~Y?eOYZTz>HVLAMflcs^?Jz!a2+rLaoL@d9^ zV_I$bc|@7A{M;ohUiB&k%2X}Cw2=L;Rd4);Y4sp?FZVi_Am?B|vg&;iYKW`eE`u^9 zx2r-}yz2d?K5F9X8ja_yq+ zV#}{hY?rd!1pn#y_g_`9_5;KbU~#0mMT~F{^ZDci@yS+hq!ly4@TG@UXv5SY67**rZ)-S&fjTEi+FGPUya923Y=(dq)~<`)4jD(3TCYEQE<=LrqATv}~x!6Bb)m zlZ4P$SvKShY$qr6T7UOnLcP}i@Ba*Ydmy;z3k4GjKOi)bfL^BZ^(S&NM(Or5z4jDEzuqbxAWV*+Bt9E6F{itkLyl+j)V z%9K%S7g>vqE~=d?YV-&gg>3sLAtoS3dzlCmqXuqMri}JZK8~)@J{GoX@w#W8j(JkYsmQsg^@Th<_)bQ%H(dXVc(=(i|e+cJZ3al z7Qr%N)vE(cO6s<+fl$}2jYFBL+kQ4>Y9`y7$XaZ4;l!@}!RW`_JKAN{b1RsD7`1^4 zs6`v?9a5%@_D3Wy7nRZe5yI3=u3PJ897d1rc@%sFmwSwRW(})eNsMq`)^I=`v+9}L zuSl8NOYEC;)-&0*K-$H7i32TUShtov-ejIo2ibBz0o4Mlo>Rmuq<9B}2!(j zvKAX1JfiMWFxn1AMOIy&#{|UaU>l(}I>@0+8MSjMQ$~j-2~#WBrjxVIfX?zp+yF*R z-0N(!>L$zyugMB_XowVy;$6idCS_^`J1`(D-c__ir0<$Ev`^Z#xNd`2w!IrHp8(4; zt6ox0vg*T7dkdki+hIOss%{+|%2eGBw~?jlwl4GWo!SZGZv&$e_l|W~b$taBkh&dS zM5yc5E}~4;?J$F|xNe6f3B{*TyV#BeY?rd!)Yi_}eKfvt_&BiqDOgrm^{gZTvFvDK zRR{iL<)#r?>*apV+<$=Ouef)D%c^G=FafbVzKBp;9&b~oX1r^euz2e^u0olb z@e`6kz*0WZum^u$=HQF_!wt9G)7(3;2KJmGrWd~QFG%o)6HJ6+E!zR3@rDy!&G4M- zq`YQ$Zg#RonL1W>GmxdLIyTJM+Q)9~D}M3$*I-#-)rmePAXV*9MyRWLBBEr^3FZ+( z@oCg{bW%u}s%p0ivKCv;f37RseabzDGq5DTXyp) zQoB@;6{vgst7i1j&<#yrFv$Ay~>M5qX1!2x_UGL*UFLUL6Swa5C|LduEX%CAEW`xFvZsYmTlT6@ zCM@Y9jKnrV7j0>|$WxZtwRGOi?EuT)bFZ5NR|^G9KrH)N2({(O0cEO|J(A9732R@T zw2N!m-$0hO9AIbcZ&q&_34a+T_kUnnVbv8bCLosmD+sk^f1fgC*)JfhnOY7gW4pBF ztgO9a!}Mc%f#tK@JH=3Xra&UzGQ?*=|`Bdk=&rkXSET03*fK{)> z{_vVy#h#W&ik7@#uuIvVS=tu%O<8VQU}mhBNAGi56IlL{dp#^xostL<%OO5y)s{mH z%G8Y80b%ispB7T4X8d#qS=w@L*8cLy&Bxb+dYLk1Iix~Z zGh5G46WgUNmjxNMJnMjYZ-eEZxM$_z#+ZW%h~+RBp|%``C|NIg!_WdkXcyP=j3Q;K zmc#SNQkL0!^%3`Xx&|!EU>ULMH4!Etmcv7Y#4@66&(_N`1MHi!+?07WHnabrm0Pan5X%uhLTx$1piIs9nMr4?CAJZ-f~i`ba}vOKFySUlq+DwHY9Q3Yfv%k1l%HV<}g3zjc|Wr0;M^)Ugl z9Gx(2Im$#R*q+V!=m`6!Ei-Q`FTA&%50*Q)*UMzp%M46FEXP_1wdI(QGF8hldBWma zj&>i-p`ov)+hA-1T0?$%OY3?n3P!N9faC)T!k`aInF06wj3K!rYy(X z$kLXXcU<}(>PX4M;#!HK25kkpoEn>Z5AIBytVBrLYfmnl=0=eWqymRFb= zwH(*^uwR1Zt6*7%V^4$$h^4p!P>Z&lkf%)5@|=jU*zz1iWE(#>K$y17tmQ%XpYlVn ze2sg399CVOBp{X(E0|SV>Pt#p%ZUbQ7h9fdQKo7+sfQbhz!OqK5HaZg5Les3>7`)*P=Npu%Yq0Yx zn&CCr^fG1Y8tj51GJOr^6et@7Z+OFnu4cPmgI%6=v|jPt>EgQK4er(Ytm^rgfXwUp z3DomC-NA->UN5i-i|5rbDO2-$UWhEc_HA`Cwh?!0-_Bt9Cim(LR$W!W1jKTRiBMZk zMMTairbY;%U2HimpiEiz%_B=&-j=l`*E~GoO0awjECaA~Fafcw4-sn1`eY0?7}Iny94wwz&6 zrYvVAgQP8kto8Dc>7&G11(j; zbBd7w1jO>95TUl58&S4rmh%Gao3gwz^AB1cd2lb-i{;+oUVoQW8Gg8c53?)}s<~h$SiT3A1y)@fB^k7(n@6ZE7bgt`Q^*F0qiMYMDLb)7P&W1eWh}Z=lJl7bgReS}yf5tF~NfP^K)G1cb$wZb+H3T;?E4 zS#H{HXUzB!-(EBoEI$CtBGfXE35ezLB0_Ds+@?%fE-MojTQ03orYu(!kfkj%xA7Gn zSDpcuA94@AG^?%&F#)mEE339#VPZp^aeOacfl13WQ?aOQ%mH}9rn1ER72XM6IB@Q+eOj-IB!eUF`piEh=PfC%t%>2IOf^Uq+ z!15FB4Y64D)&eFamY13cwdH!7GGR#ArY$pPyxz*+4VIsRWeAo@0%Cc2 z9;vpxETT-+@-mmOxR#eDA6uBKT5hngUCMIl>&1+%XXztDUIoj~xOaMet|%5sx~EM=K}7QCj*V6j)PfMvw0TO&+BEH{-A63Yr@dp6@& z6tQp0a%tpd)bjW?`+p6VpL6dFmsM{HFafcItG9U3mYWNdsakF}35#oag-w~N<&_b# zwB^n$%M%xMJRK~*;NH+0sAV1#5X-AAgxd0|kTPX?Rni&S#g-l+u(f~< z1ykFI-thyI+X%K1uY##<9y*jBKFh2h}dvD45Jn;cc)32k_!-#Nev=|sd8ms zW(~}rUJFM5=H6Kb7@3%WlVDY3r7$QrREBiU531OEnz^KZ-QF$=RV**mHA6N)=xdN9m zRj&IBgvI50fVPV*A2P6A%5qtoto@1cVc$t`n1ERR&_SpzAF5EMEFba- zi!FZ;P^KpJVH;V>GJD@VXzVZsmaVuq+67As6A;UXiwL#l56hGZOS*{J&AyWQQ32bg zEVDObpMA*FLqj_wXvdn&xf_1gwJ}P5i zSpZ7|6A;Uyg-}~Q8d9ca{E>*TW@`E4WDu}y_6RcK!xc+Fj&pCU$*OaGogaTwx1ktl zh@0_`E0ig@$I67o8^}+J$WrCXp479fnvr0%7Z?>;bxtx6Dc6H}%&N=vW1q6v$RVtm zay?$ab}7qs3;m2E$cVcq-wBqjxi`)R%VZ#8xjn+H+H$)~nX=rDh@2KYZXy(`quPv{ zLS!k+WrrIXmWMq%7QTdMdxK?}RcDtm0kJF@2({%C0cFba35&3JXVa9YOj(vH$WoS@ zG9P*zIeh42V7U+X@(x(qn1EP5nLus%(=s*`Oj-WaAuP5m`IITkpP9%~mf1(+8RY}v zVF|V`SXNkdCiaKdr5V8D4fLXQWFD%MbEq@*o7F#|QQKl?^=_5;7E;}LXAh_SZj_L=N`*H7VgH`L3 zq{K2TV^(b$I+Q8PUsecV+0|B3W7{lv!pDa&P6C1aPk&xW;d z|A-yPy$N|%o$g=)V)j9B&jGA1CFzb_)xmcO?tQfZEdS^e7F#|SP^K*ZWFrI1W_LFI?OCfpt{wL#nXGzV0sE1i>gqac5IDDN}MU zAR-&c3lYLpxt8_II)b!+cir1ybSM}V!3g`qYjQOHjfGT~>rX|>lu?;{oaQc2UJS8s z$};;l@L9XMUIv!!xi{Hn)u}EfAeJwEkL8PbY`Ete$PNShrYx6@C}ymBr*B^RE3iBa zEX%BVfsYA@<;xC2UCSL6%2X|P_=Lr)-b(>xYSnwiMwYgOyKLY83*jTaXefha2kuRA zSaozVII(=y#H`x#l_F)z@?}V*7HB6e@x6EzOj*8`;FM+dj4wRkumNCsI9OKTm+O-R z#PT&4skVHzK$)cCTfSjYrfT_m zNLW1MuSJxpTE6KcOIdEp{H2pAO^ywgM{w^v10L=#U;<+KmV;1RzFDD6S-xoy*369m z*~WG$%VpcMXKv@*RsZVM!Ng*UXH+Tfw#|%k2H-i4Pri4Okw{z4P@PcfGjkSLnqV36x)YKF#PUNI zvueu^5y=xaW%)q?A=Z*NykDeDS^g!DEM=K}qtTuq{>~#ihI`XpUe}N$AeMg#F)Oi* zDBH6c|0uw|Y0J!C(%ZMexC$&gbMJy0UVBwCII;X|1+!|)zxtG^TK?4_ES~X?EXq_Z zKQ1FnS!Q3aP8~VG2g_r@vcPM9Yhwap`L_gW%a0vwDA=CW^6w`0O<69RpLHB>GjQz= zu*e1I2%%kE%fA&VQ?>jwk1TCD%gWe#I=xc+K3EgKW*7i&agaw&EfOF@dKyn^T?Wf;U>We*M?*|NYWbf4p|<>wMVXrMZ$rZ38UJ@gnX>%ON0zo+ zU&&Z6PYh;H0LxRjH_PI69V(cBSXSX zPF>T#8(4M+OE|xrUBCp|vawYH6U)X{CN>mIS~k|$gvnasd+{pFWi1fr5JYCCLfd3a$gs-YRi2Q={-^7 zJ_Ur(F1FmeNSU&1lSh`a+;n-?Ey{&&ce?;Adx2$v*DW_N0kPaKK&UPEvnW%wY!ea| zTkacCrYzg~$WoTeZnH9GeC%(Jd=4yobMGRP*Z!h{35ezXWrW&te}^(<**58{YuVNy z?c#0xfFiQAo7$B^&5Kq{frHy-{3P|0i%9k zRN=KxM@a^KqWgl2P#d)?Q>Kg#EfUsDxekl4otV@XJ@tF$v$pr+yocgrBHIj4>KQ-guN5 zBeB}5r+yndAp#aZ_1oAfLMYfp@P;=Y9U@Df`fW4=%H&hOjmP+!?e^4f_Qu-1ZT&i^ z*Z}S=G3}WZQ0SFOj#aLCaf9D_62O0vdn%!;n2Aac_~uYxQ?D#IiFTT|FS$*xA96 zbS;mm5GE~oV~0FtYR7kM5m}43k--xewFAq+U>Wk-Ymx+H8#&g-tY8#xBV7ub;Wl!d zs~K)1UGtQwZRB{1GPRAIVC=@=4R1W5LfNQLuoKIg;WlzokutT7oLoSrw~=lxWojEa zC9m0T+sLw?XPpk#KHTPGsMu-TTMVbx4Ew`tayodTgH*45C)t##weMt;uy|g(AtDE~ z;{$}LwJ-bf`Pdz+I)bGQmT+o)b5ags*)_zh+VTXSGGR#_N?A%kCEG8y}OcGP0Cq_LCI*J?y>;mS=!vf!AK< zVgh1$s*O-vS`KAi zucQ z@4#{t_Zr|_x4(&l6U#w9LTx$7piIs9z<{uL#s`FysbkMz2U*$@{&U^$e`IC!9r?q- zax_@NxvsvpB$lVym{nU2E>or~2UQ4bW*fInY?rdk{_kXlzSe6sSdQUdBb@7&lYxlk z5Erv*OE{{=i(tyqE)W*i^0Xpls+Onck)SXXf4*uv0xeU+IPyBfLNXpAk>y; zSd=Nt(?h~y%OMeE%5tcWEM>X)fgoeX7rr*+3$Pr=y_Il&*(&*%#Bx}ot}TZ-IFhdA z&LEV>*aXvt%CE*kCFt$a)gUn(ULcgK$INEdE=QTLTJ~_)^k=K+odcQKjdf3_~fhh z`v@%0=AKsrR}|l8IWoYk+H#~tnOZN;3JHs6d_+WbvRwSQol(mnM~->~EGKYpwaM$2*m|(!2sze7 zs4d47DO0r^V-ptFaHBAkpUAR2;@$CIg5|kjS>$!_tyi)nmg6F1+H#yr znX(*PAgr17G9O~QR4udjmmOyI5!a9txwppVb!!bwKxX{x1ZvCi0X7s&S&p{|i))$B zQ>JQpb_H3=a&c3XG2`Rgnk&I_5?I3d-I>~ItSz?b8ju2R#sf>M{eCtC~6o#_1riS5!nGvj1aT5NgXQK4r>sazI!!8_3i$wo6-P{@JqWTc-2^%k#Lm&fvAbF);zL zJkLg`EvGt^2}`<&+0Fizb()E7QWe*SBsc{SWYV-)RyNZ4F%gX z%ky2#2bRrlAgi}p(3G9eJ>TNBUx(O_Y#`?&ORltevW8aiz_O<_sxkhnM>H_XvlIOKA z2AF_YUg#jymQIB-wSi2cwQOe9tGBUl$};;baYU2#1Xwy?8S%Qo$z)K=GG;|fd@o)F z+p}8EFtLBia`DSq=U;B|tGG%#D5n0MI`%(6> zPp&x;EN61>GB`^-zkmsd<@|(c%XvvdZ8^`xhQMOWi)_l2<@^X)$})S#=X&i92FqDs z31^8TLQFs`7g`9l<$|Q4wp@@W?PAOME@jGck%25_xis@{ezlu0dJb65=HBISmN?GG z1jKT&gHT&8s!*o(M2mdFV#|dAWy;dEk)Oh7Cf$_TY(gF~6JT;UTYYl-j0tFC2ZGDylY`*eKl zhMMQWaz6Jq8NBvSi4d_|l?zE~ zz%qdEvO`QjELU3ywWXId)RtbJw2LiQxs)l(H3qVjWp*uB=l6aSEEjU`3X9k7h%f=M zTg!us^&e=a&~3k!s7e zWy*vlU7%ev>*cxvwoO?su4e6t4tsGx1eS}rw>b|N4JIZamOeC4i?;M#%2X|VL}X92 z&O|88RV^m{nV@wA|@b~mz5D}%gY?fl;x!r!s7MvQiC#8%gd8NQkL0U z&t6Yj+re@v_pYjer_7Rph~-8cNX%sXyvl|GLcx^f29vPZ@^YIpWw|jzma=r)I2pC< zR+-QhESG_00j|!H!HMM+7G~9!n?lNz<)%DgvE@dWGG)2hK$f!1evZmmzuW=K<=ng4 zzh%#ll)koH1OYgyM@X^>Bunc$|H!%URyrqm#Ti)VOrYyHs z2#YPZ8k8x^TZ_nAY&qbh6)<~jE%&aoc->x!5V72bNy!oNwgN(7t}Jge35zXnwJB4U z+ahFX%gkHnQ`=7(43-yzWyov4Op+4I+e6H%Yk7N~GG)2VC9Iik{Eh(Ir7bhp%Y~J8 zaO%s}aqs#(ul*pz1jO>r3PNppr%#!(ywe~ouH_vTWvZ4z8Ck9-$G0pE;qiI#&;=V6 z6&c_1cpw6}TfIJncgNcN>X)MF@9wo44r#vv%ZOe45*er_ryjj$rS6y&rW`(zJeg&s zxrsoord2B#?kB7i|216A{cRqB;hyHTe{r*h>t^G4bhsao&(;d=op0G3h(8dz z#gC6y@E$(Y{ysc28#oUgARcvsoz~xdUN@KIOMaaoaP%N}qIXx3GFAG!3xvg|@%OmM zWSQ--hN)xbmM%~NTHS+B8U^hK!Pu=v z`-o1TmEmWlkBQda(8=xY%TDXLcOz^WWFBcJQ?wCFs~XiQ6mQt2(5aBs>92`i+^L7? zQ^PLf-c4p^CtEgxf3TAT>~iQ-%?;S@rf`8(XEL#9}1$3%pb&4vYjaaagFW#`t+`Glk>?GTW zcGxKtZ`hUGyVc0-WQaCmi94Mt->|EoQ;^kZXCNDqPPPE-YVN_Wj%5^Lr>Yj|FrRo5ew>t;tjiod)xAvo${iMSmI7S0}GQuI1kCZe}M} zv=IxA)#;T;v=Iw-^2Hl=6ZZl;vr|%toZ3h93T3C8p;I}tlV~EBxKnTWhHd5E zT~1b~my<$ZCtLJlw?L;#W+zj$5es(m#T#}j_wM$yI_)TmHe$g}p?Je?d}(|ypXnAu6T5$$lK zK)hl1bMFUsR;NEC^Msuu+35l3RL<<=i#B43JM}fi8}=ag9&)le{U-gH`Z}`H51>;e zvy*Hi+Tlonc*7pz9{lHp83%x8(x0hsBs=|(dk-6#oqW+oEb&PFO!0<244s0^PKIbB z7VPARH|$5;`;nE^>DPg1BNprwh&SvJ=oDslvP2uPV5dmDVUKd}k$hICr$f<3EODp) zrg+1O&?(C7loxHp!qpzOza!qTA9L?fH>=aHBGE=H*eMWi*kjx))?{{aMH{hTr%1eE zKY>n#%ub?-T;fgxO!1CA&b=R-S)HCrs)wB%*=aj;DrR;1St#0w1v>@e4Qt}wV|Hez zboIndeCYH9bSh_cdQ!F#?c$LJn&J&BaqlNiW~Zcj@#GG4WT&4(r%Glg(L^rs&T?QN z-mxdS_qd8AzR1OCC0A_3UXxVPQN>|}~IVu?EqGQ}JA6m$x*I+co|jaaagBi^u| zbFazD>|~2JV!=*b7r(|+PC%CFqmpe7B@=n98 zvU}+hi{P=}%s2sXD^~h@Nbn(xx*r5M2RKjgux~~T6bh{Lw}{}Qtpi#E=73#-*WEhn z5Wsr@rY3VAner6i)~xiG3TeGxSNkErdjs|fUbA8NgMjw|Y!KWqdd_yh`?Au90m0{V z8TS(4Hh?XHXYkc01Ky97;3k5uNuS!uKH#>1^91)O?85=?&r0t{1P`sRKM?Q%fL(%T ze%SVOzy|`ZA$U#SDexptjloLq6$rkd`xtRA;2^*z!Kcj~^dGLd2KabZ`UCY|v8KZ!z$dWMv()?OHiwDAo(PzF58#t$13rnB z{*QVe`A`S3rJW3zdiVaYe=Xo{tn_>8J+RaGQGibYOuY~MefM5~y8|ZPd|&U(^?-Y@ z((elT<8bD$ITf%4*d+MqvF%<5d@3vbwn*^M!KcAKpr$8ao8Td9=89Fb7b}G{ce{P~ zeSmudrnx%~KYA(PKCJXhntPwQLk|R83z+22jd^&gI4IPy(l5$-$X1ihlM{{aESe6@rI9IQ}1i`vdj~p1FlT0eAplgWxqrkClK2veHul!Cj5MqTYj8 z=?P+zn?1baFu;QWJMdala~dl(Rb*sC}-fsyK`9SzDTkO5Va+>U%y!ri9a3X`AYM0YtWB4lCVOmeGX}IWnqerCTd9ngNk7 zqnQvHGMdFow*)ep4Ur|IIjpobl+j#>@-n)Jm2Qq?G!G(IM)M((LCpeIx~U+;g%FuC zTEt2>7G<=Um98>m4Y#D7}rIlqFZG^~?(I!@EtjOpJhnjmr{dxDk5s2(MVe93+ak?QdzD~%2$`xz^pRglqB5ScRi zIV+7Q%IFsm*)sYiE1g-EQ3#PEqhGPo@QRF{hRB!EuOTvI^b9Kv3uN>gh%6cXmX%IZ zJ$?s~FWK)QQa%2Ul?DfrJqwZQ@ds8K6iW6SEA=nR=#LQDGJ2ks`jut$Cx{#wm0788 zMMf_`?QPstslI3PgDsy~;{`A{o5~kt?IuA(BDO8?4m3 zAj3ByGG+7@EA=YM=+6+@GJ2bpdX{Ch6Cy`O5i6ZqkLb0!S?(?Gj>DTa6 z8Pt5kbCt3T{|P^}W%Mte`!tZzzjkIcxwlli!+Gv)fofWHI^>>K~1Y;A&W1gEQ zAfw}W?lsk}E6@GOlh~K5%7J%UMok zse`YEEy?@RL+hm%LxAapUy=Y zP2=p?f{f1Rtcxq7>6{&xm(c~Bb+u&VaCW>QqYELb$f%yP6Us80!P$vL8O`MEq=JlQ zadxsRquHEw%gbmEXQx;)n#);tLq-=tRFTm<&U%z(G@mo8D5C|Oom!C5Le6@+GFrr0 zue^*FbJp9Ek;_>hLqo<5XSHP+E#s`ND5K?^^)1L~1!w(S88vX$KQE(3&IVXA zTFKc!Lq@A0s>sOWY*1N7t2rB7l+hZ_PAkZ0EoZhXql-Bkl9$ms&Q7;vofKHo7RIt2i4|kkQqgjdf+Tg|l&a8C}C!-jY#)v+;(Eu7#)~qw6?3yDX#YIXkB) zqZ>GzP>|7$oSo~+=qAo4=4EstY8&Zd-QbQ@<=i!$2A*?9#S z-OkxGS4MYmc79$)cXBq}l2O3f1%{07f~X>+yE${pGP;Me3yU(km$UkUjPB!XhAX4{ zIh&c6(F2^#vSjoiXR{3%{Q#nhj2_}_PFY4jlF3RX}&fJ2GwsW?`l~EICOY<^%g0p3oj7pp> zH)Qlvh$=FAlCu?M8U2j2hN6s~;;gYCqn~rO(v{IKI9rvM(JwjkEE$EItu|!zD~KvG zdYZE}Wf}dNv$aJTJ;T|>1sVN@vvsbFeharI@-q4zXO~zq`aNgs4H^9(L=_o5%Lc|< z_aE56c#D6I4TKwAu(bLk8yN2yo`=Yk>`!dq31u0TA#!B&0vj0bXLc^SQ)+qqNuy^-7bjxE`nxt;I1GI}ew zGje3~=iJV}s(f$fc77~SO{<-`o$rS-igG(Y2xRn5Zs&(8-@6d`lD!8#A{o7(+vSL$ zrqu@!MKby@x9h@CMt{lest;uJQEt}^Uq*k;?V9Px=;Pe3S+: 1.167ns/0.000ns; real time: 8 secs +Estimated worst slack/total negative slack: 1.167ns/0.000ns; real time: 6 secs Level 2, iteration 1 1(0.00%) conflict; 970(85.76%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.141ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.141ns/0.000ns; real time: 6 secs Level 3, iteration 1 1(0.00%) conflict; 904(79.93%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs Level 4, iteration 1 26(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 06:21:00 08/17/21 +Start NBR section for normal routing at 01:19:22 10/09/21 Level 1, iteration 1 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs Level 2, iteration 1 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs Level 3, iteration 1 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs Level 4, iteration 1 12(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs Level 4, iteration 2 5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs Level 4, iteration 3 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs -Start NBR section for setup/hold timing optimization with effort level 3 at 06:21:00 08/17/21 +Start NBR section for setup/hold timing optimization with effort level 3 at 01:19:23 10/09/21 -Start NBR section for re-routing at 06:21:00 08/17/21 +Start NBR section for re-routing at 01:19:23 10/09/21 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs -Start NBR section for post-routing at 06:21:00 08/17/21 +Start NBR section for post-routing at 01:19:23 10/09/21 End NBR router with 0 unrouted connection @@ -224,8 +223,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=wb_clk loads=1 clock_loads=1 -Total CPU time 9 secs -Total REAL time: 10 secs +Total CPU time 7 secs +Total REAL time: 7 secs Completely routed. End of route. 1131 routed (100.00%); 0 unrouted. @@ -247,8 +246,8 @@ PAR_SUMMARY::Worst slack> = 0.304 PAR_SUMMARY::Timing score> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 9 secs -Total REAL time to completion: 10 secs +Total CPU time to completion: 7 secs +Total REAL time to completion: 7 secs par done! diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.prf b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.prf index dbb9d92..a5d46c6 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.prf +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.prf @@ -1,5 +1,5 @@ SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Tue Aug 17 06:20:50 2021 +# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Sat Oct 09 01:19:15 2021 SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; LOCATE COMP "RCLK" SITE "63" ; diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 index 0cce058..e130e52 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 @@ -13,7 +13,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 -Tue Aug 17 06:20:51 2021 +Sat Oct 09 01:19:15 2021 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -23,7 +23,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf Design file: ram2gs_lcmxo2_640hc_impl1_map.ncd Preference file: ram2gs_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,4 @@ -1302,7 +1302,7 @@ Constraints cover 1548 paths, 9 nets, and 889 connections (78.60% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Tue Aug 17 06:20:51 2021 +Sat Oct 09 01:19:15 2021 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1312,7 +1312,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf Design file: ram2gs_lcmxo2_640hc_impl1_map.ncd Preference file: ram2gs_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,M diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.twr b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.twr index 56e0a5c..1af0820 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.twr +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.twr @@ -13,7 +13,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 -Tue Aug 17 06:21:01 2021 +Sat Oct 09 01:19:23 2021 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -23,7 +23,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf Design file: ram2gs_lcmxo2_640hc_impl1.ncd Preference file: ram2gs_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,4 @@ -2376,7 +2376,7 @@ Constraints cover 1548 paths, 9 nets, and 900 connections (79.58% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Tue Aug 17 06:21:01 2021 +Sat Oct 09 01:19:24 2021 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -2386,7 +2386,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf Design file: ram2gs_lcmxo2_640hc_impl1.ncd Preference file: ram2gs_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,m diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html index eeaaea8..2e5e5a5 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html @@ -38,9 +38,9 @@ Performance Hardware Data Status: Final Version 34.4. // Package: TQFP100 // ncd File: ram2gs_lcmxo2_640hc_impl1.ncd // Version: Diamond (64-bit) 3.12.0.240.2 -// Written on Tue Aug 17 06:21:03 2021 +// Written on Sat Oct 09 01:19:25 2021 // M: Minimum Performance Grade -// iotiming RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml +// iotiming RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf -gui I/O Timing Report (All units are in ns) diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj index 547499e..7540ad6 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj @@ -30,12 +30,12 @@ -lpf 1 --p "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC" --ver "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v" +-p "C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC" +-ver "C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v" -top RAM2GS --p "C:/lscc/diamond/3.12/ispfpga/xo2c00/data" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC" +-p "C:/lscc/diamond/3.12/ispfpga/xo2c00/data" "C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1" "C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC" -ngd "RAM2GS_LCMXO2_640HC_impl1.ngd" diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam index 7137797..1db8fb1 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam @@ -16,7 +16,7 @@ FS_972_add_4_19/CO [ END CLIPPED ] [ START DESIGN PREFS ] SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Tue Aug 17 06:20:50 2021 +# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Sat Oct 09 01:19:15 2021 SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; LOCATE COMP "RCLK" SITE "63" ; diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd index 953ea66735f31dda20b743abfbe899d231d85ee0..5f6f396caecdbe60d88f4d0ff2f969b0d46ab839 100644 GIT binary patch delta 44 zcmeDB$Design Summary @@ -67,8 +66,8 @@ Mapped on: 08/17/21 06:20:50 Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS ) Number of Clock Enables: 14 Net RCLK_c_enable_27: 8 loads, 8 LSLICEs - Net RCLK_c_enable_20: 4 loads, 4 LSLICEs + Net RCLK_c_enable_29: 2 loads, 2 LSLICEs Net RCLK_c_enable_25: 2 loads, 2 LSLICEs Net InitReady: 1 loads, 1 LSLICEs @@ -127,8 +126,8 @@ Mapped on: 08/17/21 06:20:50 +---------------------+-----------+-----------+------------+ | RCLK | INPUT | LVTTL33 | | +---------------------+-----------+-----------+------------+ - | nFWE | INPUT | LVTTL33 | | + +---------------------+-----------+-----------+------------+ | nCRAS | INPUT | LVTTL33 | | +---------------------+-----------+-----------+------------+ @@ -184,8 +183,8 @@ Mapped on: 08/17/21 06:20:50 +---------------------+-----------+-----------+------------+ | nRRAS | OUTPUT | LVTTL33 | | +---------------------+-----------+-----------+------------+ - | nRWE | OUTPUT | LVTTL33 | | + +---------------------+-----------+-----------+------------+ | RCKE | OUTPUT | LVTTL33 | | +---------------------+-----------+-----------+------------+ @@ -241,8 +240,8 @@ Mapped on: 08/17/21 06:20:50 +---------------------+-----------+-----------+------------+ | RD[1] | BIDIR | LVTTL33 | | +---------------------+-----------+-----------+------------+ - | RD[2] | BIDIR | LVTTL33 | | + +---------------------+-----------+-----------+------------+ | RD[3] | BIDIR | LVTTL33 | | +---------------------+-----------+-----------+------------+ @@ -300,8 +299,8 @@ Block i1 was optimized away. PLL0 Connection: DISABLED PLL1 Connection: DISABLED I2C Function Summary: - -------------------- + None SPI Function Summary: -------------------- @@ -337,7 +336,7 @@ Instance Name: ufmefb Total CPU Time: 0 secs Total REAL Time: 0 secs - Peak Memory Usage: 36 MB + Peak Memory Usage: 37 MB @@ -362,6 +361,7 @@ Instance Name: ufmefb + Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html index 23f37c5..7f7a4dc 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html @@ -14,7 +14,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.39 -Tue Aug 17 06:20:57 2021 +Sat Oct 09 01:19:20 2021 Pinout by Port Name: +-----------+----------+--------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -278,7 +278,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 17 06:20:59 2021 +Sat Oct 09 01:19:22 2021 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html index 65dfab2..bbfac58 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html @@ -12,12 +12,11 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 17 06:20:51 2021 +Sat Oct 09 01:19:16 2021 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_640HC_impl1.p2t RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir -RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset -C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml +RAM2GS_LCMXO2_640HC_impl1.prf -gui Preference file: RAM2GS_LCMXO2_640HC_impl1.prf. @@ -26,22 +25,22 @@ Preference file: RAM2GS_LCMXO2_640HC_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 1.135 0 0.304 0 10 Completed +5_1 * 0 1.135 0 0.304 0 07 Completed * : Design saved. -Total (real) run time for 1-seed: 10 secs +Total (real) run time for 1-seed: 7 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2GS_LCMXO2_640HC_impl1_map.ncd" -Tue Aug 17 06:20:51 2021 +Sat Oct 09 01:19:16 2021 Best Par Run PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. -Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2GS_LCMXO2_640HC_impl1.prf Preference file: RAM2GS_LCMXO2_640HC_impl1.prf. Placement level-cost: 5-1. Routing Iterations: 6 @@ -97,12 +96,12 @@ Finished Placer Phase 0. REAL time: 0 secs Starting Placer Phase 1. .................... Placer score = 65362. -Finished Placer Phase 1. REAL time: 6 secs +Finished Placer Phase 1. REAL time: 4 secs Starting Placer Phase 2. . Placer score = 65089 -Finished Placer Phase 2. REAL time: 6 secs +Finished Placer Phase 2. REAL time: 4 secs @@ -142,7 +141,7 @@ I/O Bank Usage Summary: | 3 | 18 / 20 ( 90%) | 3.3V | - | +----------+----------------+------------+-----------+ -Total placer CPU time: 5 secs +Total placer CPU time: 4 secs Dumping design to file RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd. @@ -155,9 +154,9 @@ WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of t WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=wb_clk loads=1 clock_loads=1 -Completed router resource preassignment. Real time: 8 secs +Completed router resource preassignment. Real time: 6 secs -Start NBR router at 06:20:59 08/17/21 +Start NBR router at 01:19:22 10/09/21 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -172,53 +171,53 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 06:20:59 08/17/21 +Start NBR special constraint process at 01:19:22 10/09/21 -Start NBR section for initial routing at 06:20:59 08/17/21 +Start NBR section for initial routing at 01:19:22 10/09/21 Level 1, iteration 1 0(0.00%) conflict; 980(86.65%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.167ns/0.000ns; real time: 8 secs +Estimated worst slack/total negative slack<setup>: 1.167ns/0.000ns; real time: 6 secs Level 2, iteration 1 1(0.00%) conflict; 970(85.76%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.141ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack<setup>: 1.141ns/0.000ns; real time: 6 secs Level 3, iteration 1 1(0.00%) conflict; 904(79.93%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 6 secs Level 4, iteration 1 26(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 6 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 06:21:00 08/17/21 +Start NBR section for normal routing at 01:19:22 10/09/21 Level 1, iteration 1 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 6 secs Level 2, iteration 1 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 6 secs Level 3, iteration 1 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 7 secs Level 4, iteration 1 12(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 7 secs Level 4, iteration 2 5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 7 secs Level 4, iteration 3 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 7 secs -Start NBR section for setup/hold timing optimization with effort level 3 at 06:21:00 08/17/21 +Start NBR section for setup/hold timing optimization with effort level 3 at 01:19:23 10/09/21 -Start NBR section for re-routing at 06:21:00 08/17/21 +Start NBR section for re-routing at 01:19:23 10/09/21 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 7 secs -Start NBR section for post-routing at 06:21:00 08/17/21 +Start NBR section for post-routing at 01:19:23 10/09/21 End NBR router with 0 unrouted connection @@ -236,8 +235,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=wb_clk loads=1 clock_loads=1 -Total CPU time 9 secs -Total REAL time: 10 secs +Total CPU time 7 secs +Total REAL time: 7 secs Completely routed. End of route. 1131 routed (100.00%); 0 unrouted. @@ -259,8 +258,8 @@ PAR_SUMMARY::Worst slack<hold /<ns>> = 0.304 PAR_SUMMARY::Timing score<hold /<ns>> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 9 secs -Total REAL time to completion: 10 secs +Total CPU time to completion: 7 secs +Total REAL time to completion: 7 secs par done! diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html index e426f18..087b477 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html @@ -24,7 +24,7 @@ Last Process: -JEDEC File +I/O Timing Analysis State: Passed @@ -62,15 +62,15 @@ Updated: -2021/08/17 06:21:51 +2021/10/09 01:19:25 Implementation Location: -C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1 +C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1 Project File: -C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf +C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf
diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html index 8f5276d..e259dcf 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 -Tue Aug 17 06:20:51 2021 +Sat Oct 09 01:19:15 2021 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -32,7 +32,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf Design file: ram2gs_lcmxo2_640hc_impl1_map.ncd Preference file: ram2gs_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,4 @@ -1395,7 +1395,7 @@ Constraints cover 1548 paths, 9 nets, and 889 connections (78.60% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Tue Aug 17 06:20:51 2021 +Sat Oct 09 01:19:15 2021 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1405,7 +1405,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf Design file: ram2gs_lcmxo2_640hc_impl1_map.ncd Preference file: ram2gs_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,M diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html index 774a443..22dc62a 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 -Tue Aug 17 06:21:01 2021 +Sat Oct 09 01:19:23 2021 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -32,7 +32,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf Design file: ram2gs_lcmxo2_640hc_impl1.ncd Preference file: ram2gs_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,4 @@ -2469,7 +2469,7 @@ Constraints cover 1548 paths, 9 nets, and 900 connections (79.58% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 -Tue Aug 17 06:21:01 2021 +Sat Oct 09 01:19:24 2021 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -2479,7 +2479,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf Design file: ram2gs_lcmxo2_640hc_impl1.ncd Preference file: ram2gs_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,m diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr index 71c3f66..6f3d23b 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr @@ -1,6 +1,6 @@ -------------------------------------------------------------------------------- Lattice Synthesis Timing Report, Version -Tue Aug 17 06:19:46 2021 +Sat Oct 09 01:19:14 2021 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -331,5 +331,5 @@ Timing errors: 1272 Score: 5951146 Constraints cover 1577 paths, 335 nets, and 954 connections (77.9% coverage) -Peak memory: 59748352 bytes, TRCE: 3297280 bytes, DLYMAN: 0 bytes +Peak memory: 60768256 bytes, TRCE: 3186688 bytes, DLYMAN: 0 bytes CPU_TIME_REPORT: 0 secs diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html index 098f931..15ef8ae 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html @@ -9,7 +9,7 @@
Lattice Synthesis Timing Report
 --------------------------------------------------------------------------------
 Lattice Synthesis Timing Report, Version  
-Tue Aug 17 05:43:37 2021
+Sat Oct 09 01:19:14 2021
 
 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
 Copyright (c) 1995 AT&T Corp.   All rights reserved.
@@ -27,248 +27,272 @@ Report level:    verbose report, limited to 3 items per constraint
 
 
 ================================================================================
-Constraint: create_clock -period 5.000000 -name clk3 [get_nets nCCAS_c]
+Constraint: create_clock -period 5.000000 -name clk3 [get_nets PHI2_c]
+            130 items scored, 125 timing errors detected.
+--------------------------------------------------------------------------------
+
+
+Error:  The following path violates requirements by 10.606ns
+
+ Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
+
+   Source:         FD1S3AX    CK             Bank_i4  (from PHI2_c +)
+   Destination:    FD1P3AX    SP             CmdLEDEN_545  (to PHI2_c -)
+
+   Delay:                  12.821ns  (30.4% logic, 69.6% route), 8 logic levels.
+
+ Constraint Details:
+
+     12.821ns data_path Bank_i4 to CmdLEDEN_545 violates
+      2.500ns delay constraint less
+      0.285ns LCE_S requirement (totaling 2.215ns) by 10.606ns
+
+ Path Details: Bank_i4 to CmdLEDEN_545
+
+   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
+L_CO        ---     0.444             CK to Q              Bank_i4 (from PHI2_c)
+Route         1   e 0.941                                  Bank[4]
+LUT4        ---     0.493              C to Z              i3734_4_lut
+Route         1   e 0.941                                  n4610
+LUT4        ---     0.493              B to Z              i3751_4_lut
+Route         2   e 1.141                                  n4628
+LUT4        ---     0.493              B to Z              i13_4_lut_adj_13
+Route         4   e 1.340                                  n2384
+LUT4        ---     0.493              B to Z              i3712_2_lut_rep_40
+Route         2   e 1.141                                  n4889
+LUT4        ---     0.493              D to Z              i3_4_lut_adj_23
+Route         4   e 1.340                                  XOR8MEG_N_149
+LUT4        ---     0.493              D to Z              i2_3_lut_rep_33_4_lut
+Route         1   e 0.941                                  n4882
+LUT4        ---     0.493              A to Z              i1_3_lut_adj_21
+Route         2   e 1.141                                  PHI2_N_151_enable_5
+                  --------
+                   12.821  (30.4% logic, 69.6% route), 8 logic levels.
+
+
+Error:  The following path violates requirements by 10.606ns
+
+ Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
+
+   Source:         FD1S3AX    CK             Bank_i4  (from PHI2_c +)
+   Destination:    FD1P3AX    SP             Cmdn8MEGEN_546  (to PHI2_c -)
+
+   Delay:                  12.821ns  (30.4% logic, 69.6% route), 8 logic levels.
+
+ Constraint Details:
+
+     12.821ns data_path Bank_i4 to Cmdn8MEGEN_546 violates
+      2.500ns delay constraint less
+      0.285ns LCE_S requirement (totaling 2.215ns) by 10.606ns
+
+ Path Details: Bank_i4 to Cmdn8MEGEN_546
+
+   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
+L_CO        ---     0.444             CK to Q              Bank_i4 (from PHI2_c)
+Route         1   e 0.941                                  Bank[4]
+LUT4        ---     0.493              C to Z              i3734_4_lut
+Route         1   e 0.941                                  n4610
+LUT4        ---     0.493              B to Z              i3751_4_lut
+Route         2   e 1.141                                  n4628
+LUT4        ---     0.493              B to Z              i13_4_lut_adj_13
+Route         4   e 1.340                                  n2384
+LUT4        ---     0.493              B to Z              i3712_2_lut_rep_40
+Route         2   e 1.141                                  n4889
+LUT4        ---     0.493              D to Z              i3_4_lut_adj_23
+Route         4   e 1.340                                  XOR8MEG_N_149
+LUT4        ---     0.493              D to Z              i2_3_lut_rep_33_4_lut
+Route         1   e 0.941                                  n4882
+LUT4        ---     0.493              A to Z              i1_3_lut_adj_21
+Route         2   e 1.141                                  PHI2_N_151_enable_5
+                  --------
+                   12.821  (30.4% logic, 69.6% route), 8 logic levels.
+
+
+Error:  The following path violates requirements by 10.606ns
+
+ Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
+
+   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
+   Destination:    FD1P3AX    SP             CmdLEDEN_545  (to PHI2_c -)
+
+   Delay:                  12.821ns  (30.4% logic, 69.6% route), 8 logic levels.
+
+ Constraint Details:
+
+     12.821ns data_path Bank_i5 to CmdLEDEN_545 violates
+      2.500ns delay constraint less
+      0.285ns LCE_S requirement (totaling 2.215ns) by 10.606ns
+
+ Path Details: Bank_i5 to CmdLEDEN_545
+
+   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
+L_CO        ---     0.444             CK to Q              Bank_i5 (from PHI2_c)
+Route         1   e 0.941                                  Bank[5]
+LUT4        ---     0.493              B to Z              i3734_4_lut
+Route         1   e 0.941                                  n4610
+LUT4        ---     0.493              B to Z              i3751_4_lut
+Route         2   e 1.141                                  n4628
+LUT4        ---     0.493              B to Z              i13_4_lut_adj_13
+Route         4   e 1.340                                  n2384
+LUT4        ---     0.493              B to Z              i3712_2_lut_rep_40
+Route         2   e 1.141                                  n4889
+LUT4        ---     0.493              D to Z              i3_4_lut_adj_23
+Route         4   e 1.340                                  XOR8MEG_N_149
+LUT4        ---     0.493              D to Z              i2_3_lut_rep_33_4_lut
+Route         1   e 0.941                                  n4882
+LUT4        ---     0.493              A to Z              i1_3_lut_adj_21
+Route         2   e 1.141                                  PHI2_N_151_enable_5
+                  --------
+                   12.821  (30.4% logic, 69.6% route), 8 logic levels.
+
+Warning: 13.106 ns is the maximum delay for this constraint.
+
+
+
+================================================================================
+Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCCAS_c]
             0 items scored, 0 timing errors detected.
 --------------------------------------------------------------------------------
 
 
 ================================================================================
-Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCRAS_c]
+Constraint: create_clock -period 5.000000 -name clk1 [get_nets nCRAS_c]
             0 items scored, 0 timing errors detected.
 --------------------------------------------------------------------------------
 
 
-================================================================================
-Constraint: create_clock -period 5.000000 -name clk1 [get_nets PHI2_c]
-            120 items scored, 116 timing errors detected.
---------------------------------------------------------------------------------
-
-
-Error:  The following path violates requirements by 10.528ns
-
- Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
-
-   Source:         FD1S3AX    CK             Bank_i1  (from PHI2_c +)
-   Destination:    FD1P3AX    SP             CmdEnable_541  (to PHI2_c -)
-
-   Delay:                  12.743ns  (30.6% logic, 69.4% route), 8 logic levels.
-
- Constraint Details:
-
-     12.743ns data_path Bank_i1 to CmdEnable_541 violates
-      2.500ns delay constraint less
-      0.285ns LCE_S requirement (totaling 2.215ns) by 10.528ns
-
- Path Details: Bank_i1 to CmdEnable_541
-
-   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
-L_CO        ---     0.444             CK to Q              Bank_i1 (from PHI2_c)
-Route         2   e 1.198                                  Bank[1]
-LUT4        ---     0.493              B to Z              i1819_2_lut
-Route         1   e 0.941                                  n2427
-LUT4        ---     0.493              C to Z              i1857_4_lut
-Route         1   e 0.941                                  n2465
-LUT4        ---     0.493              A to Z              i13_4_lut_adj_4
-Route         5   e 1.405                                  n1712
-LUT4        ---     0.493              A to Z              i1_2_lut_rep_12
-Route         2   e 1.141                                  n2551
-LUT4        ---     0.493              D to Z              i1827_4_lut
-Route         1   e 0.941                                  n2435
-LUT4        ---     0.493              B to Z              i3_4_lut_adj_1
-Route         4   e 1.340                                  C1Submitted_N_200
-LUT4        ---     0.493              C to Z              i34_4_lut
-Route         1   e 0.941                                  PHI2_N_119_enable_1
-                  --------
-                   12.743  (30.6% logic, 69.4% route), 8 logic levels.
-
-
-Error:  The following path violates requirements by 10.471ns
-
- Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
-
-   Source:         FD1S3AX    CK             Bank_i3  (from PHI2_c +)
-   Destination:    FD1P3AX    SP             CmdEnable_541  (to PHI2_c -)
-
-   Delay:                  12.686ns  (30.7% logic, 69.3% route), 8 logic levels.
-
- Constraint Details:
-
-     12.686ns data_path Bank_i3 to CmdEnable_541 violates
-      2.500ns delay constraint less
-      0.285ns LCE_S requirement (totaling 2.215ns) by 10.471ns
-
- Path Details: Bank_i3 to CmdEnable_541
-
-   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
-L_CO        ---     0.444             CK to Q              Bank_i3 (from PHI2_c)
-Route         1   e 0.941                                  Bank[3]
-LUT4        ---     0.493              B to Z              i1799_2_lut
-Route         2   e 1.141                                  n2407
-LUT4        ---     0.493              A to Z              i1857_4_lut
-Route         1   e 0.941                                  n2465
-LUT4        ---     0.493              A to Z              i13_4_lut_adj_4
-Route         5   e 1.405                                  n1712
-LUT4        ---     0.493              A to Z              i1_2_lut_rep_12
-Route         2   e 1.141                                  n2551
-LUT4        ---     0.493              D to Z              i1827_4_lut
-Route         1   e 0.941                                  n2435
-LUT4        ---     0.493              B to Z              i3_4_lut_adj_1
-Route         4   e 1.340                                  C1Submitted_N_200
-LUT4        ---     0.493              C to Z              i34_4_lut
-Route         1   e 0.941                                  PHI2_N_119_enable_1
-                  --------
-                   12.686  (30.7% logic, 69.3% route), 8 logic levels.
-
-
-Error:  The following path violates requirements by 10.471ns
-
- Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
-
-   Source:         FD1S3AX    CK             Bank_i6  (from PHI2_c +)
-   Destination:    FD1P3AX    SP             CmdEnable_541  (to PHI2_c -)
-
-   Delay:                  12.686ns  (30.7% logic, 69.3% route), 8 logic levels.
-
- Constraint Details:
-
-     12.686ns data_path Bank_i6 to CmdEnable_541 violates
-      2.500ns delay constraint less
-      0.285ns LCE_S requirement (totaling 2.215ns) by 10.471ns
-
- Path Details: Bank_i6 to CmdEnable_541
-
-   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
-L_CO        ---     0.444             CK to Q              Bank_i6 (from PHI2_c)
-Route         1   e 0.941                                  Bank[6]
-LUT4        ---     0.493              A to Z              i1799_2_lut
-Route         2   e 1.141                                  n2407
-LUT4        ---     0.493              A to Z              i1857_4_lut
-Route         1   e 0.941                                  n2465
-LUT4        ---     0.493              A to Z              i13_4_lut_adj_4
-Route         5   e 1.405                                  n1712
-LUT4        ---     0.493              A to Z              i1_2_lut_rep_12
-Route         2   e 1.141                                  n2551
-LUT4        ---     0.493              D to Z              i1827_4_lut
-Route         1   e 0.941                                  n2435
-LUT4        ---     0.493              B to Z              i3_4_lut_adj_1
-Route         4   e 1.340                                  C1Submitted_N_200
-LUT4        ---     0.493              C to Z              i34_4_lut
-Route         1   e 0.941                                  PHI2_N_119_enable_1
-                  --------
-                   12.686  (30.7% logic, 69.3% route), 8 logic levels.
-
-Warning: 13.028 ns is the maximum delay for this constraint.
-
-
-
 ================================================================================
 Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c]
-            466 items scored, 158 timing errors detected.
+            1392 items scored, 1147 timing errors detected.
 --------------------------------------------------------------------------------
 
 
-Error:  The following path violates requirements by 3.233ns
+Error:  The following path violates requirements by 10.222ns
 
  Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
 
-   Source:         FD1S3AX    CK             FS_725__i9  (from RCLK_c +)
-   Destination:    FD1P3IX    SP             n8MEGEN_557  (to RCLK_c +)
+   Source:         FD1S3AX    CK             FS_972__i8  (from RCLK_c +)
+   Destination:    FD1S3AX    D              wb_adr_i4  (to RCLK_c +)
 
-   Delay:                   7.948ns  (33.3% logic, 66.7% route), 6 logic levels.
+   Delay:                  15.062ns  (30.7% logic, 69.3% route), 10 logic levels.
 
  Constraint Details:
 
-      7.948ns data_path FS_725__i9 to n8MEGEN_557 violates
+     15.062ns data_path FS_972__i8 to wb_adr_i4 violates
       5.000ns delay constraint less
-      0.285ns LCE_S requirement (totaling 4.715ns) by 3.233ns
+      0.160ns L_S requirement (totaling 4.840ns) by 10.222ns
 
- Path Details: FS_725__i9 to n8MEGEN_557
+ Path Details: FS_972__i8 to wb_adr_i4
 
    Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
-L_CO        ---     0.444             CK to Q              FS_725__i9 (from RCLK_c)
-Route         3   e 1.315                                  FS[9]
-LUT4        ---     0.493              A to Z              i1847_4_lut
-Route         1   e 0.941                                  n2455
-LUT4        ---     0.493              B to Z              i1855_4_lut
-Route         1   e 0.941                                  n2463
-LUT4        ---     0.493              B to Z              i14_4_lut
-Route         1   e 0.941                                  n2384
-LUT4        ---     0.493              D to Z              i3_4_lut_adj_13
-Route         1   e 0.020                                  n2385
-MUXL5       ---     0.233           BLUT to Z              i26
-Route         2   e 1.141                                  RCLK_c_enable_10
+L_CO        ---     0.444             CK to Q              FS_972__i8 (from RCLK_c)
+Route        23   e 1.894                                  FS[8]
+LUT4        ---     0.493              B to Z              i1_2_lut_rep_75
+Route         4   e 1.340                                  n4924
+LUT4        ---     0.493              B to Z              i2387_3_lut_4_lut
+Route         1   e 0.941                                  n98
+LUT4        ---     0.493              D to Z              i1_3_lut_4_lut_adj_9
+Route         2   e 1.141                                  n2199
+LUT4        ---     0.493              B to Z              i92_4_lut
+Route         1   e 0.941                                  n53
+LUT4        ---     0.493              C to Z              i3106_3_lut_3_lut
+Route         1   e 0.020                                  n1_adj_6
+MUXL5       ---     0.233           ALUT to Z              i29
+Route         1   e 0.941                                  n14_adj_3
+LUT4        ---     0.493              C to Z              i1_2_lut_2_lut_3_lut
+Route         2   e 1.141                                  n12_adj_8
+LUT4        ---     0.493              C to Z              i1_3_lut_4_lut_adj_11
+Route         2   e 1.141                                  n14_adj_7
+LUT4        ---     0.493              A to Z              i28_3_lut
+Route         1   e 0.941                                  wb_adr_7__N_60[4]
                   --------
-                    7.948  (33.3% logic, 66.7% route), 6 logic levels.
+                   15.062  (30.7% logic, 69.3% route), 10 logic levels.
 
 
-Error:  The following path violates requirements by 3.233ns
+Error:  The following path violates requirements by 10.222ns
 
  Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
 
-   Source:         FD1S3AX    CK             FS_725__i9  (from RCLK_c +)
-   Destination:    FD1P3IX    SP             LEDEN_556  (to RCLK_c +)
+   Source:         FD1S3AX    CK             FS_972__i8  (from RCLK_c +)
+   Destination:    FD1S3AX    D              wb_adr_i6  (to RCLK_c +)
 
-   Delay:                   7.948ns  (33.3% logic, 66.7% route), 6 logic levels.
+   Delay:                  15.062ns  (30.7% logic, 69.3% route), 10 logic levels.
 
  Constraint Details:
 
-      7.948ns data_path FS_725__i9 to LEDEN_556 violates
+     15.062ns data_path FS_972__i8 to wb_adr_i6 violates
       5.000ns delay constraint less
-      0.285ns LCE_S requirement (totaling 4.715ns) by 3.233ns
+      0.160ns L_S requirement (totaling 4.840ns) by 10.222ns
 
- Path Details: FS_725__i9 to LEDEN_556
+ Path Details: FS_972__i8 to wb_adr_i6
 
    Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
-L_CO        ---     0.444             CK to Q              FS_725__i9 (from RCLK_c)
-Route         3   e 1.315                                  FS[9]
-LUT4        ---     0.493              A to Z              i1847_4_lut
-Route         1   e 0.941                                  n2455
-LUT4        ---     0.493              B to Z              i1855_4_lut
-Route         1   e 0.941                                  n2463
-LUT4        ---     0.493              B to Z              i14_4_lut
-Route         1   e 0.941                                  n2384
-LUT4        ---     0.493              D to Z              i3_4_lut_adj_13
-Route         1   e 0.020                                  n2385
-MUXL5       ---     0.233           BLUT to Z              i26
-Route         2   e 1.141                                  RCLK_c_enable_10
+L_CO        ---     0.444             CK to Q              FS_972__i8 (from RCLK_c)
+Route        23   e 1.894                                  FS[8]
+LUT4        ---     0.493              B to Z              i1_2_lut_rep_75
+Route         4   e 1.340                                  n4924
+LUT4        ---     0.493              B to Z              i2387_3_lut_4_lut
+Route         1   e 0.941                                  n98
+LUT4        ---     0.493              D to Z              i1_3_lut_4_lut_adj_9
+Route         2   e 1.141                                  n2199
+LUT4        ---     0.493              B to Z              i92_4_lut
+Route         1   e 0.941                                  n53
+LUT4        ---     0.493              C to Z              i3106_3_lut_3_lut
+Route         1   e 0.020                                  n1_adj_6
+MUXL5       ---     0.233           ALUT to Z              i29
+Route         1   e 0.941                                  n14_adj_3
+LUT4        ---     0.493              C to Z              i1_2_lut_2_lut_3_lut
+Route         2   e 1.141                                  n12_adj_8
+LUT4        ---     0.493              C to Z              i1_3_lut_4_lut_adj_11
+Route         2   e 1.141                                  n14_adj_7
+LUT4        ---     0.493              A to Z              i29_3_lut
+Route         1   e 0.941                                  wb_adr_7__N_60[6]
                   --------
-                    7.948  (33.3% logic, 66.7% route), 6 logic levels.
+                   15.062  (30.7% logic, 69.3% route), 10 logic levels.
 
 
-Error:  The following path violates requirements by 3.233ns
+Error:  The following path violates requirements by 10.216ns
 
  Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
 
-   Source:         FD1S3AX    CK             FS_725__i8  (from RCLK_c +)
-   Destination:    FD1P3IX    SP             n8MEGEN_557  (to RCLK_c +)
+   Source:         FD1S3AX    CK             FS_972__i6  (from RCLK_c +)
+   Destination:    FD1S3AX    D              wb_adr_i4  (to RCLK_c +)
 
-   Delay:                   7.948ns  (33.3% logic, 66.7% route), 6 logic levels.
+   Delay:                  15.056ns  (30.7% logic, 69.3% route), 10 logic levels.
 
  Constraint Details:
 
-      7.948ns data_path FS_725__i8 to n8MEGEN_557 violates
+     15.056ns data_path FS_972__i6 to wb_adr_i4 violates
       5.000ns delay constraint less
-      0.285ns LCE_S requirement (totaling 4.715ns) by 3.233ns
+      0.160ns L_S requirement (totaling 4.840ns) by 10.216ns
 
- Path Details: FS_725__i8 to n8MEGEN_557
+ Path Details: FS_972__i6 to wb_adr_i4
 
    Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
-L_CO        ---     0.444             CK to Q              FS_725__i8 (from RCLK_c)
-Route         3   e 1.315                                  FS[8]
-LUT4        ---     0.493              B to Z              i1821_2_lut
-Route         1   e 0.941                                  n2429
-LUT4        ---     0.493              C to Z              i1855_4_lut
-Route         1   e 0.941                                  n2463
-LUT4        ---     0.493              B to Z              i14_4_lut
-Route         1   e 0.941                                  n2384
-LUT4        ---     0.493              D to Z              i3_4_lut_adj_13
-Route         1   e 0.020                                  n2385
-MUXL5       ---     0.233           BLUT to Z              i26
-Route         2   e 1.141                                  RCLK_c_enable_10
+L_CO        ---     0.444             CK to Q              FS_972__i6 (from RCLK_c)
+Route        21   e 1.888                                  FS[6]
+LUT4        ---     0.493              A to Z              i1_2_lut_rep_75
+Route         4   e 1.340                                  n4924
+LUT4        ---     0.493              B to Z              i2387_3_lut_4_lut
+Route         1   e 0.941                                  n98
+LUT4        ---     0.493              D to Z              i1_3_lut_4_lut_adj_9
+Route         2   e 1.141                                  n2199
+LUT4        ---     0.493              B to Z              i92_4_lut
+Route         1   e 0.941                                  n53
+LUT4        ---     0.493              C to Z              i3106_3_lut_3_lut
+Route         1   e 0.020                                  n1_adj_6
+MUXL5       ---     0.233           ALUT to Z              i29
+Route         1   e 0.941                                  n14_adj_3
+LUT4        ---     0.493              C to Z              i1_2_lut_2_lut_3_lut
+Route         2   e 1.141                                  n12_adj_8
+LUT4        ---     0.493              C to Z              i1_3_lut_4_lut_adj_11
+Route         2   e 1.141                                  n14_adj_7
+LUT4        ---     0.493              A to Z              i28_3_lut
+Route         1   e 0.941                                  wb_adr_7__N_60[4]
                   --------
-                    7.948  (33.3% logic, 66.7% route), 6 logic levels.
+                   15.056  (30.7% logic, 69.3% route), 10 logic levels.
 
-Warning: 8.233 ns is the maximum delay for this constraint.
+Warning: 15.222 ns is the maximum delay for this constraint.
 
 
 Timing Report Summary
@@ -278,16 +302,16 @@ Constraint                              |   Constraint|       Actual|Levels
 --------------------------------------------------------------------------------
                                         |             |             |
 create_clock -period 5.000000 -name     |             |             |
-clk3 [get_nets nCCAS_c]                 |            -|            -|     0  
+clk3 [get_nets PHI2_c]                  |     5.000 ns|    26.212 ns|     8 *
                                         |             |             |
 create_clock -period 5.000000 -name     |             |             |
-clk2 [get_nets nCRAS_c]                 |            -|            -|     0  
+clk2 [get_nets nCCAS_c]                 |            -|            -|     0  
                                         |             |             |
 create_clock -period 5.000000 -name     |             |             |
-clk1 [get_nets PHI2_c]                  |     5.000 ns|    26.056 ns|     8 *
+clk1 [get_nets nCRAS_c]                 |            -|            -|     0  
                                         |             |             |
 create_clock -period 5.000000 -name     |             |             |
-clk0 [get_nets RCLK_c]                  |     5.000 ns|     8.233 ns|     6 *
+clk0 [get_nets RCLK_c]                  |     5.000 ns|    15.222 ns|    10 *
                                         |             |             |
 --------------------------------------------------------------------------------
 
@@ -297,39 +321,13 @@ clk0 [get_nets RCLK_c]                  |     5.000 ns|     8.233 ns|     6 *
 --------------------------------------------------------------------------------
 Critical Nets                           |   Loads|  Errors| % of total
 --------------------------------------------------------------------------------
-n1712                                   |       5|     104|     37.96%
+n14                                     |      16|     200|     15.72%
                                         |        |        |
-n2465                                   |       1|      52|     18.98%
+n12_adj_8                               |       2|     198|     15.57%
                                         |        |        |
-n2251                                   |       1|      41|     14.96%
+n14_adj_3                               |       1|     183|     14.39%
                                         |        |        |
-n2551                                   |       2|      40|     14.60%
-                                        |        |        |
-n2250                                   |       1|      39|     14.23%
-                                        |        |        |
-n2252                                   |       1|      39|     14.23%
-                                        |        |        |
-XOR8MEG_N_117                           |       3|      34|     12.41%
-                                        |        |        |
-n2249                                   |       1|      33|     12.04%
-                                        |        |        |
-n2253                                   |       1|      33|     12.04%
-                                        |        |        |
-C1Submitted_N_200                       |       4|      32|     11.68%
-                                        |        |        |
-n2379                                   |       2|      32|     11.68%
-                                        |        |        |
-n2435                                   |       1|      32|     11.68%
-                                        |        |        |
-RCLK_c_enable_10                        |       2|      30|     10.95%
-                                        |        |        |
-n2384                                   |       1|      30|     10.95%
-                                        |        |        |
-n2385                                   |       1|      30|     10.95%
-                                        |        |        |
-n2407                                   |       2|      28|     10.22%
-                                        |        |        |
-n2453                                   |       2|      28|     10.22%
+n14_adj_7                               |       2|     176|     13.84%
                                         |        |        |
 --------------------------------------------------------------------------------
 
@@ -337,12 +335,12 @@ n2453                                   |       2|      28|     10.22%
 Timing summary:
 ---------------
 
-Timing errors: 274  Score: 1700966
+Timing errors: 1272  Score: 5951146
 
-Constraints cover  587 paths, 177 nets, and 436 connections (66.4% coverage)
+Constraints cover  1577 paths, 335 nets, and 954 connections (77.9% coverage)
 
 
-Peak memory: 55074816 bytes, TRCE: 434176 bytes, DLYMAN: 0 bytes
+Peak memory: 60768256 bytes, TRCE: 3186688 bytes, DLYMAN: 0 bytes
 CPU_TIME_REPORT: 0 secs 
 
 
diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v
index e6fdb98..dc1901b 100644
--- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v
+++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v
@@ -1,66 +1,66 @@
 // Verilog netlist produced by program LSE :  version Diamond (64-bit) 3.12.0.240.2
-// Netlist written on Tue Aug 17 06:19:46 2021
+// Netlist written on Sat Oct 09 01:19:14 2021
 //
 // Verilog Description of module RAM2GS
 //
 
 module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, 
             LED, RBA, RA, RD, nRCS, RCLK, RCKE, nRWE, nRRAS, 
-            nRCAS, RDQMH, RDQML) /* synthesis syn_module_defined=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(1[8:14])
-    input PHI2;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12])
-    input [9:0]MAin;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    input [1:0]CROW;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(33[14:18])
-    input [7:0]Din;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
-    output [7:0]Dout;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
-    input nCCAS;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13])
-    input nCRAS;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20])
-    input nFWE;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(35[8:12])
-    output LED;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(11[9:12])
-    output [1:0]RBA;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22])
-    output [11:0]RA;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    inout [7:0]RD;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
-    output nRCS;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[13:17])
-    input RCLK;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(40[8:12])
-    output RCKE;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(44[13:17])
-    output nRWE;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[45:49])
-    output nRRAS;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[23:28])
-    output nRCAS;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[34:39])
-    output RDQMH;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[16:21])
-    output RDQML;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[9:14])
+            nRCAS, RDQMH, RDQML) /* synthesis syn_module_defined=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(1[8:14])
+    input PHI2;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12])
+    input [9:0]MAin;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    input [1:0]CROW;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(33[14:18])
+    input [7:0]Din;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
+    output [7:0]Dout;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
+    input nCCAS;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13])
+    input nCRAS;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20])
+    input nFWE;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(35[8:12])
+    output LED;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(11[9:12])
+    output [1:0]RBA;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22])
+    output [11:0]RA;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    inout [7:0]RD;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
+    output nRCS;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[13:17])
+    input RCLK;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(40[8:12])
+    output RCKE;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(44[13:17])
+    output nRWE;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[45:49])
+    output nRRAS;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[23:28])
+    output nRCAS;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[34:39])
+    output RDQMH;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[16:21])
+    output RDQML;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[9:14])
     
-    wire PHI2_c /* synthesis is_clock=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12])
-    wire nCCAS_c /* synthesis is_clock=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13])
-    wire nCRAS_c /* synthesis is_clock=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20])
-    wire RCLK_c /* synthesis SET_AS_NETWORK=RCLK_c, is_clock=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(40[8:12])
-    wire wb_clk /* synthesis is_clock=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(317[6:12])
-    wire nCCAS_N_3 /* synthesis is_inv_clock=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
-    wire nCRAS_N_9 /* synthesis is_inv_clock=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22])
-    wire PHI2_N_151 /* synthesis is_inv_clock=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(37[6:13])
+    wire PHI2_c /* synthesis is_clock=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12])
+    wire nCCAS_c /* synthesis is_clock=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13])
+    wire nCRAS_c /* synthesis is_clock=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20])
+    wire RCLK_c /* synthesis SET_AS_NETWORK=RCLK_c, is_clock=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(40[8:12])
+    wire wb_clk /* synthesis is_clock=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(317[6:12])
+    wire nCCAS_N_3 /* synthesis is_inv_clock=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
+    wire nCRAS_N_9 /* synthesis is_inv_clock=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22])
+    wire PHI2_N_151 /* synthesis is_inv_clock=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(37[6:13])
     
     wire GND_net, VCC_net, LEDEN, PHI2r, PHI2r2, PHI2r3, RASr, 
         RASr2, RASr3, CASr, CASr2, CASr3, FWEr, CBR, Din_c_7, 
         Din_c_6, Din_c_5, Din_c_4, Din_c_3, Din_c_2, Din_c_1, Din_c_0;
-    wire [7:0]Bank;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(30[12:16])
+    wire [7:0]Bank;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(30[12:16])
     
     wire CROW_c_1, CROW_c_0, MAin_c_9, MAin_c_8, MAin_c_7, MAin_c_6, 
         MAin_c_5, MAin_c_4, MAin_c_3, MAin_c_2, MAin_c_1, MAin_c_0, 
         nFWE_c, n8MEGEN, XOR8MEG, RCKEEN, RCKE_c, nRCS_c, nRRAS_c, 
         nRCAS_c, nRWE_c, RBA_c_1, RBA_c_0, nRowColSel, RA_c, n1975;
-    wire [9:0]RowA;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(50[12:16])
+    wire [9:0]RowA;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(50[12:16])
     
     wire RA_c_9, RA_c_8, RA_c_7, RA_c_6, RA_c_5, RA_c_4, RA_c_3, 
         RA_c_2, RA_c_1, RA_c_0, RDQML_c, RDQMH_c;
-    wire [7:0]WRD;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(58[12:15])
+    wire [7:0]WRD;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(58[12:15])
     
     wire C1Submitted, ADSubmitted, CmdEnable, CmdSubmitted, CmdLEDEN, 
         Cmdn8MEGEN, CmdUFMData, CmdUFMShift, n4097, InitReady, Ready, 
         n10;
-    wire [17:0]FS;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+    wire [17:0]FS;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     
     wire wb_rst, wb_cyc_stb, wb_we;
-    wire [7:0]wb_adr;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(321[12:18])
-    wire [7:0]wb_dati;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(322[12:19])
-    wire [1:0]wb_dato;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(323[13:20])
+    wire [7:0]wb_adr;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(321[12:18])
+    wire [7:0]wb_dati;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(322[12:19])
+    wire [1:0]wb_dato;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(323[13:20])
     
     wire LED_N_134, RA11_N_217, n1197, n3, RCKE_N_165, nRowColSel_N_35, 
         nRWE_N_215, nRowColSel_N_34, nRowColSel_N_33, nRowColSel_N_32, 
@@ -108,46 +108,46 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
         n4902, n4940, n7;
     
     VHI i2 (.Z(VCC_net));
-    INV i4006 (.A(nCCAS_c), .Z(nCCAS_N_3));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13])
+    INV i4006 (.A(nCCAS_c), .Z(nCCAS_N_3));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13])
     FD1P3AX IS_FSM__i15 (.D(n1185), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(Ready_N_284));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(Ready_N_284));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i15.GSR = "ENABLED";
     FD1P3AX IS_FSM__i14 (.D(n1186), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1185));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1185));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i14.GSR = "ENABLED";
-    FD1S3AX PHI2r2_513 (.D(PHI2r), .CK(RCLK_c), .Q(PHI2r2));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    FD1S3AX PHI2r2_513 (.D(PHI2r), .CK(RCLK_c), .Q(PHI2r2));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam PHI2r2_513.GSR = "ENABLED";
-    FD1S3AX PHI2r3_514 (.D(PHI2r2), .CK(RCLK_c), .Q(PHI2r3));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    FD1S3AX PHI2r3_514 (.D(PHI2r2), .CK(RCLK_c), .Q(PHI2r3));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam PHI2r3_514.GSR = "ENABLED";
-    FD1S3AX RASr_515 (.D(nCRAS_N_9), .CK(RCLK_c), .Q(RASr));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    FD1S3AX RASr_515 (.D(nCRAS_N_9), .CK(RCLK_c), .Q(RASr));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam RASr_515.GSR = "ENABLED";
-    FD1S3AX RASr2_516 (.D(RASr), .CK(RCLK_c), .Q(RASr2));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    FD1S3AX RASr2_516 (.D(RASr), .CK(RCLK_c), .Q(RASr2));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam RASr2_516.GSR = "ENABLED";
-    FD1S3AX RASr3_517 (.D(RASr2), .CK(RCLK_c), .Q(RASr3));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    FD1S3AX RASr3_517 (.D(RASr2), .CK(RCLK_c), .Q(RASr3));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam RASr3_517.GSR = "ENABLED";
-    FD1S3AX CASr_518 (.D(nCCAS_N_3), .CK(RCLK_c), .Q(CASr));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    FD1S3AX CASr_518 (.D(nCCAS_N_3), .CK(RCLK_c), .Q(CASr));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam CASr_518.GSR = "ENABLED";
-    FD1S3AX CASr2_519 (.D(CASr), .CK(RCLK_c), .Q(CASr2));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    FD1S3AX CASr2_519 (.D(CASr), .CK(RCLK_c), .Q(CASr2));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam CASr2_519.GSR = "ENABLED";
-    FD1S3AX CASr3_520 (.D(CASr2), .CK(RCLK_c), .Q(CASr3));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    FD1S3AX CASr3_520 (.D(CASr2), .CK(RCLK_c), .Q(CASr3));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam CASr3_520.GSR = "ENABLED";
-    FD1S3IX RowA_i0 (.D(MAin_c_0), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[0]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3IX RowA_i0 (.D(MAin_c_0), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[0]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RowA_i0.GSR = "ENABLED";
-    FD1S3IX S_FSM_i2 (.D(n2556), .CK(RCLK_c), .CD(n4933), .Q(nRowColSel_N_34));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
+    FD1S3IX S_FSM_i2 (.D(n2556), .CK(RCLK_c), .CD(n4933), .Q(nRowColSel_N_34));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
     defparam S_FSM_i2.GSR = "ENABLED";
-    FD1S3AX WRD_i0 (.D(Din_c_0), .CK(nCCAS_N_3), .Q(WRD[0]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
+    FD1S3AX WRD_i0 (.D(Din_c_0), .CK(nCCAS_N_3), .Q(WRD[0]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
     defparam WRD_i0.GSR = "ENABLED";
-    FD1S3AX FWEr_525 (.D(n4932), .CK(nCRAS_N_9), .Q(FWEr));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3AX FWEr_525 (.D(n4932), .CK(nCRAS_N_9), .Q(FWEr));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam FWEr_525.GSR = "ENABLED";
-    FD1S3AX CBR_526 (.D(nCCAS_N_3), .CK(nCRAS_N_9), .Q(CBR));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3AX CBR_526 (.D(nCCAS_N_3), .CK(nCRAS_N_9), .Q(CBR));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam CBR_526.GSR = "ENABLED";
-    FD1S3IX RBA__i1 (.D(CROW_c_0), .CK(nCRAS_N_9), .CD(n4935), .Q(RBA_c_0));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3IX RBA__i1 (.D(CROW_c_0), .CK(nCRAS_N_9), .CD(n4935), .Q(RBA_c_0));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RBA__i1.GSR = "ENABLED";
     FD1P3AX IS_FSM__i13 (.D(n1187), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1186));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1186));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i13.GSR = "ENABLED";
     FD1P3AX IS_FSM__i12 (.D(n1188), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1187));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1187));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i12.GSR = "ENABLED";
     EFB ufmefb (.WBCLKI(wb_clk), .WBRSTI(wb_rst), .WBCYCI(wb_cyc_stb), 
         .WBSTBI(wb_cyc_stb), .WBWEI(wb_we), .WBADRI0(wb_adr[0]), .WBADRI1(wb_adr[1]), 
@@ -216,257 +216,257 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     defparam ufmefb.TC_OVERFLOW = "ENABLED";
     defparam ufmefb.TC_ICAPTURE = "DISABLED";
     FD1P3AX IS_FSM__i11 (.D(n1189), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1188));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1188));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i11.GSR = "ENABLED";
     FD1P3AX IS_FSM__i10 (.D(nRWE_N_210), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1189));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1189));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i10.GSR = "ENABLED";
     FD1P3AX IS_FSM__i9 (.D(n1191), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(nRWE_N_210));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(nRWE_N_210));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i9.GSR = "ENABLED";
     FD1P3AX IS_FSM__i8 (.D(n1192), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1191));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1191));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i8.GSR = "ENABLED";
-    FD1S3AX RCKE_531 (.D(RCKE_N_165), .CK(RCLK_c), .Q(RCKE_c)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(133[9] 136[5])
+    FD1S3AX RCKE_531 (.D(RCKE_N_165), .CK(RCLK_c), .Q(RCKE_c)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(133[9] 136[5])
     defparam RCKE_531.GSR = "ENABLED";
     FD1P3AY nRCS_532 (.D(nRCS_N_169), .SP(RCLK_c_enable_20), .CK(RCLK_c), 
-            .Q(nRCS_c)) /* synthesis lse_init_val=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+            .Q(nRCS_c)) /* synthesis lse_init_val=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam nRCS_532.GSR = "ENABLED";
     FD1P3AX IS_FSM__i7 (.D(n1193), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1192));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1192));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i7.GSR = "ENABLED";
     FD1P3AX IS_FSM__i6 (.D(n1194), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1193));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1193));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i6.GSR = "ENABLED";
-    FD1S3IX S_FSM_i3 (.D(n2556), .CK(RCLK_c), .CD(n2557), .Q(nRowColSel_N_33));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
+    FD1S3IX S_FSM_i3 (.D(n2556), .CK(RCLK_c), .CD(n2557), .Q(nRowColSel_N_33));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
     defparam S_FSM_i3.GSR = "ENABLED";
     FD1P3AX IS_FSM__i5 (.D(n1195), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1194));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1194));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i5.GSR = "ENABLED";
     FD1P3AX IS_FSM__i4 (.D(n1196), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1195));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1195));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i4.GSR = "ENABLED";
     FD1P3AX IS_FSM__i3 (.D(n1197), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1196));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1196));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i3.GSR = "ENABLED";
     FD1P3AX IS_FSM__i2 (.D(nRCAS_N_198), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(n1197));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(n1197));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i2.GSR = "ENABLED";
     FD1P3AX IS_FSM__i1 (.D(nRCS_N_172), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(nRCAS_N_198));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(nRCAS_N_198));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i1.GSR = "ENABLED";
     FD1P3AY nRRAS_533 (.D(nRRAS_N_189), .SP(RCLK_c_enable_20), .CK(RCLK_c), 
-            .Q(nRRAS_c)) /* synthesis lse_init_val=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+            .Q(nRRAS_c)) /* synthesis lse_init_val=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam nRRAS_533.GSR = "ENABLED";
     LUT4 m1_lut (.Z(n5144)) /* synthesis lut_function=1, syn_instantiated=1 */ ;
     defparam m1_lut.init = 16'hffff;
     FD1P3AY nRCAS_534 (.D(nRCAS_N_194), .SP(RCLK_c_enable_20), .CK(RCLK_c), 
-            .Q(nRCAS_c)) /* synthesis lse_init_val=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+            .Q(nRCAS_c)) /* synthesis lse_init_val=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam nRCAS_534.GSR = "ENABLED";
     FD1P3AY nRWE_535 (.D(nRWE_N_204), .SP(RCLK_c_enable_29), .CK(RCLK_c), 
-            .Q(nRWE_c)) /* synthesis lse_init_val=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+            .Q(nRWE_c)) /* synthesis lse_init_val=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam nRWE_535.GSR = "ENABLED";
-    FD1S3JX RA10_536 (.D(n4129), .CK(RCLK_c), .PD(nRWE_N_209), .Q(n1975));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+    FD1S3JX RA10_536 (.D(n4129), .CK(RCLK_c), .PD(nRWE_N_209), .Q(n1975));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam RA10_536.GSR = "ENABLED";
     FD1P3AX RCKEEN_537 (.D(RCKEEN_N_152), .SP(RCLK_c_enable_20), .CK(RCLK_c), 
-            .Q(RCKEEN));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+            .Q(RCKEEN));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam RCKEEN_537.GSR = "ENABLED";
     FD1S3JX C1Submitted_542 (.D(n2549), .CK(PHI2_N_151), .PD(C1Submitted_N_232), 
-            .Q(C1Submitted)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
+            .Q(C1Submitted)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
     defparam C1Submitted_542.GSR = "ENABLED";
     FD1P3IX wb_we_553 (.D(wb_we_N_338), .SP(RCLK_c_enable_25), .CD(wb_adr_7__N_92), 
-            .CK(RCLK_c), .Q(wb_we));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+            .CK(RCLK_c), .Q(wb_we));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_we_553.GSR = "ENABLED";
     LUT4 nRCS_I_34_3_lut_4_lut (.A(RCKE_c), .B(RASr2), .C(nRowColSel_N_35), 
          .D(nRCS_N_175), .Z(nRCS_N_174)) /* synthesis lut_function=(!(A (C+!(D))+!A (B (C+!(D))+!B !(C+(D))))) */ ;
     defparam nRCS_I_34_3_lut_4_lut.init = 16'h1f10;
-    FD1S3AX CmdSubmitted_549 (.D(XOR8MEG_N_149), .CK(PHI2_N_151), .Q(CmdSubmitted)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
+    FD1S3AX CmdSubmitted_549 (.D(XOR8MEG_N_149), .CK(PHI2_N_151), .Q(CmdSubmitted)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
     defparam CmdSubmitted_549.GSR = "ENABLED";
-    FD1S3AX FS_972__i17 (.D(n78), .CK(RCLK_c), .Q(FS[17])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i17 (.D(n78), .CK(RCLK_c), .Q(FS[17])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i17.GSR = "ENABLED";
     PFUMX i12 (.BLUT(n3), .ALUT(n758), .C0(InitReady), .Z(wb_dati_7__N_68[3]));
-    FD1S3AX FS_972__i16 (.D(n79), .CK(RCLK_c), .Q(FS[16])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i16 (.D(n79), .CK(RCLK_c), .Q(FS[16])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i16.GSR = "ENABLED";
-    FD1S3AX FS_972__i15 (.D(n80), .CK(RCLK_c), .Q(FS[15])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i15 (.D(n80), .CK(RCLK_c), .Q(FS[15])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i15.GSR = "ENABLED";
-    FD1S3AX FS_972__i14 (.D(n81), .CK(RCLK_c), .Q(FS[14])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i14 (.D(n81), .CK(RCLK_c), .Q(FS[14])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i14.GSR = "ENABLED";
-    FD1S3AX FS_972__i13 (.D(n82), .CK(RCLK_c), .Q(FS[13])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i13 (.D(n82), .CK(RCLK_c), .Q(FS[13])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i13.GSR = "ENABLED";
-    FD1S3AX FS_972__i12 (.D(n83), .CK(RCLK_c), .Q(FS[12])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i12 (.D(n83), .CK(RCLK_c), .Q(FS[12])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i12.GSR = "ENABLED";
-    FD1S3AX FS_972__i11 (.D(n84), .CK(RCLK_c), .Q(FS[11])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i11 (.D(n84), .CK(RCLK_c), .Q(FS[11])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i11.GSR = "ENABLED";
-    FD1S3AX FS_972__i10 (.D(n85), .CK(RCLK_c), .Q(FS[10])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i10 (.D(n85), .CK(RCLK_c), .Q(FS[10])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i10.GSR = "ENABLED";
-    FD1S3AX FS_972__i9 (.D(n86), .CK(RCLK_c), .Q(FS[9])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i9 (.D(n86), .CK(RCLK_c), .Q(FS[9])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i9.GSR = "ENABLED";
-    FD1S3AX FS_972__i8 (.D(n87), .CK(RCLK_c), .Q(FS[8])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i8 (.D(n87), .CK(RCLK_c), .Q(FS[8])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i8.GSR = "ENABLED";
-    FD1S3AX FS_972__i7 (.D(n88), .CK(RCLK_c), .Q(FS[7])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i7 (.D(n88), .CK(RCLK_c), .Q(FS[7])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i7.GSR = "ENABLED";
-    FD1S3AX FS_972__i6 (.D(n89), .CK(RCLK_c), .Q(FS[6])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i6 (.D(n89), .CK(RCLK_c), .Q(FS[6])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i6.GSR = "ENABLED";
-    FD1S3AX wb_adr_i0 (.D(wb_adr_7__N_60[0]), .CK(RCLK_c), .Q(wb_adr[0]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_adr_i0 (.D(wb_adr_7__N_60[0]), .CK(RCLK_c), .Q(wb_adr[0]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_adr_i0.GSR = "ENABLED";
-    FD1S3AX FS_972__i5 (.D(n90), .CK(RCLK_c), .Q(FS[5])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i5 (.D(n90), .CK(RCLK_c), .Q(FS[5])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i5.GSR = "ENABLED";
-    FD1S3AX FS_972__i4 (.D(n91), .CK(RCLK_c), .Q(FS[4])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i4 (.D(n91), .CK(RCLK_c), .Q(FS[4])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i4.GSR = "ENABLED";
-    FD1S3AX FS_972__i3 (.D(n92), .CK(RCLK_c), .Q(FS[3])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i3 (.D(n92), .CK(RCLK_c), .Q(FS[3])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i3.GSR = "ENABLED";
-    FD1S3AX FS_972__i2 (.D(n93), .CK(RCLK_c), .Q(FS[2])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i2 (.D(n93), .CK(RCLK_c), .Q(FS[2])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i2.GSR = "ENABLED";
-    FD1S3AX FS_972__i1 (.D(n94), .CK(RCLK_c), .Q(FS[1])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i1 (.D(n94), .CK(RCLK_c), .Q(FS[1])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i1.GSR = "ENABLED";
     FD1P3AX wb_rst_551 (.D(n3671), .SP(RCLK_c_enable_22), .CK(RCLK_c), 
-            .Q(wb_rst));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+            .Q(wb_rst));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_rst_551.GSR = "ENABLED";
-    FD1S3AX wb_dati_i0 (.D(wb_dati_7__N_68[0]), .CK(RCLK_c), .Q(wb_dati[0]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_dati_i0 (.D(wb_dati_7__N_68[0]), .CK(RCLK_c), .Q(wb_dati[0]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_dati_i0.GSR = "ENABLED";
-    FD1S3AX S_FSM_i1 (.D(n4921), .CK(RCLK_c), .Q(nRowColSel_N_35));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
+    FD1S3AX S_FSM_i1 (.D(n4921), .CK(RCLK_c), .Q(nRowColSel_N_35));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
     defparam S_FSM_i1.GSR = "ENABLED";
     FD1P3AX LEDEN_556 (.D(LEDEN_N_110), .SP(RCLK_c_enable_24), .CK(RCLK_c), 
-            .Q(LEDEN)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+            .Q(LEDEN)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam LEDEN_556.GSR = "ENABLED";
     FD1P3AX n8MEGEN_557 (.D(n8MEGEN_N_139), .SP(RCLK_c_enable_24), .CK(RCLK_c), 
-            .Q(n8MEGEN)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+            .Q(n8MEGEN)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam n8MEGEN_557.GSR = "ENABLED";
-    FD1S3AX PHI2r_512 (.D(PHI2_c), .CK(RCLK_c), .Q(PHI2r));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    FD1S3AX PHI2r_512 (.D(PHI2_c), .CK(RCLK_c), .Q(PHI2r));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam PHI2r_512.GSR = "ENABLED";
-    FD1S3IX S_FSM_i4 (.D(n1286), .CK(RCLK_c), .CD(n4921), .Q(nRowColSel_N_32));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
+    FD1S3IX S_FSM_i4 (.D(n1286), .CK(RCLK_c), .CD(n4921), .Q(nRowColSel_N_32));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
     defparam S_FSM_i4.GSR = "ENABLED";
-    IB RCLK_pad (.I(RCLK), .O(RCLK_c));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(40[8:12])
-    IB nFWE_pad (.I(nFWE), .O(nFWE_c));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(35[8:12])
-    IB nCRAS_pad (.I(nCRAS), .O(nCRAS_c));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20])
-    IB nCCAS_pad (.I(nCCAS), .O(nCCAS_c));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13])
-    IB Din_pad_0 (.I(Din[0]), .O(Din_c_0));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
-    IB Din_pad_1 (.I(Din[1]), .O(Din_c_1));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
-    IB Din_pad_2 (.I(Din[2]), .O(Din_c_2));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
-    IB Din_pad_3 (.I(Din[3]), .O(Din_c_3));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
-    IB Din_pad_4 (.I(Din[4]), .O(Din_c_4));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
-    IB Din_pad_5 (.I(Din[5]), .O(Din_c_5));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
-    IB Din_pad_6 (.I(Din[6]), .O(Din_c_6));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
-    IB Din_pad_7 (.I(Din[7]), .O(Din_c_7));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
-    IB CROW_pad_0 (.I(CROW[0]), .O(CROW_c_0));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(33[14:18])
-    IB CROW_pad_1 (.I(CROW[1]), .O(CROW_c_1));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(33[14:18])
-    IB MAin_pad_0 (.I(MAin[0]), .O(MAin_c_0));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    IB MAin_pad_1 (.I(MAin[1]), .O(MAin_c_1));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    IB MAin_pad_2 (.I(MAin[2]), .O(MAin_c_2));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    IB MAin_pad_3 (.I(MAin[3]), .O(MAin_c_3));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    IB MAin_pad_4 (.I(MAin[4]), .O(MAin_c_4));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    IB MAin_pad_5 (.I(MAin[5]), .O(MAin_c_5));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    IB MAin_pad_6 (.I(MAin[6]), .O(MAin_c_6));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    IB MAin_pad_7 (.I(MAin[7]), .O(MAin_c_7));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    IB MAin_pad_8 (.I(MAin[8]), .O(MAin_c_8));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    IB MAin_pad_9 (.I(MAin[9]), .O(MAin_c_9));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
-    IB PHI2_pad (.I(PHI2), .O(PHI2_c));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12])
-    OB RDQML_pad (.I(RDQML_c), .O(RDQML));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[9:14])
-    OB RDQMH_pad (.I(RDQMH_c), .O(RDQMH));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[16:21])
-    OB nRCAS_pad (.I(nRCAS_c), .O(nRCAS));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[34:39])
-    OB nRRAS_pad (.I(nRRAS_c), .O(nRRAS));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[23:28])
-    OB nRWE_pad (.I(nRWE_c), .O(nRWE));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[45:49])
-    OB RCKE_pad (.I(RCKE_c), .O(RCKE));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(44[13:17])
-    OB nRCS_pad (.I(nRCS_c), .O(nRCS));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[13:17])
-    OB RA_pad_0 (.I(RA_c_0), .O(RA[0]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_1 (.I(RA_c_1), .O(RA[1]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_2 (.I(RA_c_2), .O(RA[2]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_3 (.I(RA_c_3), .O(RA[3]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_4 (.I(RA_c_4), .O(RA[4]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_5 (.I(RA_c_5), .O(RA[5]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_6 (.I(RA_c_6), .O(RA[6]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_7 (.I(RA_c_7), .O(RA[7]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_8 (.I(RA_c_8), .O(RA[8]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_9 (.I(RA_c_9), .O(RA[9]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_10 (.I(n1975), .O(RA[10]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RA_pad_11 (.I(RA_c), .O(RA[11]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
-    OB RBA_pad_0 (.I(RBA_c_0), .O(RBA[0]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22])
-    OB RBA_pad_1 (.I(RBA_c_1), .O(RBA[1]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22])
-    OB LED_pad (.I(LED_N_134), .O(LED));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(11[9:12])
-    OB Dout_pad_0 (.I(Dout_c), .O(Dout[0]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
-    OB Dout_pad_1 (.I(n1974), .O(Dout[1]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
-    OB Dout_pad_2 (.I(n1973), .O(Dout[2]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
-    OB Dout_pad_3 (.I(n1972), .O(Dout[3]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
-    OB Dout_pad_4 (.I(n1971), .O(Dout[4]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
-    OB Dout_pad_5 (.I(n1970), .O(Dout[5]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
-    OB Dout_pad_6 (.I(n1969), .O(Dout[6]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
-    OB Dout_pad_7 (.I(n1968), .O(Dout[7]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
-    BB Dout_pad_0__1130 (.I(WRD[0]), .T(n1965), .B(RD[0]), .O(Dout_c));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
-    BB Dout_pad_1__1129 (.I(WRD[1]), .T(n1965), .B(RD[1]), .O(n1974));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
-    BB Dout_pad_2__1128 (.I(WRD[2]), .T(n1965), .B(RD[2]), .O(n1973));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
-    BB Dout_pad_3__1127 (.I(WRD[3]), .T(n1965), .B(RD[3]), .O(n1972));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
-    BB Dout_pad_4__1126 (.I(WRD[4]), .T(n1965), .B(RD[4]), .O(n1971));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
-    BB Dout_pad_5__1125 (.I(WRD[5]), .T(n1965), .B(RD[5]), .O(n1970));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
-    BB Dout_pad_6__1124 (.I(WRD[6]), .T(n1965), .B(RD[6]), .O(n1969));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
-    FD1S3AX wb_dati_i7 (.D(wb_dati_7__N_68[7]), .CK(RCLK_c), .Q(wb_dati[7]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    IB RCLK_pad (.I(RCLK), .O(RCLK_c));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(40[8:12])
+    IB nFWE_pad (.I(nFWE), .O(nFWE_c));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(35[8:12])
+    IB nCRAS_pad (.I(nCRAS), .O(nCRAS_c));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20])
+    IB nCCAS_pad (.I(nCCAS), .O(nCCAS_c));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13])
+    IB Din_pad_0 (.I(Din[0]), .O(Din_c_0));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
+    IB Din_pad_1 (.I(Din[1]), .O(Din_c_1));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
+    IB Din_pad_2 (.I(Din[2]), .O(Din_c_2));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
+    IB Din_pad_3 (.I(Din[3]), .O(Din_c_3));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
+    IB Din_pad_4 (.I(Din[4]), .O(Din_c_4));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
+    IB Din_pad_5 (.I(Din[5]), .O(Din_c_5));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
+    IB Din_pad_6 (.I(Din[6]), .O(Din_c_6));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
+    IB Din_pad_7 (.I(Din[7]), .O(Din_c_7));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17])
+    IB CROW_pad_0 (.I(CROW[0]), .O(CROW_c_0));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(33[14:18])
+    IB CROW_pad_1 (.I(CROW[1]), .O(CROW_c_1));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(33[14:18])
+    IB MAin_pad_0 (.I(MAin[0]), .O(MAin_c_0));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    IB MAin_pad_1 (.I(MAin[1]), .O(MAin_c_1));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    IB MAin_pad_2 (.I(MAin[2]), .O(MAin_c_2));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    IB MAin_pad_3 (.I(MAin[3]), .O(MAin_c_3));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    IB MAin_pad_4 (.I(MAin[4]), .O(MAin_c_4));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    IB MAin_pad_5 (.I(MAin[5]), .O(MAin_c_5));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    IB MAin_pad_6 (.I(MAin[6]), .O(MAin_c_6));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    IB MAin_pad_7 (.I(MAin[7]), .O(MAin_c_7));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    IB MAin_pad_8 (.I(MAin[8]), .O(MAin_c_8));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    IB MAin_pad_9 (.I(MAin[9]), .O(MAin_c_9));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18])
+    IB PHI2_pad (.I(PHI2), .O(PHI2_c));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12])
+    OB RDQML_pad (.I(RDQML_c), .O(RDQML));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[9:14])
+    OB RDQMH_pad (.I(RDQMH_c), .O(RDQMH));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[16:21])
+    OB nRCAS_pad (.I(nRCAS_c), .O(nRCAS));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[34:39])
+    OB nRRAS_pad (.I(nRRAS_c), .O(nRRAS));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[23:28])
+    OB nRWE_pad (.I(nRWE_c), .O(nRWE));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[45:49])
+    OB RCKE_pad (.I(RCKE_c), .O(RCKE));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(44[13:17])
+    OB nRCS_pad (.I(nRCS_c), .O(nRCS));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[13:17])
+    OB RA_pad_0 (.I(RA_c_0), .O(RA[0]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_1 (.I(RA_c_1), .O(RA[1]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_2 (.I(RA_c_2), .O(RA[2]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_3 (.I(RA_c_3), .O(RA[3]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_4 (.I(RA_c_4), .O(RA[4]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_5 (.I(RA_c_5), .O(RA[5]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_6 (.I(RA_c_6), .O(RA[6]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_7 (.I(RA_c_7), .O(RA[7]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_8 (.I(RA_c_8), .O(RA[8]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_9 (.I(RA_c_9), .O(RA[9]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_10 (.I(n1975), .O(RA[10]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RA_pad_11 (.I(RA_c), .O(RA[11]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18])
+    OB RBA_pad_0 (.I(RBA_c_0), .O(RBA[0]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22])
+    OB RBA_pad_1 (.I(RBA_c_1), .O(RBA[1]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22])
+    OB LED_pad (.I(LED_N_134), .O(LED));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(11[9:12])
+    OB Dout_pad_0 (.I(Dout_c), .O(Dout[0]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
+    OB Dout_pad_1 (.I(n1974), .O(Dout[1]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
+    OB Dout_pad_2 (.I(n1973), .O(Dout[2]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
+    OB Dout_pad_3 (.I(n1972), .O(Dout[3]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
+    OB Dout_pad_4 (.I(n1971), .O(Dout[4]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
+    OB Dout_pad_5 (.I(n1970), .O(Dout[5]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
+    OB Dout_pad_6 (.I(n1969), .O(Dout[6]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
+    OB Dout_pad_7 (.I(n1968), .O(Dout[7]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19])
+    BB Dout_pad_0__1130 (.I(WRD[0]), .T(n1965), .B(RD[0]), .O(Dout_c));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
+    BB Dout_pad_1__1129 (.I(WRD[1]), .T(n1965), .B(RD[1]), .O(n1974));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
+    BB Dout_pad_2__1128 (.I(WRD[2]), .T(n1965), .B(RD[2]), .O(n1973));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
+    BB Dout_pad_3__1127 (.I(WRD[3]), .T(n1965), .B(RD[3]), .O(n1972));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
+    BB Dout_pad_4__1126 (.I(WRD[4]), .T(n1965), .B(RD[4]), .O(n1971));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
+    BB Dout_pad_5__1125 (.I(WRD[5]), .T(n1965), .B(RD[5]), .O(n1970));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
+    BB Dout_pad_6__1124 (.I(WRD[6]), .T(n1965), .B(RD[6]), .O(n1969));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
+    FD1S3AX wb_dati_i7 (.D(wb_dati_7__N_68[7]), .CK(RCLK_c), .Q(wb_dati[7]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_dati_i7.GSR = "ENABLED";
-    FD1S3AX wb_dati_i6 (.D(wb_dati_7__N_68[6]), .CK(RCLK_c), .Q(wb_dati[6]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_dati_i6 (.D(wb_dati_7__N_68[6]), .CK(RCLK_c), .Q(wb_dati[6]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_dati_i6.GSR = "ENABLED";
-    FD1S3AX wb_dati_i5 (.D(wb_dati_7__N_68[5]), .CK(RCLK_c), .Q(wb_dati[5]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_dati_i5 (.D(wb_dati_7__N_68[5]), .CK(RCLK_c), .Q(wb_dati[5]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_dati_i5.GSR = "ENABLED";
-    FD1S3AX wb_dati_i4 (.D(wb_dati_7__N_68[4]), .CK(RCLK_c), .Q(wb_dati[4]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_dati_i4 (.D(wb_dati_7__N_68[4]), .CK(RCLK_c), .Q(wb_dati[4]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_dati_i4.GSR = "ENABLED";
-    FD1S3AX wb_dati_i3 (.D(wb_dati_7__N_68[3]), .CK(RCLK_c), .Q(wb_dati[3]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_dati_i3 (.D(wb_dati_7__N_68[3]), .CK(RCLK_c), .Q(wb_dati[3]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_dati_i3.GSR = "ENABLED";
-    FD1S3AX wb_dati_i2 (.D(wb_dati_7__N_68[2]), .CK(RCLK_c), .Q(wb_dati[2]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_dati_i2 (.D(wb_dati_7__N_68[2]), .CK(RCLK_c), .Q(wb_dati[2]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_dati_i2.GSR = "ENABLED";
-    FD1S3AX wb_dati_i1 (.D(wb_dati_7__N_68[1]), .CK(RCLK_c), .Q(wb_dati[1]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_dati_i1 (.D(wb_dati_7__N_68[1]), .CK(RCLK_c), .Q(wb_dati[1]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_dati_i1.GSR = "ENABLED";
     CCU2D FS_972_add_4_3 (.A0(FS[1]), .B0(GND_net), .C0(GND_net), .D0(GND_net), 
           .A1(FS[2]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4086), 
-          .COUT(n4087), .S0(n94), .S1(n93));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+          .COUT(n4087), .S0(n94), .S1(n93));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972_add_4_3.INIT0 = 16'hfaaa;
     defparam FS_972_add_4_3.INIT1 = 16'hfaaa;
     defparam FS_972_add_4_3.INJECT1_0 = "NO";
     defparam FS_972_add_4_3.INJECT1_1 = "NO";
     PFUMX i1369 (.BLUT(wb_we_N_351), .ALUT(n2104), .C0(n4886), .Z(n2238));
     FD1P3IX wb_cyc_stb_552 (.D(wb_cyc_stb_N_307), .SP(RCLK_c_enable_25), 
-            .CD(wb_adr_7__N_92), .CK(RCLK_c), .Q(wb_cyc_stb));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+            .CD(wb_adr_7__N_92), .CK(RCLK_c), .Q(wb_cyc_stb));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_cyc_stb_552.GSR = "ENABLED";
-    FD1S3AX wb_adr_i7 (.D(wb_adr_7__N_60[7]), .CK(RCLK_c), .Q(wb_adr[7]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_adr_i7 (.D(wb_adr_7__N_60[7]), .CK(RCLK_c), .Q(wb_adr[7]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_adr_i7.GSR = "ENABLED";
-    FD1S3AX wb_adr_i6 (.D(wb_adr_7__N_60[6]), .CK(RCLK_c), .Q(wb_adr[6]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_adr_i6 (.D(wb_adr_7__N_60[6]), .CK(RCLK_c), .Q(wb_adr[6]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_adr_i6.GSR = "ENABLED";
-    FD1S3AX wb_adr_i5 (.D(wb_adr_7__N_60[5]), .CK(RCLK_c), .Q(wb_adr[5]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_adr_i5 (.D(wb_adr_7__N_60[5]), .CK(RCLK_c), .Q(wb_adr[5]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_adr_i5.GSR = "ENABLED";
-    FD1S3AX wb_adr_i4 (.D(wb_adr_7__N_60[4]), .CK(RCLK_c), .Q(wb_adr[4]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_adr_i4 (.D(wb_adr_7__N_60[4]), .CK(RCLK_c), .Q(wb_adr[4]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_adr_i4.GSR = "ENABLED";
-    FD1S3AX wb_adr_i3 (.D(wb_adr_7__N_60[3]), .CK(RCLK_c), .Q(wb_adr[3]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_adr_i3 (.D(wb_adr_7__N_60[3]), .CK(RCLK_c), .Q(wb_adr[3]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_adr_i3.GSR = "ENABLED";
-    FD1S3AX wb_adr_i2 (.D(wb_adr_7__N_60[2]), .CK(RCLK_c), .Q(wb_adr[2]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_adr_i2 (.D(wb_adr_7__N_60[2]), .CK(RCLK_c), .Q(wb_adr[2]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_adr_i2.GSR = "ENABLED";
-    FD1S3AX wb_adr_i1 (.D(wb_adr_7__N_60[1]), .CK(RCLK_c), .Q(wb_adr[1]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+    FD1S3AX wb_adr_i1 (.D(wb_adr_7__N_60[1]), .CK(RCLK_c), .Q(wb_adr[1]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_adr_i1.GSR = "ENABLED";
-    FD1S3IX RBA__i2 (.D(CROW_c_1), .CK(nCRAS_N_9), .CD(n4935), .Q(RBA_c_1));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3IX RBA__i2 (.D(CROW_c_1), .CK(nCRAS_N_9), .CD(n4935), .Q(RBA_c_1));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RBA__i2.GSR = "ENABLED";
     CCU2D FS_972_add_4_17 (.A0(FS[15]), .B0(GND_net), .C0(GND_net), .D0(GND_net), 
           .A1(FS[16]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4093), 
-          .COUT(n4094), .S0(n80), .S1(n79));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+          .COUT(n4094), .S0(n80), .S1(n79));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972_add_4_17.INIT0 = 16'hfaaa;
     defparam FS_972_add_4_17.INIT1 = 16'hfaaa;
     defparam FS_972_add_4_17.INJECT1_0 = "NO";
     defparam FS_972_add_4_17.INJECT1_1 = "NO";
     CCU2D FS_972_add_4_15 (.A0(FS[13]), .B0(GND_net), .C0(GND_net), .D0(GND_net), 
           .A1(FS[14]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4092), 
-          .COUT(n4093), .S0(n82), .S1(n81));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+          .COUT(n4093), .S0(n82), .S1(n81));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972_add_4_15.INIT0 = 16'hfaaa;
     defparam FS_972_add_4_15.INIT1 = 16'hfaaa;
     defparam FS_972_add_4_15.INJECT1_0 = "NO";
     defparam FS_972_add_4_15.INJECT1_1 = "NO";
     FD1P3AX CmdEnable_541 (.D(CmdEnable_N_243), .SP(PHI2_N_151_enable_1), 
-            .CK(PHI2_N_151), .Q(CmdEnable)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
+            .CK(PHI2_N_151), .Q(CmdEnable)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
     defparam CmdEnable_541.GSR = "ENABLED";
     FD1P3AX InitReady_530 (.D(n5144), .SP(RCLK_c_enable_26), .CK(RCLK_c), 
-            .Q(InitReady)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(126[9] 130[5])
+            .Q(InitReady)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(126[9] 130[5])
     defparam InitReady_530.GSR = "ENABLED";
     PFUMX i12_adj_1 (.BLUT(n3_adj_4), .ALUT(n755), .C0(InitReady), .Z(wb_dati_7__N_68[6]));
     LUT4 i1_3_lut_4_lut_then_4_lut (.A(FS[5]), .B(FS[8]), .C(FS[6]), .D(FS[7]), 
-         .Z(n4941)) /* synthesis lut_function=(A (B (C (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+         .Z(n4941)) /* synthesis lut_function=(A (B (C (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i1_3_lut_4_lut_then_4_lut.init = 16'h8000;
     LUT4 i1_3_lut_4_lut_else_4_lut (.A(FS[5]), .B(FS[8]), .C(FS[6]), .D(FS[7]), 
-         .Z(n4940)) /* synthesis lut_function=(!(A (B+(D))+!A (B+(C (D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+         .Z(n4940)) /* synthesis lut_function=(!(A (B+(D))+!A (B+(C (D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i1_3_lut_4_lut_else_4_lut.init = 16'h0133;
-    LUT4 i2692_2_lut (.A(RCKE_c), .B(RASr2), .Z(nRWE_N_215)) /* synthesis lut_function=((B)+!A) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(160[14] 176[8])
+    LUT4 i2692_2_lut (.A(RCKE_c), .B(RASr2), .Z(nRWE_N_215)) /* synthesis lut_function=((B)+!A) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(160[14] 176[8])
     defparam i2692_2_lut.init = 16'hdddd;
     LUT4 i217_2_lut_rep_70 (.A(FS[9]), .B(FS[5]), .Z(n4919)) /* synthesis lut_function=(A+(B)) */ ;
     defparam i217_2_lut_rep_70.init = 16'heeee;
@@ -479,168 +479,168 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
          .Z(PHI2_N_151_enable_3)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ;
     defparam i1_2_lut_4_lut.init = 16'h4000;
     PFUMX i3861 (.BLUT(n4777), .ALUT(n761), .C0(InitReady), .Z(wb_dati_7__N_68[0]));
-    FD1S3AX Bank_i0 (.D(Din_c_0), .CK(PHI2_c), .Q(Bank[0]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
+    FD1S3AX Bank_i0 (.D(Din_c_0), .CK(PHI2_c), .Q(Bank[0]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
     defparam Bank_i0.GSR = "ENABLED";
     LUT4 i1_4_lut_4_lut (.A(CBR), .B(FWEr), .C(n4618), .D(nRowColSel_N_34), 
-         .Z(n20)) /* synthesis lut_function=(!(A+!(B (C+(D))+!B (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(206[26:30])
+         .Z(n20)) /* synthesis lut_function=(!(A+!(B (C+(D))+!B (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(206[26:30])
     defparam i1_4_lut_4_lut.init = 16'h5540;
     LUT4 n10_bdd_4_lut_3959 (.A(n10_adj_2), .B(FS[10]), .C(FS[11]), .D(n14), 
          .Z(n4517)) /* synthesis lut_function=(A+(B (D)+!B ((D)+!C))) */ ;
     defparam n10_bdd_4_lut_3959.init = 16'hffab;
     LUT4 i3141_4_lut_4_lut (.A(n4895), .B(n3609), .C(FS[10]), .D(FS[11]), 
-         .Z(n38)) /* synthesis lut_function=(!(A (B+(C+!(D)))+!A !(B (C)+!B (C+(D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .Z(n38)) /* synthesis lut_function=(!(A (B+(C+!(D)))+!A !(B (C)+!B (C+(D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i3141_4_lut_4_lut.init = 16'h5350;
-    FD1S3AX WRD_i7 (.D(Din_c_7), .CK(nCCAS_N_3), .Q(WRD[7]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
+    FD1S3AX WRD_i7 (.D(Din_c_7), .CK(nCCAS_N_3), .Q(WRD[7]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
     defparam WRD_i7.GSR = "ENABLED";
-    FD1S3AX WRD_i6 (.D(Din_c_6), .CK(nCCAS_N_3), .Q(WRD[6]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
+    FD1S3AX WRD_i6 (.D(Din_c_6), .CK(nCCAS_N_3), .Q(WRD[6]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
     defparam WRD_i6.GSR = "ENABLED";
     LUT4 i2_3_lut_rep_77 (.A(RASr2), .B(InitReady), .C(nRowColSel_N_35), 
-         .Z(n4926)) /* synthesis lut_function=(A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(225[8:20])
+         .Z(n4926)) /* synthesis lut_function=(A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(225[8:20])
     defparam i2_3_lut_rep_77.init = 16'h8080;
-    FD1S3AX WRD_i5 (.D(Din_c_5), .CK(nCCAS_N_3), .Q(WRD[5]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
+    FD1S3AX WRD_i5 (.D(Din_c_5), .CK(nCCAS_N_3), .Q(WRD[5]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
     defparam WRD_i5.GSR = "ENABLED";
     CCU2D FS_972_add_4_13 (.A0(FS[11]), .B0(GND_net), .C0(GND_net), .D0(GND_net), 
           .A1(FS[12]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4091), 
-          .COUT(n4092), .S0(n84), .S1(n83));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+          .COUT(n4092), .S0(n84), .S1(n83));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972_add_4_13.INIT0 = 16'hfaaa;
     defparam FS_972_add_4_13.INIT1 = 16'hfaaa;
     defparam FS_972_add_4_13.INJECT1_0 = "NO";
     defparam FS_972_add_4_13.INJECT1_1 = "NO";
-    FD1S3AX WRD_i4 (.D(Din_c_4), .CK(nCCAS_N_3), .Q(WRD[4]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
+    FD1S3AX WRD_i4 (.D(Din_c_4), .CK(nCCAS_N_3), .Q(WRD[4]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
     defparam WRD_i4.GSR = "ENABLED";
     LUT4 i1_2_lut_rep_57_4_lut (.A(RASr2), .B(InitReady), .C(nRowColSel_N_35), 
-         .D(nRCS_N_172), .Z(n4906)) /* synthesis lut_function=((((D)+!C)+!B)+!A) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(225[8:20])
+         .D(nRCS_N_172), .Z(n4906)) /* synthesis lut_function=((((D)+!C)+!B)+!A) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(225[8:20])
     defparam i1_2_lut_rep_57_4_lut.init = 16'hff7f;
-    FD1S3AX WRD_i3 (.D(Din_c_3), .CK(nCCAS_N_3), .Q(WRD[3]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
+    FD1S3AX WRD_i3 (.D(Din_c_3), .CK(nCCAS_N_3), .Q(WRD[3]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
     defparam WRD_i3.GSR = "ENABLED";
-    FD1S3AX WRD_i2 (.D(Din_c_2), .CK(nCCAS_N_3), .Q(WRD[2]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
+    FD1S3AX WRD_i2 (.D(Din_c_2), .CK(nCCAS_N_3), .Q(WRD[2]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
     defparam WRD_i2.GSR = "ENABLED";
-    FD1S3AX WRD_i1 (.D(Din_c_1), .CK(nCCAS_N_3), .Q(WRD[1]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
+    FD1S3AX WRD_i1 (.D(Din_c_1), .CK(nCCAS_N_3), .Q(WRD[1]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5])
     defparam WRD_i1.GSR = "ENABLED";
-    FD1S3JX RowA_i9 (.D(MAin_c_9), .CK(nCRAS_N_9), .PD(n4935), .Q(RowA[9]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3JX RowA_i9 (.D(MAin_c_9), .CK(nCRAS_N_9), .PD(n4935), .Q(RowA[9]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RowA_i9.GSR = "ENABLED";
-    FD1S3IX RowA_i8 (.D(MAin_c_8), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[8]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3IX RowA_i8 (.D(MAin_c_8), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[8]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RowA_i8.GSR = "ENABLED";
     LUT4 n2426_bdd_4_lut (.A(n2426), .B(n4165), .C(FS[11]), .D(FS[10]), 
          .Z(n5142)) /* synthesis lut_function=(A (B (D)+!B !(C+!(D)))+!A (B (C (D)))) */ ;
     defparam n2426_bdd_4_lut.init = 16'hca00;
-    FD1S3IX RowA_i7 (.D(MAin_c_7), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[7]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3IX RowA_i7 (.D(MAin_c_7), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[7]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RowA_i7.GSR = "ENABLED";
-    FD1S3IX RowA_i6 (.D(MAin_c_6), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[6]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3IX RowA_i6 (.D(MAin_c_6), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[6]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RowA_i6.GSR = "ENABLED";
-    BB Dout_pad_7__1123 (.I(WRD[7]), .T(n1965), .B(RD[7]), .O(n1968));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
-    FD1S3JX RowA_i5 (.D(MAin_c_5), .CK(nCRAS_N_9), .PD(n4935), .Q(RowA[5]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    BB Dout_pad_7__1123 (.I(WRD[7]), .T(n1965), .B(RD[7]), .O(n1968));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16])
+    FD1S3JX RowA_i5 (.D(MAin_c_5), .CK(nCRAS_N_9), .PD(n4935), .Q(RowA[5]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RowA_i5.GSR = "ENABLED";
-    FD1S3IX RowA_i4 (.D(MAin_c_4), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[4]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3IX RowA_i4 (.D(MAin_c_4), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[4]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RowA_i4.GSR = "ENABLED";
-    FD1S3IX RowA_i3 (.D(MAin_c_3), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[3]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3IX RowA_i3 (.D(MAin_c_3), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[3]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RowA_i3.GSR = "ENABLED";
-    FD1S3IX RowA_i2 (.D(MAin_c_2), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[2]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3IX RowA_i2 (.D(MAin_c_2), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[2]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RowA_i2.GSR = "ENABLED";
     LUT4 i3798_2_lut_4_lut (.A(RASr2), .B(InitReady), .C(nRowColSel_N_35), 
-         .D(Ready), .Z(RCLK_c_enable_27)) /* synthesis lut_function=(!((((D)+!C)+!B)+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(225[8:20])
+         .D(Ready), .Z(RCLK_c_enable_27)) /* synthesis lut_function=(!((((D)+!C)+!B)+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(225[8:20])
     defparam i3798_2_lut_4_lut.init = 16'h0080;
     LUT4 i3_4_lut (.A(Din_c_6), .B(n4624), .C(Din_c_5), .D(n4548), .Z(C1Submitted_N_232)) /* synthesis lut_function=(!((B+(C+!(D)))+!A)) */ ;
     defparam i3_4_lut.init = 16'h0200;
-    FD1S3IX RowA_i1 (.D(MAin_c_1), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[1]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
+    FD1S3IX RowA_i1 (.D(MAin_c_1), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[1]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5])
     defparam RowA_i1.GSR = "ENABLED";
     GSR GSR_INST (.GSR(VCC_net));
-    FD1S3AX Bank_i7 (.D(Din_c_7), .CK(PHI2_c), .Q(Bank[7]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
+    FD1S3AX Bank_i7 (.D(Din_c_7), .CK(PHI2_c), .Q(Bank[7]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
     defparam Bank_i7.GSR = "ENABLED";
     LUT4 i1392_4_lut (.A(wb_we_N_354), .B(n2258), .C(n10_adj_2), .D(n4), 
-         .Z(n2262)) /* synthesis lut_function=(A (B+!(C+(D)))+!A (B (C+(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
+         .Z(n2262)) /* synthesis lut_function=(A (B+!(C+(D)))+!A (B (C+(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
     defparam i1392_4_lut.init = 16'hccca;
     LUT4 i1388_4_lut (.A(n4897), .B(n2238), .C(n10_adj_2), .D(n4891), 
-         .Z(n2258)) /* synthesis lut_function=(A (B+!(C+(D)))+!A (B (C+(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
+         .Z(n2258)) /* synthesis lut_function=(A (B+!(C+(D)))+!A (B (C+(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
     defparam i1388_4_lut.init = 16'hccca;
     CCU2D FS_972_add_4_11 (.A0(FS[9]), .B0(GND_net), .C0(GND_net), .D0(GND_net), 
           .A1(FS[10]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4090), 
-          .COUT(n4091), .S0(n86), .S1(n85));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+          .COUT(n4091), .S0(n86), .S1(n85));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972_add_4_11.INIT0 = 16'hfaaa;
     defparam FS_972_add_4_11.INIT1 = 16'hfaaa;
     defparam FS_972_add_4_11.INJECT1_0 = "NO";
     defparam FS_972_add_4_11.INJECT1_1 = "NO";
     LUT4 i1_2_lut_rep_35_3_lut_4_lut_4_lut (.A(n4920), .B(n4902), .C(n4899), 
-         .D(FS[10]), .Z(n4884)) /* synthesis lut_function=(A+(B (C)+!B !((D)+!C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46])
+         .D(FS[10]), .Z(n4884)) /* synthesis lut_function=(A+(B (C)+!B !((D)+!C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46])
     defparam i1_2_lut_rep_35_3_lut_4_lut_4_lut.init = 16'heafa;
-    LUT4 i1_2_lut_rep_78 (.A(FS[7]), .B(FS[6]), .Z(n4927)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+    LUT4 i1_2_lut_rep_78 (.A(FS[7]), .B(FS[6]), .Z(n4927)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i1_2_lut_rep_78.init = 16'heeee;
     LUT4 i2_2_lut_rep_51_3_lut_4_lut (.A(FS[7]), .B(FS[6]), .C(FS[8]), 
-         .D(FS[9]), .Z(n4900)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+         .D(FS[9]), .Z(n4900)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i2_2_lut_rep_51_3_lut_4_lut.init = 16'hfffe;
     LUT4 i1_3_lut_4_lut (.A(FS[7]), .B(FS[6]), .C(FS[8]), .D(FS[5]), 
-         .Z(n53_adj_9)) /* synthesis lut_function=(A (C)+!A (B (C)+!B (C (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+         .Z(n53_adj_9)) /* synthesis lut_function=(A (C)+!A (B (C)+!B (C (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i1_3_lut_4_lut.init = 16'hf0e0;
-    LUT4 i1_2_lut_rep_79 (.A(FS[5]), .B(FS[9]), .Z(n4928)) /* synthesis lut_function=(!((B)+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    LUT4 i1_2_lut_rep_79 (.A(FS[5]), .B(FS[9]), .Z(n4928)) /* synthesis lut_function=(!((B)+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i1_2_lut_rep_79.init = 16'h2222;
-    LUT4 n34_bdd_2_lut_3877_3_lut (.A(FS[5]), .B(FS[9]), .C(n4806), .Z(n4807)) /* synthesis lut_function=(!((B+!(C))+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    LUT4 n34_bdd_2_lut_3877_3_lut (.A(FS[5]), .B(FS[9]), .C(n4806), .Z(n4807)) /* synthesis lut_function=(!((B+!(C))+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam n34_bdd_2_lut_3877_3_lut.init = 16'h2020;
     LUT4 n61_bdd_4_lut_3912 (.A(n4923), .B(n12_adj_8), .C(n45), .D(FS[10]), 
          .Z(n4850)) /* synthesis lut_function=(A (B+!((D)+!C))+!A (B)) */ ;
     defparam n61_bdd_4_lut_3912.init = 16'hccec;
-    FD1S3AX Bank_i6 (.D(Din_c_6), .CK(PHI2_c), .Q(Bank[6]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
+    FD1S3AX Bank_i6 (.D(Din_c_6), .CK(PHI2_c), .Q(Bank[6]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
     defparam Bank_i6.GSR = "ENABLED";
     LUT4 i3122_3_lut_3_lut_4_lut (.A(n4927), .B(n4905), .C(n646), .D(FS[10]), 
-         .Z(n23)) /* synthesis lut_function=(!(A ((D)+!C)+!A (B ((D)+!C)+!B !(C+(D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .Z(n23)) /* synthesis lut_function=(!(A ((D)+!C)+!A (B ((D)+!C)+!B !(C+(D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i3122_3_lut_3_lut_4_lut.init = 16'h11f0;
-    FD1S3AX Bank_i5 (.D(Din_c_5), .CK(PHI2_c), .Q(Bank[5]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
+    FD1S3AX Bank_i5 (.D(Din_c_5), .CK(PHI2_c), .Q(Bank[5]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
     defparam Bank_i5.GSR = "ENABLED";
-    FD1S3AX Bank_i4 (.D(Din_c_4), .CK(PHI2_c), .Q(Bank[4]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
+    FD1S3AX Bank_i4 (.D(Din_c_4), .CK(PHI2_c), .Q(Bank[4]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
     defparam Bank_i4.GSR = "ENABLED";
     LUT4 i1_4_lut_4_lut_4_lut (.A(FS[10]), .B(n3_adj_16), .C(FS[11]), 
-         .D(n4895), .Z(n42_adj_5)) /* synthesis lut_function=(!(A+(B (C (D))+!B ((D)+!C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .D(n4895), .Z(n42_adj_5)) /* synthesis lut_function=(!(A+(B (C (D))+!B ((D)+!C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i1_4_lut_4_lut_4_lut.init = 16'h0454;
     LUT4 n1097_bdd_2_lut_3927 (.A(n4858), .B(FS[9]), .Z(n4859)) /* synthesis lut_function=(A+!(B)) */ ;
     defparam n1097_bdd_2_lut_3927.init = 16'hbbbb;
-    FD1S3AX Bank_i3 (.D(Din_c_3), .CK(PHI2_c), .Q(Bank[3]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
+    FD1S3AX Bank_i3 (.D(Din_c_3), .CK(PHI2_c), .Q(Bank[3]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
     defparam Bank_i3.GSR = "ENABLED";
-    FD1S3AX Bank_i2 (.D(Din_c_2), .CK(PHI2_c), .Q(Bank[2]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
+    FD1S3AX Bank_i2 (.D(Din_c_2), .CK(PHI2_c), .Q(Bank[2]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
     defparam Bank_i2.GSR = "ENABLED";
-    FD1S3AX FS_972__i0 (.D(n95), .CK(RCLK_c), .Q(FS[0])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    FD1S3AX FS_972__i0 (.D(n95), .CK(RCLK_c), .Q(FS[0])) /* synthesis syn_use_carry_chain=1 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972__i0.GSR = "ENABLED";
     LUT4 i7_4_lut_4_lut (.A(FS[4]), .B(n4517), .C(n10), .D(n14_adj_14), 
-         .Z(n4539)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(692[20:26])
+         .Z(n4539)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(692[20:26])
     defparam i7_4_lut_4_lut.init = 16'h4000;
     LUT4 FS_5__bdd_4_lut_3949 (.A(FS[5]), .B(FS[8]), .C(FS[6]), .D(FS[7]), 
          .Z(n4858)) /* synthesis lut_function=(A (B (C (D))+!B !(D))+!A !(B+(C (D)))) */ ;
     defparam FS_5__bdd_4_lut_3949.init = 16'h8133;
-    FD1S3AX Bank_i1 (.D(Din_c_1), .CK(PHI2_c), .Q(Bank[1]));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
+    FD1S3AX Bank_i1 (.D(Din_c_1), .CK(PHI2_c), .Q(Bank[1]));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
     defparam Bank_i1.GSR = "ENABLED";
     LUT4 n9_bdd_2_lut_3908_4_lut (.A(n4910), .B(n4919), .C(FS[10]), .D(FS[12]), 
-         .Z(n4775)) /* synthesis lut_function=(!((B+(C+!(D)))+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .Z(n4775)) /* synthesis lut_function=(!((B+(C+!(D)))+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam n9_bdd_2_lut_3908_4_lut.init = 16'h0200;
     FD1P3AX CmdUFMData_548 (.D(Din_c_0), .SP(PHI2_N_151_enable_3), .CK(PHI2_N_151), 
-            .Q(CmdUFMData)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
+            .Q(CmdUFMData)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
     defparam CmdUFMData_548.GSR = "ENABLED";
-    LUT4 i3106_3_lut_3_lut (.A(FS[12]), .B(FS[11]), .C(n53), .Z(n1_adj_6)) /* synthesis lut_function=(!(A (B+!(C))+!A !(C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
+    LUT4 i3106_3_lut_3_lut (.A(FS[12]), .B(FS[11]), .C(n53), .Z(n1_adj_6)) /* synthesis lut_function=(!(A (B+!(C))+!A !(C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
     defparam i3106_3_lut_3_lut.init = 16'h7070;
     LUT4 nRCAS_I_0_594_3_lut_4_lut (.A(nRCAS_N_198), .B(n4906), .C(Ready), 
-         .D(nRCAS_N_199), .Z(nRCAS_N_194)) /* synthesis lut_function=(A ((D)+!C)+!A (B ((D)+!C)+!B (C (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(267[12] 276[6])
+         .D(nRCAS_N_199), .Z(nRCAS_N_194)) /* synthesis lut_function=(A ((D)+!C)+!A (B ((D)+!C)+!B (C (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(267[12] 276[6])
     defparam nRCAS_I_0_594_3_lut_4_lut.init = 16'hfe0e;
     LUT4 i5_4_lut_4_lut (.A(FS[12]), .B(n4895), .C(n4519), .D(n2308), 
-         .Z(n12_adj_10)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
+         .Z(n12_adj_10)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
     defparam i5_4_lut_4_lut.init = 16'h4000;
-    LUT4 Din_7__I_0_i6_2_lut_rep_80 (.A(Din_c_6), .B(Din_c_7), .Z(n4929)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
+    LUT4 Din_7__I_0_i6_2_lut_rep_80 (.A(Din_c_6), .B(Din_c_7), .Z(n4929)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
     defparam Din_7__I_0_i6_2_lut_rep_80.init = 16'heeee;
     LUT4 i1_4_lut_4_lut_adj_2 (.A(n4907), .B(FS[12]), .C(n42), .D(n4807), 
-         .Z(n3_adj_4)) /* synthesis lut_function=(!(A+!(B (C)+!B (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n3_adj_4)) /* synthesis lut_function=(!(A+!(B (C)+!B (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i1_4_lut_4_lut_adj_2.init = 16'h5140;
     LUT4 FS_7__bdd_4_lut_3948 (.A(FS[7]), .B(FS[9]), .C(FS[8]), .D(n4939), 
          .Z(n638)) /* synthesis lut_function=(!(A (B (C+(D)))+!A (B (C)+!B !(C+(D))))) */ ;
     defparam FS_7__bdd_4_lut_3948.init = 16'h373e;
     PFUMX i29 (.BLUT(n56), .ALUT(n1_adj_6), .C0(n4632), .Z(n14_adj_3));
     LUT4 i2_3_lut_rep_33_4_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_4), 
-         .D(XOR8MEG_N_149), .Z(n4882)) /* synthesis lut_function=(!(A+(B+!(C (D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
+         .D(XOR8MEG_N_149), .Z(n4882)) /* synthesis lut_function=(!(A+(B+!(C (D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
     defparam i2_3_lut_rep_33_4_lut.init = 16'h1000;
     LUT4 i1_2_lut_rep_59_3_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_5), 
-         .Z(n4908)) /* synthesis lut_function=(A+(B+(C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
+         .Z(n4908)) /* synthesis lut_function=(A+(B+(C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
     defparam i1_2_lut_rep_59_3_lut.init = 16'hfefe;
     LUT4 i21_3_lut_4_lut_4_lut (.A(n4907), .B(n759), .C(InitReady), .D(n4880), 
-         .Z(wb_dati_7__N_68[2])) /* synthesis lut_function=(A (B (C))+!A (B (C+(D))+!B !(C+!(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(wb_dati_7__N_68[2])) /* synthesis lut_function=(A (B (C))+!A (B (C+(D))+!B !(C+!(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i21_3_lut_4_lut_4_lut.init = 16'hc5c0;
     PFUMX i13 (.BLUT(n4539), .ALUT(n4513), .C0(InitReady), .Z(RCLK_c_enable_24));
     LUT4 i1_4_lut_4_lut_adj_3 (.A(n4907), .B(n4900), .C(n4890), .D(FS[5]), 
-         .Z(n45)) /* synthesis lut_function=(!(A+(B (C)+!B !((D)+!C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n45)) /* synthesis lut_function=(!(A+(B (C)+!B !((D)+!C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i1_4_lut_4_lut_adj_3.init = 16'h1505;
     LUT4 FS_6__bdd_4_lut_3962 (.A(FS[6]), .B(FS[5]), .C(FS[8]), .D(FS[7]), 
          .Z(n4869)) /* synthesis lut_function=(!(A (B (C (D)+!C !(D))+!B !(C+(D)))+!A !(B (C+(D))+!B (C)))) */ ;
@@ -651,44 +651,44 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     defparam i1_2_lut_rep_82.init = 16'h8888;
     PFUMX i3859 (.BLUT(n4775), .ALUT(n4774), .C0(FS[11]), .Z(n4776));
     LUT4 i21_3_lut_4_lut_4_lut_adj_4 (.A(n4907), .B(n756), .C(InitReady), 
-         .D(n4880), .Z(wb_dati_7__N_68[5])) /* synthesis lut_function=(A (B (C))+!A (B (C+(D))+!B !(C+!(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .D(n4880), .Z(wb_dati_7__N_68[5])) /* synthesis lut_function=(A (B (C))+!A (B (C+(D))+!B !(C+!(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i21_3_lut_4_lut_4_lut_adj_4.init = 16'hc5c0;
     LUT4 i1_4_lut_4_lut_adj_5 (.A(n4907), .B(FS[12]), .C(n42), .D(n39), 
-         .Z(n3)) /* synthesis lut_function=(!(A+!(B (C)+!B (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n3)) /* synthesis lut_function=(!(A+!(B (C)+!B (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i1_4_lut_4_lut_adj_5.init = 16'h5140;
     FD1P3AX CmdUFMShift_547 (.D(Din_c_1), .SP(PHI2_N_151_enable_3), .CK(PHI2_N_151), 
-            .Q(CmdUFMShift)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
+            .Q(CmdUFMShift)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
     defparam CmdUFMShift_547.GSR = "ENABLED";
     FD1P3AX Cmdn8MEGEN_546 (.D(Cmdn8MEGEN_N_260), .SP(PHI2_N_151_enable_5), 
-            .CK(PHI2_N_151), .Q(Cmdn8MEGEN)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
+            .CK(PHI2_N_151), .Q(Cmdn8MEGEN)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
     defparam Cmdn8MEGEN_546.GSR = "ENABLED";
     FD1P3AX CmdLEDEN_545 (.D(CmdLEDEN_N_251), .SP(PHI2_N_151_enable_5), 
-            .CK(PHI2_N_151), .Q(CmdLEDEN)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
+            .CK(PHI2_N_151), .Q(CmdLEDEN)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
     defparam CmdLEDEN_545.GSR = "ENABLED";
     LUT4 i1_2_lut_4_lut_4_lut (.A(n4907), .B(FS[12]), .C(n42_adj_5), .D(n38), 
-         .Z(n3_adj_1)) /* synthesis lut_function=(!(A+!(B (C)+!B (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n3_adj_1)) /* synthesis lut_function=(!(A+!(B (C)+!B (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i1_2_lut_4_lut_4_lut.init = 16'h5140;
-    FD1P3AX Ready_540 (.D(n5144), .SP(Ready_N_280), .CK(RCLK_c), .Q(Ready)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+    FD1P3AX Ready_540 (.D(n5144), .SP(Ready_N_280), .CK(RCLK_c), .Q(Ready)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam Ready_540.GSR = "ENABLED";
     FD1P3AX XOR8MEG_544 (.D(Din_c_0), .SP(PHI2_N_151_enable_6), .CK(PHI2_N_151), 
-            .Q(XOR8MEG)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
+            .Q(XOR8MEG)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
     defparam XOR8MEG_544.GSR = "ENABLED";
     LUT4 i3748_4_lut (.A(Din_c_3), .B(MAin_c_0), .C(Din_c_2), .D(n4888), 
          .Z(n4624)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ;
     defparam i3748_4_lut.init = 16'hfffe;
-    FD1S3IX RA11_521 (.D(RA11_N_217), .CK(PHI2_c), .CD(n4935), .Q(RA_c));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
+    FD1S3IX RA11_521 (.D(RA11_N_217), .CK(PHI2_c), .CD(n4935), .Q(RA_c));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5])
     defparam RA11_521.GSR = "ENABLED";
     FD1P3AX IS_FSM__i0 (.D(Ready_N_284), .SP(RCLK_c_enable_27), .CK(RCLK_c), 
-            .Q(nRCS_N_172));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
+            .Q(nRCS_N_172));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15])
     defparam IS_FSM__i0.GSR = "ENABLED";
     FD1P3AX wb_clk_550 (.D(n1889), .SP(RCLK_c_enable_28), .CK(RCLK_c), 
-            .Q(wb_clk));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
+            .Q(wb_clk));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5])
     defparam wb_clk_550.GSR = "ENABLED";
     LUT4 i1_4_lut_4_lut_adj_6 (.A(n4907), .B(FS[11]), .C(n3711), .D(n175), 
-         .Z(n17)) /* synthesis lut_function=(!(A+(B (C)+!B !(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n17)) /* synthesis lut_function=(!(A+(B (C)+!B !(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i1_4_lut_4_lut_adj_6.init = 16'h1504;
     FD1P3AX nRowColSel_538 (.D(n1885), .SP(RCLK_c_enable_29), .CK(RCLK_c), 
-            .Q(nRowColSel));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+            .Q(nRowColSel));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam nRowColSel_538.GSR = "ENABLED";
     LUT4 i2_3_lut_rep_62_4_lut (.A(Din_c_3), .B(Din_c_5), .C(Din_c_2), 
          .D(Din_c_6), .Z(n4911)) /* synthesis lut_function=(!((((D)+!C)+!B)+!A)) */ ;
@@ -697,15 +697,15 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     defparam i2_1_lut_rep_83.init = 16'h5555;
     LUT4 i1_2_lut_2_lut (.A(nFWE_c), .B(n4504), .Z(n4548)) /* synthesis lut_function=(!(A+!(B))) */ ;
     defparam i1_2_lut_2_lut.init = 16'h4444;
-    LUT4 i1684_1_lut_rep_84 (.A(nRowColSel_N_35), .Z(n4933)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
+    LUT4 i1684_1_lut_rep_84 (.A(nRowColSel_N_35), .Z(n4933)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
     defparam i1684_1_lut_rep_84.init = 16'h5555;
     LUT4 i2736_4_lut (.A(wb_adr[7]), .B(InitReady), .C(wb_adr[6]), .D(n4901), 
-         .Z(wb_adr_7__N_60[7])) /* synthesis lut_function=(A (B (C+!(D)))+!A (B (C (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6])
+         .Z(wb_adr_7__N_60[7])) /* synthesis lut_function=(A (B (C+!(D)))+!A (B (C (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6])
     defparam i2736_4_lut.init = 16'hc088;
-    LUT4 i29_3_lut (.A(n14_adj_7), .B(n746), .C(InitReady), .Z(wb_adr_7__N_60[6])) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+    LUT4 i29_3_lut (.A(n14_adj_7), .B(n746), .C(InitReady), .Z(wb_adr_7__N_60[6])) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i29_3_lut.init = 16'hcaca;
     LUT4 i3_4_lut_4_lut (.A(nRowColSel_N_35), .B(RASr2), .C(InitReady), 
-         .D(nRCS_N_172), .Z(nRCS_N_170)) /* synthesis lut_function=((((D)+!C)+!B)+!A) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
+         .D(nRCS_N_172), .Z(nRCS_N_170)) /* synthesis lut_function=((((D)+!C)+!B)+!A) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
     defparam i3_4_lut_4_lut.init = 16'hff7f;
     LUT4 i2787_2_lut_rep_85 (.A(FS[10]), .B(FS[11]), .Z(n4934)) /* synthesis lut_function=(A (B)) */ ;
     defparam i2787_2_lut_rep_85.init = 16'h8888;
@@ -713,76 +713,76 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
          .Z(n4891)) /* synthesis lut_function=((B)+!A) */ ;
     defparam i2791_2_lut_rep_42_3_lut_4_lut_4_lut_2_lut.init = 16'hdddd;
     LUT4 i3_4_lut_4_lut_adj_7 (.A(n4907), .B(n4904), .C(InitReady), .D(n4895), 
-         .Z(n3969)) /* synthesis lut_function=(!(A+((C+(D))+!B))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n3969)) /* synthesis lut_function=(!(A+((C+(D))+!B))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i3_4_lut_4_lut_adj_7.init = 16'h0004;
     FD1P3IX ADSubmitted_543 (.D(n4883), .SP(PHI2_N_151_enable_7), .CD(C1Submitted_N_232), 
-            .CK(PHI2_N_151), .Q(ADSubmitted)) /* synthesis lse_init_val=0 */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
+            .CK(PHI2_N_151), .Q(ADSubmitted)) /* synthesis lse_init_val=0 */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5])
     defparam ADSubmitted_543.GSR = "ENABLED";
     LUT4 CmdLEDEN_I_69_3_lut_4_lut (.A(Din_c_4), .B(n4908), .C(Din_c_1), 
-         .D(LEDEN), .Z(CmdLEDEN_N_251)) /* synthesis lut_function=(A (B (D)+!B !(C))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
+         .D(LEDEN), .Z(CmdLEDEN_N_251)) /* synthesis lut_function=(A (B (D)+!B !(C))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
     defparam CmdLEDEN_I_69_3_lut_4_lut.init = 16'hdf02;
     LUT4 Cmdn8MEGEN_I_72_3_lut_4_lut (.A(Din_c_4), .B(n4908), .C(Din_c_0), 
-         .D(n8MEGEN), .Z(Cmdn8MEGEN_N_260)) /* synthesis lut_function=(A (B (D)+!B !(C))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
+         .D(n8MEGEN), .Z(Cmdn8MEGEN_N_260)) /* synthesis lut_function=(A (B (D)+!B !(C))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
     defparam Cmdn8MEGEN_I_72_3_lut_4_lut.init = 16'hdf02;
     CCU2D FS_972_add_4_9 (.A0(FS[7]), .B0(GND_net), .C0(GND_net), .D0(GND_net), 
           .A1(FS[8]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4089), 
-          .COUT(n4090), .S0(n88), .S1(n87));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+          .COUT(n4090), .S0(n88), .S1(n87));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972_add_4_9.INIT0 = 16'hfaaa;
     defparam FS_972_add_4_9.INIT1 = 16'hfaaa;
     defparam FS_972_add_4_9.INJECT1_0 = "NO";
     defparam FS_972_add_4_9.INJECT1_1 = "NO";
     LUT4 i3804_2_lut_4_lut (.A(FS[11]), .B(n4909), .C(n10_adj_2), .D(FS[9]), 
-         .Z(n3671)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(522[30:46])
+         .Z(n3671)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(522[30:46])
     defparam i3804_2_lut_4_lut.init = 16'h0001;
-    LUT4 i1_2_lut_rep_53 (.A(FS[11]), .B(n14), .Z(n4902)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46])
+    LUT4 i1_2_lut_rep_53 (.A(FS[11]), .B(n14), .Z(n4902)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46])
     defparam i1_2_lut_rep_53.init = 16'heeee;
     LUT4 i3808_2_lut_4_lut (.A(FS[11]), .B(n4909), .C(n10_adj_2), .D(InitReady), 
-         .Z(wb_adr_7__N_92)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(522[30:46])
+         .Z(wb_adr_7__N_92)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(522[30:46])
     defparam i3808_2_lut_4_lut.init = 16'h0001;
     LUT4 i1_2_lut_rep_64_3_lut (.A(FS[10]), .B(FS[11]), .C(n14), .Z(n4913)) /* synthesis lut_function=(((C)+!B)+!A) */ ;
     defparam i1_2_lut_rep_64_3_lut.init = 16'hf7f7;
     LUT4 mux_427_i5_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_adr[3]), 
-         .D(wb_adr[4]), .Z(n748)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_adr[4]), .Z(n748)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_427_i5_3_lut_4_lut.init = 16'hf780;
-    LUT4 i1044_1_lut_rep_86 (.A(Ready), .Z(n4935)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+    LUT4 i1044_1_lut_rep_86 (.A(Ready), .Z(n4935)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam i1044_1_lut_rep_86.init = 16'h5555;
     LUT4 n4729_bdd_2_lut_3976 (.A(n4729), .B(FS[11]), .Z(n4730)) /* synthesis lut_function=(!((B)+!A)) */ ;
     defparam n4729_bdd_2_lut_3976.init = 16'h2222;
     LUT4 i1_3_lut_rep_34_4_lut (.A(MAin_c_0), .B(n4888), .C(n4911), .D(n4548), 
-         .Z(n4883)) /* synthesis lut_function=(!((B+!(C (D)))+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(72[15:31])
+         .Z(n4883)) /* synthesis lut_function=(!((B+!(C (D)))+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(72[15:31])
     defparam i1_3_lut_rep_34_4_lut.init = 16'h2000;
-    LUT4 i1_2_lut_3_lut (.A(FS[11]), .B(n14), .C(FS[10]), .Z(n4)) /* synthesis lut_function=(A+(B+!(C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46])
+    LUT4 i1_2_lut_3_lut (.A(FS[11]), .B(n14), .C(FS[10]), .Z(n4)) /* synthesis lut_function=(A+(B+!(C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46])
     defparam i1_2_lut_3_lut.init = 16'hefef;
     LUT4 n3572_bdd_4_lut_3847 (.A(n4890), .B(wb_cyc_stb_N_350), .C(n638), 
          .D(FS[10]), .Z(n4729)) /* synthesis lut_function=(A (B (C+(D))+!B !((D)+!C))+!A !((D)+!C)) */ ;
     defparam n3572_bdd_4_lut_3847.init = 16'h88f0;
     LUT4 n4733_bdd_2_lut (.A(n4733), .B(n3969), .Z(wb_adr_7__N_60[0])) /* synthesis lut_function=(A+(B)) */ ;
     defparam n4733_bdd_2_lut.init = 16'heeee;
-    LUT4 i28_3_lut (.A(n14_adj_7), .B(n748), .C(InitReady), .Z(wb_adr_7__N_60[4])) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+    LUT4 i28_3_lut (.A(n14_adj_7), .B(n748), .C(InitReady), .Z(wb_adr_7__N_60[4])) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i28_3_lut.init = 16'hcaca;
     LUT4 i1_2_lut_rep_44_3_lut_4_lut (.A(FS[11]), .B(n14), .C(FS[10]), 
-         .D(n4920), .Z(n4893)) /* synthesis lut_function=(A+(B+((D)+!C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46])
+         .D(n4920), .Z(n4893)) /* synthesis lut_function=(A+(B+((D)+!C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46])
     defparam i1_2_lut_rep_44_3_lut_4_lut.init = 16'hffef;
-    LUT4 i1_2_lut_2_lut_adj_8 (.A(Ready), .B(nRowColSel_N_34), .Z(n6)) /* synthesis lut_function=((B)+!A) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+    LUT4 i1_2_lut_2_lut_adj_8 (.A(Ready), .B(nRowColSel_N_34), .Z(n6)) /* synthesis lut_function=((B)+!A) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam i1_2_lut_2_lut_adj_8.init = 16'hdddd;
     LUT4 i1_2_lut_rep_37_3_lut_4_lut (.A(FS[11]), .B(n14), .C(FS[10]), 
-         .D(n4920), .Z(n4886)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46])
+         .D(n4920), .Z(n4886)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46])
     defparam i1_2_lut_rep_37_3_lut_4_lut.init = 16'hfffe;
     LUT4 i2_3_lut_4_lut_4_lut (.A(Ready), .B(n2040), .C(nRowColSel_N_32), 
-         .D(nRowColSel_N_35), .Z(RCLK_c_enable_29)) /* synthesis lut_function=((B+(C+(D)))+!A) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+         .D(nRowColSel_N_35), .Z(RCLK_c_enable_29)) /* synthesis lut_function=((B+(C+(D)))+!A) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam i2_3_lut_4_lut_4_lut.init = 16'hfffd;
     LUT4 i2742_4_lut (.A(wb_adr[3]), .B(InitReady), .C(wb_adr[2]), .D(n4901), 
-         .Z(wb_adr_7__N_60[3])) /* synthesis lut_function=(A (B (C+!(D)))+!A (B (C (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6])
+         .Z(wb_adr_7__N_60[3])) /* synthesis lut_function=(A (B (C+!(D)))+!A (B (C (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6])
     defparam i2742_4_lut.init = 16'hc088;
     LUT4 i2_2_lut_4_lut (.A(FS[11]), .B(n4909), .C(n10_adj_2), .D(FS[9]), 
-         .Z(n10)) /* synthesis lut_function=(!(A (D)+!A (B (D)+!B ((D)+!C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(522[30:46])
+         .Z(n10)) /* synthesis lut_function=(!(A (D)+!A (B (D)+!B ((D)+!C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(522[30:46])
     defparam i2_2_lut_4_lut.init = 16'h00fe;
     LUT4 i2743_4_lut (.A(wb_adr[2]), .B(InitReady), .C(wb_adr[1]), .D(n4901), 
-         .Z(wb_adr_7__N_60[2])) /* synthesis lut_function=(A (B (C+!(D)))+!A (B (C (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6])
+         .Z(wb_adr_7__N_60[2])) /* synthesis lut_function=(A (B (C+!(D)))+!A (B (C (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6])
     defparam i2743_4_lut.init = 16'hc088;
     CCU2D FS_972_add_4_7 (.A0(FS[5]), .B0(GND_net), .C0(GND_net), .D0(GND_net), 
           .A1(FS[6]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4088), 
-          .COUT(n4089), .S0(n90), .S1(n89));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+          .COUT(n4089), .S0(n90), .S1(n89));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972_add_4_7.INIT0 = 16'hfaaa;
     defparam FS_972_add_4_7.INIT1 = 16'hfaaa;
     defparam FS_972_add_4_7.INJECT1_0 = "NO";
@@ -802,7 +802,7 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     LUT4 i13_4_lut (.A(MAin_c_0), .B(C1Submitted), .C(MAin_c_1), .D(n6_adj_11), 
          .Z(n7)) /* synthesis lut_function=(!(A (B+!(C (D)))+!A (C))) */ ;
     defparam i13_4_lut.init = 16'h2505;
-    LUT4 i2_2_lut_rep_54_2_lut (.A(Ready), .B(nRowColSel_N_35), .Z(n4903)) /* synthesis lut_function=((B)+!A) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+    LUT4 i2_2_lut_rep_54_2_lut (.A(Ready), .B(nRowColSel_N_35), .Z(n4903)) /* synthesis lut_function=((B)+!A) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam i2_2_lut_rep_54_2_lut.init = 16'hdddd;
     LUT4 i1_2_lut_rep_87 (.A(FS[7]), .B(FS[5]), .Z(n4936)) /* synthesis lut_function=(A (B)) */ ;
     defparam i1_2_lut_rep_87.init = 16'h8888;
@@ -810,28 +810,28 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
          .D(n4582), .Z(PHI2_N_151_enable_7)) /* synthesis lut_function=(A (B (C (D)))) */ ;
     defparam n34_bdd_2_lut_3867_3_lut_4_lut.init = 16'h8000;
     LUT4 i1_3_lut_4_lut_adj_9 (.A(n4938), .B(n4914), .C(FS[9]), .D(n98), 
-         .Z(n2199)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A (B+!(C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+         .Z(n2199)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A (B+!(C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i1_3_lut_4_lut_adj_9.init = 16'hc5cf;
     LUT4 i3_4_lut_adj_10 (.A(FS[11]), .B(FS[12]), .C(n4907), .D(n23), 
-         .Z(n4125)) /* synthesis lut_function=(!(A+((C+!(D))+!B))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+         .Z(n4125)) /* synthesis lut_function=(!(A+((C+!(D))+!B))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i3_4_lut_adj_10.init = 16'h0400;
     LUT4 i1_2_lut_rep_49_3_lut_4_lut (.A(FS[7]), .B(FS[5]), .C(FS[9]), 
          .D(n4937), .Z(n4898)) /* synthesis lut_function=(A (B (C (D)))) */ ;
     defparam i1_2_lut_rep_49_3_lut_4_lut.init = 16'h8000;
     LUT4 i1_3_lut_4_lut_adj_11 (.A(FS[10]), .B(n4923), .C(n12_adj_8), 
-         .D(n45), .Z(n14_adj_7)) /* synthesis lut_function=(A (C)+!A (B (C+(D))+!B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .D(n45), .Z(n14_adj_7)) /* synthesis lut_function=(A (C)+!A (B (C+(D))+!B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i1_3_lut_4_lut_adj_11.init = 16'hf4f0;
-    LUT4 i1_2_lut_rep_88 (.A(FS[6]), .B(FS[8]), .Z(n4937)) /* synthesis lut_function=(A (B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    LUT4 i1_2_lut_rep_88 (.A(FS[6]), .B(FS[8]), .Z(n4937)) /* synthesis lut_function=(A (B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i1_2_lut_rep_88.init = 16'h8888;
     LUT4 i1_2_lut_rep_65_3_lut_4_lut (.A(FS[6]), .B(FS[8]), .C(FS[5]), 
-         .D(FS[7]), .Z(n4914)) /* synthesis lut_function=(A (B (C (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .D(FS[7]), .Z(n4914)) /* synthesis lut_function=(A (B (C (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i1_2_lut_rep_65_3_lut_4_lut.init = 16'h8000;
     LUT4 i3_4_lut_adj_12 (.A(Din_c_1), .B(Din_c_0), .C(Din_c_7), .D(Din_c_4), 
          .Z(n4504)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ;
     defparam i3_4_lut_adj_12.init = 16'h0040;
     LUT4 i1_2_lut_rep_89 (.A(FS[7]), .B(FS[8]), .Z(n4938)) /* synthesis lut_function=(A+(B)) */ ;
     defparam i1_2_lut_rep_89.init = 16'heeee;
-    LUT4 i2_3_lut (.A(InitReady), .B(FS[12]), .C(n754), .Z(n4165)) /* synthesis lut_function=(A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6])
+    LUT4 i2_3_lut (.A(InitReady), .B(FS[12]), .C(n754), .Z(n4165)) /* synthesis lut_function=(A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6])
     defparam i2_3_lut.init = 16'h8080;
     LUT4 i1_2_lut_rep_45_3_lut_4_lut (.A(FS[7]), .B(FS[8]), .C(FS[9]), 
          .D(n4939), .Z(n4894)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ;
@@ -839,10 +839,10 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     LUT4 n61_bdd_4_lut (.A(n4923), .B(n4895), .C(n4530), .D(FS[10]), 
          .Z(n4880)) /* synthesis lut_function=(!(A ((D)+!C)+!A (B ((D)+!C)+!B !(C+(D))))) */ ;
     defparam n61_bdd_4_lut.init = 16'h11f0;
-    LUT4 i1_2_lut_rep_90 (.A(FS[5]), .B(FS[6]), .Z(n4939)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+    LUT4 i1_2_lut_rep_90 (.A(FS[5]), .B(FS[6]), .Z(n4939)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i1_2_lut_rep_90.init = 16'heeee;
     LUT4 i2_2_lut_rep_66_3_lut_4_lut (.A(FS[5]), .B(FS[6]), .C(FS[8]), 
-         .D(FS[7]), .Z(n4915)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+         .D(FS[7]), .Z(n4915)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i2_2_lut_rep_66_3_lut_4_lut.init = 16'hfffe;
     LUT4 i13_4_lut_adj_13 (.A(n4582), .B(n4628), .C(n15), .D(n4930), 
          .Z(n2384)) /* synthesis lut_function=(((C+!(D))+!B)+!A) */ ;
@@ -850,43 +850,43 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     LUT4 i1_2_lut_adj_14 (.A(MAin_c_7), .B(Bank[2]), .Z(n15)) /* synthesis lut_function=((B)+!A) */ ;
     defparam i1_2_lut_adj_14.init = 16'hdddd;
     LUT4 i1_2_lut_rep_38_4_lut (.A(n53_adj_9), .B(n4914), .C(FS[9]), .D(FS[11]), 
-         .Z(n4887)) /* synthesis lut_function=(A (B (C (D)))+!A (B (D)+!B !(C+!(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(464[4] 521[11])
+         .Z(n4887)) /* synthesis lut_function=(A (B (C (D)))+!A (B (D)+!B !(C+!(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(464[4] 521[11])
     defparam i1_2_lut_rep_38_4_lut.init = 16'hc500;
     LUT4 i2_2_lut_3_lut_4_lut (.A(nRCS_N_172), .B(n4926), .C(Ready), .D(nRCAS_N_198), 
-         .Z(n4129)) /* synthesis lut_function=(A+((C+(D))+!B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(267[12] 276[6])
+         .Z(n4129)) /* synthesis lut_function=(A+((C+(D))+!B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(267[12] 276[6])
     defparam i2_2_lut_3_lut_4_lut.init = 16'hfffb;
     LUT4 i1_3_lut_3_lut_4_lut (.A(FS[7]), .B(n4924), .C(n4914), .D(FS[9]), 
          .Z(wb_cyc_stb_N_348)) /* synthesis lut_function=(A (C (D))+!A (B (C (D))+!B (C+!(D)))) */ ;
     defparam i1_3_lut_3_lut_4_lut.init = 16'hf011;
-    LUT4 i1_2_lut_rep_58 (.A(n14), .B(FS[13]), .Z(n4907)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+    LUT4 i1_2_lut_rep_58 (.A(n14), .B(FS[13]), .Z(n4907)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i1_2_lut_rep_58.init = 16'heeee;
-    LUT4 i1_2_lut_adj_15 (.A(RASr2), .B(nRowColSel_N_32), .Z(n2556)) /* synthesis lut_function=(!((B)+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    LUT4 i1_2_lut_adj_15 (.A(RASr2), .B(nRowColSel_N_32), .Z(n2556)) /* synthesis lut_function=(!((B)+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam i1_2_lut_adj_15.init = 16'h2222;
     CCU2D FS_972_add_4_19 (.A0(FS[17]), .B0(GND_net), .C0(GND_net), .D0(GND_net), 
           .A1(GND_net), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4094), 
-          .S0(n78));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+          .S0(n78));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972_add_4_19.INIT0 = 16'hfaaa;
     defparam FS_972_add_4_19.INIT1 = 16'h0000;
     defparam FS_972_add_4_19.INJECT1_0 = "NO";
     defparam FS_972_add_4_19.INJECT1_1 = "NO";
-    LUT4 i3746_2_lut_3_lut (.A(n14), .B(FS[13]), .C(FS[10]), .Z(n4622)) /* synthesis lut_function=(A+(B+(C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+    LUT4 i3746_2_lut_3_lut (.A(n14), .B(FS[13]), .C(FS[10]), .Z(n4622)) /* synthesis lut_function=(A+(B+(C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i3746_2_lut_3_lut.init = 16'hfefe;
     LUT4 i3709_2_lut (.A(Bank[3]), .B(MAin_c_5), .Z(n4582)) /* synthesis lut_function=(A (B)) */ ;
     defparam i3709_2_lut.init = 16'h8888;
-    LUT4 i3711_4_lut (.A(n4890), .B(n4887), .C(n2199), .D(FS[10]), .Z(n4585)) /* synthesis lut_function=(A (B (C+!(D))+!B (C (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+    LUT4 i3711_4_lut (.A(n4890), .B(n4887), .C(n2199), .D(FS[10]), .Z(n4585)) /* synthesis lut_function=(A (B (C+!(D))+!B (C (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i3711_4_lut.init = 16'ha088;
     PFUMX i12_adj_16 (.BLUT(n4526), .ALUT(n751), .C0(InitReady), .Z(wb_adr_7__N_60[1]));
     LUT4 i3751_4_lut (.A(Bank[1]), .B(n4610), .C(n4574), .D(Bank[0]), 
          .Z(n4628)) /* synthesis lut_function=(A (B (C (D)))) */ ;
     defparam i3751_4_lut.init = 16'h8000;
-    LUT4 i1_2_lut_2_lut_3_lut (.A(n14), .B(FS[13]), .C(n14_adj_3), .Z(n12_adj_8)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+    LUT4 i1_2_lut_2_lut_3_lut (.A(n14), .B(FS[13]), .C(n14_adj_3), .Z(n12_adj_8)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i1_2_lut_2_lut_3_lut.init = 16'h1010;
     LUT4 i3734_4_lut (.A(MAin_c_4), .B(Bank[5]), .C(Bank[4]), .D(Bank[6]), 
          .Z(n4610)) /* synthesis lut_function=(A (B (C (D)))) */ ;
     defparam i3734_4_lut.init = 16'h8000;
     PFUMX i1383 (.BLUT(n2244), .ALUT(n2252), .C0(n4634), .Z(wb_we_N_338));
     LUT4 i2856_2_lut_3_lut_4_lut (.A(n14), .B(FS[13]), .C(n4915), .D(FS[9]), 
-         .Z(n2426)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n2426)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i2856_2_lut_3_lut_4_lut.init = 16'h0001;
     LUT4 i1669_3_lut_4_lut (.A(InitReady), .B(n4886), .C(wb_dato[0]), 
          .D(Cmdn8MEGEN), .Z(n8MEGEN_N_139)) /* synthesis lut_function=(A (D)+!A (B (D)+!B (C))) */ ;
@@ -894,23 +894,23 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     LUT4 i3701_2_lut (.A(Bank[7]), .B(MAin_c_2), .Z(n4574)) /* synthesis lut_function=(A (B)) */ ;
     defparam i3701_2_lut.init = 16'h8888;
     LUT4 n34_bdd_2_lut_3863_2_lut_3_lut (.A(n14), .B(FS[13]), .C(n4776), 
-         .Z(n4777)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n4777)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam n34_bdd_2_lut_3863_2_lut_3_lut.init = 16'h1010;
     LUT4 i1382_3_lut (.A(wb_we_N_354), .B(wb_cyc_stb), .C(InitReady), 
-         .Z(n2252)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
+         .Z(n2252)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
     defparam i1382_3_lut.init = 16'hcaca;
     PFUMX i12_adj_17 (.BLUT(n3_adj_1), .ALUT(n757), .C0(InitReady), .Z(wb_dati_7__N_68[4]));
     LUT4 n34_bdd_2_lut_3841_2_lut_3_lut (.A(n14), .B(FS[13]), .C(n4731), 
-         .Z(n4732)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n4732)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam n34_bdd_2_lut_3841_2_lut_3_lut.init = 16'h1010;
     LUT4 i1375_4_lut (.A(n4897), .B(n2238), .C(n10_adj_2), .D(n4913), 
-         .Z(n2244)) /* synthesis lut_function=(A (B+!(C+(D)))+!A (B (C+(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
+         .Z(n2244)) /* synthesis lut_function=(A (B+!(C+(D)))+!A (B (C+(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
     defparam i1375_4_lut.init = 16'hccca;
     LUT4 i6_4_lut (.A(n4149), .B(n12_adj_10), .C(n4622), .D(n4164), 
-         .Z(n4526)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n4526)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i6_4_lut.init = 16'h0800;
     LUT4 mux_427_i1_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[7]), 
-         .D(wb_adr[0]), .Z(n752)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_adr[0]), .Z(n752)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_427_i1_3_lut_4_lut.init = 16'hf780;
     LUT4 i6_4_lut_adj_18 (.A(FS[13]), .B(n12), .C(FS[17]), .D(FS[14]), 
          .Z(RCLK_c_enable_26)) /* synthesis lut_function=(A (B (C (D)))) */ ;
@@ -918,19 +918,19 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     LUT4 i5_4_lut (.A(FS[12]), .B(FS[16]), .C(FS[15]), .D(n4934), .Z(n12)) /* synthesis lut_function=(A (B (C (D)))) */ ;
     defparam i5_4_lut.init = 16'h8000;
     LUT4 i2_3_lut_4_lut_adj_19 (.A(Din_c_5), .B(n4929), .C(XOR8MEG_N_149), 
-         .D(Din_c_4), .Z(PHI2_N_151_enable_6)) /* synthesis lut_function=(!(A+(B+((D)+!C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
+         .D(Din_c_4), .Z(PHI2_N_151_enable_6)) /* synthesis lut_function=(!(A+(B+((D)+!C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31])
     defparam i2_3_lut_4_lut_adj_19.init = 16'h0010;
-    LUT4 i1_2_lut_rep_39 (.A(MAin_c_1), .B(n2384), .Z(n4888)) /* synthesis lut_function=((B)+!A) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(72[15:31])
+    LUT4 i1_2_lut_rep_39 (.A(MAin_c_1), .B(n2384), .Z(n4888)) /* synthesis lut_function=((B)+!A) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(72[15:31])
     defparam i1_2_lut_rep_39.init = 16'hdddd;
     PFUMX i3833 (.BLUT(n4732), .ALUT(n752), .C0(InitReady), .Z(n4733));
-    LUT4 i1683_1_lut (.A(nRowColSel_N_34), .Z(n2557)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
+    LUT4 i1683_1_lut (.A(nRowColSel_N_34), .Z(n2557)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
     defparam i1683_1_lut.init = 16'h5555;
     LUT4 i1_2_lut_rep_36_3_lut (.A(MAin_c_1), .B(n2384), .C(MAin_c_0), 
-         .Z(n4885)) /* synthesis lut_function=((B+!(C))+!A) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(72[15:31])
+         .Z(n4885)) /* synthesis lut_function=((B+!(C))+!A) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(72[15:31])
     defparam i1_2_lut_rep_36_3_lut.init = 16'hdfdf;
-    LUT4 i92_4_lut (.A(n4887), .B(n2199), .C(FS[10]), .D(n4890), .Z(n53)) /* synthesis lut_function=(A (B+!(C (D)))+!A (B (C)+!B !((D)+!C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+    LUT4 i92_4_lut (.A(n4887), .B(n2199), .C(FS[10]), .D(n4890), .Z(n53)) /* synthesis lut_function=(A (B+!(C (D)))+!A (B (C)+!B !((D)+!C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i92_4_lut.init = 16'hcafa;
-    LUT4 i3812_2_lut (.A(FS[11]), .B(FS[12]), .Z(n4632)) /* synthesis lut_function=(A+!(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+    LUT4 i3812_2_lut (.A(FS[11]), .B(FS[12]), .Z(n4632)) /* synthesis lut_function=(A+!(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i3812_2_lut.init = 16'hbbbb;
     LUT4 i6_4_lut_adj_20 (.A(FS[10]), .B(n4527), .C(n4924), .D(n4936), 
          .Z(n14_adj_14)) /* synthesis lut_function=(!(A+((C+!(D))+!B))) */ ;
@@ -940,22 +940,22 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     PFUMX i3123 (.BLUT(n4125), .ALUT(n760), .C0(InitReady), .Z(n3989));
     LUT4 i1_3_lut (.A(FS[0]), .B(FS[2]), .C(FS[3]), .Z(n6_adj_12)) /* synthesis lut_function=(!(A+!(B (C)))) */ ;
     defparam i1_3_lut.init = 16'h4040;
-    LUT4 i1_2_lut_rep_60 (.A(FS[10]), .B(n14), .Z(n4909)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
+    LUT4 i1_2_lut_rep_60 (.A(FS[10]), .B(n14), .Z(n4909)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
     defparam i1_2_lut_rep_60.init = 16'heeee;
     LUT4 nRCS_N_170_I_0_4_lut (.A(nRCS_N_170), .B(n4918), .C(Ready), .D(nRowColSel_N_35), 
-         .Z(nRRAS_N_189)) /* synthesis lut_function=(!(A (B (C (D)))+!A (B ((D)+!C)+!B !(C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6])
+         .Z(nRRAS_N_189)) /* synthesis lut_function=(!(A (B (C (D)))+!A (B ((D)+!C)+!B !(C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6])
     defparam nRCS_N_170_I_0_4_lut.init = 16'h3afa;
     LUT4 i1_3_lut_adj_21 (.A(n4882), .B(Din_c_5), .C(Din_c_3), .Z(PHI2_N_151_enable_5)) /* synthesis lut_function=(A ((C)+!B)) */ ;
     defparam i1_3_lut_adj_21.init = 16'ha2a2;
-    LUT4 FS_17__I_0_579_i10_2_lut (.A(FS[12]), .B(FS[13]), .Z(n10_adj_2)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(461[30:46])
+    LUT4 FS_17__I_0_579_i10_2_lut (.A(FS[12]), .B(FS[13]), .Z(n10_adj_2)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(461[30:46])
     defparam FS_17__I_0_579_i10_2_lut.init = 16'heeee;
     LUT4 i3_4_lut_adj_22 (.A(FS[15]), .B(FS[17]), .C(FS[16]), .D(FS[14]), 
          .Z(n14)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ;
     defparam i3_4_lut_adj_22.init = 16'hfffe;
-    LUT4 i1_2_lut_rep_50_3_lut (.A(FS[10]), .B(n14), .C(FS[11]), .Z(n4899)) /* synthesis lut_function=(A+(B+!(C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
+    LUT4 i1_2_lut_rep_50_3_lut (.A(FS[10]), .B(n14), .C(FS[11]), .Z(n4899)) /* synthesis lut_function=(A+(B+!(C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
     defparam i1_2_lut_rep_50_3_lut.init = 16'hefef;
     LUT4 i1_2_lut_rep_43_3_lut_4_lut (.A(FS[10]), .B(n14), .C(n4920), 
-         .D(FS[11]), .Z(n4892)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
+         .D(FS[11]), .Z(n4892)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
     defparam i1_2_lut_rep_43_3_lut_4_lut.init = 16'hfeff;
     LUT4 i3_4_lut_adj_23 (.A(MAin_c_1), .B(MAin_c_0), .C(CmdEnable), .D(n4889), 
          .Z(XOR8MEG_N_149)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ;
@@ -963,33 +963,33 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     LUT4 i3712_2_lut_rep_40 (.A(nFWE_c), .B(n2384), .Z(n4889)) /* synthesis lut_function=(A+(B)) */ ;
     defparam i3712_2_lut_rep_40.init = 16'heeee;
     LUT4 nRCAS_I_46_4_lut (.A(nRCS_N_175), .B(CBR), .C(nRowColSel_N_35), 
-         .D(RASr2), .Z(nRCAS_N_199)) /* synthesis lut_function=(!(A (B (C (D)))+!A (B ((D)+!C)+!B !(C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(178[13] 223[7])
+         .D(RASr2), .Z(nRCAS_N_199)) /* synthesis lut_function=(!(A (B (C (D)))+!A (B ((D)+!C)+!B !(C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(178[13] 223[7])
     defparam nRCAS_I_46_4_lut.init = 16'h3afa;
-    LUT4 i66_4_lut (.A(FS[10]), .B(n3609), .C(FS[11]), .D(n2308), .Z(n39)) /* synthesis lut_function=(!(A (C+(D))+!A (B+!(C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+    LUT4 i66_4_lut (.A(FS[10]), .B(n3609), .C(FS[11]), .D(n2308), .Z(n39)) /* synthesis lut_function=(!(A (C+(D))+!A (B+!(C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i66_4_lut.init = 16'h101a;
-    LUT4 i2_2_lut (.A(InitReady), .B(Ready_N_284), .Z(n6_adj_15)) /* synthesis lut_function=(A (B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
+    LUT4 i2_2_lut (.A(InitReady), .B(Ready_N_284), .Z(n6_adj_15)) /* synthesis lut_function=(A (B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5])
     defparam i2_2_lut.init = 16'h8888;
     LUT4 i2_2_lut_4_lut_adj_24 (.A(n4931), .B(Din_c_6), .C(Din_c_2), .D(n4504), 
          .Z(n6_adj_11)) /* synthesis lut_function=(!((B+!(C (D)))+!A)) */ ;
     defparam i2_2_lut_4_lut_adj_24.init = 16'h2000;
-    LUT4 FS_17__I_0_572_i10_2_lut_rep_71 (.A(FS[12]), .B(FS[13]), .Z(n4920)) /* synthesis lut_function=((B)+!A) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
+    LUT4 FS_17__I_0_572_i10_2_lut_rep_71 (.A(FS[12]), .B(FS[13]), .Z(n4920)) /* synthesis lut_function=((B)+!A) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46])
     defparam FS_17__I_0_572_i10_2_lut_rep_71.init = 16'hdddd;
     LUT4 i1676_3_lut_4_lut (.A(nFWE_c), .B(n2384), .C(MAin_c_1), .D(C1Submitted), 
          .Z(n2549)) /* synthesis lut_function=(A (D)+!A (B (D)+!B !(C+!(D)))) */ ;
     defparam i1676_3_lut_4_lut.init = 16'hef00;
-    LUT4 RA11_I_57_3_lut (.A(Din_c_6), .B(XOR8MEG), .C(n8MEGEN), .Z(RA11_N_217)) /* synthesis lut_function=(A (B (C)+!B !(C))+!A (B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(91[22:51])
+    LUT4 RA11_I_57_3_lut (.A(Din_c_6), .B(XOR8MEG), .C(n8MEGEN), .Z(RA11_N_217)) /* synthesis lut_function=(A (B (C)+!B !(C))+!A (B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(91[22:51])
     defparam RA11_I_57_3_lut.init = 16'hc6c6;
     LUT4 i2387_3_lut_4_lut (.A(FS[5]), .B(n4924), .C(FS[11]), .D(n53_adj_9), 
          .Z(n98)) /* synthesis lut_function=(A ((D)+!C)+!A (B ((D)+!C)+!B (C (D)))) */ ;
     defparam i2387_3_lut_4_lut.init = 16'hfe0e;
-    LUT4 i1_4_lut (.A(FS[2]), .B(n4884), .C(n4886), .D(n4517), .Z(n1)) /* synthesis lut_function=(!((B (C (D)))+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    LUT4 i1_4_lut (.A(FS[2]), .B(n4884), .C(n4886), .D(n4517), .Z(n1)) /* synthesis lut_function=(!((B (C (D)))+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i1_4_lut.init = 16'h2aaa;
-    LUT4 i2506_4_lut (.A(RASr), .B(RCKEEN), .C(RASr2), .D(RASr3), .Z(RCKE_N_165)) /* synthesis lut_function=(A (B+!(C+!(D)))+!A (B (C+(D))+!B !(C+!(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(19[12:17])
+    LUT4 i2506_4_lut (.A(RASr), .B(RCKEEN), .C(RASr2), .D(RASr3), .Z(RCKE_N_165)) /* synthesis lut_function=(A (B+!(C+!(D)))+!A (B (C+(D))+!B !(C+!(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(19[12:17])
     defparam i2506_4_lut.init = 16'hcfc8;
     LUT4 i2801_4_lut (.A(FWEr), .B(n4903), .C(n2040), .D(n4_adj_13), 
-         .Z(n1885)) /* synthesis lut_function=(!(A (B+!(C+!(D)))+!A (B+!(C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
+         .Z(n1885)) /* synthesis lut_function=(!(A (B+!(C+!(D)))+!A (B+!(C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5])
     defparam i2801_4_lut.init = 16'h3032;
-    LUT4 i1_2_lut_adj_25 (.A(CASr3), .B(CBR), .Z(n4_adj_13)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(222[16:37])
+    LUT4 i1_2_lut_adj_25 (.A(CASr3), .B(CBR), .Z(n4_adj_13)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(222[16:37])
     defparam i1_2_lut_adj_25.init = 16'heeee;
     LUT4 i2_2_lut_3_lut_4_lut_adj_26 (.A(n4898), .B(n4894), .C(n4897), 
          .D(FS[11]), .Z(n4519)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ;
@@ -998,95 +998,95 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
          .Z(n4774)) /* synthesis lut_function=(!(A (((D)+!C)+!B)+!A (B (C (D)+!C !(D))+!B (C+!(D))))) */ ;
     defparam n9_bdd_4_lut_3892.init = 16'h05c0;
     LUT4 i1_4_lut_adj_27 (.A(FS[10]), .B(n646), .C(n4895), .D(FS[11]), 
-         .Z(n42)) /* synthesis lut_function=(!(A+(B (C (D))+!B (C+!(D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+         .Z(n42)) /* synthesis lut_function=(!(A+(B (C (D))+!B (C+!(D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i1_4_lut_adj_27.init = 16'h0544;
     LUT4 MAin_9__I_0_565_i3_3_lut (.A(RowA[2]), .B(MAin_c_2), .C(nRowColSel), 
-         .Z(RA_c_2)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
+         .Z(RA_c_2)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
     defparam MAin_9__I_0_565_i3_3_lut.init = 16'hcaca;
     LUT4 MAin_9__I_0_565_i4_3_lut (.A(RowA[3]), .B(MAin_c_3), .C(nRowColSel), 
-         .Z(RA_c_3)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
+         .Z(RA_c_3)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
     defparam MAin_9__I_0_565_i4_3_lut.init = 16'hcaca;
     LUT4 MAin_9__I_0_565_i5_3_lut (.A(RowA[4]), .B(MAin_c_4), .C(nRowColSel), 
-         .Z(RA_c_4)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
+         .Z(RA_c_4)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
     defparam MAin_9__I_0_565_i5_3_lut.init = 16'hcaca;
     LUT4 i2319_3_lut_rep_47_4_lut (.A(n4937), .B(n4936), .C(FS[9]), .D(n4938), 
-         .Z(n4896)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A !(C+(D))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .Z(n4896)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A !(C+(D))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i2319_3_lut_rep_47_4_lut.init = 16'h808f;
     LUT4 i24_3_lut_rep_48_4_lut (.A(n4937), .B(n4936), .C(FS[9]), .D(n53_adj_9), 
-         .Z(n4897)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A !(C+(D))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .Z(n4897)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A !(C+(D))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i24_3_lut_rep_48_4_lut.init = 16'h808f;
     LUT4 i1_3_lut_4_lut_adj_28 (.A(n4927), .B(n4925), .C(FS[10]), .D(FS[12]), 
          .Z(n175)) /* synthesis lut_function=(!(A+(B+(C+!(D))))) */ ;
     defparam i1_3_lut_4_lut_adj_28.init = 16'h0100;
     LUT4 i1_3_lut_3_lut_4_lut_adj_29 (.A(n4937), .B(n4936), .C(n4938), 
-         .D(FS[9]), .Z(wb_cyc_stb_N_350)) /* synthesis lut_function=(A (B ((D)+!C)+!B !(C+(D)))+!A !(C+(D))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .D(FS[9]), .Z(wb_cyc_stb_N_350)) /* synthesis lut_function=(A (B ((D)+!C)+!B !(C+(D)))+!A !(C+(D))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i1_3_lut_3_lut_4_lut_adj_29.init = 16'h880f;
     LUT4 i2708_2_lut_rep_41_3_lut_3_lut_4_lut (.A(n4937), .B(n4936), .C(n4915), 
-         .D(FS[9]), .Z(n4890)) /* synthesis lut_function=(!(A (B ((D)+!C)+!B !(C+(D)))+!A !(C+(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .D(FS[9]), .Z(n4890)) /* synthesis lut_function=(!(A (B ((D)+!C)+!B !(C+(D)))+!A !(C+(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i2708_2_lut_rep_41_3_lut_3_lut_4_lut.init = 16'h77f0;
     LUT4 MAin_9__I_0_565_i6_3_lut (.A(RowA[5]), .B(MAin_c_5), .C(nRowColSel), 
-         .Z(RA_c_5)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
+         .Z(RA_c_5)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
     defparam MAin_9__I_0_565_i6_3_lut.init = 16'hcaca;
     LUT4 MAin_9__I_0_565_i7_3_lut (.A(RowA[6]), .B(MAin_c_6), .C(nRowColSel), 
-         .Z(RA_c_6)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
+         .Z(RA_c_6)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
     defparam MAin_9__I_0_565_i7_3_lut.init = 16'hcaca;
     PFUMX i3831 (.BLUT(n4585), .ALUT(n4730), .C0(FS[12]), .Z(n4731));
     LUT4 MAin_9__I_0_565_i8_3_lut (.A(RowA[7]), .B(MAin_c_7), .C(nRowColSel), 
-         .Z(RA_c_7)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
+         .Z(RA_c_7)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
     defparam MAin_9__I_0_565_i8_3_lut.init = 16'hcaca;
     CCU2D FS_972_add_4_1 (.A0(GND_net), .B0(GND_net), .C0(GND_net), .D0(GND_net), 
           .A1(FS[0]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .COUT(n4086), 
-          .S1(n95));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+          .S1(n95));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972_add_4_1.INIT0 = 16'hF000;
     defparam FS_972_add_4_1.INIT1 = 16'h0555;
     defparam FS_972_add_4_1.INJECT1_0 = "NO";
     defparam FS_972_add_4_1.INJECT1_1 = "NO";
     LUT4 MAin_9__I_0_565_i9_3_lut (.A(RowA[8]), .B(MAin_c_8), .C(nRowColSel), 
-         .Z(RA_c_8)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
+         .Z(RA_c_8)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
     defparam MAin_9__I_0_565_i9_3_lut.init = 16'hcaca;
     LUT4 MAin_9__I_0_565_i10_3_lut (.A(RowA[9]), .B(MAin_c_9), .C(nRowColSel), 
-         .Z(RA_c_9)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
+         .Z(RA_c_9)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
     defparam MAin_9__I_0_565_i10_3_lut.init = 16'hcaca;
     LUT4 i2_4_lut_4_lut (.A(FS[6]), .B(n4097), .C(FS[11]), .D(n4905), 
-         .Z(n4530)) /* synthesis lut_function=(!(A+(B+((D)+!C)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .Z(n4530)) /* synthesis lut_function=(!(A+(B+((D)+!C)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i2_4_lut_4_lut.init = 16'h0010;
     CCU2D FS_972_add_4_5 (.A0(FS[3]), .B0(GND_net), .C0(GND_net), .D0(GND_net), 
           .A1(FS[4]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4087), 
-          .COUT(n4088), .S0(n92), .S1(n91));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+          .COUT(n4088), .S0(n92), .S1(n91));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam FS_972_add_4_5.INIT0 = 16'hfaaa;
     defparam FS_972_add_4_5.INIT1 = 16'hfaaa;
     defparam FS_972_add_4_5.INJECT1_0 = "NO";
     defparam FS_972_add_4_5.INJECT1_1 = "NO";
     LUT4 i1248_4_lut (.A(wb_cyc_stb_N_350), .B(wb_cyc_stb_N_348), .C(n4893), 
-         .D(n4892), .Z(n2104)) /* synthesis lut_function=(!(A (B (C (D))+!B (C))+!A (((D)+!C)+!B))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[12] 729[6])
+         .D(n4892), .Z(n2104)) /* synthesis lut_function=(!(A (B (C (D))+!B (C))+!A (((D)+!C)+!B))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[12] 729[6])
     defparam i1248_4_lut.init = 16'h0aca;
     LUT4 i2812_2_lut_rep_69 (.A(RCKE_c), .B(RASr2), .Z(n4918)) /* synthesis lut_function=(A+(B)) */ ;
     defparam i2812_2_lut_rep_69.init = 16'heeee;
     LUT4 i1_2_lut_rep_52_4_lut (.A(CmdSubmitted), .B(PHI2r3), .C(PHI2r2), 
-         .D(CmdUFMShift), .Z(n4901)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(CmdUFMShift), .Z(n4901)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam i1_2_lut_rep_52_4_lut.init = 16'h0800;
     LUT4 i3810_4_lut (.A(InitReady), .B(n10_adj_2), .C(n4899), .D(n4), 
-         .Z(n4634)) /* synthesis lut_function=(A+!(B+(C (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
+         .Z(n4634)) /* synthesis lut_function=(A+!(B+(C (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
     defparam i3810_4_lut.init = 16'habbb;
-    LUT4 i3792_2_lut (.A(nCRAS_c), .B(LEDEN), .Z(LED_N_134)) /* synthesis lut_function=(A+!(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(12[15:34])
+    LUT4 i3792_2_lut (.A(nCRAS_c), .B(LEDEN), .Z(LED_N_134)) /* synthesis lut_function=(A+!(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(12[15:34])
     defparam i3792_2_lut.init = 16'hbbbb;
     LUT4 i2694_2_lut_rep_67 (.A(FWEr), .B(CBR), .Z(n4916)) /* synthesis lut_function=(A+(B)) */ ;
     defparam i2694_2_lut_rep_67.init = 16'heeee;
-    LUT4 RASr2_I_0_1_lut_rep_72 (.A(RASr2), .Z(n4921)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(135[40:46])
+    LUT4 RASr2_I_0_1_lut_rep_72 (.A(RASr2), .Z(n4921)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(135[40:46])
     defparam RASr2_I_0_1_lut_rep_72.init = 16'h5555;
-    LUT4 i2_3_lut_rep_68 (.A(CmdSubmitted), .B(PHI2r3), .C(PHI2r2), .Z(n4917)) /* synthesis lut_function=(!(((C)+!B)+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+    LUT4 i2_3_lut_rep_68 (.A(CmdSubmitted), .B(PHI2r3), .C(PHI2r2), .Z(n4917)) /* synthesis lut_function=(!(((C)+!B)+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam i2_3_lut_rep_68.init = 16'h0808;
-    LUT4 i3742_2_lut (.A(nRowColSel_N_33), .B(CASr2), .Z(n4618)) /* synthesis lut_function=(A+!(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(178[13] 223[7])
+    LUT4 i3742_2_lut (.A(nRowColSel_N_33), .B(CASr2), .Z(n4618)) /* synthesis lut_function=(A+!(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(178[13] 223[7])
     defparam i3742_2_lut.init = 16'hbbbb;
     LUT4 nRWE_I_0_596_4_lut (.A(n3622), .B(nRWE_N_211), .C(Ready), .D(n4906), 
-         .Z(nRWE_N_204)) /* synthesis lut_function=(A (B (C+(D))+!B !(C+!(D)))+!A (B+!(C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6])
+         .Z(nRWE_N_204)) /* synthesis lut_function=(A (B (C+(D))+!B !(C+!(D)))+!A (B+!(C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6])
     defparam nRWE_I_0_596_4_lut.init = 16'hcfc5;
-    LUT4 i1174_2_lut (.A(FS[9]), .B(n4869), .Z(wb_we_N_351)) /* synthesis lut_function=(!(A (B))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
+    LUT4 i1174_2_lut (.A(FS[9]), .B(n4869), .Z(wb_we_N_351)) /* synthesis lut_function=(!(A (B))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11])
     defparam i1174_2_lut.init = 16'h7777;
-    LUT4 i3224_2_lut (.A(FS[12]), .B(FS[7]), .Z(n4097)) /* synthesis lut_function=(A (B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+    LUT4 i3224_2_lut (.A(FS[12]), .B(FS[7]), .Z(n4097)) /* synthesis lut_function=(A (B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i3224_2_lut.init = 16'h8888;
     LUT4 i1662_2_lut_4_lut (.A(n4548), .B(n4885), .C(n4911), .D(C1Submitted_N_232), 
-         .Z(CmdEnable_N_243)) /* synthesis lut_function=(A (B (D)+!B (C+(D)))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(301[7:24])
+         .Z(CmdEnable_N_243)) /* synthesis lut_function=(A (B (D)+!B (C+(D)))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(301[7:24])
     defparam i1662_2_lut_4_lut.init = 16'hff20;
     LUT4 i3754_2_lut_3_lut (.A(FWEr), .B(CBR), .C(RASr2), .Z(n22)) /* synthesis lut_function=(!(A (C)+!A (B (C)))) */ ;
     defparam i3754_2_lut_3_lut.init = 16'h1f1f;
@@ -1094,53 +1094,53 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
          .D(n6), .Z(RCLK_c_enable_20)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ;
     defparam i4_4_lut_adj_30.init = 16'hfffe;
     LUT4 mux_427_i6_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_adr[4]), 
-         .D(wb_adr[5]), .Z(n747)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_adr[5]), .Z(n747)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_427_i6_3_lut_4_lut.init = 16'hf780;
     LUT4 i1_4_lut_4_lut_adj_31 (.A(RASr2), .B(n6_adj_15), .C(nRowColSel_N_32), 
-         .D(Ready), .Z(Ready_N_280)) /* synthesis lut_function=(A (D)+!A (B (C+(D))+!B (D))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(135[40:46])
+         .D(Ready), .Z(Ready_N_280)) /* synthesis lut_function=(A (D)+!A (B (C+(D))+!B (D))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(135[40:46])
     defparam i1_4_lut_4_lut_adj_31.init = 16'hff40;
-    LUT4 InitReady_I_0_586_1_lut_rep_73 (.A(InitReady), .Z(RCLK_c_enable_22)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26])
+    LUT4 InitReady_I_0_586_1_lut_rep_73 (.A(InitReady), .Z(RCLK_c_enable_22)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26])
     defparam InitReady_I_0_586_1_lut_rep_73.init = 16'h5555;
     LUT4 nRCS_I_0_590_3_lut (.A(nRCS_N_170), .B(nRCS_N_174), .C(Ready), 
-         .Z(nRCS_N_169)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6])
+         .Z(nRCS_N_169)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6])
     defparam nRCS_I_0_590_3_lut.init = 16'hcaca;
     LUT4 i1390_4_lut_4_lut (.A(InitReady), .B(n2262), .C(FS[4]), .D(CmdUFMData), 
-         .Z(wb_cyc_stb_N_307)) /* synthesis lut_function=(A (D)+!A !((C)+!B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26])
+         .Z(wb_cyc_stb_N_307)) /* synthesis lut_function=(A (D)+!A !((C)+!B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26])
     defparam i1390_4_lut_4_lut.init = 16'hae04;
     LUT4 i2758_2_lut (.A(nRWE_N_210), .B(nRCAS_N_198), .Z(n3622)) /* synthesis lut_function=(A+(B)) */ ;
     defparam i2758_2_lut.init = 16'heeee;
     LUT4 i1_2_lut_4_lut_4_lut_adj_32 (.A(InitReady), .B(PHI2r2), .C(PHI2r3), 
-         .D(CmdSubmitted), .Z(RCLK_c_enable_28)) /* synthesis lut_function=(!(A (B+!(C (D))))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26])
+         .D(CmdSubmitted), .Z(RCLK_c_enable_28)) /* synthesis lut_function=(!(A (B+!(C (D))))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26])
     defparam i1_2_lut_4_lut_4_lut_adj_32.init = 16'h7555;
     LUT4 i1_2_lut_3_lut_adj_33 (.A(n4917), .B(CmdUFMShift), .C(InitReady), 
-         .Z(RCLK_c_enable_25)) /* synthesis lut_function=(A (B+!(C))+!A !(C)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .Z(RCLK_c_enable_25)) /* synthesis lut_function=(A (B+!(C))+!A !(C)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam i1_2_lut_3_lut_adj_33.init = 16'h8f8f;
     LUT4 mux_427_i7_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_adr[5]), 
-         .D(wb_adr[6]), .Z(n746)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_adr[6]), .Z(n746)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_427_i7_3_lut_4_lut.init = 16'hf780;
     LUT4 i1_4_lut_4_lut_adj_34 (.A(InitReady), .B(n1), .C(CmdUFMShift), 
-         .D(wb_adr_7__N_92), .Z(n1889)) /* synthesis lut_function=(!(A (C+(D))+!A ((D)+!B))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26])
+         .D(wb_adr_7__N_92), .Z(n1889)) /* synthesis lut_function=(!(A (C+(D))+!A ((D)+!B))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26])
     defparam i1_4_lut_4_lut_adj_34.init = 16'h004e;
     PFUMX i36 (.BLUT(n20), .ALUT(n22), .C0(nRowColSel_N_35), .Z(RCKEEN_N_153));
     LUT4 mux_428_i8_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[6]), 
-         .D(wb_dati[7]), .Z(n754)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_dati[7]), .Z(n754)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_428_i8_3_lut_4_lut.init = 16'hf780;
     LUT4 MAin_9__I_0_565_i2_3_lut (.A(RowA[1]), .B(MAin_c_1), .C(nRowColSel), 
-         .Z(RA_c_1)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
+         .Z(RA_c_1)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
     defparam MAin_9__I_0_565_i2_3_lut.init = 16'hcaca;
-    LUT4 i1_2_lut_rep_74 (.A(FS[11]), .B(FS[12]), .Z(n4923)) /* synthesis lut_function=(A (B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    LUT4 i1_2_lut_rep_74 (.A(FS[11]), .B(FS[12]), .Z(n4923)) /* synthesis lut_function=(A (B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i1_2_lut_rep_74.init = 16'h8888;
-    LUT4 i1185_2_lut (.A(nRowColSel_N_33), .B(nRowColSel_N_34), .Z(n2040)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(187[13] 223[7])
+    LUT4 i1185_2_lut (.A(nRowColSel_N_33), .B(nRowColSel_N_34), .Z(n2040)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(187[13] 223[7])
     defparam i1185_2_lut.init = 16'heeee;
     PFUMX i3897 (.BLUT(n4850), .ALUT(n747), .C0(InitReady), .Z(wb_adr_7__N_60[5]));
     LUT4 i2685_2_lut (.A(nCCAS_c), .B(nFWE_c), .Z(n1965)) /* synthesis lut_function=(A+(B)) */ ;
     defparam i2685_2_lut.init = 16'heeee;
-    LUT4 i1_2_lut_rep_55_3_lut (.A(FS[11]), .B(FS[12]), .C(FS[10]), .Z(n4904)) /* synthesis lut_function=(!(((C)+!B)+!A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+    LUT4 i1_2_lut_rep_55_3_lut (.A(FS[11]), .B(FS[12]), .C(FS[10]), .Z(n4904)) /* synthesis lut_function=(!(((C)+!B)+!A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i1_2_lut_rep_55_3_lut.init = 16'h0808;
-    LUT4 nRWE_I_53_1_lut (.A(nRWE_N_210), .Z(nRWE_N_209)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(247[14] 254[8])
+    LUT4 nRWE_I_53_1_lut (.A(nRWE_N_210), .Z(nRWE_N_209)) /* synthesis lut_function=(!(A)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(247[14] 254[8])
     defparam nRWE_I_53_1_lut.init = 16'h5555;
     LUT4 i1_2_lut_3_lut_4_lut (.A(FS[7]), .B(FS[6]), .C(n4925), .D(FS[5]), 
-         .Z(n4164)) /* synthesis lut_function=(A+((C+!(D))+!B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .Z(n4164)) /* synthesis lut_function=(A+((C+!(D))+!B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i1_2_lut_3_lut_4_lut.init = 16'hfbff;
     LUT4 i1_2_lut_rep_46_3_lut_4_lut (.A(FS[9]), .B(FS[8]), .C(n4927), 
          .D(FS[5]), .Z(n4895)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ;
@@ -1155,7 +1155,7 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
          .Z(n3609)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ;
     defparam i2832_2_lut_3_lut_4_lut.init = 16'hfeff;
     LUT4 i2_3_lut_4_lut_adj_35 (.A(FS[7]), .B(FS[6]), .C(n4925), .D(FS[5]), 
-         .Z(n2308)) /* synthesis lut_function=(A+((C+(D))+!B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
+         .Z(n2308)) /* synthesis lut_function=(A+((C+(D))+!B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13])
     defparam i2_3_lut_4_lut_adj_35.init = 16'hfffb;
     LUT4 i1_2_lut_rep_75 (.A(FS[6]), .B(FS[8]), .Z(n4924)) /* synthesis lut_function=(A+(B)) */ ;
     defparam i1_2_lut_rep_75.init = 16'heeee;
@@ -1169,14 +1169,14 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     LUT4 i1_2_lut_rep_61_3_lut (.A(FS[6]), .B(FS[8]), .C(FS[7]), .Z(n4910)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ;
     defparam i1_2_lut_rep_61_3_lut.init = 16'h1010;
     LUT4 mux_428_i6_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[4]), 
-         .D(wb_dati[5]), .Z(n756)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_dati[5]), .Z(n756)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_428_i6_3_lut_4_lut.init = 16'hf780;
     TSALL TSALL_INST (.TSALL(GND_net));
     LUT4 i1667_3_lut_4_lut (.A(InitReady), .B(n4886), .C(wb_dato[1]), 
          .D(CmdLEDEN), .Z(LEDEN_N_110)) /* synthesis lut_function=(A (D)+!A (B (D)+!B (C))) */ ;
     defparam i1667_3_lut_4_lut.init = 16'hfe10;
     LUT4 mux_428_i3_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[1]), 
-         .D(wb_dati[2]), .Z(n759)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_dati[2]), .Z(n759)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_428_i3_3_lut_4_lut.init = 16'hf780;
     LUT4 i1_2_lut_rep_76 (.A(FS[9]), .B(FS[8]), .Z(n4925)) /* synthesis lut_function=(A+(B)) */ ;
     defparam i1_2_lut_rep_76.init = 16'heeee;
@@ -1185,48 +1185,48 @@ module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE,
     defparam i1_3_lut_4_lut_adj_38.init = 16'hf800;
     LUT4 i1_2_lut_rep_56_3_lut (.A(FS[9]), .B(FS[8]), .C(FS[5]), .Z(n4905)) /* synthesis lut_function=(A+(B+!(C))) */ ;
     defparam i1_2_lut_rep_56_3_lut.init = 16'hefef;
-    LUT4 i36_4_lut (.A(n5142), .B(n754), .C(InitReady), .D(n17), .Z(wb_dati_7__N_68[7])) /* synthesis lut_function=(A (B+!(C))+!A (B (C+(D))+!B !(C+!(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
+    LUT4 i36_4_lut (.A(n5142), .B(n754), .C(InitReady), .D(n17), .Z(wb_dati_7__N_68[7])) /* synthesis lut_function=(A (B+!(C))+!A (B (C+(D))+!B !(C+!(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6])
     defparam i36_4_lut.init = 16'hcfca;
     LUT4 mux_428_i4_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[2]), 
-         .D(wb_dati[3]), .Z(n758)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_dati[3]), .Z(n758)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_428_i4_3_lut_4_lut.init = 16'hf780;
     LUT4 i2696_4_lut (.A(nRCS_N_179), .B(nRowColSel_N_34), .C(n4916), 
-         .D(nRowColSel_N_33), .Z(nRCS_N_175)) /* synthesis lut_function=(A (B+(C (D)))+!A (B+(C+!(D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(187[13] 223[7])
+         .D(nRowColSel_N_33), .Z(nRCS_N_175)) /* synthesis lut_function=(A (B+(C (D)))+!A (B+(C+!(D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(187[13] 223[7])
     defparam i2696_4_lut.init = 16'hfcdd;
     LUT4 InitReady_I_0_3_lut (.A(InitReady), .B(RCKEEN_N_153), .C(Ready), 
-         .Z(RCKEEN_N_152)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6])
+         .Z(RCKEEN_N_152)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6])
     defparam InitReady_I_0_3_lut.init = 16'hcaca;
-    LUT4 i2726_2_lut (.A(nRowColSel_N_33), .B(nRowColSel_N_32), .Z(n1286)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
+    LUT4 i2726_2_lut (.A(nRowColSel_N_33), .B(nRowColSel_N_32), .Z(n1286)) /* synthesis lut_function=(A+(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16])
     defparam i2726_2_lut.init = 16'heeee;
     LUT4 i3795_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQML_c)) /* synthesis lut_function=(!(A (B))) */ ;
     defparam i3795_2_lut.init = 16'h7777;
-    LUT4 i95_4_lut (.A(n4894), .B(FS[10]), .C(FS[12]), .D(n4900), .Z(n3711)) /* synthesis lut_function=(A (B (C+(D))+!B ((D)+!C))+!A (B (C+(D))+!B (C (D)))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
+    LUT4 i95_4_lut (.A(n4894), .B(FS[10]), .C(FS[12]), .D(n4900), .Z(n3711)) /* synthesis lut_function=(A (B (C+(D))+!B ((D)+!C))+!A (B (C+(D))+!B (C (D)))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15])
     defparam i95_4_lut.init = 16'hfec2;
-    LUT4 i2684_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQMH_c)) /* synthesis lut_function=(A+!(B)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(57[17:46])
+    LUT4 i2684_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQMH_c)) /* synthesis lut_function=(A+!(B)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(57[17:46])
     defparam i2684_2_lut.init = 16'hbbbb;
     LUT4 mux_428_i2_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[0]), 
-         .D(wb_dati[1]), .Z(n760)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_dati[1]), .Z(n760)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_428_i2_3_lut_4_lut.init = 16'hf780;
     LUT4 i2_3_lut_4_lut_adj_39 (.A(FS[9]), .B(FS[8]), .C(FS[7]), .D(n4939), 
          .Z(n4149)) /* synthesis lut_function=(A+(B+((D)+!C))) */ ;
     defparam i2_3_lut_4_lut_adj_39.init = 16'hffef;
     LUT4 mux_428_i7_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[5]), 
-         .D(wb_dati[6]), .Z(n755)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_dati[6]), .Z(n755)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_428_i7_3_lut_4_lut.init = 16'hf780;
     LUT4 mux_428_i5_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[3]), 
-         .D(wb_dati[4]), .Z(n757)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_dati[4]), .Z(n757)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_428_i5_3_lut_4_lut.init = 16'hf780;
     LUT4 mux_427_i2_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_adr[0]), 
-         .D(wb_adr[1]), .Z(n751)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_adr[1]), .Z(n751)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_427_i2_3_lut_4_lut.init = 16'hf780;
     LUT4 MAin_9__I_0_565_i1_3_lut (.A(RowA[0]), .B(MAin_c_0), .C(nRowColSel), 
-         .Z(RA_c_0)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
+         .Z(RA_c_0)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54])
     defparam MAin_9__I_0_565_i1_3_lut.init = 16'hcaca;
     LUT4 mux_428_i1_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_we), 
-         .D(wb_dati[0]), .Z(n761)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
+         .D(wb_dati[0]), .Z(n761)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ;   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47])
     defparam mux_428_i1_3_lut_4_lut.init = 16'hf780;
-    INV i4008 (.A(PHI2_c), .Z(PHI2_N_151));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12])
-    INV i4007 (.A(nCRAS_c), .Z(nCRAS_N_9));   // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20])
+    INV i4008 (.A(PHI2_c), .Z(PHI2_N_151));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12])
+    INV i4007 (.A(nCRAS_c), .Z(nCRAS_N_9));   // c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20])
     PFUMX i3913 (.BLUT(n4940), .ALUT(n4941), .C0(FS[9]), .Z(wb_we_N_354));
     VLO i1 (.Z(GND_net));
     
diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/automake.log b/CPLD/LCMXO2/LCMXO2-640HC/impl1/automake.log
index aef4f04..992228a 100644
--- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/automake.log
+++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/automake.log
@@ -1,5 +1,289 @@
 
-map -a "MachXO2" -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial   "RAM2GS_LCMXO2_640HC_impl1.ngd" -o "RAM2GS_LCMXO2_640HC_impl1_map.ncd" -pr "RAM2GS_LCMXO2_640HC_impl1.prf" -mp "RAM2GS_LCMXO2_640HC_impl1.mrp" -lpf "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf" -lpf "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf"  -c 0           
+synthesis -f "RAM2GS_LCMXO2_640HC_impl1_lattice.synproj"
+synthesis:  version Diamond (64-bit) 3.12.0.240.2
+
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+Copyright (c) 1995 AT&T Corp.   All rights reserved.
+Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+Copyright (c) 2001 Agere Systems   All rights reserved.
+Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
+Sat Oct 09 01:19:13 2021
+
+
+Command Line:  synthesis -f RAM2GS_LCMXO2_640HC_impl1_lattice.synproj -gui 
+
+    
+Synthesis options:
+The -a option is MachXO2.
+The -s option is 4.
+The -t option is TQFP100.
+The -d option is LCMXO2-640HC.
+Using package TQFP100.
+Using performance grade 4.
+                                                          
+
+##########################################################
+
+### Lattice Family : MachXO2
+
+### Device  : LCMXO2-640HC
+
+### Package : TQFP100
+
+### Speed   : 4
+
+##########################################################
+
+                                                          
+
+    
+Optimization goal = Balanced
+Top-level module name = RAM2GS.
+Target frequency = 200.000000 MHz.
+Maximum fanout = 1000.
+Timing path count = 3
+BRAM utilization = 100.000000 %
+DSP usage = true
+DSP utilization = 100.000000 %
+fsm_encoding_style = auto
+resolve_mixed_drivers = 0
+fix_gated_clocks = 1
+
+Mux style = Auto
+Use Carry Chain = true
+carry_chain_length = 0
+Loop Limit = 1950.
+Use IO Insertion = TRUE
+Use IO Reg = AUTO
+
+Resource Sharing = TRUE
+Propagate Constants = TRUE
+Remove Duplicate Registers = TRUE
+force_gsr = auto
+ROM style = auto
+RAM style = auto
+The -comp option is FALSE.
+The -syn option is FALSE.
+-p C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added)
+-p C:/lscc/diamond/3.12/ispfpga/xo2c00/data (searchpath added)
+-p C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1 (searchpath added)
+-p C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added)
+Verilog design file = C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v
+NGD file = RAM2GS_LCMXO2_640HC_impl1.ngd
+-sdc option: SDC file input not used.
+-lpf option: Output file option is ON.
+Hardtimer checking is enabled (default). The -dt option is not used.
+The -r option is OFF. [ Remove LOC Properties is OFF. ]
+Technology check ok...
+
+Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482
+Compile design.
+Compile Design Begin
+Analyzing Verilog file c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v. VERI-1482
+Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482
+Top module name (Verilog): RAM2GS
+    
+    
+    
+    
+    
+    
+Last elaborated design is RAM2GS()
+Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'...
+Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
+Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
+Loading device for application map from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
+Package Status:                     Final          Version 1.39.
+Top-level module name = RAM2GS.
+######## Missing driver on net n1128. Patching with GND.
+######## Missing driver on net n1132. Patching with GND.
+######## Missing driver on net n1133. Patching with GND.
+######## Missing driver on net n1134. Patching with GND.
+######## Missing driver on net n1135. Patching with GND.
+######## Missing driver on net n1131. Patching with GND.
+######## Missing driver on net n1130. Patching with GND.
+######## Missing driver on net n1136. Patching with GND.
+######## Missing driver on net n1137. Patching with GND.
+######## Missing driver on net n1138. Patching with GND.
+######## Missing driver on net n1139. Patching with GND.
+######## Missing driver on net n1140. Patching with GND.
+######## Missing driver on net n1141. Patching with GND.
+######## Missing driver on net n1142. Patching with GND.
+######## Missing driver on net n1143. Patching with GND.
+######## Missing driver on net n1144. Patching with GND.
+######## Missing driver on net n1145. Patching with GND.
+######## Missing driver on net n1146. Patching with GND.
+######## Missing driver on net n1147. Patching with GND.
+######## Missing driver on net n1148. Patching with GND.
+######## Missing driver on net n1129. Patching with GND.
+######## Missing driver on net n1149. Patching with GND.
+######## Missing driver on net n1150. Patching with GND.
+######## Missing driver on net n1151. Patching with GND.
+######## Missing driver on net n1152. Patching with GND.
+######## Missing driver on net n1153. Patching with GND.
+######## Missing driver on net n1154. Patching with GND.
+######## Missing driver on net n1155. Patching with GND.
+######## Missing driver on net n1156. Patching with GND.
+######## Missing driver on net n1157. Patching with GND.
+    
+original encoding -> new encoding (one-hot encoding)
+
+ 0000 -> 0000000000000001
+
+ 0001 -> 0000000000000010
+
+ 0010 -> 0000000000000100
+
+ 0011 -> 0000000000001000
+
+ 0100 -> 0000000000010000
+
+ 0101 -> 0000000000100000
+
+ 0110 -> 0000000001000000
+
+ 0111 -> 0000000010000000
+
+ 1000 -> 0000000100000000
+
+ 1001 -> 0000001000000000
+
+ 1010 -> 0000010000000000
+
+ 1011 -> 0000100000000000
+
+ 1100 -> 0001000000000000
+
+ 1101 -> 0010000000000000
+
+ 1110 -> 0100000000000000
+
+ 1111 -> 1000000000000000
+
+    
+original encoding -> new encoding (one-hot encoding)
+
+ 00 -> 0001
+
+ 01 -> 0010
+
+ 10 -> 0100
+
+ 11 -> 1000
+
+
+
+
+GSR will not be inferred because no asynchronous signal was found in the netlist.
+    
+Applying 200.000000 MHz constraint to all clocks
+
+    
+Results of NGD DRC are available in RAM2GS_drc.log.
+Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'...
+Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
+Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
+
+
+Running DRC...
+
+DRC complete with no errors or warnings
+
+Design Results:
+    452 blocks expanded
+completed the first expansion
+All blocks are expanded and NGD expansion is successful.
+Writing NGD file RAM2GS_LCMXO2_640HC_impl1.ngd.
+
+################### Begin Area Report (RAM2GS)######################
+Number of register bits => 119 of 877 (13 % )
+BB => 8
+CCU2D => 10
+EFB => 1
+FD1P3AX => 30
+FD1P3AY => 4
+FD1P3IX => 3
+FD1S3AX => 64
+FD1S3IX => 14
+FD1S3JX => 4
+GSR => 1
+IB => 25
+INV => 3
+LUT4 => 236
+OB => 30
+PFUMX => 16
+################### End Area Report ##################
+
+################### Begin BlackBox Report ######################
+TSALL => 1
+################### End BlackBox Report ##################
+
+################### Begin Clock Report ######################
+Clock Nets
+Number of Clocks: 5
+  Net : RCLK_c, loads : 79
+  Net : PHI2_c, loads : 11
+  Net : nCRAS_c, loads : 2
+  Net : nCCAS_c, loads : 2
+  Net : wb_clk, loads : 1
+Clock Enable Nets
+Number of Clock Enables: 14
+Top 10 highest fanout Clock Enables:
+  Net : RCLK_c_enable_27, loads : 16
+  Net : RCLK_c_enable_20, loads : 4
+  Net : RCLK_c_enable_25, loads : 2
+  Net : RCLK_c_enable_24, loads : 2
+  Net : RCLK_c_enable_29, loads : 2
+  Net : PHI2_N_151_enable_5, loads : 2
+  Net : PHI2_N_151_enable_3, loads : 2
+  Net : PHI2_N_151_enable_1, loads : 1
+  Net : Ready_N_280, loads : 1
+  Net : PHI2_N_151_enable_6, loads : 1
+Highest fanout non-clock nets
+Top 10 highest fanout non-clock nets:
+  Net : InitReady, loads : 36
+  Net : FS_11, loads : 32
+  Net : FS_10, loads : 32
+  Net : FS_9, loads : 26
+  Net : FS_7, loads : 25
+  Net : FS_8, loads : 23
+  Net : FS_6, loads : 21
+  Net : FS_5, loads : 21
+  Net : FS_12, loads : 20
+  Net : CmdUFMShift, loads : 16
+################### End Clock Report ##################
+
+Timing Report Summary
+--------------
+--------------------------------------------------------------------------------
+Constraint                              |   Constraint|       Actual|Levels
+--------------------------------------------------------------------------------
+                                        |             |             |
+create_clock -period 5.000000 -name     |             |             |
+clk3 [get_nets PHI2_c]                  |  200.000 MHz|   38.150 MHz|     8 *
+                                        |             |             |
+create_clock -period 5.000000 -name     |             |             |
+clk2 [get_nets nCCAS_c]                 |            -|            -|     0  
+                                        |             |             |
+create_clock -period 5.000000 -name     |             |             |
+clk1 [get_nets nCRAS_c]                 |            -|            -|     0  
+                                        |             |             |
+create_clock -period 5.000000 -name     |             |             |
+clk0 [get_nets RCLK_c]                  |  200.000 MHz|   65.694 MHz|    10 *
+                                        |             |             |
+--------------------------------------------------------------------------------
+
+
+2 constraints not met.
+
+
+Peak Memory Usage: 58.262  MB
+
+--------------------------------------------------------------
+Elapsed CPU time for LSE flow : 0.813  secs
+--------------------------------------------------------------
+
+map -a "MachXO2" -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial   "RAM2GS_LCMXO2_640HC_impl1.ngd" -o "RAM2GS_LCMXO2_640HC_impl1_map.ncd" -pr "RAM2GS_LCMXO2_640HC_impl1.prf" -mp "RAM2GS_LCMXO2_640HC_impl1.mrp" -lpf "C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf" -lpf "C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf"  -c 0           
 map:  version Diamond (64-bit) 3.12.0.240.2
 
 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
@@ -113,7 +397,7 @@ Design Summary:
 
 Total CPU Time: 0 secs  
 Total REAL Time: 0 secs  
-Peak Memory Usage: 36 MB
+Peak Memory Usage: 37 MB
 
 Dumping design to file RAM2GS_LCMXO2_640HC_impl1_map.ncd.
 
@@ -144,7 +428,7 @@ Setup and Hold Report
 
 --------------------------------------------------------------------------------
 Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
-Tue Aug 17 06:20:51 2021
+Sat Oct 09 01:19:15 2021
 
 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
 Copyright (c) 1995 AT&T Corp.   All rights reserved.
@@ -154,7 +438,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
 
 Report Information
 ------------------
-Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
+Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
 Design file:     ram2gs_lcmxo2_640hc_impl1_map.ncd
 Preference file: ram2gs_lcmxo2_640hc_impl1.prf
 Device,speed:    LCMXO2-640HC,4
@@ -192,7 +476,7 @@ Constraints cover 1548 paths, 9 nets, and 889 connections (78.60% coverage)
 
 --------------------------------------------------------------------------------
 Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2
-Tue Aug 17 06:20:51 2021
+Sat Oct 09 01:19:15 2021
 
 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
 Copyright (c) 1995 AT&T Corp.   All rights reserved.
@@ -202,7 +486,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
 
 Report Information
 ------------------
-Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
+Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
 Design file:     ram2gs_lcmxo2_640hc_impl1_map.ncd
 Preference file: ram2gs_lcmxo2_640hc_impl1.prf
 Device,speed:    LCMXO2-640HC,M
@@ -252,7 +536,7 @@ Cumulative negative slack: 0 (0+0)
 
 Total CPU Time: 0 secs 
 Total REAL Time: 0 secs 
-Peak Memory Usage: 40 MB
+Peak Memory Usage: 42 MB
 
 
 mpartrce -p "RAM2GS_LCMXO2_640HC_impl1.p2t" -f "RAM2GS_LCMXO2_640HC_impl1.p3t" -tf "RAM2GS_LCMXO2_640HC_impl1.pt" "RAM2GS_LCMXO2_640HC_impl1_map.ncd" "RAM2GS_LCMXO2_640HC_impl1.ncd"
@@ -262,10 +546,10 @@ Removing old design directory at request of -rem command line option to this pro
 Running par. Please wait . . .
 
 Lattice Place and Route Report for Design "RAM2GS_LCMXO2_640HC_impl1_map.ncd"
-Tue Aug 17 06:20:51 2021
+Sat Oct 09 01:19:16 2021
 
 PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
-Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2GS_LCMXO2_640HC_impl1.prf
+Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2GS_LCMXO2_640HC_impl1.prf
 Preference file: RAM2GS_LCMXO2_640HC_impl1.prf.
 Placement level-cost: 5-1.
 Routing Iterations: 6
@@ -320,12 +604,12 @@ Finished Placer Phase 0.  REAL time: 0 secs
 Starting Placer Phase 1.
 ....................
 Placer score = 65362.
-Finished Placer Phase 1.  REAL time: 6 secs 
+Finished Placer Phase 1.  REAL time: 4 secs 
 
 Starting Placer Phase 2.
 .
 Placer score =  65089
-Finished Placer Phase 2.  REAL time: 6 secs 
+Finished Placer Phase 2.  REAL time: 4 secs 
 
 
 ------------------ Clock Report ------------------
@@ -364,7 +648,7 @@ I/O Bank Usage Summary:
 | 3        | 18 / 20 ( 90%) | 3.3V       | -         |
 +----------+----------------+------------+-----------+
 
-Total placer CPU time: 5 secs 
+Total placer CPU time: 4 secs 
 
 Dumping design to file RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd.
 
@@ -376,9 +660,9 @@ Starting router resource preassignment
 
     
 
-Completed router resource preassignment. Real time: 8 secs 
+Completed router resource preassignment. Real time: 6 secs 
 
-Start NBR router at 06:20:59 08/17/21
+Start NBR router at 01:19:22 10/09/21
 
 *****************************************************************
 Info: NBR allows conflicts(one node used by more than one signal)
@@ -393,53 +677,53 @@ Note: NBR uses a different method to calculate timing slacks. The
       your design.                                               
 *****************************************************************
 
-Start NBR special constraint process at 06:20:59 08/17/21
+Start NBR special constraint process at 01:19:22 10/09/21
 
-Start NBR section for initial routing at 06:20:59 08/17/21
+Start NBR section for initial routing at 01:19:22 10/09/21
 Level 1, iteration 1
 0(0.00%) conflict; 980(86.65%) untouched conns; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.167ns/0.000ns; real time: 8 secs 
+Estimated worst slack/total negative slack: 1.167ns/0.000ns; real time: 6 secs 
 Level 2, iteration 1
 1(0.00%) conflict; 970(85.76%) untouched conns; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.141ns/0.000ns; real time: 9 secs 
+Estimated worst slack/total negative slack: 1.141ns/0.000ns; real time: 6 secs 
 Level 3, iteration 1
 1(0.00%) conflict; 904(79.93%) untouched conns; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs 
+Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs 
 Level 4, iteration 1
 26(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs 
+Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs 
 
 Info: Initial congestion level at 75% usage is 0
 Info: Initial congestion area  at 75% usage is 0 (0.00%)
 
-Start NBR section for normal routing at 06:21:00 08/17/21
+Start NBR section for normal routing at 01:19:22 10/09/21
 Level 1, iteration 1
 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs 
+Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs 
 Level 2, iteration 1
 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs 
+Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 6 secs 
 Level 3, iteration 1
 1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs 
+Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs 
 Level 4, iteration 1
 12(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs 
+Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs 
 Level 4, iteration 2
 5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs 
+Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs 
 Level 4, iteration 3
 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs 
+Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs 
 
-Start NBR section for setup/hold timing optimization with effort level 3 at 06:21:00 08/17/21
+Start NBR section for setup/hold timing optimization with effort level 3 at 01:19:23 10/09/21
 
-Start NBR section for re-routing at 06:21:00 08/17/21
+Start NBR section for re-routing at 01:19:23 10/09/21
 Level 4, iteration 1
 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs 
+Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 7 secs 
 
-Start NBR section for post-routing at 06:21:00 08/17/21
+Start NBR section for post-routing at 01:19:23 10/09/21
 
 End NBR router with 0 unrouted connection
 
@@ -456,8 +740,8 @@ Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored
 
     
 
-Total CPU time 9 secs 
-Total REAL time: 10 secs 
+Total CPU time 7 secs 
+Total REAL time: 7 secs 
 Completely routed.
 End of route.  1131 routed (100.00%); 0 unrouted.
 
@@ -476,8 +760,8 @@ PAR_SUMMARY::Worst  slack> = 0.304
 PAR_SUMMARY::Timing score> = 0.000
 PAR_SUMMARY::Number of errors = 0
 
-Total CPU  time to completion: 9 secs 
-Total REAL time to completion: 10 secs 
+Total CPU  time to completion: 7 secs 
+Total REAL time to completion: 7 secs 
 
 par done!
 
@@ -514,7 +798,7 @@ Setup and Hold Report
 
 --------------------------------------------------------------------------------
 Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
-Tue Aug 17 06:21:01 2021
+Sat Oct 09 01:19:23 2021
 
 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
 Copyright (c) 1995 AT&T Corp.   All rights reserved.
@@ -524,7 +808,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
 
 Report Information
 ------------------
-Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
+Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
 Design file:     ram2gs_lcmxo2_640hc_impl1.ncd
 Preference file: ram2gs_lcmxo2_640hc_impl1.prf
 Device,speed:    LCMXO2-640HC,4
@@ -562,7 +846,7 @@ Constraints cover 1548 paths, 9 nets, and 900 connections (79.58% coverage)
 
 --------------------------------------------------------------------------------
 Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2
-Tue Aug 17 06:21:01 2021
+Sat Oct 09 01:19:24 2021
 
 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
 Copyright (c) 1995 AT&T Corp.   All rights reserved.
@@ -572,7 +856,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
 
 Report Information
 ------------------
-Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
+Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
 Design file:     ram2gs_lcmxo2_640hc_impl1.ncd
 Preference file: ram2gs_lcmxo2_640hc_impl1.prf
 Device,speed:    LCMXO2-640HC,m
@@ -622,7 +906,7 @@ Cumulative negative slack: 0 (0+0)
 
 Total CPU Time: 0 secs 
 Total REAL Time: 0 secs 
-Peak Memory Usage: 40 MB
+Peak Memory Usage: 42 MB
 
 
 iotiming  "RAM2GS_LCMXO2_640HC_impl1.ncd" "RAM2GS_LCMXO2_640HC_impl1.prf"
@@ -696,649 +980,3 @@ Computing Max Clock to Output Delay ...
 Computing Hold Time ...
 Computing Min Clock to Output Delay ...
 Done.
-
-ibisgen "RAM2GS_LCMXO2_640HC_impl1.pad" "C:/lscc/diamond/3.12/cae_library/ibis/machxo2.ibs"   
-IBIS Models Generator: Lattice Diamond (64-bit) 3.12.0.240.2
-
-Tue Aug 17 06:21:03 2021
-
-Comp: CROW[0]
- Site: 10
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: CROW[1]
- Site: 16
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: Din[0]
- Site: 3
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: Din[1]
- Site: 96
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: Din[2]
- Site: 88
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: Din[3]
- Site: 97
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: Din[4]
- Site: 99
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: Din[5]
- Site: 98
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: Din[6]
- Site: 2
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: Din[7]
- Site: 1
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: Dout[0]
- Site: 76
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: Dout[1]
- Site: 86
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: Dout[2]
- Site: 87
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: Dout[3]
- Site: 85
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: Dout[4]
- Site: 83
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: Dout[5]
- Site: 84
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: Dout[6]
- Site: 78
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: Dout[7]
- Site: 82
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: LED
- Site: 34
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=16mA 
- SLEW=SLOW 
------------------------
-Comp: MAin[0]
- Site: 14
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: MAin[1]
- Site: 12
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: MAin[2]
- Site: 13
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: MAin[3]
- Site: 21
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: MAin[4]
- Site: 20
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: MAin[5]
- Site: 19
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: MAin[6]
- Site: 24
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: MAin[7]
- Site: 18
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: MAin[8]
- Site: 25
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: MAin[9]
- Site: 32
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: PHI2
- Site: 8
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: RA[0]
- Site: 66
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[10]
- Site: 64
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[11]
- Site: 59
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[1]
- Site: 67
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[2]
- Site: 69
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[3]
- Site: 71
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[4]
- Site: 74
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[5]
- Site: 70
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[6]
- Site: 68
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[7]
- Site: 75
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[8]
- Site: 65
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RA[9]
- Site: 62
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RBA[0]
- Site: 58
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RBA[1]
- Site: 60
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RCKE
- Site: 53
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RCLK
- Site: 63
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: RDQMH
- Site: 51
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RDQML
- Site: 48
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: RD[0]
- Site: 36
- Type: BIDI
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- PULL=KEEPER 
- CLAMP=ON 
- HYSTERESIS=SMALL 
- SLEW=SLOW 
------------------------
-Comp: RD[1]
- Site: 37
- Type: BIDI
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- PULL=KEEPER 
- CLAMP=ON 
- HYSTERESIS=SMALL 
- SLEW=SLOW 
------------------------
-Comp: RD[2]
- Site: 38
- Type: BIDI
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- PULL=KEEPER 
- CLAMP=ON 
- HYSTERESIS=SMALL 
- SLEW=SLOW 
------------------------
-Comp: RD[3]
- Site: 39
- Type: BIDI
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- PULL=KEEPER 
- CLAMP=ON 
- HYSTERESIS=SMALL 
- SLEW=SLOW 
------------------------
-Comp: RD[4]
- Site: 40
- Type: BIDI
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- PULL=KEEPER 
- CLAMP=ON 
- HYSTERESIS=SMALL 
- SLEW=SLOW 
------------------------
-Comp: RD[5]
- Site: 41
- Type: BIDI
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- PULL=KEEPER 
- CLAMP=ON 
- HYSTERESIS=SMALL 
- SLEW=SLOW 
------------------------
-Comp: RD[6]
- Site: 42
- Type: BIDI
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- PULL=KEEPER 
- CLAMP=ON 
- HYSTERESIS=SMALL 
- SLEW=SLOW 
------------------------
-Comp: RD[7]
- Site: 43
- Type: BIDI
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- PULL=KEEPER 
- CLAMP=ON 
- HYSTERESIS=SMALL 
- SLEW=SLOW 
------------------------
-Comp: nCCAS
- Site: 9
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: nCRAS
- Site: 17
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: nFWE
- Site: 15
- Type: IN
- IO_TYPE=LVTTL33 
- CLAMP=ON 
- HYSTERESIS=SMALL 
------------------------
-Comp: nRCAS
- Site: 52
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: nRCS
- Site: 57
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: nRRAS
- Site: 54
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Comp: nRWE
- Site: 49
- Type: OUT
- IO_TYPE=LVTTL33 
- DRIVE=4mA 
- SLEW=SLOW 
------------------------
-Created design models.
-
-
-Generating: C:\Users\Dog\Documents\GitHub\RAM2GS\CPLD\LCMXO2\LCMXO2-640HC\impl1\IBIS\RAM2GS_LCMXO2_640HC~.ibs
-
-
-    
-
-tmcheck -par "RAM2GS_LCMXO2_640HC_impl1.par" 
-
-bitgen -f "RAM2GS_LCMXO2_640HC_impl1.t2b" -w "RAM2GS_LCMXO2_640HC_impl1.ncd"  "RAM2GS_LCMXO2_640HC_impl1.prf"
-
-
-BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
-Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
-Copyright (c) 1995 AT&T Corp.   All rights reserved.
-Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
-Copyright (c) 2001 Agere Systems   All rights reserved.
-Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
-
-
-Loading design for application Bitgen from file RAM2GS_LCMXO2_640HC_impl1.ncd.
-Design name: RAM2GS
-NCD version: 3.3
-Vendor:      LATTICE
-Device:      LCMXO2-640HC
-Package:     TQFP100
-Performance: 4
-Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
-Package Status:                     Final          Version 1.39.
-Performance Hardware Data Status:   Final          Version 34.4.
-
-Running DRC.
-DRC detected 0 errors and 0 warnings.
-Reading Preference File from RAM2GS_LCMXO2_640HC_impl1.prf.
-
-Preference Summary:
-+---------------------------------+---------------------------------+
-|  Preference                     |  Current Setting                |
-+---------------------------------+---------------------------------+
-|                         RamCfg  |                        Reset**  |
-+---------------------------------+---------------------------------+
-|                     MCCLK_FREQ  |                         2.08**  |
-+---------------------------------+---------------------------------+
-|                  CONFIG_SECURE  |                          OFF**  |
-+---------------------------------+---------------------------------+
-|                          INBUF  |                           ON**  |
-+---------------------------------+---------------------------------+
-|                      JTAG_PORT  |                       ENABLE**  |
-+---------------------------------+---------------------------------+
-|                       SDM_PORT  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|                 SLAVE_SPI_PORT  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|                MASTER_SPI_PORT  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|                       I2C_PORT  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|        MUX_CONFIGURATION_PORTS  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|                  CONFIGURATION  |                          CFG**  |
-+---------------------------------+---------------------------------+
-|                COMPRESS_CONFIG  |                           ON**  |
-+---------------------------------+---------------------------------+
-|                        MY_ASSP  |                          OFF**  |
-+---------------------------------+---------------------------------+
-|               ONE_TIME_PROGRAM  |                          OFF**  |
-+---------------------------------+---------------------------------+
-|                 ENABLE_TRANSFR  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|                  SHAREDEBRINIT  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|            BACKGROUND_RECONFIG  |                          OFF**  |
-+---------------------------------+---------------------------------+
- *  Default setting.
- ** The specified setting matches the default setting.
-
-
-Creating bit map...
- 
-Bitstream Status: Final           Version 1.95.
- 
-Saving bit stream in "RAM2GS_LCMXO2_640HC_impl1.bit".
-Total CPU Time: 1 secs 
-Total REAL Time: 2 secs 
-Peak Memory Usage: 245 MB
-
-tmcheck -par "RAM2GS_LCMXO2_640HC_impl1.par" 
-
-bitgen -f "RAM2GS_LCMXO2_640HC_impl1.t2b" -w "RAM2GS_LCMXO2_640HC_impl1.ncd"  -jedec "RAM2GS_LCMXO2_640HC_impl1.prf"
-
-
-BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
-Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
-Copyright (c) 1995 AT&T Corp.   All rights reserved.
-Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
-Copyright (c) 2001 Agere Systems   All rights reserved.
-Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
-
-
-Loading design for application Bitgen from file RAM2GS_LCMXO2_640HC_impl1.ncd.
-Design name: RAM2GS
-NCD version: 3.3
-Vendor:      LATTICE
-Device:      LCMXO2-640HC
-Package:     TQFP100
-Performance: 4
-Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
-Package Status:                     Final          Version 1.39.
-Performance Hardware Data Status:   Final          Version 34.4.
-
-Running DRC.
-DRC detected 0 errors and 0 warnings.
-Reading Preference File from RAM2GS_LCMXO2_640HC_impl1.prf.
-
-Preference Summary:
-+---------------------------------+---------------------------------+
-|  Preference                     |  Current Setting                |
-+---------------------------------+---------------------------------+
-|                         RamCfg  |                        Reset**  |
-+---------------------------------+---------------------------------+
-|                     MCCLK_FREQ  |                         2.08**  |
-+---------------------------------+---------------------------------+
-|                  CONFIG_SECURE  |                          OFF**  |
-+---------------------------------+---------------------------------+
-|                          INBUF  |                           ON**  |
-+---------------------------------+---------------------------------+
-|                      JTAG_PORT  |                       ENABLE**  |
-+---------------------------------+---------------------------------+
-|                       SDM_PORT  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|                 SLAVE_SPI_PORT  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|                MASTER_SPI_PORT  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|                       I2C_PORT  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|        MUX_CONFIGURATION_PORTS  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|                  CONFIGURATION  |                          CFG**  |
-+---------------------------------+---------------------------------+
-|                COMPRESS_CONFIG  |                           ON**  |
-+---------------------------------+---------------------------------+
-|                        MY_ASSP  |                          OFF**  |
-+---------------------------------+---------------------------------+
-|               ONE_TIME_PROGRAM  |                          OFF**  |
-+---------------------------------+---------------------------------+
-|                 ENABLE_TRANSFR  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|                  SHAREDEBRINIT  |                      DISABLE**  |
-+---------------------------------+---------------------------------+
-|            BACKGROUND_RECONFIG  |                          OFF**  |
-+---------------------------------+---------------------------------+
- *  Default setting.
- ** The specified setting matches the default setting.
-
-
-Creating bit map...
- 
-Bitstream Status: Final           Version 1.95.
- 
-Saving bit stream in "RAM2GS_LCMXO2_640HC_impl1.jed".
- 
-===========
-UFM Summary.
-===========
-UFM Size:        191 Pages (128*191 Bits).
-UFM Utilization: General Purpose Flash Memory.
- 
-Available General Purpose Flash Memory:  191 Pages (Page 0 to Page 190).
-Initialized UFM Pages:                     0 Page.
- 
-Total CPU Time: 1 secs 
-Total REAL Time: 2 secs 
-Peak Memory Usage: 245 MB
diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html
index ad12f66..2d209a5 100644
--- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html
+++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html
@@ -1,12 +1,12 @@
-         	                                   	                                                	                                                 	                                                  	
Setting log file to 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html'.
+         	                                   	                                                	                                                 	                                                  	
Setting log file to 'C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html'.
 Starting: parse design source files
 (VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v'
-(VERI-1482) Analyzing Verilog file 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v'
-INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS'
-INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(1,1-731,10) (VERI-9000) elaborating module 'RAM2GS'
+(VERI-1482) Analyzing Verilog file 'C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v'
+INFO - C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS'
+INFO - C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(1,1-731,10) (VERI-9000) elaborating module 'RAM2GS'
 INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1'
-WARNING - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(325,2-348,25) (VERI-2435) port 'PLL0DATI7' is not connected on this instance
-WARNING - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(325,2-348,25) (VERI-1927) port 'WBDATO7' remains unconnected for this instance
+WARNING - C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(325,2-348,25) (VERI-2435) port 'PLL0DATI7' is not connected on this instance
+WARNING - C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(325,2-348,25) (VERI-1927) port 'WBDATO7' remains unconnected for this instance
 Done: design load finished with (0) errors, and (2) warnings
 
 
\ No newline at end of file diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1.ior b/CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1.ior index 6529f93..ac9917c 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1.ior +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1.ior @@ -29,9 +29,9 @@ Performance Hardware Data Status: Final Version 34.4. // Package: TQFP100 // ncd File: ram2gs_lcmxo2_640hc_impl1.ncd // Version: Diamond (64-bit) 3.12.0.240.2 -// Written on Tue Aug 17 06:21:03 2021 +// Written on Sat Oct 09 01:19:25 2021 // M: Minimum Performance Grade -// iotiming RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml +// iotiming RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf -gui I/O Timing Report (All units are in ns) diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis.log b/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis.log index 7c23447..82a7043 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis.log +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis.log @@ -5,10 +5,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 17 06:19:45 2021 +Sat Oct 09 01:19:13 2021 -Command Line: synthesis -f RAM2GS_LCMXO2_640HC_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml +Command Line: synthesis -f RAM2GS_LCMXO2_640HC_impl1_lattice.synproj -gui Synthesis options: The -a option is MachXO2. @@ -61,11 +61,11 @@ ROM style = auto RAM style = auto The -comp option is FALSE. The -syn option is FALSE. --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added) +-p C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added) -p C:/lscc/diamond/3.12/ispfpga/xo2c00/data (searchpath added) --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1 (searchpath added) --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added) -Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v +-p C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1 (searchpath added) +-p C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added) +Verilog design file = C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v NGD file = RAM2GS_LCMXO2_640HC_impl1.ngd -sdc option: SDC file input not used. -lpf option: Output file option is ON. @@ -76,15 +76,15 @@ Technology check ok... Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482 Compile design. Compile Design Begin -Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v. VERI-1482 +Analyzing Verilog file c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v. VERI-1482 Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482 Top module name (Verilog): RAM2GS -INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(1): compiling module RAM2GS. VERI-1018 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123): expression size 32 truncated to fit in target size 2. VERI-1209 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128): expression size 32 truncated to fit in target size 18. VERI-1209 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255): expression size 32 truncated to fit in target size 4. VERI-1209 +INFO - synthesis: c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(1): compiling module RAM2GS. VERI-1018 +WARNING - synthesis: c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123): expression size 32 truncated to fit in target size 2. VERI-1209 +WARNING - synthesis: c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128): expression size 32 truncated to fit in target size 18. VERI-1209 +WARNING - synthesis: c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255): expression size 32 truncated to fit in target size 4. VERI-1209 INFO - synthesis: C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800): compiling module EFB. VERI-1018 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(348): input port PLL0DATI7 is not connected on this instance. VDB-1013 +WARNING - synthesis: c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(348): input port PLL0DATI7 is not connected on this instance. VDB-1013 Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'... Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... @@ -264,8 +264,8 @@ clk0 [get_nets RCLK_c] | 200.000 MHz| 65.694 MHz| 10 * 2 constraints not met. -Peak Memory Usage: 57.273 MB +Peak Memory Usage: 58.262 MB -------------------------------------------------------------- -Elapsed CPU time for LSE flow : 0.952 secs +Elapsed CPU time for LSE flow : 0.813 secs -------------------------------------------------------------- diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis_lse.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis_lse.html index 14e4497..09f48e2 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis_lse.html +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis_lse.html @@ -14,10 +14,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Tue Aug 17 06:19:45 2021 +Sat Oct 09 01:19:13 2021 -Command Line: synthesis -f RAM2GS_LCMXO2_640HC_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml +Command Line: synthesis -f RAM2GS_LCMXO2_640HC_impl1_lattice.synproj -gui Synthesis options: The -a option is MachXO2. @@ -70,11 +70,11 @@ ROM style = auto RAM style = auto The -comp option is FALSE. The -syn option is FALSE. --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added) +-p C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added) -p C:/lscc/diamond/3.12/ispfpga/xo2c00/data (searchpath added) --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1 (searchpath added) --p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added) -Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v +-p C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1 (searchpath added) +-p C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added) +Verilog design file = C:/Users/zanek/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v NGD file = RAM2GS_LCMXO2_640HC_impl1.ngd -sdc option: SDC file input not used. -lpf option: Output file option is ON. @@ -85,15 +85,15 @@ Technology check ok... Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482 Compile design. Compile Design Begin -Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v. VERI-1482 +Analyzing Verilog file c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v. VERI-1482 Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482 Top module name (Verilog): RAM2GS -INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(1): compiling module RAM2GS. VERI-1018 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123): expression size 32 truncated to fit in target size 2. VERI-1209 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128): expression size 32 truncated to fit in target size 18. VERI-1209 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255): expression size 32 truncated to fit in target size 4. VERI-1209 +INFO - synthesis: c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(1): compiling module RAM2GS. VERI-1018 +WARNING - synthesis: c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123): expression size 32 truncated to fit in target size 2. VERI-1209 +WARNING - synthesis: c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128): expression size 32 truncated to fit in target size 18. VERI-1209 +WARNING - synthesis: c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255): expression size 32 truncated to fit in target size 4. VERI-1209 INFO - synthesis: C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800): compiling module EFB. VERI-1018 -WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(348): input port PLL0DATI7 is not connected on this instance. VDB-1013 +WARNING - synthesis: c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(348): input port PLL0DATI7 is not connected on this instance. VDB-1013 Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'... Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... @@ -273,10 +273,10 @@ clk0 [get_nets RCLK_c] | 200.000 MHz| 65.694 MHz| 10 * 2 constraints not met. -Peak Memory Usage: 57.273 MB +Peak Memory Usage: 58.262 MB -------------------------------------------------------------- -Elapsed CPU time for LSE flow : 0.952 secs +Elapsed CPU time for LSE flow : 0.813 secs -------------------------------------------------------------- diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_cp_file_list b/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_cp_file_list index b0c79e4..b0e606a 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_cp_file_list +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_cp_file_list @@ -1,350 +1,350 @@ -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v -3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_sign_file b/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_sign_file index ca7af8d..3d613a9 100644 --- a/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_sign_file +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_sign_file @@ -1,350 +1,350 @@ -LSE_CPS_ID_1 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[8:13]" -LSE_CPS_ID_2 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_3 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_4 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_5 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_6 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_7 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_8 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_9 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_10 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_11 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_12 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_13 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" -LSE_CPS_ID_14 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" -LSE_CPS_ID_15 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_16 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_17 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_18 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_19 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_20 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_21 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_22 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_23 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_24 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:133[9] 136[5]" -LSE_CPS_ID_25 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_26 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_27 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_28 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" -LSE_CPS_ID_29 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_30 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_31 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_32 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_33 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_34 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_35 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_36 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_37 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_38 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_39 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" -LSE_CPS_ID_40 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_41 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" -LSE_CPS_ID_42 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_43 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_44 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_45 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_46 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_47 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_48 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_49 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_50 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_51 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_52 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_53 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_54 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_55 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_56 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_57 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_58 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_59 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_60 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_61 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_62 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" -LSE_CPS_ID_63 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_64 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_65 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_66 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" -LSE_CPS_ID_67 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:40[8:12]" -LSE_CPS_ID_68 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:35[8:12]" -LSE_CPS_ID_69 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[15:20]" -LSE_CPS_ID_70 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[8:13]" -LSE_CPS_ID_71 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" -LSE_CPS_ID_72 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" -LSE_CPS_ID_73 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" -LSE_CPS_ID_74 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" -LSE_CPS_ID_75 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" -LSE_CPS_ID_76 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" -LSE_CPS_ID_77 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" -LSE_CPS_ID_78 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" -LSE_CPS_ID_79 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:33[14:18]" -LSE_CPS_ID_80 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:33[14:18]" -LSE_CPS_ID_81 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" -LSE_CPS_ID_82 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" -LSE_CPS_ID_83 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" -LSE_CPS_ID_84 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" -LSE_CPS_ID_85 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" -LSE_CPS_ID_86 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" -LSE_CPS_ID_87 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" -LSE_CPS_ID_88 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" -LSE_CPS_ID_89 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" -LSE_CPS_ID_90 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" -LSE_CPS_ID_91 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:7[8:12]" -LSE_CPS_ID_92 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:55[9:14]" -LSE_CPS_ID_93 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:55[16:21]" -LSE_CPS_ID_94 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[34:39]" -LSE_CPS_ID_95 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[23:28]" -LSE_CPS_ID_96 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[45:49]" -LSE_CPS_ID_97 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:44[13:17]" -LSE_CPS_ID_98 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[13:17]" -LSE_CPS_ID_99 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_100 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_101 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_102 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_103 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_104 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_105 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_106 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_107 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_108 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_109 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_110 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" -LSE_CPS_ID_111 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:46[19:22]" -LSE_CPS_ID_112 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:46[19:22]" -LSE_CPS_ID_113 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:11[9:12]" -LSE_CPS_ID_114 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" -LSE_CPS_ID_115 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" -LSE_CPS_ID_116 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" -LSE_CPS_ID_117 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" -LSE_CPS_ID_118 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" -LSE_CPS_ID_119 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" -LSE_CPS_ID_120 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" -LSE_CPS_ID_121 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" -LSE_CPS_ID_122 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" -LSE_CPS_ID_123 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" -LSE_CPS_ID_124 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" -LSE_CPS_ID_125 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" -LSE_CPS_ID_126 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" -LSE_CPS_ID_127 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" -LSE_CPS_ID_128 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" -LSE_CPS_ID_129 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_130 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_131 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_132 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_133 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_134 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_135 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_136 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_137 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_138 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_139 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_140 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_141 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_142 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_143 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_144 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_145 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_146 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_147 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_148 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" -LSE_CPS_ID_149 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:126[9] 130[5]" -LSE_CPS_ID_150 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_151 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_152 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:160[14] 176[8]" -LSE_CPS_ID_153 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" -LSE_CPS_ID_154 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:206[26:30]" -LSE_CPS_ID_155 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_156 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" -LSE_CPS_ID_157 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" -LSE_CPS_ID_158 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:225[8:20]" -LSE_CPS_ID_159 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" -LSE_CPS_ID_160 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_161 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" -LSE_CPS_ID_162 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:225[8:20]" -LSE_CPS_ID_163 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" -LSE_CPS_ID_164 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" -LSE_CPS_ID_165 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" -LSE_CPS_ID_166 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_167 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_168 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_169 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_170 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" -LSE_CPS_ID_171 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_172 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_173 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_174 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_175 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:225[8:20]" -LSE_CPS_ID_176 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" -LSE_CPS_ID_177 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" -LSE_CPS_ID_178 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" -LSE_CPS_ID_179 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" -LSE_CPS_ID_180 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_181 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" -LSE_CPS_ID_182 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_183 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_184 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_185 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_186 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_187 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" -LSE_CPS_ID_188 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_189 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" -LSE_CPS_ID_190 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" -LSE_CPS_ID_191 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_192 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" -LSE_CPS_ID_193 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" -LSE_CPS_ID_194 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_195 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:692[20:26]" -LSE_CPS_ID_196 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" -LSE_CPS_ID_197 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_198 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" -LSE_CPS_ID_199 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" -LSE_CPS_ID_200 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:267[12] 276[6]" -LSE_CPS_ID_201 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" -LSE_CPS_ID_202 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" -LSE_CPS_ID_203 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_204 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" -LSE_CPS_ID_205 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" -LSE_CPS_ID_206 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_207 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_208 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_209 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_210 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" -LSE_CPS_ID_211 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" -LSE_CPS_ID_212 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" -LSE_CPS_ID_213 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_214 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_215 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" -LSE_CPS_ID_216 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" -LSE_CPS_ID_217 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" -LSE_CPS_ID_218 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" -LSE_CPS_ID_219 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_220 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_221 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" -LSE_CPS_ID_222 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" -LSE_CPS_ID_223 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_224 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" -LSE_CPS_ID_225 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_226 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" -LSE_CPS_ID_227 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" -LSE_CPS_ID_228 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" -LSE_CPS_ID_229 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_230 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:522[30:46]" -LSE_CPS_ID_231 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" -LSE_CPS_ID_232 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:522[30:46]" -LSE_CPS_ID_233 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_234 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_235 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:72[15:31]" -LSE_CPS_ID_236 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" -LSE_CPS_ID_237 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_238 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" -LSE_CPS_ID_239 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_240 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" -LSE_CPS_ID_241 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_242 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" -LSE_CPS_ID_243 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:522[30:46]" -LSE_CPS_ID_244 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" -LSE_CPS_ID_245 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_246 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_247 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_248 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_249 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_250 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_251 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_252 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" -LSE_CPS_ID_253 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_254 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_255 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:464[4] 521[11]" -LSE_CPS_ID_256 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:267[12] 276[6]" -LSE_CPS_ID_257 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_258 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_259 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_260 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_261 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_262 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_263 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_264 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_265 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" -LSE_CPS_ID_266 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_267 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" -LSE_CPS_ID_268 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_269 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_270 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" -LSE_CPS_ID_271 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:72[15:31]" -LSE_CPS_ID_272 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" -LSE_CPS_ID_273 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:72[15:31]" -LSE_CPS_ID_274 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_275 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_276 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" -LSE_CPS_ID_277 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" -LSE_CPS_ID_278 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:461[30:46]" -LSE_CPS_ID_279 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" -LSE_CPS_ID_280 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" -LSE_CPS_ID_281 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:178[13] 223[7]" -LSE_CPS_ID_282 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_283 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" -LSE_CPS_ID_284 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" -LSE_CPS_ID_285 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:91[22:51]" -LSE_CPS_ID_286 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_287 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:19[12:17]" -LSE_CPS_ID_288 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" -LSE_CPS_ID_289 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:222[16:37]" -LSE_CPS_ID_290 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_291 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" -LSE_CPS_ID_292 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" -LSE_CPS_ID_293 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" -LSE_CPS_ID_294 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_295 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_296 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_297 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_298 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" -LSE_CPS_ID_299 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" -LSE_CPS_ID_300 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" -LSE_CPS_ID_301 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_302 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" -LSE_CPS_ID_303 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" -LSE_CPS_ID_304 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_305 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_306 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[12] 729[6]" -LSE_CPS_ID_307 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_308 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" -LSE_CPS_ID_309 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:12[15:34]" -LSE_CPS_ID_310 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:135[40:46]" -LSE_CPS_ID_311 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_312 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:178[13] 223[7]" -LSE_CPS_ID_313 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" -LSE_CPS_ID_314 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" -LSE_CPS_ID_315 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_316 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:301[7:24]" -LSE_CPS_ID_317 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_318 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:135[40:46]" -LSE_CPS_ID_319 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" -LSE_CPS_ID_320 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" -LSE_CPS_ID_321 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" -LSE_CPS_ID_322 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" -LSE_CPS_ID_323 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_324 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_325 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" -LSE_CPS_ID_326 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_327 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" -LSE_CPS_ID_328 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_329 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:187[13] 223[7]" -LSE_CPS_ID_330 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_331 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:247[14] 254[8]" -LSE_CPS_ID_332 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_333 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" -LSE_CPS_ID_334 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_335 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_336 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" -LSE_CPS_ID_337 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_338 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:187[13] 223[7]" -LSE_CPS_ID_339 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" -LSE_CPS_ID_340 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" -LSE_CPS_ID_341 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" -LSE_CPS_ID_342 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:57[17:46]" -LSE_CPS_ID_343 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_344 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_345 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_346 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_347 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" -LSE_CPS_ID_348 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" -LSE_CPS_ID_349 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:7[8:12]" -LSE_CPS_ID_350 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[15:20]" +LSE_CPS_ID_1 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[8:13]" +LSE_CPS_ID_2 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_3 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_4 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_5 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_6 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_7 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_8 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_9 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_10 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_11 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_12 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_13 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_14 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_15 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_16 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_17 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_18 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_19 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_20 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_21 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_22 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_23 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_24 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:133[9] 136[5]" +LSE_CPS_ID_25 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_26 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_27 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_28 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_29 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_30 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_31 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_32 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_33 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_34 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_35 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_36 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_37 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_38 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_39 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_40 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_41 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_42 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_43 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_44 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_45 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_46 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_47 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_48 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_49 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_50 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_51 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_52 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_53 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_54 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_55 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_56 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_57 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_58 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_59 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_60 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_61 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_62 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_63 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_64 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_65 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_66 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_67 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:40[8:12]" +LSE_CPS_ID_68 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:35[8:12]" +LSE_CPS_ID_69 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[15:20]" +LSE_CPS_ID_70 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[8:13]" +LSE_CPS_ID_71 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_72 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_73 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_74 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_75 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_76 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_77 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_78 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_79 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:33[14:18]" +LSE_CPS_ID_80 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:33[14:18]" +LSE_CPS_ID_81 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_82 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_83 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_84 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_85 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_86 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_87 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_88 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_89 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_90 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_91 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:7[8:12]" +LSE_CPS_ID_92 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:55[9:14]" +LSE_CPS_ID_93 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:55[16:21]" +LSE_CPS_ID_94 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[34:39]" +LSE_CPS_ID_95 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[23:28]" +LSE_CPS_ID_96 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[45:49]" +LSE_CPS_ID_97 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:44[13:17]" +LSE_CPS_ID_98 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[13:17]" +LSE_CPS_ID_99 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_100 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_101 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_102 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_103 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_104 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_105 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_106 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_107 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_108 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_109 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_110 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_111 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:46[19:22]" +LSE_CPS_ID_112 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:46[19:22]" +LSE_CPS_ID_113 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:11[9:12]" +LSE_CPS_ID_114 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_115 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_116 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_117 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_118 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_119 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_120 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_121 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_122 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_123 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_124 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_125 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_126 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_127 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_128 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_129 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_130 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_131 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_132 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_133 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_134 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_135 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_136 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_137 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_138 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_139 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_140 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_141 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_142 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_143 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_144 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_145 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_146 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_147 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_148 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_149 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:126[9] 130[5]" +LSE_CPS_ID_150 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_151 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_152 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:160[14] 176[8]" +LSE_CPS_ID_153 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_154 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:206[26:30]" +LSE_CPS_ID_155 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_156 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_157 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_158 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:225[8:20]" +LSE_CPS_ID_159 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_160 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_161 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_162 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:225[8:20]" +LSE_CPS_ID_163 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_164 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_165 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_166 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_167 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_168 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_169 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_170 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_171 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_172 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_173 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_174 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_175 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:225[8:20]" +LSE_CPS_ID_176 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_177 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_178 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_179 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_180 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_181 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" +LSE_CPS_ID_182 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_183 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_184 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_185 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_186 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_187 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_188 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_189 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_190 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_191 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_192 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_193 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_194 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_195 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:692[20:26]" +LSE_CPS_ID_196 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_197 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_198 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_199 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_200 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:267[12] 276[6]" +LSE_CPS_ID_201 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_202 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_203 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_204 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_205 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_206 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_207 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_208 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_209 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_210 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_211 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_212 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_213 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_214 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_215 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_216 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_217 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_218 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_219 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_220 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_221 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_222 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" +LSE_CPS_ID_223 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_224 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_225 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_226 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_227 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_228 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_229 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_230 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:522[30:46]" +LSE_CPS_ID_231 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" +LSE_CPS_ID_232 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:522[30:46]" +LSE_CPS_ID_233 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_234 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_235 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:72[15:31]" +LSE_CPS_ID_236 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" +LSE_CPS_ID_237 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_238 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" +LSE_CPS_ID_239 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_240 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" +LSE_CPS_ID_241 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_242 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" +LSE_CPS_ID_243 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:522[30:46]" +LSE_CPS_ID_244 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" +LSE_CPS_ID_245 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_246 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_247 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_248 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_249 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_250 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_251 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_252 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" +LSE_CPS_ID_253 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_254 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_255 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:464[4] 521[11]" +LSE_CPS_ID_256 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:267[12] 276[6]" +LSE_CPS_ID_257 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_258 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_259 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_260 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_261 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_262 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_263 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_264 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_265 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_266 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_267 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_268 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_269 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_270 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_271 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:72[15:31]" +LSE_CPS_ID_272 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_273 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:72[15:31]" +LSE_CPS_ID_274 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_275 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_276 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_277 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" +LSE_CPS_ID_278 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:461[30:46]" +LSE_CPS_ID_279 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_280 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_281 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:178[13] 223[7]" +LSE_CPS_ID_282 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_283 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_284 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_285 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:91[22:51]" +LSE_CPS_ID_286 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_287 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:19[12:17]" +LSE_CPS_ID_288 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_289 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:222[16:37]" +LSE_CPS_ID_290 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_291 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_292 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_293 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_294 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_295 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_296 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_297 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_298 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_299 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_300 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_301 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_302 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_303 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_304 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_305 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_306 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[12] 729[6]" +LSE_CPS_ID_307 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_308 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_309 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:12[15:34]" +LSE_CPS_ID_310 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:135[40:46]" +LSE_CPS_ID_311 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_312 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:178[13] 223[7]" +LSE_CPS_ID_313 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" +LSE_CPS_ID_314 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_315 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_316 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:301[7:24]" +LSE_CPS_ID_317 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_318 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:135[40:46]" +LSE_CPS_ID_319 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" +LSE_CPS_ID_320 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" +LSE_CPS_ID_321 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" +LSE_CPS_ID_322 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" +LSE_CPS_ID_323 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_324 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_325 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" +LSE_CPS_ID_326 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_327 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_328 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_329 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:187[13] 223[7]" +LSE_CPS_ID_330 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_331 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:247[14] 254[8]" +LSE_CPS_ID_332 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_333 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_334 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_335 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_336 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_337 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_338 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:187[13] 223[7]" +LSE_CPS_ID_339 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" +LSE_CPS_ID_340 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_341 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_342 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:57[17:46]" +LSE_CPS_ID_343 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_344 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_345 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_346 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_347 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_348 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_349 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:7[8:12]" +LSE_CPS_ID_350 "c:/users/zanek/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[15:20]" diff --git a/CPLD/MAX/RAM2GS-MAX.v b/CPLD/MAX/RAM2GS-MAX.v index b18d542..ede70ee 100644 --- a/CPLD/MAX/RAM2GS-MAX.v +++ b/CPLD/MAX/RAM2GS-MAX.v @@ -340,13 +340,17 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, // Submit command if (CMDWR & CmdEnable) begin - if (Din[7:4]==4'h0) begin + if (Din[7:4]==4'h0 && Din[3:2]==2'b01) begin + // LCMXO, LCMXO2, iCE40 ignore this unless Din[2] and Din[1] set + // MAX w/ LED ignores this unless Din[2] set + // MAX w/o LED does not check Din[3:1]. XOR8MEG <= Din[0]; end else if (Din[7:4]==4'h1) begin CmdLEDEN <= ~Din[1]; Cmdn8MEGEN <= ~Din[0]; CmdSubmitted <= 1'b1; - end else if (Din[7:4]==4'h2 && Din[3]==1'b0) begin + end else if (Din[7:4]==4'h2) begin + // MAX commands CmdLEDEN <= LEDEN; Cmdn8MEGEN <= n8MEGEN; CmdUFMErase <= Din[3]; @@ -354,6 +358,15 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, CmdDRCLK <= Din[1]; CmdDRDIn <= Din[0]; CmdSubmitted <= 1'b1; + end else if (Din[7:4]==4'h3 && ~Din[3]) begin + // Reserved for LCMXO2 commands + // Din[1] - Shift when high, execute when low + // Din[0] - Shift data + end else if (Din[7:4]==4'h3 && Din[3]) begin + // Reserved for SPI (LCMXO, iCE40) commands + // Din[2] - CS + // Din[1] - SCK + // Din[0] - SDI end end end