From c103137bfc55b1b1d08407aa6ad359345d8a6a8f Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sat, 30 Sep 2023 04:50:51 -0400 Subject: [PATCH] RC2 --- CPLD/MAXII/RAM2GS.qws | Bin 1257 -> 619 bytes CPLD/MAXII/output_files/RAM2GS.asm.rpt | 44 +++++++------- CPLD/MAXII/output_files/RAM2GS.done | 2 +- CPLD/MAXII/output_files/RAM2GS.fit.rpt | 54 ++++++++--------- CPLD/MAXII/output_files/RAM2GS.fit.summary | 2 +- CPLD/MAXII/output_files/RAM2GS.flow.rpt | 62 +++++++++---------- CPLD/MAXII/output_files/RAM2GS.jdi | 2 +- CPLD/MAXII/output_files/RAM2GS.map.rpt | 66 ++++++++++----------- CPLD/MAXII/output_files/RAM2GS.map.smsg | 6 +- CPLD/MAXII/output_files/RAM2GS.map.summary | 2 +- CPLD/MAXII/output_files/RAM2GS.sta.rpt | 22 +++---- CPLD/MAXV/RAM2GS.qws | Bin 619 -> 619 bytes CPLD/MAXV/output_files/RAM2GS.asm.rpt | 44 +++++++------- CPLD/MAXV/output_files/RAM2GS.done | 2 +- CPLD/MAXV/output_files/RAM2GS.fit.rpt | 56 ++++++++--------- CPLD/MAXV/output_files/RAM2GS.fit.summary | 2 +- CPLD/MAXV/output_files/RAM2GS.flow.rpt | 62 +++++++++---------- CPLD/MAXV/output_files/RAM2GS.jdi | 2 +- CPLD/MAXV/output_files/RAM2GS.map.rpt | 66 ++++++++++----------- CPLD/MAXV/output_files/RAM2GS.map.smsg | 6 +- CPLD/MAXV/output_files/RAM2GS.map.summary | 2 +- CPLD/MAXV/output_files/RAM2GS.sta.rpt | 23 +++---- CPLD/RAM2GS-MAX.v | 8 +-- Documentation/GW4201DManual.pdf | Bin 475079 -> 463865 bytes 24 files changed, 268 insertions(+), 267 deletions(-) diff --git a/CPLD/MAXII/RAM2GS.qws b/CPLD/MAXII/RAM2GS.qws index 82f9818a76a3b5c3de80a993b5127b52b553d9be..69d8d376e51aa7eb41909ac4790d246d2df1d6cc 100644 GIT binary patch delta 76 zcmaFK`I=>dHY)=VFiu{_RLI2GI$4p~N{F!)D3ZYN|Nnm=eF`Yqx;d9Qfl-F>ERfv- QQVs$@>3<*$Vly!S01*}z00000 delta 360 zcmaFO@{)6cc0D5l1A`oc9uVp?1Ti==_%awVxHAMZ=mJ@e3=u%_GN1}3AogLXW-wq# zVlW4)Fknb!Fk`R;sxkxeQy3C~EJGkn0m|qC)ub_)0I@ER2Z6~z+JYe&C}RL54H#-+ zw#MSN7UVLfLK5P7C*rN6o9Z9vnIYj$;dQ$ zC!>vo7()oq$rTJG3bQi#2~O?Nc@Qgg-lFW pCN8!TV!8s9OMqCx>;<&u%EtEzj4~{~K;~tbW}pN9fiTz@1_010M(F?m diff --git a/CPLD/MAXII/output_files/RAM2GS.asm.rpt b/CPLD/MAXII/output_files/RAM2GS.asm.rpt index d6796ed..e2d7300 100644 --- a/CPLD/MAXII/output_files/RAM2GS.asm.rpt +++ b/CPLD/MAXII/output_files/RAM2GS.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2GS -Fri Sep 29 15:18:00 2023 +Sat Sep 30 04:44:05 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -10,7 +10,7 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Editio 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.pof + 5. Assembler Device Options: /Repos/RAM2GS/CPLD/MAXII/output_files/RAM2GS.pof 6. Assembler Messages @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Fri Sep 29 15:18:00 2023 ; +; Assembler Status ; Successful - Sat Sep 30 04:44:05 2023 ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; ; Family ; MAX II ; @@ -53,23 +53,23 @@ https://fpgasoftware.intel.com/eula. +--------+---------+---------------+ -+---------------------------------------------------------------------------+ -; Assembler Generated Files ; -+---------------------------------------------------------------------------+ -; File Name ; -+---------------------------------------------------------------------------+ -; C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.pof ; -+---------------------------------------------------------------------------+ ++--------------------------------------------------+ +; Assembler Generated Files ; ++--------------------------------------------------+ +; File Name ; ++--------------------------------------------------+ +; /Repos/RAM2GS/CPLD/MAXII/output_files/RAM2GS.pof ; ++--------------------------------------------------+ -+-----------------------------------------------------------------------------------------------------+ -; Assembler Device Options: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.pof ; -+----------------+------------------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+------------------------------------------------------------------------------------+ -; JTAG usercode ; 0x00171B9B ; -; Checksum ; 0x00171E13 ; -+----------------+------------------------------------------------------------------------------------+ ++----------------------------------------------------------------------------+ +; Assembler Device Options: /Repos/RAM2GS/CPLD/MAXII/output_files/RAM2GS.pof ; ++----------------+-----------------------------------------------------------+ +; Option ; Setting ; ++----------------+-----------------------------------------------------------+ +; JTAG usercode ; 0x00171B9B ; +; Checksum ; 0x00171E13 ; ++----------------+-----------------------------------------------------------+ +--------------------+ @@ -78,14 +78,14 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Fri Sep 29 15:18:00 2023 + Info: Processing started: Sat Sep 30 04:44:04 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 534 megabytes - Info: Processing ended: Fri Sep 29 15:18:00 2023 - Info: Elapsed time: 00:00:00 + Info: Peak virtual memory: 13095 megabytes + Info: Processing ended: Sat Sep 30 04:44:05 2023 + Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXII/output_files/RAM2GS.done b/CPLD/MAXII/output_files/RAM2GS.done index e5867da..27c4db7 100644 --- a/CPLD/MAXII/output_files/RAM2GS.done +++ b/CPLD/MAXII/output_files/RAM2GS.done @@ -1 +1 @@ -Fri Sep 29 15:18:03 2023 +Sat Sep 30 04:44:09 2023 diff --git a/CPLD/MAXII/output_files/RAM2GS.fit.rpt b/CPLD/MAXII/output_files/RAM2GS.fit.rpt index 04526bc..cebdd9c 100644 --- a/CPLD/MAXII/output_files/RAM2GS.fit.rpt +++ b/CPLD/MAXII/output_files/RAM2GS.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2GS -Fri Sep 29 15:17:59 2023 +Sat Sep 30 04:44:02 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -59,7 +59,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+-------------------------------------------------------------+ -; Fitter Status ; Successful - Fri Sep 29 15:17:59 2023 ; +; Fitter Status ; Successful - Sat Sep 30 04:44:02 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; @@ -128,7 +128,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 8 ; +; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.04 ; @@ -137,14 +137,14 @@ https://fpgasoftware.intel.com/eula. ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; ; Processor 2 ; 1.5% ; -; Processors 3-4 ; 1.4% ; +; Processors 3-4 ; 1.2% ; +----------------------------+-------------+ +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.pin. +The pin-out file can be found in /Repos/RAM2GS/CPLD/MAXII/output_files/RAM2GS.pin. +---------------------------------------------------------------------+ @@ -710,50 +710,50 @@ Info (332111): Found 6 clocks Info (332111): 350.000 PHI2 Info (332111): 16.000 RCLK Info (186079): Completed User Assigned Global Signals Promotion Operation -Info (186215): Automatically promoted signal "RCLK" to use Global clock in PIN 12 File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 41 -Info (186216): Automatically promoted some destinations of signal "PHI2" to use Global clock File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 8 - Info (186217): Destination "PHI2r" may be non-global or may not use global clock File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 14 -Info (186228): Pin "PHI2" drives global clock, but is not placed in a dedicated clock pin position File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 8 -Info (186216): Automatically promoted some destinations of signal "nCRAS" to use Global clock File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 11 - Info (186217): Destination "LED~0" may be non-global or may not use global clock File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 22 - Info (186217): Destination "RASr" may be non-global or may not use global clock File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 15 -Info (186228): Pin "nCRAS" drives global clock, but is not placed in a dedicated clock pin position File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 11 -Info (186216): Automatically promoted some destinations of signal "nCCAS" to use Global clock File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 11 - Info (186217): Destination "CBR" may be non-global or may not use global clock File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 18 - Info (186217): Destination "RD~16" may be non-global or may not use global clock File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 60 - Info (186217): Destination "CASr" may be non-global or may not use global clock File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 16 -Info (186228): Pin "nCCAS" drives global clock, but is not placed in a dedicated clock pin position File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 11 +Info (186215): Automatically promoted signal "RCLK" to use Global clock in PIN 12 File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 41 +Info (186216): Automatically promoted some destinations of signal "PHI2" to use Global clock File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 8 + Info (186217): Destination "PHI2r" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 14 +Info (186228): Pin "PHI2" drives global clock, but is not placed in a dedicated clock pin position File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 8 +Info (186216): Automatically promoted some destinations of signal "nCRAS" to use Global clock File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 11 + Info (186217): Destination "LED~0" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 22 + Info (186217): Destination "RASr" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 15 +Info (186228): Pin "nCRAS" drives global clock, but is not placed in a dedicated clock pin position File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 11 +Info (186216): Automatically promoted some destinations of signal "nCCAS" to use Global clock File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 11 + Info (186217): Destination "CBR" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 18 + Info (186217): Destination "RD~16" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 60 + Info (186217): Destination "CASr" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 16 +Info (186228): Pin "nCCAS" drives global clock, but is not placed in a dedicated clock pin position File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 11 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning Info (170195): Router estimated average interconnect usage is 20% of the available device resources Info (170196): Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.28 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.54 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 -Info (144001): Generated suppressed messages file C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg +Info (144001): Generated suppressed messages file /Repos/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 1 warning - Info: Peak virtual memory: 1156 megabytes - Info: Processing ended: Fri Sep 29 15:17:59 2023 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 + Info: Peak virtual memory: 13771 megabytes + Info: Processing ended: Sat Sep 30 04:44:02 2023 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:04 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg. +The suppressed messages can be found in /Repos/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg. diff --git a/CPLD/MAXII/output_files/RAM2GS.fit.summary b/CPLD/MAXII/output_files/RAM2GS.fit.summary index 5341ad2..455e016 100644 --- a/CPLD/MAXII/output_files/RAM2GS.fit.summary +++ b/CPLD/MAXII/output_files/RAM2GS.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Fri Sep 29 15:17:59 2023 +Fitter Status : Successful - Sat Sep 30 04:44:02 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2GS Top-level Entity Name : RAM2GS diff --git a/CPLD/MAXII/output_files/RAM2GS.flow.rpt b/CPLD/MAXII/output_files/RAM2GS.flow.rpt index 1a06689..656020a 100644 --- a/CPLD/MAXII/output_files/RAM2GS.flow.rpt +++ b/CPLD/MAXII/output_files/RAM2GS.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2GS -Fri Sep 29 15:18:02 2023 +Sat Sep 30 04:44:08 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+-------------------------------------------------------------+ -; Flow Status ; Successful - Fri Sep 29 15:18:00 2023 ; +; Flow Status ; Successful - Sat Sep 30 04:44:05 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; @@ -60,25 +60,25 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 09/29/2023 15:17:44 ; +; Start date & time ; 09/30/2023 04:43:31 ; ; Main task ; Compilation ; ; Revision Name ; RAM2GS ; +-------------------+---------------------+ -+--------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+---------------------------------------+---------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+---------------------------------------+---------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 123745752457129.169601506401636 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ; -; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; -; POWER_PRESET_COOLING_SOLUTION ; No Heat Sink With Still Air ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -+---------------------------------------+---------------------------------+---------------+-------------+------------+ ++-----------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++---------------------------------------+------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++---------------------------------------+------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 121381084694.169606341108100 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ; +; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; +; POWER_PRESET_COOLING_SOLUTION ; No Heat Sink With Still Air ; -- ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++---------------------------------------+------------------------------+---------------+-------------+------------+ +--------------------------------------------------------------------------------------------------------------------------+ @@ -86,24 +86,24 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:11 ; 1.0 ; 562 MB ; 00:00:30 ; -; Fitter ; 00:00:03 ; 1.0 ; 1156 MB ; 00:00:03 ; -; Assembler ; 00:00:00 ; 1.0 ; 534 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:01 ; 1.0 ; 533 MB ; 00:00:01 ; -; Total ; 00:00:15 ; -- ; -- ; 00:00:35 ; +; Analysis & Synthesis ; 00:00:26 ; 1.0 ; 13133 MB ; 00:00:44 ; +; Fitter ; 00:00:05 ; 1.0 ; 13771 MB ; 00:00:04 ; +; Assembler ; 00:00:01 ; 1.0 ; 13095 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:02 ; 1.0 ; 13092 MB ; 00:00:01 ; +; Total ; 00:00:34 ; -- ; -- ; 00:00:50 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -+-----------------------------------------------------------------------------------+ -; Flow OS Summary ; -+----------------------+------------------+-----------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+----------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; LabWin7 ; Windows 7 ; 6.1 ; x86_64 ; -; Fitter ; LabWin7 ; Windows 7 ; 6.1 ; x86_64 ; -; Assembler ; LabWin7 ; Windows 7 ; 6.1 ; x86_64 ; -; Timing Analyzer ; LabWin7 ; Windows 7 ; 6.1 ; x86_64 ; -+----------------------+------------------+-----------+------------+----------------+ ++------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; +; Fitter ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; +; Assembler ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; +; Timing Analyzer ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; ++----------------------+------------------+------------+------------+----------------+ ------------ diff --git a/CPLD/MAXII/output_files/RAM2GS.jdi b/CPLD/MAXII/output_files/RAM2GS.jdi index bb8cafe..c51e41b 100644 --- a/CPLD/MAXII/output_files/RAM2GS.jdi +++ b/CPLD/MAXII/output_files/RAM2GS.jdi @@ -1,6 +1,6 @@ - + diff --git a/CPLD/MAXII/output_files/RAM2GS.map.rpt b/CPLD/MAXII/output_files/RAM2GS.map.rpt index d637389..a61e3c4 100644 --- a/CPLD/MAXII/output_files/RAM2GS.map.rpt +++ b/CPLD/MAXII/output_files/RAM2GS.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2GS -Fri Sep 29 15:17:55 2023 +Sat Sep 30 04:43:56 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -46,7 +46,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Sep 29 15:17:55 2023 ; +; Analysis & Synthesis Status ; Successful - Sat Sep 30 04:43:56 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; @@ -135,7 +135,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 8 ; +; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; @@ -146,15 +146,15 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+----------------------------------+--------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+----------------------------------+--------------------------------------------------------------+---------+ -; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v ; ; -; UFM.v ; yes ; User Wizard-Generated File ; C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v ; ; -; ../RAM2GS.mif ; yes ; User Memory Initialization File ; C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/RAM2GS.mif ; ; -+----------------------------------+-----------------+----------------------------------+--------------------------------------------------------------+---------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+----------------------------------+-------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+----------------------------------+-------------------------------------------------+---------+ +; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v ; ; +; UFM.v ; yes ; User Wizard-Generated File ; //Mac/iCloud/Repos/RAM2GS/CPLD/MAXII/UFM.v ; ; +; ../RAM2GS.mif ; yes ; User Memory Initialization File ; //Mac/iCloud/Repos/RAM2GS/CPLD/MAXII/RAM2GS.mif ; ; ++----------------------------------+-----------------+----------------------------------+-------------------------------------------------+---------+ +-----------------------------------------------------+ @@ -269,42 +269,42 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Fri Sep 29 15:17:44 2023 + Info: Processing started: Sat Sep 30 04:43:30 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS Info (20032): Parallel compilation is enabled and will use up to 4 processors -Info (12021): Found 1 design units, including 1 entities, in source file /users/gwolf/documents/github/ram2gs/cpld/ram2gs-max.v - Info (12023): Found entity 1: RAM2GS File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 1 +Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2gs/cpld/ram2gs-max.v + Info (12023): Found entity 1: RAM2GS File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 1 Info (12021): Found 2 design units, including 2 entities, in source file ufm.v - Info (12023): Found entity 1: UFM_altufm_none_unv File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 47 - Info (12023): Found entity 2: UFM File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 150 + Info (12023): Found entity 1: UFM_altufm_none_unv File: //Mac/iCloud/Repos/RAM2GS/CPLD/MAXII/UFM.v Line: 47 + Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2GS/CPLD/MAXII/UFM.v Line: 150 Info (12127): Elaborating entity "RAM2GS" for the top level hierarchy -Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 92 -Info (12128): Elaborating entity "UFM_altufm_none_unv" for hierarchy "UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component" File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 201 -Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 92 +Info (12128): Elaborating entity "UFM_altufm_none_unv" for hierarchy "UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component" File: //Mac/iCloud/Repos/RAM2GS/CPLD/MAXII/UFM.v Line: 201 +Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 Info (21057): Implemented 260 device resources after synthesis - the final resource count might be different Info (21058): Implemented 25 input pins Info (21059): Implemented 30 output pins Info (21060): Implemented 8 bidirectional pins Info (21061): Implemented 196 logic cells Info (21070): Implemented 1 User Flash Memory blocks -Info (144001): Generated suppressed messages file C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg +Info (144001): Generated suppressed messages file /Repos/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 8 warnings - Info: Peak virtual memory: 562 megabytes - Info: Processing ended: Fri Sep 29 15:17:55 2023 - Info: Elapsed time: 00:00:11 - Info: Total CPU time (on all processors): 00:00:30 + Info: Peak virtual memory: 13133 megabytes + Info: Processing ended: Sat Sep 30 04:43:56 2023 + Info: Elapsed time: 00:00:26 + Info: Total CPU time (on all processors): 00:00:44 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg. +The suppressed messages can be found in /Repos/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg. diff --git a/CPLD/MAXII/output_files/RAM2GS.map.smsg b/CPLD/MAXII/output_files/RAM2GS.map.smsg index bc53a71..af9ed7c 100644 --- a/CPLD/MAXII/output_files/RAM2GS.map.smsg +++ b/CPLD/MAXII/output_files/RAM2GS.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at RAM2GS-MAX.v(61): extended using "x" or "z" File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 61 -Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 73 -Warning (10463): Verilog HDL Declaration warning at UFM.v(173): "program" is SystemVerilog-2005 keyword File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 173 +Warning (10273): Verilog HDL warning at RAM2GS-MAX.v(61): extended using "x" or "z" File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 61 +Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2GS/CPLD/MAXII/UFM.v Line: 73 +Warning (10463): Verilog HDL Declaration warning at UFM.v(173): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2GS/CPLD/MAXII/UFM.v Line: 173 diff --git a/CPLD/MAXII/output_files/RAM2GS.map.summary b/CPLD/MAXII/output_files/RAM2GS.map.summary index 62c9067..4140741 100644 --- a/CPLD/MAXII/output_files/RAM2GS.map.summary +++ b/CPLD/MAXII/output_files/RAM2GS.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Fri Sep 29 15:17:55 2023 +Analysis & Synthesis Status : Successful - Sat Sep 30 04:43:56 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2GS Top-level Entity Name : RAM2GS diff --git a/CPLD/MAXII/output_files/RAM2GS.sta.rpt b/CPLD/MAXII/output_files/RAM2GS.sta.rpt index 4609677..171193f 100644 --- a/CPLD/MAXII/output_files/RAM2GS.sta.rpt +++ b/CPLD/MAXII/output_files/RAM2GS.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2GS -Fri Sep 29 15:18:02 2023 +Sat Sep 30 04:44:08 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -80,7 +80,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 8 ; +; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; @@ -88,7 +88,7 @@ https://fpgasoftware.intel.com/eula. ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.0% ; +; Processor 2 ; 0.1% ; +----------------------------+-------------+ @@ -97,8 +97,8 @@ https://fpgasoftware.intel.com/eula. +-------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +-------------------+--------+--------------------------+ -; ../RAM2GS.sdc ; OK ; Fri Sep 29 15:18:02 2023 ; -; ../RAM2GS-MAX.sdc ; OK ; Fri Sep 29 15:18:02 2023 ; +; ../RAM2GS.sdc ; OK ; Sat Sep 30 04:44:07 2023 ; +; ../RAM2GS-MAX.sdc ; OK ; Sat Sep 30 04:44:07 2023 ; +-------------------+--------+--------------------------+ @@ -601,12 +601,12 @@ No paths to report. ; 1.334 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; 0.000 ; 3.348 ; 4.903 ; ; 1.640 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.861 ; ; 1.659 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.880 ; -; 1.663 ; UFMBusyReg0 ; UFMRTPBusy ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.884 ; +; 1.663 ; UFMBusyReg ; UFMRTPBusy ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.884 ; ; 1.685 ; IS[3] ; RA10 ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.906 ; ; 1.738 ; S[0] ; S[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.959 ; ; 1.745 ; S[0] ; S[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 1.966 ; ; 1.783 ; InitReady ; RCKEEN ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.004 ; -; 1.915 ; RTPBusyReg0 ; UFMRTPBusy ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.136 ; +; 1.915 ; RTPBusyReg ; UFMRTPBusy ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.136 ; ; 1.935 ; IS[2] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.156 ; ; 1.950 ; IS[1] ; IS[1] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.171 ; ; 2.035 ; CmdUFMPrgmSync ; UFMProgStart ; RCLK ; RCLK ; 0.000 ; 0.000 ; 2.256 ; @@ -959,7 +959,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Fri Sep 29 15:18:01 2023 + Info: Processing started: Sat Sep 30 04:44:06 2023 Info: Command: quartus_sta RAM2GS-MAXII -c RAM2GS Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors @@ -1003,9 +1003,9 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 533 megabytes - Info: Processing ended: Fri Sep 29 15:18:02 2023 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 13092 megabytes + Info: Processing ended: Sat Sep 30 04:44:08 2023 + Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXV/RAM2GS.qws b/CPLD/MAXV/RAM2GS.qws index 3b10519ce49a94e766d5a013984e6c0f99086fe2..69d8d376e51aa7eb41909ac4790d246d2df1d6cc 100644 GIT binary patch delta 64 zcmaFO@|tDBLMF!6iHog-7+V<_7!nx%|Njr9Pcbkswr+f%z$n9b7RYP?3V{Jo_8$m? HI7|!xz-Se- delta 64 zcmaFO@|tDBLMEmw6Bk - + diff --git a/CPLD/MAXV/output_files/RAM2GS.map.rpt b/CPLD/MAXV/output_files/RAM2GS.map.rpt index 7c2488d..19bfaa7 100644 --- a/CPLD/MAXV/output_files/RAM2GS.map.rpt +++ b/CPLD/MAXV/output_files/RAM2GS.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2GS -Fri Sep 29 15:17:50 2023 +Sat Sep 30 04:43:57 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -46,7 +46,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Sep 29 15:17:50 2023 ; +; Analysis & Synthesis Status ; Successful - Sat Sep 30 04:43:57 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; @@ -135,7 +135,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 8 ; +; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; @@ -146,15 +146,15 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------------------------+---------+ -; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v ; ; -; UFM.v ; yes ; User Wizard-Generated File ; C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v ; ; -; ../RAM2GS.mif ; yes ; User Memory Initialization File ; C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXV/RAM2GS.mif ; ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------------------------+---------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+----------------------------------+------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+----------------------------------+------------------------------------------------+---------+ +; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v ; ; +; UFM.v ; yes ; User Wizard-Generated File ; //Mac/iCloud/Repos/RAM2GS/CPLD/MAXV/UFM.v ; ; +; ../RAM2GS.mif ; yes ; User Memory Initialization File ; //Mac/iCloud/Repos/RAM2GS/CPLD/MAXV/RAM2GS.mif ; ; ++----------------------------------+-----------------+----------------------------------+------------------------------------------------+---------+ +-----------------------------------------------------+ @@ -269,42 +269,42 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Fri Sep 29 15:17:39 2023 + Info: Processing started: Sat Sep 30 04:43:32 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXV -c RAM2GS Info (20032): Parallel compilation is enabled and will use up to 4 processors -Info (12021): Found 1 design units, including 1 entities, in source file /users/gwolf/documents/github/ram2gs/cpld/ram2gs-max.v - Info (12023): Found entity 1: RAM2GS File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 1 +Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2gs/cpld/ram2gs-max.v + Info (12023): Found entity 1: RAM2GS File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 1 Info (12021): Found 2 design units, including 2 entities, in source file ufm.v - Info (12023): Found entity 1: UFM_altufm_none_38r File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v Line: 47 - Info (12023): Found entity 2: UFM File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v Line: 150 + Info (12023): Found entity 1: UFM_altufm_none_38r File: //Mac/iCloud/Repos/RAM2GS/CPLD/MAXV/UFM.v Line: 47 + Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2GS/CPLD/MAXV/UFM.v Line: 150 Info (12127): Elaborating entity "RAM2GS" for the top level hierarchy -Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 92 -Info (12128): Elaborating entity "UFM_altufm_none_38r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component" File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v Line: 201 -Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 -Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 92 +Info (12128): Elaborating entity "UFM_altufm_none_38r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_38r:UFM_altufm_none_38r_component" File: //Mac/iCloud/Repos/RAM2GS/CPLD/MAXV/UFM.v Line: 201 +Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 +Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 27 Info (21057): Implemented 260 device resources after synthesis - the final resource count might be different Info (21058): Implemented 25 input pins Info (21059): Implemented 30 output pins Info (21060): Implemented 8 bidirectional pins Info (21061): Implemented 196 logic cells Info (21070): Implemented 1 User Flash Memory blocks -Info (144001): Generated suppressed messages file C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.map.smsg +Info (144001): Generated suppressed messages file /Repos/RAM2GS/CPLD/MAXV/output_files/RAM2GS.map.smsg Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 8 warnings - Info: Peak virtual memory: 560 megabytes - Info: Processing ended: Fri Sep 29 15:17:50 2023 - Info: Elapsed time: 00:00:11 - Info: Total CPU time (on all processors): 00:00:28 + Info: Peak virtual memory: 13138 megabytes + Info: Processing ended: Sat Sep 30 04:43:57 2023 + Info: Elapsed time: 00:00:25 + Info: Total CPU time (on all processors): 00:00:41 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXV/output_files/RAM2GS.map.smsg. +The suppressed messages can be found in /Repos/RAM2GS/CPLD/MAXV/output_files/RAM2GS.map.smsg. diff --git a/CPLD/MAXV/output_files/RAM2GS.map.smsg b/CPLD/MAXV/output_files/RAM2GS.map.smsg index 03562c6..2a02712 100644 --- a/CPLD/MAXV/output_files/RAM2GS.map.smsg +++ b/CPLD/MAXV/output_files/RAM2GS.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at RAM2GS-MAX.v(61): extended using "x" or "z" File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 61 -Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v Line: 73 -Warning (10463): Verilog HDL Declaration warning at UFM.v(173): "program" is SystemVerilog-2005 keyword File: C:/Users/GWolf/Documents/GitHub/RAM2GS/CPLD/MAXV/UFM.v Line: 173 +Warning (10273): Verilog HDL warning at RAM2GS-MAX.v(61): extended using "x" or "z" File: //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-MAX.v Line: 61 +Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2GS/CPLD/MAXV/UFM.v Line: 73 +Warning (10463): Verilog HDL Declaration warning at UFM.v(173): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2GS/CPLD/MAXV/UFM.v Line: 173 diff --git a/CPLD/MAXV/output_files/RAM2GS.map.summary b/CPLD/MAXV/output_files/RAM2GS.map.summary index a49e62f..abbdfbe 100644 --- a/CPLD/MAXV/output_files/RAM2GS.map.summary +++ b/CPLD/MAXV/output_files/RAM2GS.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Fri Sep 29 15:17:50 2023 +Analysis & Synthesis Status : Successful - Sat Sep 30 04:43:57 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2GS Top-level Entity Name : RAM2GS diff --git a/CPLD/MAXV/output_files/RAM2GS.sta.rpt b/CPLD/MAXV/output_files/RAM2GS.sta.rpt index df87966..c3b0165 100644 --- a/CPLD/MAXV/output_files/RAM2GS.sta.rpt +++ b/CPLD/MAXV/output_files/RAM2GS.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2GS -Fri Sep 29 15:17:58 2023 +Sat Sep 30 04:44:08 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -80,14 +80,15 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 8 ; +; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 1 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; +; Processor 2 ; 0.0% ; +----------------------------+-------------+ @@ -96,8 +97,8 @@ https://fpgasoftware.intel.com/eula. +-------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +-------------------+--------+--------------------------+ -; ../RAM2GS.sdc ; OK ; Fri Sep 29 15:17:58 2023 ; -; ../RAM2GS-MAX.sdc ; OK ; Fri Sep 29 15:17:58 2023 ; +; ../RAM2GS.sdc ; OK ; Sat Sep 30 04:44:08 2023 ; +; ../RAM2GS-MAX.sdc ; OK ; Sat Sep 30 04:44:08 2023 ; +-------------------+--------+--------------------------+ @@ -601,11 +602,11 @@ No paths to report. ; 3.126 ; PHI2 ; PHI2r ; PHI2 ; RCLK ; -1.000 ; 4.946 ; 7.111 ; ; 3.230 ; nCRAS ; RASr ; nCRAS ; RCLK ; -1.000 ; 4.946 ; 7.215 ; ; 3.325 ; nCCAS ; CASr ; nCCAS ; RCLK ; -1.000 ; 4.946 ; 7.310 ; -; 3.351 ; RTPBusyReg0 ; UFMRTPBusy ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.390 ; +; 3.351 ; RTPBusyReg ; UFMRTPBusy ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.390 ; ; 3.374 ; FS[17] ; FS[17] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.413 ; ; 3.375 ; FS[0] ; FS[0] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.414 ; ; 3.741 ; InitReady ; InitReady ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.780 ; -; 3.755 ; UFMBusyReg0 ; UFMRTPBusy ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.794 ; +; 3.755 ; UFMBusyReg ; UFMRTPBusy ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.794 ; ; 3.768 ; UFMProgStart ; UFMProgStart ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.807 ; ; 3.800 ; UFMProgram ; UFMProgram ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.839 ; ; 3.856 ; IS[2] ; IS[2] ; RCLK ; RCLK ; 0.000 ; 0.000 ; 3.895 ; @@ -958,7 +959,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Fri Sep 29 15:17:56 2023 + Info: Processing started: Sat Sep 30 04:44:06 2023 Info: Command: quartus_sta RAM2GS-MAXV -c RAM2GS Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors @@ -1002,9 +1003,9 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 533 megabytes - Info: Processing ended: Fri Sep 29 15:17:58 2023 + Info: Peak virtual memory: 13092 megabytes + Info: Processing ended: Sat Sep 30 04:44:08 2023 Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:01 + Info: Total CPU time (on all processors): 00:00:02 diff --git a/CPLD/RAM2GS-MAX.v b/CPLD/RAM2GS-MAX.v index 258fe3c..a99c5c4 100644 --- a/CPLD/RAM2GS-MAX.v +++ b/CPLD/RAM2GS-MAX.v @@ -18,7 +18,7 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, reg CBR; /* Activity LED */ - reg LEDEN = 0; + reg LEDEN; output LED; assign LED = !(!nCRAS && !CBR && LEDEN && Ready); @@ -91,11 +91,11 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, .osc (UFMOsc), .rtpbusy (RTPBusyAsync)); // UFMBusy registered to sync with RCLK - reg UFMBusyReg0; always @(posedge RCLK) UFMBusyReg0 <= UFMBusyAsync; + reg UFMBusyReg; always @(posedge RCLK) UFMBusyReg <= UFMBusyAsync; // RTPBusy registered to sync with RCLK - reg RTPBusyReg0; always @(posedge RCLK) RTPBusyReg0 <= RTPBusyAsync; + reg RTPBusyReg; always @(posedge RCLK) RTPBusyReg <= RTPBusyAsync; // UFMRTPBusy ORs both - reg UFMRTPBusy; always @(posedge RCLK) UFMRTPBusy <= UFMBusyReg0 || RTPBusyReg0; + reg UFMRTPBusy; always @(posedge RCLK) UFMRTPBusy <= UFMBusyReg || RTPBusyReg; /* UFM State */ reg UFMInitDone = 0; // 1 if UFM initialization finished diff --git a/Documentation/GW4201DManual.pdf b/Documentation/GW4201DManual.pdf index 704e57c6b1b03ede8c386006ef147decda0dd028..d5b1321f7f2679f3c6b6117cdec7e6f271d8925f 100644 GIT binary patch delta 142368 zcmcG#RZtzlxBr{q65J)YJ8W#@?iQQ?!GgoaU3PGHXXEbf8a&v>-AQl@?r`%v|8wfr zxevGMKHP_{shR3tJ+rF&+w)ngd*q$;v6M7k1k4ZQpb!y3adCAvH?c?Y{8+55=rAXS z+4HQUM6O0Z5ApMvOc?p7qS-JQ;3)P5D-Xd6^*z_&ZfDv0r~Q|rXb*P}vMql==aQ@V zQj@n=rIm{!*BgjWO+MeAxD4PSzgv3y2mykcv%;(~|qSac4tA}*GI z18iC@0^Gw;zQsw6JT66jl6%$n-jF>A6V`gpu_Fn_*rfH~cGAgZEqlLH3(Chaq`##M z3J1rKPw;a7xurU&^G(%U0G$ij#9JldKo!gIorb@3?-EHiuN!6F$5xd$NLkuSexif^ z9J`9mFo`kLRR6g%yXP5(87eI4Dk4aj3jU-W8B{^$OtL>l$+p(j{VUNAX<)VH&z7UE z*rC^#Pvqu0^?`?EeQ0}Gc$*MO*~br{?W$rf)>{(wVX3=DJAKxww9iC!?3Yj#D|D4y zmb^Z2vCsmA&|3g51_dz=)k%GJVPC&nHk_4tz&Mw^>=jCq^(fHJ1mVLZY~r{xDY(gI zO`BgMutp66JUsNR`HHSLUro*GR&yh(8})!-%s;f`6DQYAJEv^#La;Mt`-7wrS1vzM zmjgoCrc0s5#6qZ*}*-e5S6yO+KL`voGTSb4L zCa~5#`4kU1gw?AS`!Tb$K}D`JEOLF_X&W<^p_nZ13yqXlKCSTf3PS5+uGwLfLJC(TrWM>?s z?y|b|B8BXjhrY|_*4n7cqTpqS8Jd>mB%vs)-_REU^)|#?Sja1ogG2sM8_pLKYD6U= zZ7l>cAvy?>rqT+&*w~xgzdESh%B?xvXXfDLN4vuvooo@SsMqRZ#n5qRiTw8Z6ZK{n zqj89~ENB}xY#a9DzXJ2Gz_xC~!pUTVxj6X#*CcJuwryN%$r#zX)~Itk{iT4;x+%qE zJ!g=awFtG&u5R7f5b!BqgR0Pb&5YjXu84{WiT;42y<}LqoWP=m!N_?=qj;UVf?Vb9 zMX~#rjeCeDhgFbuwtx@~n9RfUioflD4 zTge__$BtIxd>3PdVu!gRs{{F`nHrD#&sRebzT5n&aXv8nyTQ5t-Sxqqq2YOs68VKP z`P+!;z2+A2xvYT1=c#eN_w;Qq(;Cc! z5BGCoe*B56W?&LyUO*(e7JZX+bUe#vqFw8DoR7Q8MjdW$`9_<^13myYHDI%^Yx`G--iZQF-qe)<4RPk{rzTkH|||lbz&*NmjP&|PY=Z# z0I~JN&`0@?##oes!=8-hE?G_A+Pv)B&FX9ax$OcAr{57d zOjXN+A6(5e!CdGiZJ_dNx7Y>e+c(v`OWXS>&{?6%vT90%qf*D2x@k5t#`1%# zp8|=ady(b)H2LOU`kq1T5fSffqI8o=M)WulwZ7JWJ?N{5qFyqRSOyve?-o@R*WbIzMxHuYdmuRP;-`0K1aU&Ya+e`toprtWucC{#ZMo3#azajA$^B zcGL@GfqHD@N!*N5;{qq8A+{xIgM1%XM%dB{*CiQ3+aU&qU=vn*NERAUqys`_73Aotw zeziK8ZljHrG+d+L;!~V5H_XRz!r8|Kuk4o0BZM{qhh^T*(B*ck{1~H@ZDbm-oCssvUf{^&Lwt z*8WxLt#u{ZJZz^PNSeJrucPdvFj(@V)_o?mh&ODJELKZYqZ~Oic{@eNK7y0eVpOYN zp@{DlGo^3+l;(-5gU|pL1pXBYW4})Iq!f2zrmr(ex%3;-ujF?+h*?afNP;7&G-Ebm zn!hc5YGcfSFRA87y|y3ua)OepAsp++CQeqXSjyg@>mr{)lZr;823;f)2ygrDPr_=hyX(pAE=ADC$phE0j6_TGM_Cm9l@2L zK?s2AemBiNv`so%BPrsnCPp`N(@ZRB;6h{EbmZ$$xCnms3bQLyU9jfoZzF1~z}O@Z zMj^y74G`(^eJ?cPcRx~}m^G?D?yz$HtgYW&0r8htkoWV>=j?&0cE3yEv`B&&1}y&S zF>vFwY&^MqAB9&0g0%+YA`#A5-L=uv+43ckz>CsX+gyH-r^d(@YcReXXTpXJAqYTy z{AB|zv8`e&OKc!B3y+m|NqsOpa7cpKcO_UC`c!DJW_`HGF_2HvDALr#mcFgv7i@xq zC%*F23Gw_qEPkJmy-iZ~rY{wN)Eo18*W|Ka>#u^pfhwaR__Sl8N}#E;WjuObogZJ~ z)9+1*uQJi_!^>%w+QTGfUTl{B$Sm?rur2k>Tyy&MQs>nAD8&BRc!ssvmmAvC<;V%= zs+6K#t@UB#KR4IC@|wasxp_z0VBgaf4cq$z(`3jCjm&|h6TfC8p6OYzdjO+Sxxbrb z4co=y)%71ggYmK+Gw;d7H%#ji(9skjh^=rd0DoG{wI=fy;Anr089W9Kn42BDpKRh|NI&m`a4KkBYxQODSs*1um0wL>ppY=Z z7-MBM+bdDJ2%F4vwU|j1?gZPFy&*EN)1w%?@SB(DiusYp?IFuLd0Dy@g8^ru=Gf;< zez_Zs5^#C1L+(W>!qs6`<#S)h0b57#HNjfDyy|0Fk;7@+1`6Arnc#kuaDds8mZ1;v zqFB^K>2B>I(sJ%g>QAX%sn)|TmAE~WX+T?IV}f&dGo?x0SyFDEtpAd$S2gCqvkz38 zw!QRRqX`SKK1+|k=RQZ_cYPC<25)1lemZZSDR=}g&iLGd7Rnj(9i4i($BFY)3V_fh zqeSL2JVIDgWo|+#Pu4balhv?Z&!yPfpO3ZQC`1s77;olmV?VbY1QO+jk!e{bAmc>- zd~Y#N&j>F!pc{{{O*05n%#qJEq&e`y4Vc_xgFo)Ra@Wsn&bwHZoc+aGLTw~-Eu>v@ z3O4$QIzU7v!VMJB{mXTV#=rWos;80peqJava7v|Jq6FY?;kKLgiaMD6|$ z-q*anz0`$z;lvJfYv$^I&0FZFid%77+^piYTHw@&-w6A(zzq{w(3fX?sI|-{&#NA& z6S?w>)&nbraM)s;u9$3`E8IHI;t=v99ef>-fhqYV?R)gNFg=1Q!6)9fZXyQ#Uj&#Cb8Kx{plJSs{J!h-@oI2 zZP>d*WQdK?)MnaN%b$aB(zR*Ax;K3cNC z?G=48doE*i8+0A17?J{D;WeKnQMnKZTd&GWZ9nk_;EdmYr}v0VxWX&=s+a11=E~Z= zXq0flWoJkLqW;n=mvIwCSZrH*^aOqw++g3Z)_KjY(c(G!YF#m`!ZE))&CW*Rz<+i5 z$ZafelAl_DptL=({o(Ck1os9Gl=-NbixxC{>zK0F=eAK9RdL-N%lVEG;kY%gCwiWO zv1bc$V%Vj6r_yK2ivAvla&5sqp|{|6zH1vqpg=ktRE$4E(13#QK)&LwR0zf!F=dwN z@OJhf%MYxk6?FK}bygV&t1FCkegF_KyIHjl&tS18B4Oxup;JbDC#6Y zP_Y3fGD;;=RSMx1;7^kV6fdGK@VPEo0Ptp$c zp=j8AM9p6uWG9>pf@gjKMT4UvYp*oq?UvV@ry`A@ZV4TPI0NQ{U`C;H${CMO=z^T? z@nIwc#^Yj+IJcc+tuTcdd7KwB1RaWfOhq1~RaCWzG#WCYok@PB z$^!9%X)$)C@-M$;aSCTVbhJtjO^>WW+K=81Z#vBVNxHw>T};I$5-B}mg~+n zLEX{9-0jcWN=#afM40b0`Oa0%1i+lJPNe}5YKoc5ymmQ^9KuB@YKj_|jpx?13uJS` zDTX^ph}lA}G_UjNI|>G>A$^?D%1Ydw1rreoqTY-a9eCWE)u^y(SnX|NFlQ;je_%M*EL_C>qo{v>( zkD{ak*8}E<9(D&lStK=YqmkZVIg?)dIWw&4w_6oq2~c9W!j31ZeZc8UGy25lLBadQ zyunOATRJA&eV_*x+GbO==A1hDqkc@!Ru0>~DnO597PT22%}fK6AE$6Ph?e3@$PTuF z#|ib*nO-MZw^q4MD_0Jus2M~!-Tf1hkZ!+~GddU~Ed$4+z`bjI$h?9h&Uv6z#t+#Y zwePr_T~%@LOGI?5{W5ywbpzO{kh&qA-bL~*lr3foInZ(kCN{fl2Nz(-wtSU(!s;`i zvVJpeY8_1yut?UROg4@5`5wn>0M+U}q}xe&qcGUk;9^#3e1T2wx|+Y2V<#X)2(}7rJhsuz7#@ zvVyKmi|=n#0Z%)JX4I?LbF2lwl`?nOzj3$q-ulwX@A(XYnUFq0`@8~yIlnP(%W&^_ z1lnsy3MMW!)iRKcg;m)ZsR$;DUhAirzW#Bjxt`UG{_WwnrQ=;-fmS&3xfk&3Iu@)} zjYwaJff_qNEY8oy7U=PSb%2Gmm{dTAxH7G2fZ3ZDN0CU+|ENc>o>Og&FbH!2q)ujO zwmlU+dnOOm;>6US5L?E0H`~1I``1`WWzfWtm$4M4mc)-abewKeVO3F*nvOEHQ(7+7 zoN}m_u%`E(;J8lBX-{jwK~lZ$2Y6SdjQuO_Jz3^MM;H+j$oK4LQT4p}z3`|c+jf{0 z1%~}hwXszk5VS+>ZAgBN_KTvJ2J*ce6-zkH9+!kPDAM5EF&Y)2aJi3d9^p6HsWCAD z(m>+ZVHihM+T`(fdtYeEf>HwYhlb!fyDD3k&>xsD6PyiJ;aBVnv`5#%Xkg;ZlIk@> zw%9L-!Z!jm9u|&TpL2c^qknt_aph9wsQQ~DgqRD9GqaPHObMXh=HdP>TdMaoz?V-P zG?t&-rzt19*}f_FIA||Eartp5=1%9%~d?cC*eh|OM znJ4<&R3i$ZB-#3PJY2|>+oBy>)jP~zxGgI}TM$`7{9+P|x6E}}ierxmk0EPIjoy|f zEBq=o=4l8&(LhnW*r|3K%AHoQ-|uMq?WIK+@v8RAm;@OuQoY}%dbFET@rX>w5XgC`2+M5G}* z>WsYud9-)=uj%kQysMJ8hr%r{-4kPpijLo7r+nom$Kr#ZufVOw>O}(Wi9b7Fsk^w-|qM1#~UK#65y$lnyf#lzt|!bnm80J z>$UfVbNiuZGn||7wbO1sA4?7W3R)7mt^FB^I)LtZxRJX*V;I72bI0eEd2*amUj=Nc zCQf7fm=rUi=MGN#L`T2U9EHYaoht38)*?iw10=LE&}Ng(LDk27^sRyY-DZqrKsVB- zINX9)IJLC!M@x?TC6N%`SgDd9vEQ!l!>8o886b8_7cbFQF8jQa`uzL-U)xpL%8gig z!yU<&6hCm4Sa>rmDX&ad1{?1T_PZki>w zbJMh|c>T?Zxyr&?0y8!p7YM4gM0fkTU`6r*vlZ3PKYNI8TKHU7wnC!@%QM2;enRa? zj5#a@y8YlkDNS3q{x!WkqH=wrnGKnD_otzHvn+Zq7TynL-n&w~d$`v6Fp^xAjWBFG zE+4t{3ziep5WfK@Zv9(s(3%WSh}+-9Z33WCseTO1BK}5(7CK#RQrhGWxq`5fTPNkw zM=$~2TJNFJ_%OFu9QQEaN})snZ=Bf=1_|$A6gKc_=yw}Vc&hBUI*ItSF~bJz1Jqgf zyz#|eXD68&q9yTr`iI!VW}-m-W?xK#Fhvx}MCy?Bj(d@#1U(oMyEX+Er>{PZBvEl< zhsvc3o>z};ZEbax4j>LqdzT|xFK^g|>`lkk4-7#89#ZI8Eqj)!5`-p{^m4xU%u_(* zs1IOEGZVHG!lv(KrgiFEQ9nk@VMxaREU7T6D^s!{-Ymp$HhsZyr0BR{?K+Sefumj1 zJNPwWB#ClEpxUBVY6ew5#D21{BFcc%$K=g1m$LZyE_6xpnt6DpEnYB~&dTkip|W6-{nq^jg#@sj?8y5cWz1+0~t z04JmU1hRC5@DQh!U=vpf$itX-(`0wCE8(wh@eI`Pf*o-8hWaZdafMM+>I+ickP7yz{QL|7?*f?h}}B{38yVl2al7hvX=DSkd3Qkr357Bw^Zb>Fp`A zYuumwmN&O;kG~YmfLH6-M2{)&(^I}78DKm)fCaKuvED07kc@gEJ;$I@vI=M^hk$Rd zw}|8TwTmYr65=^IiA7SdjHRYGxd$matUh z+gDh`IVcOaXz^_8^L=ugq`FzEOqGOv zdKr+_NG++Bb$PNPQz@zF0SIY+L$Yy!C9_PoVdHv*&vL(iT=8^d&i=YEw(;16oOW>>^SF|=nSPpQN2^WxJ z3C1e>8YOn%)E_3DcN8vWUok=bcVKR~JhpfhPc%5U_I0W*i-U0ZoaA2WmhNTq?eX%` z1%og-IKCE^@cg$6dpQK_tk88^Qm8GtKj1x1rLTFp~H@ zu-UTjNw>3Egv9~N4gYGhY1a^c722Nt3aI@$j8-)w`#5#Y_rToOr8S*I*Bj>`+c_o^hQ6P`K? zR!y+G!?AK`NVs#up&?=)7Y0_cclb~8aDL;HdGNlz-p5|wy&B_g8)c+v(traxNpQHg zTyJnA(2h}Tkz(qdkSt+q&`BzlAomWsBI=Vj3SHZzSOKe;ZR~#AX9XsT? zIgajTLt=5Mc!a=o5=>XhH42_K7BHryHYxZ7cIMBgdgGJ9s$I4DRA$rVX>n7AzaORUa-;z{TG&kk=rbNnsv)>Sc&rAw^Lu+)S}=cPE)Tvj8(-o$AbVUVf|-%R;sk2& z_`8XMH=#Cs^b0A?BArX+T=zr#I@TO@eu}0FxvnESaSV+0bpTD&>fp${ogrPR>V?ED z)i-gc`A~U=3d!T#(a9_QpKl9H8T&09U+|l0`nh4vu%x=q!!l`y>lwia^GIVv*BB=T zq=T$ZPKK#1rd9-S1V3D3_EHKk7YL{mL$z@^HYjQ&%eN>m$|$7Zsfs!KkLWZ{DrzaZ z=Vt9u{OcQHS=3mSN2$t7kI;4xcKyRY7)g{NA@PX!0~`!*3Y1E9H0+SLbi_OhaxrM} zi2PjfaYy&}ZAbRf6+mDUTtEw<`=>!UrnR7H?}x-M@icp$E;dRl2QO|a+5kh<#>$tuvO%lr2P6ja?)s~teu<7Y(&@Aota4MAOMCSSk^oUr|TWvg!XGqG;n zMHi;T2c))!xw=Vrd8y7;3%K7??V9(Jkk*!@0jKv0#K-z2Hu4co2aoB~Raav&$ljlf z+Tx|%pIZk(G4)>I=^I6XOfnla7 ziG*E;_{=w-xvbY-#ed%V8M;>U!v3IUFerJ5GtyWeRSN&^r(AI->rle#U1ilG3qNh^ z-%L??UI%nY=B^4yrL4S+{0{RlEjNCo~C0+dp7wYMw&)){%Q}e<;7? zN^f{5&_w!;;2!Ca0CwS1nfggRr^>dyaM&-%q%NMaS%|Vd()_3-mYr#_8P_7?h237j zq6&9-%f#)SoI-QchYmV~l(>A@!018(NKm$sU6{!_!E-|;uImy0j5G*8IDTJ(d8E-=@(CvVnN4O96WRuQ{SX^}OP$}`g|$YJvIZm()v zNgtq&`2{|6o1s0GY=|=8mSD8MOGgGto(7&RB1Qn*zG8+^4ae&dzwehC$lvZPfOTDX zE7OW~9meOIY#RlszP`ly+}EO)=nsE;kXFW4sy-UR))#4Rl6y^F39KN_fB;^UcMb8< zGt8^>vE7&MtrA`7oTB1mKFmci)*Vl0RLjJtUN_JX1T=4=Tyj{4ms?>)j1=-i#5hC) zsQbY)`G;7(y3=W@8L@k!lR2TAXbh`<>oqox$wEh7bVNyX$?(X9*{n86jj5V~s$fJX zINCI|lJ-l^CWU!QhI}f|z=Xrmk0=PYPs6B_aB7&E>ql7K)S65(M~NIFv>PbGelsz zXN)PhKM3b`g!Q|M7YF7ZmdkNrR#tFvP1|(-75+=3ypM0$yor7bd0R8&H0O%f=h;s?wOIMsTei#s*}Px*1zDt zg=cRT^pc2bs?P}yL$B;P|11FEHi!+}SP*m-v}=`YQ2kb5yBhN`kpn9chb6XG3{i)S z?4`8#ZVdE|BeYZUruv@eccs%tcwlwYi$&{;$deReIwQ`-9q{(bCva}?kdP7FLqgDj z{r9h&;0ct<5?S^j=CAL5H6i{4KNHihu0N(SOPGx5`odl!55|c|&3W+qy_h)-0~QQd zQJF%)2d$HA=RR-dd=zE;v`<==Zh1BVl3_Rl@$qBf>Ano`} zoOP^|o$W{4Gx%Xv#ScOwWk?R--KNFlRDv%LYOo?6*^RW;C#xM(?H>A59=%Bxrp71m zMms3&q@1Wc2ds!wgN(Ed+S;mEctjD;+P$csaOh_LKp~`5)~OoT9=wP@>)VKCK1%ag z*Vh^Rp@}VqCg~nJGalZ3G;TOxSwYlXmR-Gnc2`7rmh}QlHHn!})QiM{p=8R<>`Yxm zRmEGHKQ+<5>9kx>TYDvWgGd-TJb~ZT3`;d-u$@YF_y^r#F0kmazUr-?JP~sxn2w?t zqN_OO2V<7zuZ=5R9r4Ja6HvHqe67Gb{_S4p6J*U=OSdoZ{VaMx{5}!eRB2<8+Id7S zo+`6}QKJLpln#@dY1jt{Zi=K|{T7&uE>R74u@j#@)Dyg~*kwysx~7Is8%4wz+O3mF zOrAIj3=CUTCWFD9UW@(VVVRtfq)pXPeF*w9re6dEDqzA@)a7;uP#nc8RFf%$1 z%YpVwmOKJO>@!#!$5r2p4qMPN5)bhe)=Y;yMEcZF)8owU{jf!2N7p3Bst!<+rcQqB zi|Gh-&F|2!ng^{#+*r}2=nkt?(<;;UdQfAJgTwTX}XF<6eiGoKTi$F$gIjLq7o zVZ0-*N?AGF}&=lowgrKleHK?MBR1kfr%EG+%slID%Kcd|&Ku&n(3`Z?2p6sgFxd#CfDqWx?y&}K$d zt%&aRaZtH}U`vcW!aC3G{8z!<8j_KYBjW2Fyl>TG9Xu(|*L;5F;PG zw$?^QrA`SV+RM(YW{UO6sKG{hR3)=Mk!_7XFPNwDM9U*IY@yq|=O#BR!$qjY68`Nz z_o>t*3#w$Vy>sd9b^#DQblDkM!a$rJ64Syj&uDKnv30LF9<5ypz;-?f>Lx ze;pqVKK!MF`6(NA0-G$>SA0+LV})&ILvGepE0O+27`}u31c-3Vz2X?&yVMvKSVmf6 zF*Yjh07iz=e4idFFE)&1(O!$>u9V#zNL;@11O>Ey2mhT7E|re7uU*WN=Pp9ZWYS%K zWb-8q0BI8FtA{Zdw1u++7OkUk#j+U}M|b!wgoCG@Ns5NYc;wb;zNKv`1WT-u8QjINF{XdtGBiXSUHtGrD8POx z^p$zI1zTVzslV;5YY|jBnrZ8u&vnu;Ghu6KKe+BA1C?2ct5XRmfn~Cp+8w-=)7nU( znaN@Wj=uQ72IOhEv;FK!x>V-z>LLwFTy8A~t_@~(Y@Fs;5a9U;+LHvE|NXt z6ZP>EnjkL2nR%Enk7w$Op-R)|RP-AR+Vep3WiC$`G{tSF`JHnpy~JYlhyK_Gw0o8&KwdX^Ab zFn(C7YQ~Y>+WcNP-eBl!-7jWi(P9m)wygAj^Dzemu+nr~_2^;1=$ZzJ{mQ52$+E|~(*n&Z8%=V= zCAM8It=pL74tMD1KKPQec^z0n*#>m|&$=cauShg_&wRqX8X9r8iiUiz*i#sm8XeB| zxCOZ3Z)BvGInbaGCw) z=1O7qC-Rn>6X&n9F(t_{WB^P!nZhn_=}#JR^2*uu)DPzBhT|l~@mW;`f+?+Zh8;)3 znVLaM!0u*&_dn4e)=ZHFjNS=rc0x>tB6?JIynHDECY+m;K`QTYv^Itx$IT8VQdl+2 z*)qf`((2Nr8aj{+WNL0b6VpE=fLS+s{_vVvFVt_9Zs6zGuQ?wpW5~tsmy~6nAOC$= zq={Rw+AMLHnsS(!0=!gGyTkr=AMHA=H4DLM5l^{r(Zt58SiOyGYC}`dqi``wK{1<< zVe*vHWu;*WRD_oBxH&W{Tp2Us|Hk$m~auZj&-cb>pMV^j~^1_0A6uBXj)xF z$GOw>;b<_a0XCB>n0xtsr`Ok3sgPsQY<;%HZe>`nkVm)WiR+BXR#@{Oxkfx4`YfLJ zmu6K%=We6KF4p}xrt_4XKP0|Padq<5c}?37*#5ay$~xdE7lA-it@mxksHPSx{PNKF zM-(gzo5yEk$5$$Y3o>d7JMaONvtVk~|FDm&g}?Q|F@!atPIP^}BDhW%Kg4h2&$W0} zrBT$Q@$YSMDFkr>Z>R}fbxodvPPU839(G4&QsNjbooah;#eB0^rEcFDdkP+XXi{BT zd6xuTvJ_$Ra((t{QX@i$pgd+@Y{`HzhC=jT7HnP37Dj#2D?8=|Rxr1S`wOhs&Mt0P z>9ye9J2mLx$7(%rQlbMMhERu=E+jz^KryYg>d{YD40D>6Ln+nas3e z{d!*kcC^W2(v*PJpPvc|7rxR647L@2vLRw#;aPR7Wom+~85#mqqR7PE$14d1msY;g zYLhB9_Gx$qM2N}SykN^9p~T9-@0=ZFEO{i$T}97|++LlaCiP!Vd3r}Vfw1GrtZyiY zLpa>Q3wpq1_h3mqd%T^dWm?jze8M;n+AJ29Se(G;`S8tm??A1ky8U)(v_|Uzvj&sl ztT0KwTSyb8ah+KDWa?Q5IgA2UVQ`jb>*d{t{mHHyar=JhNiaJgaGGMS(Y^R+^b|1S z`$SdZWKKf`Z-j@oSl0^t!KzJ6J0qn=0>7e}!EBO7q1lQm8)q;O@t1e{2zh;vQ9Id) zNE;A|l-18H5zTI=CEb#24=^eF*bZ315$o3+{YV&;-i9UNEF&$0L%~3SPp8jCBfBYq znfuXs`MMG544j=WE1sUp!L}9|qKLq`;aNsY$)Wv$QBVW{g_t`Tm(tqnO**59a6`_r zfg*sy=B6UuX5lte#g)$vjr2roq(p|Dai;!IOYmNtN1-6Xp*%JcSy;Z!M0H))=x>Ga zL0eC99@mkUMVyXxHv_NVa&%5v?BLIEWqFE3L$^lzZE#48$KrfJ1<9Ve&2QR)Qd~Oj zIqQ(FSJHhxmkUsTM$Qn--g@AXGP#kkXx7R- zE3HrRF-W>u%)4ASH!ZP7*F(B92`7zGNCdgr*UG)jF-16V6q!r)R?U6#dm3S9$V9z= zR-HPbKG=7$MN*wW^8+YDi7yubnF}|Xg^C2y>1EH@S~jqmiqQpo(YgVX2r;v8^(A~& zc&`?QC@|GBs(ktTuG%YV7kE%EPwQs5M*2k*bhJUh{U4cLI!YXwmO73~58rwnM{#WV zQ80^CZ+bp^B(gEmS=EP!jk0Hrit9XS!Hub2fq$PG3tR2;A|8oIVwg1_bvtqz`40My zm`WL7QRwX(E~`$VphXlxJ~hE)S$tX)e=MZ35y8JgRv(L9QBW?Rh4ncv^z^2-;74g& z>)EX`LsY3UBwvv17C{b46;Oww&sfJi8m9i%|~BN6wr z0@&W7R1twfYg{vb9_D>RNVN1~D4C^jRJvgq>rB;<4(BH$)+WJt(OLD%CznhJp@KvP zW^G3tq{d%fh^qaV0_`F<)0q;^Wf*xmLsG)HbMr*x33a z(UJRurvfIHQ_8_=f;)S`6lGD#H5?`FJMa%G*qAPr1_jA2Y1VW&o4ev~H5O-NRpy_80jEIAW2|cGZN|R`kJOPx>y-BzE|C za(8=`vnyv&wI*5&SiW&`b0@zwad5=%Q&T17oB>^X4zy$C68>`KPrl&jrv@gIV>@6+ z+{@HiBDzbC)|Z);zUO)iMb*s;e+Ju=3Y-bZPOdX~N_MfZXhk^rM!l6B7~2WERrgm) zp}x2IL!{h%&e1Y8mFFStI+qu$`BS=w(;)Jo%doFUcHqwCsc_}< zuFDUc_X+1}keZ7zZ>c{$oVsXf8u_8EJ$>6zOkDvXSNiw<(l`LXe^vN*_Upen z48Zjt&Z0>2fs;)0Xn`l>XV+CVwJ|qyr4SOLV3)V&q5%A#w2p|#e-P_`TK&h&f08@; z6oCIq3{e=SmciqtF&e?sV(@ZvP_WCHTU%PWQgHKdBx%CaC56M2z;gls0FJav1vqM! zZ1Gs?(Hgj|;) z4(2`O{msL`IWw~~JLlHmPgWN6Il~_RcGAGzuXmPkf1gD4X-i*J+#g)n?E>;!c>ktd zA}j`GpJ(Y{9*n&a-hc+lh(YU$lTcP1ok+0e?U`n^Rjcb?CeH|N0JKk(&E#^(UD z?HC*j8O4tO$%kXLg-7ywIyzUb@h^O&nYo$ueJxAb5+-GF&6V!uWK4CVY0~~F=UT+% zVqJBK@guTao?oloO(VT(sfzh84Qp?gD;zs$2_Qh>#9jdB&26s~w7SphH|3RE=W$+o zlKcaXX1YheOoPaKUc>q}!`Ho;ku{)uvVE!6B33jvE2UI#4yfQ=vMC4=1%S6whO>CH zRhV>qu4Ssza-N|pLP=f(-ruf!ncMfu6vbe2DIMSTM?ZgavCvt}n8;LNIwdy0utT2z zFJr6#;R8mGELI?(eTU&_H1q8m_lZwo+^)Oba8We1MUqy z;00oyP3oDcAaL(S_k9u^4BR+8vU3}k2YYAc5?HOhwi(y3+Clx&TDcVL1< zcnCo@*TZgU{xo`>pFG0}$O5-ov(-yyuON-~Z8P+Z!hn3QoMoniuZr6u0k3PF9_Ot# z8A?`Q<$n%v8&~~*F%ACzV%vy59!#xw{q4E8A=O1|>hm(>xu|hL$x7u|$H%3$3eawz zbE{OCq@ZGVTXGU>Ik)C+D*%wSR^8_a$oX;yjp;4^QtAvP2aBx5%+pc?I8elc!N2uf z{%vit$bS20QPgrD{hu!&n=j=?XOkNZoBxJUM$#=EK)7mc&~@|D&f8_N#A2V}Y*dME z8Zfrme%Z=>tO8*lb352;uJJwV=SKP> z_BlV0FGWe^)u2^)%Frv*Wy;$1{1uqsMtAL+eACpf;|4W_IhY+F#rtQ{61k~Y<5<(e z<=^K+FR$gfZn7+kbFFn!lx$tDODx}k0iB%HwChgJAf}A0r*@D}PUjW(LC)Oa`m+nw ziPHWN!;!U1?Qzx+2OP9G49J8X{{!xgE@0~kZFDQJ_wljkWbw+EK;DIh;v@2>k6^GL z4lmrx>xSAaby__qd=a>g@^9Mv+3Ee;^A%0|sHo5CkzVfHenu;mu-1*^Xuh7Yvt2?N4zB~(uSLrLA52W!(NiE@LsF+V- zX3ywLtI$Qawc^^LrD~2!_83R$?9Kik%cXy66o`WCE85+%qvud6nfGt5Ijc~ZFtNH^ ztkZL7NP}T$>cEAWN#0Bv2LO*{!BS?IhO~?eH;cD+5r>rST3{pdiIRmfJ)V0^gGWnn zi7Hp_P47O?p=VSHh6L>G8MQQ<-q=piS;J(nFn8^4ul-NRT<)NL?0|AR&`1OmHb0J) z199hncaU@sJsQh8HQ)Ei&H&d;>6>4GZK0=Q0!eyv@*#$Wr`fFeM@bX(ueDxKhyz#B z&*5CM&E011eY^9ano0%8>`j*;WWgH(&FXg98dqY1tT`1#!a?CyA;UHMMItD-sqO#X zabAB_OBir}tF)7Z{OmWoa-5p`cEc?!tQRMm>*d|tBar>5l*eVL+?zDIsR`!Ax$xxe zZlB8bw%EJQYFS~OYXFUssGcZicYYxPt4s*YRna=;iuu51tY^*NJDh4ASTLUh4F8Sc zRR*uZs+G9-*+T)D&S{H>y zrS!QwCGdEAMJ~W4$+e|J+2L)z_HG}f=fJgAH8JX)ltH#=Zz^!%3GF_ZTi-Eg%_>n{ zeQZ*;GgNi}TKdgit-RQS=JaDb{<-STLDS`*+`6~@cOT&YKRQ_@k?v+x7M;r0xNE6- zhNg=B1M0|sM^CdZR76u!r?Vi!wHD`=XQda}Szr;A+y28*e`E-_7duWpAy#ML##H#B`v~EoxaVXDpf5{bl~&Fc6$u8E3qSp3U;<2CfaxuPE*v=R61R zPoU*Opp431pecDMy@%DmRoR3%MVUZYlg`Uk1bE*)=9SA^>1KFqG->@<4oDh%s67S) zhrYt-AWuA}PNj-rY-uqaG`TAXms(~+dApD1)@Hf9G+G(w%m2^;Pbyqq{;4X|dvdg? z66GMaKP#s3mdid_dEd_x0{znnP_5nM_NiSgn#bma##mk*{S#KlMfw1;V<5BbU4`7g z^~`tVf!D%cK(;hjf$n>^$9~m%02o=bf$v{;8RbUR_j9=}`-TnshF9DtF-bX<3Mvzs zK(9pxm2_hYM|H%Q+9{e4mJEmn0*Urr1L`vC&yf8vnT+Y7$-yIcH^RJIOr!b^Sx`;r8_ z|J`~;16=v9E(B-zH=k6z12mi~r&K47^uSYe?J2jt62{kWc0%uCej6GvF+aNw9_^sr zZ<|f8>rVdr?DqhTtMlC4^tr~j9A#(b6L84S{nr)Gx0L6OQaHK1?j2>zemyT8s27T= zDBxdZ&rS!mx2uibfOn(iJ`eCIyYCqIG4>42;YmyTV(byxF6!1<$({4}eXmorZ%zsO z?`LY9lr5?4V-k@!-yCbZE5O-lY0_KFL6?i*2L0UQ=7h^?7RY_s^XuwOi!#(3YA)h4 zx3yn#(4C?58(p&@if@(KtF|g5zVyJ47rHL`nzfb%`Mmj`Unb#Jb8uSZzh!d!CDl3U zMREP+TsUK*y|9S>%U zYMS(8b7>s#V&1f>{nOoGA(4}FzrxSg3jLhkzCwx9(36?ktQow|H~ZGrPXGRqv`Y%Jz4oCrUj zn_zBU-s@0~M;#q4N{+kzqi=$<=W#s%E&jL;!Qpkqgl-lGk-_DOt9b;hZx7U`uSF}8 zQ2I)-PVutWn$XSXK3Z>V6;M>lgU*oDJ&?7+!eSqxyL&+j{Z*eqF|FHJGdYS)is5K*6g1iV_-E_v%nMkuAH zlPo7cj-o~)u*d``BAA}BTeDBn9P^POXd{~xu2q?F#vj%mmwOC2LZT_P^XN3$rHAW$ z>G$mATz5frfc|_5+JZ2Ch=CZjCA%D$SQE!w8vOv^!X`HPD8W00-Xi6ppcy z3AGvW$({%MeCa{_$<}~$cW}bY4pv_fmi#@pLB^-ol2A*XasD%V#VPtYNY)&VfGI=T z_Gnp!1nvbK28*xI?L+ylg;@w16`dgBxJ4*LRdr}X21>6iVJDM?OUW@^vuAJ2K@oKL|ri^+ZFn}k!g zw6xxijL$=vW00`G+)wBene`Dd>Fy*};R+KmM}zBwBf1t&%$Ysahf8|eqauWI|2m|= z?lwN*nJh7n8SXCMgpr3L_VBU#aCW^en+I_lkJRoF0NiKKwbupn#SOt9StRYX^^C(! zj?$<1ba67~A%B~KNs!*_0ihDlkht6s3?N?o#{*TJ;?bETsJMwcC6>4wzXV=vAo$~n z=~RH*AcaGdT=MnCcgrBom#^l{_)i3ny!z~UOumsP_tH+kab~Mut(&cWC2`IRYdotB z(bh2|O7fb1cho%@_wEfu~~yh4j1<=pCMFpcQz4HDPC`UrCZZ;vB@)MC0$#j z&G+K&%s+P$BzOQx`XA@oRkFH0QtO_WEt>C|2SJ~Ta6HDD0#o#kOPb`3(DmBIbpn@j zy>N$q2Ti@MQQnmI)heR?`pmb7$?qxV2-JpsiOi;M8{LNQsQn@spk8qGCXb1!U|-m- z5L2rn=71%82&efDK(oK9HA<|Joza!X?ds#fG26hn$J|oBd^L$rM3rV)*3q9p`sP*z zYYQ;TRSE`y3n0%PCN&Yyl*POq`Do!CtrUm-iK3EjQ>`&XWfGV)$CF%?tbkxjm$5kR zH*F+v27lt6adQQV#_d;}Uo>8-)wP%iT}(If*pf=lI#i4o4UVnCF7voCxZB~QJLKMW zZ=O7HBr$8hOI@v{z_ zM-XQGP3ZXmtg)wMdOrP$9-Oai^W-_Md?bSo;H>zCk~*6mH@1Xn&%c{?W`%2gq|7^c zB^q;{TH8c1!Ndik2>xWa$7SGY>=o&6w&4Oe_ha2yy*6#*B$iM3{OuB6 zxPzTLHL`v{j+*)KNB*151@G*#6tHt8$2PvpfF^*`bYl549sEdf{!ey7Gx|_E4_tFx z8Z&@}-hp!LXsS`}jE*Ro!rWx}xlGyi@d0%w5?X&es7z;KPm6H!{?~PbTrW-bn>Rka z*&)odQ%ovefK#G?f=27Yz|ErjtaYxN*Dsi8B^?FWXWpc)&CM60)Be3}J@c4o6{mx1 z+olyv_c(tTTI7eoNV}V>fj2P0P3jvN+cMu|N~;fhUr?*CS_+zAbmA?*5J$ND+%G{c z=1%Wofm}z_);ppg_hqM*zgdMl>24aP)vOjnA-3spaQUld#p><<))a3?5Ad6Q?o;yc z#$rh&hZT|`XBCG~*v$@AH%rl3yWczbkR&^eQS+acNHsKFsx=)XFq@)es@-TAs}?J3 zVM%0zRA9QggMI!q&)Sqw0GOdS=R&yffLfeDNHL3bNOepzxC6y8()fPdo<4s8CInyX zA(H9V9v9u%puMR?Z_-kHT}w&wnCQVj1^A9B|ALZ)2+6HSb3nrU)^y_m=@J`TyDcn`E;vXcoQT5@o0PH z8*BVw>q;qckGSASt)jx7Z}hGk&FM<*dyevk)mG;iM3H8>yKbL1T8Ep|zwu8ik2~BZ zc4kKsxUWPC8%6Kpeq5)sj8qUPE39I00Z|+G;0%|b>34h191W65C%wa|2E>fStYbr@ z{H$ptw3OL!C|qsU;cJ)6DQwT#%+pMkR5yttCy09|9*K@jw*^1fC7C8oY_w@A$=sLv zwF3J5l$xw?N~|(7#Gete%tdOlojuO!)7K5E?I)GerF0A(z-OiUQNi{ao%H-OyhJyh zLeWktAbR zCxl|~mXJzfti0|GXVyEvN=I+i(dn{|o|;%I&asE0P1}knyb~{D${8F$e#!eXr*ydq z%Lp>twaDTwN>?Aw8|^HhVRq3^=kZ_$3A{lT2;cGJQg$0)koG}V@Sr!DGdIz*5gmlx zU6sLzn8(6wo=Q(hG+g5lL_7lEK9;8_;B*BX&K8K$ua79KBCD+JBzf6!2aCRWKQ9=7 z2apHH1tkNTEG07#u!8owvlv;3P8}vXOMHA4wZEMq+Y@ITuhp%kg%&&LjXz^JOx4Az z%q`GN=RWUW+p}(9aPIPuq%2it_-GW?SL?Y^WXWWW#MedtuqkykI@06DuTq`sY^!4W zvin^`6!&HlUg1e^ZMCz^40yx$;?o_1m_$X$*%r1cNU|Rg29Y$G7 z#3s%s`mTK*g54?WE94_|F++J@LTRAIIWP{3b3-8oh4()N} zc5p&P!R&D4kULf*%JdMNZ|B6Q{91tzICnAMmk=!}3&f&u^zndSFo_yf&%)mM3C;`} zAp)HQCd|-g*M?Fa-NR-wLj-C;k|v%VR_zuVZ(MM@C3gzS)68FMzA3##C+rcQ)-}HD z8Q0vrDH3<;xS{{^Gag_GF!Z{P9nWc$TQ*j6fv6oC6P;b*Ti40bz!g>^3KytoZ&t){ z4yQ#Jf_@8K%#-YV{*^C0{W?Be+h&4o;L@)LP-#ytZ-6Uge894tC8`1p8s%{AS(gv< z4=Hw;7x8gpIU#!I70l55;}7Yf#q^!jMGIMNo}|Yct)zW2-*!_ z`!DZ_`LVZQk?fkkD9HYhpqk2`ZKj7rD}vn%-Qn=jJiBY3wMbE>tdJuO|rp<#N$ zM25ZM){0R6n??2bq<8WVUDq7yyw1-O!eQw-L%1$a0Jm9+cDg0I>{eCCZ_ywt$~Ah( zl%<_4h(eT$q;1QcIvum$8aBe#CTVeL-X7##INA7y5H$R`1ckcl?;!~G0zO|y|IC-p z1@twor9scyMBEv{P3u;LBqumS9+QWML>s{g)_smBCa^>Hi2TqZ)h1ok5bKicCf~1^ z+IBk5e=S;0;2zd^S89|M6pk~)2o0mr>XBOYkEU>SC>jo@KcPhvc&D0k8SW-x*89cu zZzVo}%0|iuziXZfZ)0%cRPoyTf~2v@fCj5fSFe}v=`wk}N{T#Nmk^~ zRQH|cL34`+^XzDZbdmy7)xB&Yu}0~^y+_+;M3(s3jtpC~qZP)QY2l!iPB?vZ{g$z+ z*Cl=FN>yLYet9Y#{x&WRA_E>OFGYMY{ekd8*=awiMSsXgY?4NwH8*G7N* zD&n4e_0%tDNH1G%li1jf0(qk+e803)HQDTBTks#hT3bJp+x(xG{kyB4HEw&^tYwF3 z?zhGu%vEGwaD#s#pIJB3(#k3(l5e5pxuM!1NW)D>;py4_bk3z5%R0wkcGkTh@n~*5 z-UAY7Ia(i2Z71c(=e`*gFqUHvE8=~hnwU^}lsuv`qz{!o(X%?$Igg=gkv3UomRQ3s%%Y^4tLFhn*L={_oTvJQt{uFz*;!L( zV#uh|C$f0mC}z{DAPKWTbCnZaffa|hWeE7~`Y<|E7ZU8O>a-~?ZystbV8V~T-*Dql zy%CUvO8w0Zw3gN1@!MJ2;$_*~+%)JitCx8kOnaK_0(S`_scjGfz3KMDL?fF2p*=<^}^!vD|#&VWnk#VPt0Symm^bb&>@ zT5np8rD$%p9wMqB+v!#%3|pqsXk?Yagp`VqAs||B6JE;gqBs6Cw`ZzY4;bP!@8agG zsIri{C`_Wa6WFX9>ht{z$D**DTbcR#IPXF-MmC)j&2EV~MDFA3w5*)o@t|$gxvaVx z1rLA2NJ(|ov6v3cG~hxAX*q0mhz%;INs!MY64)`H{DSrtk-|F!8wy)^|KXTvgy5Fk zXz%dnty6@4p2*Nd;NH<8fAlWy3j6OBkEY#XWY#*GJbAq0?e3{;O`2BWO?mJO#|24c z1U9X^Czm5rbT2Y0vKTb`nmm8HVUJRzs`|O6)@!}tkzw{+TOq8Woolb-2u0dF20XBj7wG{bv5d)c5rZKbeh&rVuIAzTjz?b$t1SJLr#}HJZ^Q*5H|Q`Dd&i z+$(hH`8yP~+{W`7)m|i=sd3|cdBJqJOOD((=0V{8HK?ibQ8)Ib2j4OTe|*&Bm{e5{ zPVLP?{ox{q#+J+Mb*E$Ue=>T|l~aL3OcG^uJ5-q-@pXjfDZtjoUY=xdph-|p8&VKt zt%{p)n4$30blmq?O~?31aSRi1SC{x1WU`9c9AMn+)iAaW-H~g%8RBP)!RmWzUjNE< z5jG&AWlCJQqIrA+K&$9qnsZz1;U%~>X*Zl;y}Ct`JGNa%cLg}Z2r!oU$M-Rbk7MK= z+3kd!+5(7&jX(&h>&97dbASPq23}r`T--$u2}Dr56uh$_UgMa6noRRA4EwHG*!b9k zs6wR6S`Z34IVU6hC7;0UVbw)dB(1l^PVDnX#H1&8V1CTv+=`IzPc)k>tt{$|@9><) zSTawhXl)H`7*43$`h(eL5c}sIz!NUyK!-Ia*G3|4CM7878(LIQPOX9`rtXrfc60H+ zC1<aePne7ezddtRTk5&UW z)d8TNHfi5db}l23ol%kPzp9}fcY-RP0={^NjK1J;{SaI7p zGAr{zC=4KwQ@k?6~#k<8mNG{9T>LmQ6??Nv)Pz{_h^#*3L z6z)@l9DXyqmH%I}8x7F^h1qc^J&D}RFFTTHtz8GgP>3!Be=B5v@Al@lB#24`oUW4v zIH@qyY%UfG>o>6eYH(!}>85=q7`6r>IDZ#rqaWt<0@0rm_ZN;q}t(h94w zxFTtkrs(07V)YWZGwQT^co~-akS%Y4a(7z+!wVWR6p_hV6{r9&4~k|IyTD;={D2>< z9iH5W_vVWLU(bW{Lpo`jU*@=`ZuY?h&u9V=TAhu}MA$f5mUi&@6HcY=rW~OA86{ID zfNKw~S1`taH#&rAhl7-N8WiTeUF8WYZY0zX{u?+$&jRCHT)zmlf%LStc@JJBBXiQ& zq~}V_X9(^{+hBHW>!l~zngG75!yw6>B~9Wq)TkppYbntn`xU++-WDEZ9Ft1X9!)gq zOniTsY2@dsk{?}#@;l;>2c!wpr0F3;j&1v~{CviE>6V!kT+*mVlcKJ!`=NP!G$^d` zv3VXTmwXB~7Cgnh3>dMLFE`-7;#QS8lad>WzKuIMD9P)6OP!Q5q!O0LClIO%wYt8H zoTDXLPvhA5eDolGB64GQjEk0({DFirT1Yu<%Y%b6AP!GUwP9|ZwWKX+$Uik!rKF0q zU{1buURXGFhuQ0u6Er9Mq>#>Rcg)kCV={x#3c@L8(a28{`U9c*>XaWzJyQ2N+$o^m zn~zpM%>za8vE?@I1U|pQ)Dy2yr-IK zCCPm%E08$yV;tF4e?c72y*{yT3;8I-h^FimV47L3Qxn=vtBcA6JEDBEz+6k>`iAW| z0-)Srhfp@okxWixgErspAw!QT^Oj_Ao&AJp!$Ax~mBrwMeZTVu^ba%JlZofJXk+c` zm~K_GPaMcI1iuoBcJm_*t|8fk+|X$A+oG3?$g2r~`YBmj0-I9HaMum$){w$S%G!CZ zhJpSARr2|hAf~#hcp));;Em1UFLeDs`_7VUPa`m?S3QI<~imD@yEN zXvHMn9S#wPfNB~jv$*O!Y38n)o>d4(D6E!&`){*A|9{hv?>75f&r9oy>1n-os%3H= zWhMlMrbi9=%3I~+i<70NqWccAviHoQbtj0bG-%lA(51QjuV1WK=EqW;QWzY zzis~Vi7Ltt>TAnElS8W_Xurt?$NhuD2A30BI$KBjJLu{u9gc*PP$XX3@ubWne(4rhm=_wc3clukLFwq2f^WUuSo4y-Z>Preo5=+h0;;epNs3w4X}&bl{b zzRBxJQ=2O6L4fJ*TtuM0GzoVvO~{})6>(xpnJli#jzXT{rMgDqw9~) zyf>2gyt#yXch2V}jvMcO2KoEL{r?{VGIk=4wrJY|XNvUNqWh}j8TIy?OYp0L1{chQ zJ(*jwIeFE0r1;G<(yDWLorq4thOgZeJK}e*Sf82}Z}N1;NuP?Px!CasVadf0YYTC6 z_6Ay>E&KUM=bohC9>9}dKKRSK2T!%q`;Oh4xf zeEYkur=;ro3^ju4r-lSOELn-e7mLy3f~OC5Jm-(1#|e_ADr2Q2C26VR`>!gWbk>d} zCawPplb3g`3mV=!`0kLSZY;U4Nb+gMqDsvYw-q!D)cs>8V;ykK|qWf%k$~{?GIgi9G;3{5u4CQTVIBW8?eb+oL zzjq;wD>=2_$CsB`ehdFAd`H{gO-nA^IQrG-pO-!$EuHh?(L3cj<{FVVXZwv0s_jPy zu04Hlc=qMmKbPOBT^DhzbGo_4V7wbPU%FxPWK53G{4*p!OEAra%unW>I#&FT>}lJ@ z%$A8wv5WI&?{!1$ozG}=9~X<{NiHid0WvRFO++y^{tO9&4xO<3CvX%=mnHF;;Y)w~TV z_FCci;KS+nL!g1>*87F;&Oa~^M?!C)&^rFuvxW7C^Ls`U;rFxQOMVRAHWri>w={t; z%QOAQospUs7qmNbLiWw781Uaa>z5b5F9MEUzO(xE!&rUhS@>e=xp<56`vb6DpXwGb z{dHx+XSBcncHpm-fBo_61af0g(kk4adje*DyK*AxlXuHc_+Hl>g$^HS|8NDND7Lkn zAX-rHp>qK9`J&%Txy((PrZro$CQ-jHx!hOn|IB+CokZ89G`Y>-u|V(Jn+52 z`fZP&H+qz%sBCh=T z)sM09106qZ5EM)+ex(L}KYnAshoh_fvwD@aroVIlz7G#Dx@vw-dk0a!^1#jgw*`># z?DwBTOU|3O%)0Z_nm-owRhAVEFCD7i`TKM!^Xv24(0}y}^!G@)-|e2eJwfMj#{%fYs`P@cW0i)jMREmZDz&k2cmvaN^-_|INv2E=!>|B2GCGj@3GBp>QVESeI zj-May_6*>CFemZC{fYOxPX1&2lHV_f(KKf^zwkTbweh_ttDb)&+J`m zx;q~%v4=1D3YQtO`H3^*tA%-Aufc@$wmck;*>$e!{`xIhoDEx+Zfk2^AA2PJ9}D-g zwywb{KKcyu?F8Q(!i68YmKpTrqE^@!muHvV=E=@f)!J4lMpT!6`OTcCs%GY5YWDwv zgyz)0m@YJBh9Aw#fD+S+690brv26Tg{K4OjCAa+aI)@ri z{uT4Xueg65W;Xb@k451Q?QY+dg!;$EpQPKymh4@4BlX>3sQks0GWB@Lv!E;>jYG7) zd*~@O^!3-vHtH^&TYvV?wBp(=h@34M*qX3q3`?AX{X~VJF4-wn|0VWpUK;a}{R?YP z`Lkz_*H4aKkxcE=%C1d)Qoi>u6&_#lySR{Y2bO8=l8ok= zZ{3-H)#sbB6(2&jZ8--jKJKjFmcjh{%;BuRE;eV^u0?ig{XhPds{DOr#z=Gkp839U z{~WC*qxH)Cv^nrAJBF8i_Q~MobKf#L-o54awRhmY2j_yT1O*y|6gR6gDhjor7ND^8p=4zCz?ik@RKqRF3!js|D<}hY#iyK~Zh!E^v$74!@Q~0g&z|4@^~7(= z@xMMfYWiUV>_NjHK1XgQhm8hrn0hg{@ke=V7clYr%m1dXKgr|sGJ?)LF536eoa^U* z)5QdeJp?NeyK`{oATCrrmUbijqkwsZ^AwN=Dnvm zr{Dkb+kI=gLx2$2D7yWRpCF#^sP>w&ISspAcYhnpOS<#b{5$7=f_dJ}dyve2FRTzQ z@WQGl4?OHad}n#@Vy!YMZQcI+Kb=9JS@d9mmwz8G^xM$~*|Odv@83H8sO(Z=*O_X4 zB=)mE7i`Qp+1&Hn`=1L}uDySN^eg<+BrZuGg$>DD^v6Hu>enjWwdbEB)%(hkk5v z9RH{En$wZCcYYAvKkW18H|HYbzZ#G?zw_?KrYBhF*ezby<*N@KJzX_HP_4ViEkZpS zYlgqKU=gxu)y>@>eCP3UIIySmgfDAvJraAo`n|=fuz>~NFWtBp^Ui=S z;_;~)s~;YIzp{7PE3ZSRFNY71m%aM(+Onfp@j*>)tFDavbs+8pzIrzIc`aO@em-^J z+$E#$$*aXBbK7?|L~m}&`9^vF?8h9W_4!Sg+E+w1FR<-O z*UfXAfgTEmw|H#o{~UMwqzFHogeD&vySEuIjz5kvy z?0*k6@TA!0#C@x8Oz-v!zW(!rwSK4Y#hF7_yV#?5u6}YTzpNoG8(Vkg{JNpvgbfkD z)@KvENmUZ=3z4up1OJDQ=gz!&M;*IzKaa?rw7wXMoSC*gF3Wd>Ey-~F`T3^}m=8Z> zyWiKA^{G<=?MC&)--`J&e_OK=*x6fsi0g0s{HJgusD#UZcf-O>|6L~%{6;6T?4LT3 zx4Qg)QGArluYGsbzm zx#*8MKqhh=|HZ4+3H3~u7vCqheN<@pf^sX=1Xq03&8$;doY6nsUkx7^&y`X_+KyCJlSEd`CLJQcU65yoXM=1{i4Md;HhoVzbAf zW2r^9>M&4=cs7Ikf<3;KE-q}MjOQGTKY1GRi$bHYOjeZ5EnyeF9q~LhAzxpH%WS8} zs9Q`U{Feo@+*V%5Pg+K`4Ucw|f0&zak;9sH_r|s({w(fbW}_#%i@9VRgE`J2aG!)Z zbemSqdS`B_dP#Tkr(|KpMLoMQr_9IaPYO-cjfOv};>+8UD*HpukabxCEN;6|7x!LEv<+B$|e0bbhvV(NAO^}?JeyiJ<{h(pHj_vcO zMbY}&K=pB+PhCP8O6s$D9WxQa# zs+kzSi#^s^#VM`WVR&s2i1`*>wj8W9&rcUjS5-vAUqcTS zNs&myXoTsEu;izw1)24k;YfV%S{)}OIu!B9LkW*I9h&lKBPLjWO8T%5A6E@t^o==sJe~~?R^8jGTrAHFd~GcT&R%4cod#5 zPTNnbpW4 zTj*#VNmnWBS15ZN#B1G*5%KjKur@>|^%87iukGmk_raJVw{|I}JDJ{=OxjO6r$)nG za;TT=h|##G)d2~q^$wexx=pd`ZlOY3eyzFOMC>J)+LPD~{5p}%@J&@<4}M21nn5Xd z_CpFq4ajryQ3@0JYV&6I2^$g*k(?@M59(I48llVS)&}Kj;PL0XrcWD2IXVf1#7zWn z@B=xZbJC=TQ?U2Sdor@}GSz*DPC)O?wj&A4Ag#lyD&bXcS0l(_HNJc<&t@kL1i-zn zgdOKdZTZ`yJdNafHd|3?5RSOdqZR2S&Gc;a=Hr{UuB2YSsMl$BCupYfQ;C*$rVqs3cS_SYL*I0FUAQFMt2{VHbBTxiqrY0qMou)@EF zQ@_>3TG#e8MV?oMG26)MXi$nfSklE9sR>JdEd+KN{jGhqLpR+W?$9843lB``UnewP z(uA}%G#cWfFc*bYJ4G(n$0u1O99^_Il>3j_$Jv|hyxfGWdSOck`C!)nYXazhZia|6 z1|JcL=hlho6$t561ZHZyApdhBFLbCP6%mzizUyT-29Gum(JQHcLxhARo1}m6WodqDY(0v| z?ObF3qEaGO1J{9?)F+_ZJFi7qhJkE?U#h1~KzQmDRy+obW(#89#(lkHC+J*=PEtERc}V4P~FL|t7B2@mo|(Uqj9}NC8pY1P>1B( zF)~3DR`0EkAu>2q&T6bM3?`Jrw(vz@`dA;~1K(Zl-Bq+ABqA5Cn7M$*~WYGADyp`F|;lm&qaj>VQM z#s?GLxWfA87*W6ugEt0|!auEVd_5v@R2qNp_JQeWwQAmJfuGI?+YJM~7ia>Fll5fP z@8CVwbki=5Q;kY8USAJ(qdPe&sm>@7j^IgBKc7`0OavP4*y9{m=@dI&nZZYwas8C!kbV*RTU7t6I$ea9k9;(wnQWhrt2YRbGW?JwVBo>0&ha`^yp`I1vxBIQ zY>S#Kr||qjIjO|zbvVnSl^|OQP3iQ)P=?jMIPy2-2V-h z9SZzin*Ju}ug@u;P{xMykML5^o@LFABoG!G!z|YEvR+DJSXt4NO`h_>2264FJt6u8 zWpDSMM9TX2-Hh(Tq*iGmqe}L)-*m?NKz|tVl5xOY2O(Xqyx8C>B*t|Whkn^?cH)3cgwo?N`}CbW zxg|!H0^t)QNi6PUiG%KAx608SxT)(>PNh>T3;@@42~rmDJGXxJ;arC9iN z`dmDZKRI7`phS8jVLUmJazbTv1fcp;oe{wyE}4iX1XrJFfRfiNm{@(>nWSe9OYif< zwgb21%eNsCD^iJ-o#w`LXC1_mGmjSQnBk6375uTw&zIYyS8b`O`*;ppc4`G z{HSh8?eMd&t3-T_w^5g2%?JDcgfo{1d~Hw!jIu+K_)NsScW28#euZz4N2|4^=)37+ z)zBII4X8zsN|B%d2=E6#F9^v!4VoNVDfJ?!{h~3f;hIE%a;!!S-QbXcRdP1uBWN&P zFH_G{HIKU`TokE&_wx$!f1Zx%m%D%cW$B-?iw;%DIM-!Gnq=XDbKU%W^mkrmbrI2_frNvk`W=h8 z&(!q_KBdWzM$2YjJUEK1L4A52_?@h{?wCOoUAw#-*8jcF8c3Y4RX1)&GU|H z=a!nT8iWB!qsfj+4WP2Kq<#!(x1bxqy?xStkIYPB7e}$l;f7=;QZS<^d92sajV7!W z#jdcd247ETvQl^9v+9ivby(gwIg6KJ%Nrfrmk^MVY3Tr79!N!;j+=end~&0z!J33L zuoXl32?0ubPJ0qyZ%Ijrwu1B}TQspD;&;&!&D-41<>L^tW zX7`f_@)(_EFs9Eq**nxMJkYDu3ve9^CQ!5Og-G?Q9wockBpc5mS?SHXKAsNfxe$&3 zXeXK2uJCj$Cu139}x$?lFmVqbr5{ds;_fhYEw*3`=;Gp%tS z1-dmIKQ_|`+&G*n@1Oi8jS(TrJ{2J84n(G-pCnaw3V_3Wnux#{MmwTNe7j@tAw5mN zu_`T8yQ)H==%YQdq(mjLy+`oe0NX@%f)&YV%_nDpWmkWI?Uf^yE3NsqT9yJRJ)z4Xg6N5b&gYR+Ila0vBt zlChcbJLq=m&@l#xGdXP?>;7x(Qt8~PvcP0J#Ym(Lte|cy=Rbx4xXn-)7#ers@NVZK zBClZZ^*mc;hl##s0pwavpcEPJd#e-)JFul38!XAFT`uMJGW`LPSGQ=b?#AqGsZTp> z?rn?0->l^9EaCWGQboG9Y-4SB+VZNXJ46g}&fH&ZJ)LE$<^C1{j8K;>kko5t#m!QwLeTl@KjjNT^*++)7g=ZrLVAj=;Q_r?aw&^Xlmr2x{#{>(1q;ucI}Ky7c%4OaceIsm6Ggo9>Vredl9ZJDur=z+=iOz zsn)6{_KwLH{tQwrFzn_5xb!(Ly4`b3QQ^V~=Trwv$h`b2g`#~t1&BRTi)ynn*SHpT z$6+7~ z$^TiQEDbibtK!fyB@b*Cs)~}Ob}$I_?X?`8CohF`a9JRD!FT31ZeQutH(lx?mDNSz zFV+Ni(%@oU#~xsG93`+*maw`KYdErY`u z+;EWX;#;!&i>ZF>8#O4jd&J|#@}6Qx0fL+4x(O(b@y5?ALVD500u-oTHmd+Yy(gro- z#K};`DP|1!a(jCPxZLEfACHj|(Jii&-1YKGk5|%fY;@{fIm+~(_PYR7D7nrLCPWJL z&WizW#&xZ#3(n0F;pY_0hF&q}PT6K=x*HF$bR7i`uTPt*wA-AV=qsjBA}5o`h|H&+ z?RwLbVNa>DtR+-Lmm_u*cXSRr=OcS1ST$BE;Tqlf9*>~jAp*KM|61m7jm*-Qe@642 zv}E&)PbvC|$3?S*3Hi6FZ=(}tH<&fn?27I*T~c-a+EF#6Z?};v@${tlU85o8rNZqd z(t&m(!V%#Zb!MYCu{TXC)`7l=aS!6wDxy$r#RQzPOWf?}=c*o9qpi?}tIC*8OpuN$ zCPh)eTJvX2B$+3(B#1Mv%8!oz#F=&qF3Cs?+y35k58G{)Vob) zErd_)o2n5`6VY0^H#iy&r&Jw+Ka>JGuPF{6pC8AW%dLamnb4yMF0?K3wQE-E@+;}E zCuS)a45y8HqF@6=9kwG@-eJr}XC1_wp5`H+Bm$(TJsm2!ON`In+DW|k5T5S}oC0QP zqSpI-=50!QMr2vkf%XmvPK-7ZWh>H4*g5f1fFl7dwIa~>P&Bx2ITRZ zsP9gn+$@J!`SzkBzzQ7pvVb(d)JsAyCeu_gF@PazA9OUNVAATj9Nr3Pd>P2JK-`xo zD737ZYehH7pwqH3bM9(%JN1ko0D!c^1Vh;E7n4FIek_rPuZV7mJD(YmkAML8*nfJ= z?Km_fLQ%jRhj-RvhacHcesLtSs=%s*-%3fz_nES@ePDnG! z3c+;1{%*viH-W79Mq;T07~OlAg9bRMULHz3r4G)J z9&scSgKxL3V{dXN>nnkS=tY#rAo9B%N$eZM7J!F7AC&+@3@x!IyOF1DvWP!ZyKUZl zz^Ls7X)>xmoERuU$~aZ-!Nf=)rRkl5i_)Uu6|YC|by1i1p$|Ys9n4x{KR8DNGJ4R#k$ET$Xk{eUU+g*at{Lklh~kmFn%>`ohTFT6-rfKNIUdBcghO}?t3Xof1xcG_7P>Ifaxxx1c}e_iPT zeKM`PVI-VhQvT2miorKWWWDYr6*K|doFSHiDO-N~o3A)}j#9`-hNza_Qpp=G%m z@G+1Um@z*S?XHAQOn+L|dPvc)RIheK&_o8#O@$LQb$&J49^l$l@~$+|-?c1X$0C5L zoo9lDzb z`~Z0)$(S^GzhAyq^n6azJJ7(%JCIe%tTyS`*ilCS2l1RHZmYHbXl$^^5J0ii&v>h) z<0l19zYYNMJLFM&Ct^l5IWCiirH0J#prvg|%jC8^dt2?x+$zzkCozYzrg_>h9Xqpg z;*nz0j0ULh5^Pfx(C9zYH8ozf63FT9;ijo`baI-FdahNNobZ@JhNujVT~;@04qAE z-)<@k%}21Wst%6IVmshOQ%rtaUIIf~sxxMLSpdH{YR0ov6)htgKDpY+?yc$OKp|&H zb?{si5ouM$c3dZpne3}?KO(Zri2C^Rd|XLrJlev!RKK^oF0p~I$WppIMG@G;Pv?ck z+l1S73i}?3sAmECi^DB-lQ#iNb7ZC|<7I-pp*et%O09hPnOy><5BazmE*j?ug!qNgQ%V$q&FekenQ|#Qvp_4uNwri|~pUC*h zdQggZ$jwIXlr<$N7(!39qQY^2O{Q`FI>76q$kM@=>q3#>F#g+l{@patzs^G~f!2X) z%AlzpX4oxAK%@jG2XlDc;$_=FGAhxJC&hCPA8hKzT{B!SRnRC8;Fu~9>ZE=I137vp ziha?)pSFm5(Vqf%+%T41;Z12$^F9NPC#(YA*&DVZl9<#M>*S&6{Cd-ch04=eTL+3D zGr;J}cWH#uO9Fxf<_^)e4y(3L1@{E#~zeDue=9%TK9x`c4Jr5>0;gTGkF zuO961@lhb6Ui-LM*@EhOg2;?pT1SR!y{ko`m`VxA(8Zmfb>j6!x#f=eK7X>;1iLN7 zf?7bG@cawDNhhK3AlOKBOZPh4?Q2PGl^jM_cc@nqIPD8lD+2PQ#rsGV7G z|2{l0LrMkpwG_k78kB5%cS0#SYrKmVuXCLxA9I`y)bH49Y;GrOIX)NwI-u-$Ya*fA zx=zwrf24j#F#^d>M3?wdM?I3C21xp~5znCxQmLCdR*x-Af023iKq(TZh1L5r7^LOnjZr3ovveP;0nvk zA!_s$buHQm=N_K+qeQ&6%J=c0k1s%lk;;^pR+UhLIS_8@*PC&{_z}t@I*Lks89O8I z;=9>19D@;Ncdhi6)&oD2=Ur{0-+RS*j$Jr?7e+Kg51VWtpyp{BHe&3RWG&2w?UHUg zhJWhRzI%^yk{dPg0k@;g)1jhZxv%J^hcP;_p3PNq_slFgu1N}5fxxS7cm!gIZIPCk zsvI0c9Ym1qgo8umB266GT;>$um?9AS>lHK*l2Gb)ScM`uhM?f^$&BH8V;zLXvG}Gj z>fWd%#PbpCHWb91G1A+WG!}fQ zgPWtM?l(j0w9~@;_h18(s@(RIoJ*{ha@&C>=>xcJuxP-ZPEZH-+a^C~L9OrimH_1) za?99lVYG`6=*&n?@ahbvw`H>{rn~iy0QBMg*cVJt8vy`AK?I(JT9N!ZCc*npV!}m& z`fEIfN6v1Ua1qNSz8{}rb+WCxgS}c8am$kV7AgaF`(jE_tp(KLtMth3HzgiMFFcv<@^`W{nnFc4^5yoE z2<|gq$zyEbAq)fXev>%AZp?JVe$$xGnlQQ3dNM~HCd-EOup4wi*HXl90>|)Ior=Bv zA(n%(^cr*zp}n@Z*q_u-``6O$S5?kVj;>|!wlO-(?j03HH@cFK2%x-kWgiCOSOdW3 zppene%>+b=w>M&xHjaSxdn1rve?B`*oXs3RdC)bj{;Rf_nkL)n7^r^-R>0BdWdiU; zgbHd4hgRDu1lX0M{AcNhmw?S3t+Ilpd<2$K5gZlWl0D<9@ae9{oZuKclB#HGVuE*@ zrF3LE8vsH8qH)|(Q;04|hkM_?;8|T+Uukfh>*Ec*WkhpIe<}qd!5-{3cGU4vCJ1@P zM9_~H#7>RxGc7;=&$`OfO3iLGw{H!=Tu1K;CMj$lrTfjCQD9GZnTCy|p$_^~eN^N& z1#c(1Ew2*A9NvpoH7L)&-98)m3SG@kgLB(7^@%FZMDMK$v+433j2Dhl)?hYuQ6!>= z^5|u~AH>l_D~47iWLMO6Dzrf@5!*aKoSRPJ>r_O5-Sf!1!WG3GVgJ2qom!#r2L0@| zb++px;l=0kn`|t!M_xVb_ARMVLPz$>6gJW}k4$}j-^1K`EKR^=JDj71I~qEw>?!DO zW6%HM>@A?`=$f=q+$978A!vfTyC!(BAi>?;-5LlMJZNx&ySoN=cMA@|-R(BX_s%yn zcmBKnJ1dKD2V$iTUju)i9QeoZ`g6oo3sAN09dHFC2HJ6r40Cq7-to=Is^7=%;{YtK?m- zV$U^7s_pJHX0e%#4Mo{`a5hl|XA_B=!BkUj5HSL=mq+b~oz9W#q-C)3t{n@36S5_3 z7zP#!2m`Uxr+JED_f{Y9sC89A2c9f_SpuARCt4Z8p1y(xN}K`43W0U`Ch30ij^l~o z0qfwLDqTnif%oL-1UO=i<9A3?x)1q+^~0D85pH%Gs7O$1I5qi*gHny$c#I@at5 zjS86`0boVlIZx4rJtp7H*Lqol+kchbF=YHHy}LSVHtoNuH%R&L47UGvR@vJocl%SL zcRr-8(BfJ$m zl1m1Zns4o|2k-S|GJq(pTVaBldHkK66#}JtF=&f&oO0crj6VY64O@+3v8*$E?m55A ziop;C;Z@;UH5hqF)dCYF>_jHc*`<|7+*$aBoNYuJ&*@|*Z=H%g;|}v>rm721LYGrK zjqG;GpEEVUWer7R&xCyZUI939yETtoRBaqN4kdeP*z&oai|i(-S+gmaAJ_@>qxx(q zjE=M$yZX`qRI7-&R^Lyj)*W|0Hc*6)-#HsMNW0C8If8K3BhrCg?(>4aDwdn?edFZZ z-eHs6cmPPLK~|RVPdpin+uMM%%i+iQ0_R=+d>Uzq+l{Qsgx^VZ6|z_AvNm0fQBmi8 zQhgI$U@hF&N)X*kOSk@wSh8rmyBJeS2u3HA$N6+)ezo#USdLHd7noZYTq&Ex|G_nK ziYIpv=d(eBy&V?C4!*QAo^Gdg;G!&SoJYZTk7~kEd0eSk;Yvaigli}$Qig<=J7YZ? zmfgTPAT}mT*63p@{jf8Jcrs`TpI|(NWNkM26}EFcu0j=LFS3dkGe5F40}|84P19dJ ziyO1moX_e(YR#7ma#4p0lckP!pxK-pY>`pWa3%vw@VJ`?KpY_ZISGJI`jvA5^TqXN zXELrN`h%&Zs%iY<3G&4J$E;lZna>iAAOO`Tk$YH*`8n6iS1{wCfu<6)Z64$KG2`Ti zxVfpj5_h1~johMvB6Zhd%sVw_$?C%``)bJ)_k-F@(xu!y?Y#{tx5T^z&y*a{*e5a% zJGjO3EP)w9QiuiaiPVRRhPx+);4HwdIbYal3Mx*nG^@gcge=$doW)AE6CDjkA}I=F z9$&Er5jmo@rP{QtqvT+uvZ&PFVEH_MvQT z^C9K~U38p7+7wUoRdnTe>YsJ<5uvQ4W=Y!ksGp}*gCif6#8ry(c1z_|f%YD_^qnll z5U+ZkctC})Yw()bgON`hnff)k*+3&5?goZt)Md@FmUyRfC&1vDI|%Vw1IaJ~&OpO~ zW7==)gsQB&qF+1BUjc6Ns8noX8jHcb-U<#Srp%%x}Dr}BBSST9_xOOvgp zC<eMt9|hM~tl(PBGi8VV$-d>q0^>BVBa6#@z7ejgjn&BE$;LhV!rZN+ z=G|m9dl`2=I;s(}y-rpTLb{TON}84VKruS; z{X^IO|3&vL2>gH4d9rjpoVtHxwQ&E~T$vC8|7SIuO8#?sITvwx;|lofzCFZ${vmn{ zOhNL%gM2!QeM)&cb#!xox8n80x|A7w=T2rh1y<5kx+<@`-Z~DU9i4h~sHgr~{dynp zglXUB_q=5j-rlyk>621?tJ*6dn3}ja+ArK0U_Pa=5dn<_c6>&#vxpM4|f}QA9qH z{Ym(_mAp`L$1^^4H?q6MQf3{p{tTuG9X5w4G*(UXRVzhI@75yJ4)_7 znYo8fYPnxQSU~n_QrRR$>qlypP^r7xuJj#gv#e9DaZa%l-;zwr2;aqBD&>p1w~;MA ze{z>vzWg$?UYGJ3k&;PU3(mPIrUng8bFD3yztoWXXQjuKEto2dkz^au@op>T|u8!LS+!%CE_QKUZM&o^)iZQvB}R zdWP!R^t$y+G(3lzQ-6A7-k-xMsxN0TMZse|NPVC3EYjtYb(1oo=6 zjzAp;v-0?U)F1GzTMVZyRi-`qokjD4dt4n%v})#Nm4KE6Ovq_~W`l79GkkU@xx55C zYr9!Antlp zSdtxkL(YL$O6+dTsH_BH=HB9l)PIm+1<^+%_>1#u8L8^r{0VEZ`V*H@8q;Sxxg*ld z5Agg>a04;CTQJdOH*0y(Er&+B#X96)sbe#kGHJ}(y2L;9AjWqzWA|9XnpLSZ@YO|;%u-=$nF*oL_#zr?&?y(EUdpd zz88~U!3VlEZ7o*@*Pm4pd-=*&bAPh`lw?!HQGM{Bb{e-6 zn(f^#@CvKR3rniqZds4!U#0x6zA6x_l?Rgml(x;QjdEas3#pW34!4ifJJHLW(PA9u z2F(ZNBevkO!pW@pLhS(zDJ`>rX_I#~hgZdKMC_|%ns>+Cu5uT~*o@7t(sn(44_Ckh z7IBzy=kW)S9+;>^erK6D&I5)#6+l?DMJ_kl$jn;7-0QTEqM7XC@(-zLkkr-5Gb!!= z|Fpoc)GtZmhs0)QB-0nyR8T|9=V|j{^9fu(ckJjE_SdB9GGeTuLf~0kX@RlrKGiv55HP7szdL$`bwv&9hIp< zHaG(yNp5s>R)~XqJzjS*;!8t_fvusroY&>|Hyy8{i3Jrrq))9#kLu$Mrj~1f#YfA# zA!}f_h6n)DgqC>GmTWpFMj7;HhFlW2>WOl6?mAWT?orFNlUv021mzQO z<#p%VUfb%`+9t4UvZH)EawWfRe)EL0tTwcqg}uMo6)ey+^LTr;@U-Z0-ErwmB-|P8 zVcq7u<^TGprm+nTG{TAKTl{Z`^+SPqc{##dw@BBxgbL)dNN07<# zQN-yH`q5{thrRcX$5RH?{4=MM%^!YtcH43u#<>!P;*@_qt#Ey(8E@07e#y#v-J?*8 ze~FLu==Z~B-+3KnXlOxp!Rouy8Kpl_aT%A?fRzAX1)K+K-49%j=tb(c^5Hie=q@@< zTs}Rz-&rp_4Rx;uU+0j@^nZx%ylSM3YQjQaDxt8w*NY&fg?6_0BDNPC_2!PGFswE%(EluUX3U5zx{7l<5`WYZwbsa>lT{q?L8h8T&pKw(@bIPV1SeH4 zm+S&y1)X}jsy2KQYbDvCcYEYkOS`e;7>GJ_zpjM5P*yZkBs~D@S91{1F<+v1%NJCdi4wfmiv=@AEoEB%3GfNIx?Ahx`d#X9iLUw~*xh*vH-Qvv zWzu8D)pk}J3X&UJ>l9H^HR=skGrD@O)+G>ul&Tbzl{9`U&fstsiWQ19ZMD#yoXRg< zS)9Y(pC#wx2(sgDrJ$}eYs&LWU?wbPobpl3vkc!a{HhBdEosT?<(1`AXUbtYz;|?H z3x*ujQt^(QrZqEK>Rgt}d|k^8AXlShw4WT#c6MYe;9d6m|5s)*ezJV>y96+D9X|V0K3$u-RqoI<-U_tUn`^w5a}NvY6L04c5yO%dkX+YXBa?0plJlpU(#1OW+OD7Nx& z`m%VMF-%_U{eJK9uvJvb@PMIt&3e!+=N-W5OlQabqmC=|o+KzUgRkGEvg;JzWHXpH z-7y08ah;rQc-`yQ`^|+z@lJ9|DlVT=RI|rhLwJtX%Om>ToB%duyO>#oCp3O)V?gl^ z!L}hiRBl^s7-NcTd@EHEH&&AO{BB8CH62Aq?K7OoC<#BtC8t4Fwj}+b^3PS5*@K8O zG?PZCWcIQt({UPc*LWzSlA_lT=CB?&hn4mgi={s6x^t*!kF(dk*I;-b5x^>DNXEQlQq>yc z+J7o-@DWo-%KckI6gFkBamSAZq2+_DUhYSf4CQS{(mh4p(sG{t$UuS`WMxAM0&?Qp zp;EG^viK+SZqpg4EILz;!K>R~J8Dy(CaZ)h1VQS3O8RMhm1Z-%KT;qlr3b&&^l$w=_Lz{Ls zS>gD6ewXcp--O)&3y6F`odwEqv)|)sCnV4XDP_C z`U2`|TBunF=u0+xu zO|gdWcc#Hl7DvNivh5NM^X*qG6%wB|$WmI}c^r3?^Kb& zZq4NAWcG&AhCFz8#13)!h}Xu8R}tni5(6cx7DlS%0m$OEvN{*~o~EUw6Daf{m1UpNJ`ar3FRchtg| z7P7*-blb@B!`gh0T^W%I#LAC_`sPhlBH8>g#dn2SCV^06Cpj|)g&NZd)_lt-f)M@> z%H!k;aTjgNKZ;HCZzE2t=b5=|yaGHvMumE=z+2T1{q{Cn-i~3pMQgfDYm5wJ>DbOV z^gV@1fDrY0Tv2LwU^)4^e$qu$>Kv?kfkH^!;d;>~Mq>#leW!kCG@m;6@BX+CB<5dJ zDRmP9lxDwuUIAeI0vo8|t>S_PoGGRZ1CNJ=M;G3Y zFJo)+vQRH@u6ci%MrPjHBHdg6v=Z!#N*@cX6ME}z*+z!;pkqr^URSDGU3rUFzhol> zl)%ShXx&1N3WwXj_aej%yoW$e9KJCgSrvz-o&SCD43n4ec@`!qT_So`suu;Ih{wskgrh13`marPxn?3c;3 zSpqdz=lSNvP zR`k`KKZUps*Z*CsSLr9!0C}yW(Xcoz?m_jgxHjTrzjKaMSp|Vj5Ynd?nfp-h=n$9v z+Q=x|B&0R!#`?U0GRAi8AwfUW^uS|xQdWDNxDy)z?5;2v7^o2W5`VGwbC^~210d{u z*aG!by^j?yT4M)fxwz2nNTJ`Hes-E$@*M7qkbAqFG@I{6Be9ilJuKY_OB?9G=5NW! zn$*md1cAM$Wx0C!pNET+;&AET2GB;hlP8-~t?F17GMFc^2542q=r}Nzz%DU8^ z*w2f@Q4V+pc2ybkhhJ>&TSh!G-jDk)M`qpL*rJxPY056V-rHPy3H@3>sg=sMI^`Q` zi8{{iV0WErJ)TWLCfUhO*A3)LhVQbRy{DB)E^SJuggpUjP|yL*OX*r@qpVIqnA%H$ zUpN$)SMDZm0qRx~_i^NhSDcuP!;%VXwfK{q#QsZ&IRbcESC(Ymbl)%%;^M*z&$oqg zZClg6o!~1@rCK<7Xol^sVO_maj;#DP={S2p7cVw)`mp-YojW{5nYk?^7{Sw!kW$sU z7JkRpN4}*IIRVitS^?ddHT!KEV8%38f;$T`d?&Fj(tX*oG`8x2!#UchdsCckUc+Of zPvlBE+LJFH75}c+978$Y#$L0QX9G7`Lhb!1l)Mqnr06I4Wf&qKdY;7(zgmb}>^#yg zd9-X_c~Fy%Sa)gmX}uxijSk;Kk8Co{NBSl-Ihw8~8rUyJlfX z8dbC}I(56yH(+IOPXK7tG?|{JsaFlE|E4ZL(i$w=0^P`>X(R;N$$>v&dUn3BrjP*5`` zxgf(bTnAz_?~9(@YB`at0Y`em^`xEkY8j=H`iL~);RH#gE^P?tGXAfc_m#jgEi=Ic zhZyhfkOrr!)*xN+4YJQS-@0XJX^TL?`?iv7v_GA3ca`T9(k)&r=C$8(yfN?TGu;h= zJlibj>Mb(=mhT|peYZ=&W=WQ{CR4kS`d+QOUh2+)!O1#FcCgCLG7?P*OV!B-2(z3T0xL1hv2}6mM`Mt_v))lgst^Qw8}|IWP)QoME3JhAJ+BhD z`PldJV^tq%3w=ye!&EQ3`Olg)LbDabtdJfZnq*XRmn_HRY2DN0Ju$mh;tO#AOc%rZ z3XKu2xU&|xP*#S@%GbElVxjoaa|6G+_at#iiX(5Z=pFFRyoBK%Y->;qwJ|u>->D}Y zVr7WGgnJMJ4EoAR9eX~@81hLmLklW%9`ibKI>o#P=zN<50f*wnbR*uRhHoe$ILbT+ z-)8)5r5)~=rvpPnB6l)A9p=c$X%U>KTt}rtho^4E@U?d)ween?f1)3oBkPX~A;0f} zOnnC*B2$`lL+eTW)RpSjUMQNWmQ*>97cBg1)@|_b^f^eNQRvJflJYcdzEz9=@Kxnc zkEG!~8jF2C!36{6$p3iTje>Un8V+%h;Je=?1e&r-mXK5do(prY z?*$N&7r3rrl51;K^t%@&(w~z*bxwrqrN@Sgb?~E)e`eSedI?e?9=zG+WMFJ2dZlX2 zSWnKRPL3^R2ki^XBCi+`0yH!h@!sDQ&Drnm-jE#1K+7m7eT6RCzjokRYjQHk;m~>q z8K^KD-h!P%o=58ppD(fa=AErjCM_&`7c(+2%s3-Ak|t82+?bCT&k{f)Kgr-y5EbqC z;p+-5<_@{v_o!HfKm~tZd$jgyYb(Kt(BmOvkLl5vBZF6~JUIOCC;D|a$Cr0Y=0~yI zIA0LG?XnEEAxDtD35LLbO`;(|wHiW#g0a8n8v`Sw46XYr3&G6$y`7C4`Ueyn?lwRf zz}C-WSAUrZ z7^SmGlxwkXOMp%$|1sjD@JY$Wt#uSJIj7Ij*C9;WwSTE8{%+sK=&*8%LtMl&i{Y3E z7QPNsQl{C-OiR<_*Q;K|#YsmmdENwQK;gn%^ylIf`FBJkSeAhF0Ip_BMcHvt)XSd> z_ld|Mgc%m6A`eo(D#A16^9Fa1ozoS!-xW~MugQ|bza^2E8ixIp7h6fV+WQ6S0Nb%B z;!T?g*LJ~vv}cSZ6d4y$xPr(_!S1|N(t3Kl{og_-#Fs|UVV_!svk^%!V$|}zsR1U7 ziDNiC3>sHF+g7By*{0V}AGKC;KdwxZ@ttqPvYhHtvD+x%EjddzB*YrQMBb(8~KdU`8!pIQ14}Hu^%ef=NA}ALe;N1 zv2v^0Qr12JR^M8d^;d;o$~3JSW-tJpY|^Sj7g?hm#0L0pQ(P`lV$2=JAqsFe zlkl<^CkuHymfUd6uBkz!{<^Y(xT7^@psWNF5pOa>U0UYt6c| zXKxaazbo(9C2RJpWeP*<@rRx88$I zy?Y+Mb+K8$CY=@80sQyIG@BgFC|Ps&Gy*g9GUo{W0~dHRg+uKjYo@76TmEB8Z2rVp z-1|gx%4gRZ{-Oo)krr z%|YUQQ6Tdq$rqJ~f+nD$OXEZP`d8Mq@ln?4(NKMoXCFw!v<+*Zfz(RcG)<)P7ttLh z-3r{7Fc#!7y-YFD#Zy32nWOAD*YS_fpJF57H&y@z-Ex9V2n zM*M1Dw&Itq8|5EiuA+#&-lFr@(sry9c6FrHpI<+GlOgd#qa#dIB}vXpM}=pN&+pyC z{7E<2bVBtl>t2w=5cSTO3I|hm0n04rf5^#0GHG6mhrG%@$ zjUyWUgDlW{IPo_!i@<>~R0ry|UOW|DxfBt+h^{{+fTmsc+nLXBJFC<`MLFnW-W6H> zhl-MO$$zbz?|Xq>wI}fl$x`UyD#F==B)UdW_zAf;OuY%Ava_dT!^%HCBBoJ{Sq#nT z{-qsyU2@lPh3=7&OP@1aZ%-zn&M_psq^N6}*5C!^fE0n&sYlHF4TzI)C)iGCMr0d+ zlu<<5ot%}H(aXpD+Z%(>Y_Xuxq<4}5Fi@@8E*uWS9lk4{GQ&e9(3$L=erh3c;rEO6 z>V|!JD5}gO8T%B>tf{LS^p@G^Ldd8%+F{s^AV)mG;jRAU$Tj7H$*k|)SBzZ)-Qdxw z+w8+i?u-bfyp99DT9-+VmXd0sFVd)#fZ{d^J>SoCuevY0OCNpHjENhhwH{jf2rjDJf&I*?4@m5qJXRP-9eeJ$p2ARq3t2i7cQ(i_ zcr$hJA_U5*>q2-B_qoy^=yD~#Vt%tb>ddJF)zOw8Wh%Te*`F2#KGVaGN&9=d+nUc5e+P#eZIe5y)id$)1DR^MVealg!eo=nd-)|IkfGq ze}Rxi^eSM5b~<%ND12vcKOB7za6V$b?x$SFLZz_qTR(MiMP>d;dhmhARE2oip>2a! zd>Pjt|Kt{NzXswJN0wEW7X8J8OrkdN>uc(TxXI$#0f}XF(VN7K)n3oIfXQNnUWsLD zwpEIe=`HK{BpeSFwvJd{Mu|Lrfg})M?E~cQqAxZABDW^AU~K2V?W1aMt>( z!SZ&l%>)@L?8k#F`?1bbvZpN#E-~C_UxdoWl7Lq)>1}kOaa7iI1oou%>Vgn4+=y_| zUf^cRo{TieMNdJlNzT4I0=@)|8V29u65S_FGELDq*|dz?a!jc&O6TtXxO-_$>haD4 zLK&8yso@#M8PCB8M6gmk!wdT!g@|F6a4lR*gdZvHIEeCv23Gt+BCby!2(Unor z&d7d7m4T7#j&%LX7`OHMMe`v4M;Zeq7Rx*l;{5W%Kj)}MZ-8ejHr`Dun4ETH8`fR_ z;2o`q6$4h7QVHVOD7_Nu$<@c|OV@4l(dOvrcXV4{-T1~YANK=sEf}`?w~CD*x0ZK0 zzxQv{Qq5$WZU+JA7cGO=sqXXgku&s40|ebr64gHrVy{_>E1Pygjy^((7{(CvuWVM= z%N9~D!(>FV0xP%v0C|%h{8rXr^q0I@@w}IGuQrnyu{7M)U6PMT23OTn0wwPda(gDp zNRsEodP8mCgeM-+GOQ~;R6Jpn774mx{?;Q@R-K-Tr!U{wtg@~U62)6+=WHU;w~jR{ z98XeF#@tbt(>$xL7Xv%f?m@m*f8%0hna%qNmZhaQ7%1g`7v+8HxpsH%j{J)BVC1@P zx2i|jqVEBHbC99x34$|%qgNkO0$!8O(J@LyVj-ZrI6zkZ%<%g_r-FiMQ<_f|>xIx; zI)-@T=tXJGW;L7^CDF#Hmd|EzOfINKw$!*3Q8!U0{jFM#&QrCLAK4FUc{+8y@a}L? zUnyobX91ptNKvaN^o)Y+hsNGc1eF|@EQF@6$uB$ojSr3Qq3rC5jK?-D$()-TVm|`j zZ6~%8$=H;(VG%dA?3}+uBI3VO9?Y+N9$s0sySffm$5UXF5yKWbkO9Ku6;D&k(W=#U zsU^-_@@@BaJ1I-$707nr2M-~Qz2RFVGME~d)qs7--vntr_hjT8Ei3a_^;|8Ut%s0j zZa;tYp*7MZ3{o_iu<&G_Xd4*w;-BX!uhDhe6S}{G|Iln34C_ck3|o(ZY?j#%Kn&EI5B(TeDB&s-KA&48OK1UjQt7K$sh&R$(wPu6plLCQc z5e9rEgBQ`1pk*mtqn>7VV+jWJJl!JNX}bwM;uhC$K$1Jg+cwfGTUB0$*m}s5YnymC z8hQhvq#B!jzRso~YIRv9=stuT(tsh1$4*3s*hz8X`XkBMWI72`;%c%sZ(-Oe)3>>~ z#3aPD}LL$Rs41mXOo_wPEME54%M86^2?#Es<)r&rr3e<4! z&W$p=!3aqAUOh;;CGud>Rn^z{neXSJ-u9UD2V3&kL2IRSk;AqWL^(e*yVNDpGpx;UUL4a;&8)y|Vj2PC9nABuY8y(kSd zfL$SzcOEaK^4jFx_%7V^$*SpO^8(PHXP$p>2 z1w)v~5Luf^*GyE&HvS#vfLT(9@gAE!)T3vfboolf5_u|&(OT7FqEKoqTb=d>Y zlgLeL(S1tn6FdbxJJDl$<=*6JJvTuF1FH8c@(Z&PW&50f02q(0#KpIPxpqKcXc+86 z@W#(2l`r^@nfuUKgdZS1+O41uxiizD%qZ! zm`-N=*A*-O(Fj5XcBd5d3~@Y9oLGg<#0!PbaCAv z$-|Q6+lNgDzXP^#1m*U!&ASg07Q`u|dJ~v^_aTm5Mwvx4NvpFbQ%q4ALE9dN&FVb@w7D6y>T1y+cwtZD1Kno$Re zjy69mkCWG9eM6E7dXv-L9A>^akP&Xbt#=`vHE33jF0pS=k)He#vW_bJnn@=PT+isU zmNE1&DVcAIV0*WfS$}=hwUvf3!C({%;Y_4kTwzQ4B2X)yhW05EzD@jF+Yj{3p2Syu zZ-v{pcC64DLNCagQGoQ>YKB7s-y}@qPbCi1RMgQ|ZG^`qjlNYqeqjoDxI0O9SfTwk z<2ADq8x(xpH;NZtUpJ?gFuzhgkp3FNj>~HApReuo^nFeIo}OBVDEmmp z*7+CwSi^;(W_`)jvr=FMBe?9FCLK@XFth0XoVQTWg$?ZQ24L{>ZQr%GNCA^sRqv9Jq|L z^}wP#xM4_2Qb&?jTb#Y#Uutm7wf|7<#n#Gt6i#7xZ0^7kt6gq4_;{Xb6FiCO=(-KnA?Gcm`1 ze9lSC_IFcK@N#BGVm9XIZ=UbP%uLMo_X+;XZl-L{w|w5sl$V#7LDV$kNekhN-53E!H&_m&l9eQMU1yQuP#y5PkaJ1BKihG_LrGtd^7uIF{(gW|=D2%m z2$UWfw9--8C@U;1eB^eDzH`43x^cf*OOlnoIaE+p9-4HPb}nlyNx92O*thzgQ-jxV zI>AFyTv)?kezgqPu}n*|)owOMbj>(#I%-ZiPQ*AL^^dvC0!}--4f_lAO?N6Sb&ck` z)j(;g(S4 z+u`B3=DX#LJdO>j4!E#D|55tk#HCqS^BVF!y}=_XE&D{ftN!Kloc7q8AkCDxEtRgr zZ1EP&XnX)_$E)Ce^pohCTBlD09(7!{)qQ0B3!$`x)rX9T!FHn#J7doIwavq?uZ8ZM zuBOSkmDmRxi~=?h9eCe+vE*#ip{9J9`|@tLCGES_C2K$cwB(|=g;l3Gr-t?`FQf)B zr$G!$@>evnZCKc{w)`86zNv4eL)j;1#Vra|)4iTdefm=l{OoHhK-i}7fEGI4gy~V{EL_7nHXw`B|jM~LbO51eMiX$V9 zj347h7vWQ#&3=U5skAv&&4^f(nf)F5MSU+K>+np-l4oT18#_oPVal$aH{O)ll%3FN z3FjO2zzkzfMD~a4vW}Dtquw%j5y?YS6cI8=IYw_R`Qr_x9B(=d9kSk^ED<4G@Kk;j zl5d$KVFJ1i!=fi>`!;%y%JCYA16|=6WRyx)R!aD1nhQ00N`76&^>})+D>KA}dfg3L z=;oiyO=PUipULm8B5!p6Req?9^orF8b$%8^=r@&ggwwiWCL?FH5>5IlBGnSHoV`VCMjn z0AN*x5gG;`fqBj4YeyK^6*2G>b+tAjO2Cg8+|CE`)RBC`T0W>E^b~{T^Tt!_%a3c6 zdXPUTiG_=vekfBpGby~2%PYlTs306IP=PEzMz+VuU0k9KPdxYZ^@X*rJg0VwBxaZ* zn@7vY3;874Li>v01F8IbB(-{#qACn?YYm{XhV=N1DLxx*dy3_t>OeVeqY+-#s;n)a z+%@8WO7VmD4f7YcPdLF6q`sI&IxuE}mRvF`F|A_S;7S1 zRH71ZOy`Z6nZ7awHs077z+#xP`w4zy<&TGma`jS2mu6#ahO8(^z`;sFwbIvSQ)*qeu@6uyB2%o=YRP^L9_9#B}xazmiX^y-}cxYT)FhjRNYb~t7gss-;hcZX+ ze#6;cd~5KEu--pst)}+$k@u5tEkzsPK;pb^G^E`6ZDW=p+Rkq@jC6DnabyBhbM@m^ zJrFL-{>4W#>cW~#GQ3+fTnzTW3fY;vdVddBT}-@z&8712v<(Wn`wc;+tW8-!QRrt3 z?Fq~k2^!TYqYY7~t*?V7i4Bz)3qD`%?flvb$Y))hYme=6zm#7&A9@XaTbVutxVg#& znL`oYTz$aro&Ayzt&peTbnnMYg%A*PkhzM2Dr+FI%R*Y$AbwiRkn!|;vDdCnoQx}| zSHNmBMcaj@A!gXO*N;{Y9*+wW>%DJX2w@88&ZUOJB=c_$+A4AHVjK71&oDa({#;Bi zy&;A+CQCPR#esf0VyN)NQ1>8afHV6Qk2?t;5rits3bam1rC-|3hdAOXbmDg@AIRSf z{zTJY$`y>6dZ*5+*e|JeSFC{_B|`46f%Vp|oRO-;=QUpa^$>TgC}f&3p&=R;O!S=u z;X{iYobXRJ*mjf>iK?^L8$&VvSS1+LDAmWyoHtC?JV%nM+Y?d;iWl|@TENJKEr}r= zQik5G`-ac!gF!gb(gs~yT|!EBS!$y6;)rA;6@tp|IjwFyY8fP{kjZ{MqksrLijmj` zV0Llwvrp4cGT8RX;_({d6}q%~n58+~(7DH`?f8#s<@d!Y9UJ#3wV9q_H2Q|L`oS3Q zy_KT{STlqrAUe}G#&)XkwSdmDW;qK9IE$XJsfe@RM)|cnYUaOter4Jwdo#S}Sg$>2 zz65t=YHCGx4UU8iN`H@$iK$cngBKB=5aGq?Z{e_!3eNo~R=?M4O=yR&FI8>6@Qvqr z6AyJG!gNZB!KFs#At+h-dh{I%zfkcg=0=#`MG2n$n0FwAP)$(Oi#iIJh-`K0w`Z*y zIgsRyUnmzx`-=JQaHM8Z4#Y&MBpC<&IEG|0@@5bfvbllTVH9kVD_%k7SwHpmMoIFa zLQcmF@`uiD{}taz*?3OSCt`iI&Td!~XqKGQcJSHm1m@MQeI_C56^tvyvO=;q242yb zf!jFL7~d(W{j6Dq5&d_d>&z9;2(KZBVB74l2Q`Z@Mls3Btl(1Cdzq3>a3m*I_~+<+ zcC^=J{ED!4fVtq{;@*R7I)!^Q=1S2wTFnn_?$F<$co*yDdNy=zfnTqGyt8bcH{Y7m zs+im6s)s((O48dN?yAt6nI2M{d%{C0j#VK#%hA@ZGpNFkV&Dq^2GXoQ__In37baXZ z^IJP~BwsZ3$Hib}gyXGj_sRD}p}&m64AQPy>S&pEeNxEi$WTGJorQV1Q}G~ty{g`S z-M@WHfS+e07V6cQ^4a@t8**L;%;f3a`cpkimGaw(N8Br*O(i%6xuWsfPOEuXiDPi5F^>=bYv|vn94*y;FKO zO@NDaiL1%W!lS_>=~uEukLJ+GX|unEVi7O6Mp~tfM&4L;XfaiSwjz!xu6f*9{_`MX zEs`wEmheEmZN6gkUF#hRWA9V?noS=1)Jph`$J>PYRDojE72FPpKqTfpuYSa zx24Yo@zsUn0N}Y){);h{I&BzwADS7$2{DMscEDfu3%xK(eeY#1*1lbxZX9ikT*2BZ z=a}VrusShJewL^>iaVn;uK>Xs{GktXdS-#}DD$!R>Pfw$1z1_}cyoO{%Qz!>4{I!W9&1 zX0DpDuCV)A$LHOS>0TX}XzVO6zrA~p#e&Oiy;1~m3qi1O-}z4TQJ+H7%Pb1(yTZKJ zp^>^k#z+*2wr`^ipj4q*Cd6pPRv*|V)4~&Syax#1zt}2GyMO3&esXc}nn;AbTO_o= z+>Z*h<@-g)Pw6_C(rlftdD?re3b91L$>zD*KTUXLZ+cv3<5_s6h(yr-{*D-Re>0L3 zt;GN6ogaB$Bj$+OZZMq6Vf}`-IA*-}hN7P#a<6L9yy{$~8r?TxE@`390zq@r5A_MN9l>>E?H58K{X^PhQTZs-LD!HA#xnyp*5F@2A<$bqXeZM>|KpW)y zCgGsDnG3uNW<)Zf=aGsa-y}V&>N?m>$Sl>`$KGOf892= zfB9BI)>aONRu1;WZ0vu!O5pv|Rf6rWANKFA5^Nm*_UDlP?a#&g)WE*R{4@G*_XxJX z?I<+kztzABKyt*7)xhE~{-K7%^4EuB`Rnho{&C`n+1UPgd796z*}pnpurtOZ)xz=v ze{S`U7x$i+{cqa}h7Zfm+H|1^mi6kTl$iT^%1F@u7mp2MGWDN`$RVg`9b zeFtK7CPq$r4rXFjW)6DLV`b*zpa)$|R!(|GPEDl$MEIG)KLizlzjxJlFtxSOAs{(~2m=S%*%Gsw$dss8U|#mY<%K0L?}h!kKj zbFtC0gQqNP^c?KZ!isN5gu?^g|4)zoSF!$+SpIf&3?V z{7W~itgIdW4;sh9!u~7+CUy?+xk1E&jULo{CQeRz*8ie$&m{i&+5SHS^55S^0Q^b* z7aGU>ES7)BfeikASN|7M0>D>_i}hL8SlHP>B_n2G{lg~5qyoPR_M-j@*^4<0jdfQk!#2j2Jjy7;p?Sp0a2 zeK;&=c6PSsMmg^Q&6okJ1;!U5SGP#?6Cyfl3>@A%>EakaL-;?{5)n%}5U0n%s;XWe zfm{1VVQVgSP1yw42Vf~jG>$adsYS{sI?A8TDnK2lEEZAYiBgHaPt2OhSE(9phXkgMu` z_wiK)9&Iqd6j4D`9@K?ifv$qJ-d#_J-KFBb42_N9n2)x=qeji4ixHx^8ziCslnKzE8Qq7S6RThl>1bDW;1Sy0`RTFR%o1n$Uj#p4 z4Q9)CXf9{7`fQ0Sc-d@{9=NJ(?rV5@Y#zb?c@BrFog2`{;oldokYM(WGAvCtMK2s9 zs0ocw6%SL95)+XRQ;{<~b3<03Q$389UXt-Uyqg;!oKO)Vvg}%bN2D2bckAkRm!}H11#bi*@Oh?F>CjjECzrti4?a7^<_8Wf!CF z)Y!X$$)+}#wYE^eQCFhKlKp~lSnxogkU@VEs_5UiaJn9KIzqVc90ck?56{mvi3tmvt#c_L$hOA{(^di zU18~e23%lSc0hH*t}ykZL${+e>V>fZT<|T^p-A8eF?U3v`4Jn zlE0u>;7)Lg4Z`pMtOUghVRiskJj<_8n*blAM#C^UfDdw`W*8j62N42d?+=DPLgF+C z69P=)7psNoLmR_7;9F)vsR4MAA(WE6P&{xsRFbA)!q84|Iqdzs(B;USa$&^K)o_*A z#oA%W(Bp87IK{eQ*wEkL7_o~r!vN5?aB)Z}43hOwLI7J7Ogu`JFjZ)3fGr{>J|(TB zW|$B39IPT9C1sceR1pZu99k4mhPZ>@PZy>G6$|AD?E)}_Yeclf@23sZf{KI+g7yJ8 zz(Ej;@g?cPsKZ#pXrYt-v%Jc+)o2YK=d#TvjLFe?ZiRF z!V%(IDuzXYpfFHwQlKQTcBG)uk#54EB=C0>02>_rA%Hi{urF{w6~ZVGJvyNLkvuA( z{1H96p*oOmLZIF7cJQJ582YCG8?^oYa6h%eNMN6mptkXMf}rbhc1!@KEd6`{Q?~wM zC<7#qA5aE}9-UCxNFG&C2yj1T!{XrtDf{IBri}d{IKTygWf9aDI6;drF~9}BWj2%u z+zM5{A9Op?|3^dPv2&*cM$+~3$BmN z``tkNrrZCF)(txHXj!5F^M%%jT3ilX!dmWPbVyRYVSwjCCL^oH(@Uro{FD<;~HoDp<9%Wo8OV`--mZRP|) zKpWP0-fGdVs{sz_Uws9?rvjg0Fy09;-V0+t(p&xte#x$QPipZGI9Lbw4zK2e zdk0#2re%H|UbI=wSo0n(TFp>58VG{E8*f}n^fSUXl81EYc!j%1H@V092IHngfC_$8%91}w%22Gnr8=PcjSOoOhD9shbh z%1mD!UXV|R_OEV&H-}dXdYVN7*gCxZNHku>fgN6cD8TJ~IJcwk`GT+PK!Mv(;YB|sH4yB&<08h&4IrXbDzGe8$li&}>*+CoY|Fvt3?tjDBD{oc=c;`J<1Z0ig zv1SMZN2uM%{q}dPuHZKG?#7f9f6ia4Epg14su-4d1^1TYIM$|sP4ukZr6_0fM0>G7VWOwMnIqu_DH5O-R`3Wntc2q!(+6qhQBM6?dZk-(#cV;9FJ9wSh2U!i>r{gikr z{u8l7wI4lzASnU=j_4zCA`Za-CBhAS8MuaihqAkZ|1}X{extr466TJu3I7(d;LCE> z1?L-r;VBU51_&YWp*ewv_-kIRS6&AVZtVER1P-_pEx|YNy>SL4?tbjpDeK>8{}2w) ztVTDV3oO3dlR*&XIO{3C`%i|zhnOQJfdH1>q1$pBE|xvuF5;(*&B}HssKa~vdvC$9 z|JBuow}bz2>FuFyVz5!L@o~M3h=`tunTY>|?nj@FaI22f3KQOShHdkFJ5Q?)*X7B^ zQs+CQUA@`$qa9`)dtFxtXMJmvKHW9V%Jyw&*ERf}{^se!)!t-&X?m)_1l{#&qt)K# z7+!Se<*cpX9KG(+RHwHZmi->{lUmtdfh563fAf@9u85QB~nr>kN zn$ME6=z@Y+d_VR|x9bbRg@3xDL2k#ULo-!w=irdax|X)C&Xz+>@8jCD@@aYA!m>4O znW}Gz;{6-CqDV-JJn=Ap`n$t5V3@HLVbx)AV;3pzCpz_ubR}jOK(3Ew2i?;ZhP}TY z$35NMLem%ToM)~@ivo0~e=>A5el1(kmS*~v-_B+?Exp!0$mEL#&em;ghu5K=rLQq* z?uvBl{DafsBcxT95~V{+l2am+OOqzDQYI>ek>xQ;t+bpT-PDdncIu#Va>SvgXh7eL z519#gO^Z4jhdniI2DLgXru8j~(T+W!;MBK2(uoGIwk@Z%=DL1ug)XH$ zS}aHo3;UTuKT+s`wmy&ymmioTz-3HT5oV|USu|^+t(Lz8y+-alZscB_|2xg zp{>1W%ZD(<{Z1&r!sN+gUCG#@c1OT(lqglYVFUyNGxmRXfnLggHWmLob-ol;T_5`; z)Nb?qNVtc@y${Cfg@W+EsPf=DikX;TmiGN*y}_E#BkE6rGR02< z(f!X`1yX84YBQeShbo>~ftWr>C)N;Xh+Hv>6hYWye@gd+ zt%wUq#)!sHXHadCN0CS2M-fM1_K|Cnb;bCaJP!DkNDGKgP>YfF5%ytw#lo6w4#Ez^ z4$Kb7mGHV~)d0N+X34{1ZcV02SY1?R0A2*Lcx1WIl(CY7xT#Pi;DkBUPpu?1R>n>pRhsliv=VbB12Jv(C(Q}@E{Y# z+hOK_Uc?~iduPa9u}-l?cqq~f+=&pRr5GqFf$)y%gMCj?{J&6D03`+&gnbWt;t8=S zR**^#lb0Nk8j)0xQjm<58Z4&L1l)6;fT$oEk{A)_;p9;7g!eR%_u^Mc3D`}PE`)m+ z$Yyc3q<_Q})Fyft+I7z|8%SM3Bl3V}~b$GK1~H^nrGeaG-GDI0=T3L);+j5OoL$9pVS! zhv-A_A@&e@h&%-9L=*xK34#bij3LAjCkV5qgachA#sZ2lv@!Y_G#d;X92>N6WS3;T zRJ>$Cada_UF*+m|A__5uxM-48;w=E2;HLn5;lxsC#X-$ypw8Tn?T>)X&vl9$(DNNu z7i+#@&TQ;Mz2U#^(3%J$h^TuP%%XWW2H#vj+7HT3cOFAKV7jF@QbGr8N?%d`O{GYO z4a^f&Fc+y<_#Ek9c|J=VDkEhe-lMgBn|wNLdX=PcnkdU5!St$@2HN~~-KPN2UF|oK z{C2gWAx7Y8Y_@-5PY@FY`F#qZKSqa&G;M>Prs4^_ z^4iGgPBV=re?5YL^l&=i#}*HW@9#QBeGl?)+K$UiHR>6*>9@nhHx&C++^DxB&4Y_J z9y3?+jo#`G(7hOZVzQptt*>lP=)HIX!EazvI*ioVF)sRv(9tXv*-<;R^n3hKONq5$ zk2xG~$E(7WrRWrR*T0s{n_nn@snBPxWMy#W+M9eMunEvO>)(pov3GUP#Hn3ZX8q9j%LZK#S3s5zZ ze%Vl8o3UP!dvQEdz3hcbr|yUzi4SdRghn;JLkY=}4}pMxY=?9xs3ok#vMFV3b4ckG zAn7Bcp4|U|_CC8?W35E`jE_8;>vz8zlT#(9jTBR9q~SF3N(_UjkC2AMG84qcy#Cy1 zjDb?pSqaqMnS9QP3@a^CEYd7eEz*mq?nPgN@xK#{svHO$@QtC~Q$OQ~D~zF>eNy9d z!>oTQ%#w7Z9zI=vj!3i>{TwsfFG{>7|5SCPcP@(t8A^)BnF~wThXbwWR~xb-hKgS_ z_NWzpe^#-=%n*gh^txRj>=zAXn})6?U9Pvgt@b$X_Xm24 z@!pL{dVIb+n$F!^TALHCscQNjdXx}gc6slpQ?a0Hpr?V9 z->Y5&(yGC&ow*~SQS1FK+bZz=n|xkAii6->Y+RobR_|BLlDz4ubi`S&5Jh1IAgR!D zFdYzloS<=#;qu+l)>taEk_%fI>=YLzUq*Ln*1yaz+a>dL9(Bgb5YG;p2e8Yj97WuF zPArX7C9sg=u-4|**wpcz(t?AVE1`X~RWIJm4uX3XEqBe;s0~>#Z7OLy)?Os$>dWar zu$?P2hA{G0@}i@gr#6mYcc#Y3UTuBeN{7|pJg3I|mSv4Va6Zp@0x*4_ZkCgd{G<#3 zJ&m49>{l@i-_zOf8nuHk^Az(1smh}k7&r%7c9CZLJaWV*7HK@2vBQVLAKA&#Q!~S@ zusOc@G8~xac}bW36mcAfPUY6>5de(w%M*V||MaW7qerhr#XBekuKucxVn#Xr9)*2r zw)!jSwr?>q6}}a-nHHrR`wx6rfQ5DrC{bouZghJ&UcR=%+TmImSFWAbG&R?BEl!fo zDDj-Yr-@p$oolyRBW3Huwn|ouW?Wj17{PG_f>7owx7JNgWw(Ego*4^>olq1yEuTqM ztWv0uB|+%cuTz5k0#j35WHfr1Vnj_U zd6)|4%*cO#7f8n4LF>1n^}(4b`%k8FMjYp}&^?&9Fkf8Lt_0pGz1jYK zy`55ev!=Eq-MIM%jH%IZ9-Mt4&KN5{0L7jLPsM$LL&$tTEPVB09<(Qy%A}dnv;njj^3EV@fXK_X7M&K(fO+dnNFAdp@F9P%{PTlXWuR63a!E zIaB+eV}VDY4IDy&%KfgN;%;eE+_K8uwuD^C)D7m;v1(~X$f)1dTcKtJ)5zyWV3Hg2 z0v1Z=pbyGC5j4MW=c_ujE8*?31P+P}q_Lft&iSs$YD&DyCgt9PFzAQ6(S>8amUFd! zJKO%a@{R1>TnI|RJz@S(LSoWZ!S*V{*Qn*9Lrq=e!TCL^N^GI9e;&{i^V^w*2S@u` z)HHecEZIe3Kc@j5lsL^iWzV+VUrZFBl^#|Q|1+*7iw9(n2amb$->30YpBP|OE>YwXf z$@(XRIxYvj$bL^@lggvf!OmlB&>{O_p}Qb<5|=TT8efYfvxJv%`p3mqiXa(@GLB;~ zLE5B@Z+GmKM)B+#P3jDi3P(5*+{~#dCOhf060GOLMr_;fU-xDNQI92%3TG{OJ^0UI~w}x!4ok(7>N`yqeB)r7=Jog$XC8U88 z)gam%M0n;?5c!*vthHk;rN!SxL!NkY(uLg55NLLeg20G*V@OJy(Lp}<_itZSee&!xG1kiG^pMb~ z3ZgOle+>++c}JepN2n>f{b$WtgE{meH_Nqf<&H=T4=T=8v^R1{j)IqIAI(5~m(;;a zfY;=BakMs+eE;t210g-Z@U4q#mJwC!jZ}+#O49^UeA6OR4b`#Mxd1bBvC}5VAVw)u zd0K{6c8Dy*|EOBn!b3;kBp#4)e!>2uNKt@%wEz0YUA&yOu}`XcuZ+ZkSkZxttM1f< z49Vs{DUhi^I?Fjlum}Y|EvFzMkt(MQBx`BV3ghGC+laO#Eq-G-KT)0}h+YXO z>1_Xv-CRNZHDE4k0eS-dSw{qJx2naMa!XK`LsBIco4ed#ORP2+g$;SWUnGG*1~p%H z1#^&=$)1D@gAGNpe49{17hig(o}s#1NwzIYw9<((q*@{!ArnJVf(oNr&9>@H<+iw1 z+8Bhs7c?4~w~SeYkf-*dM-+E$9SCFs(dzrc2TJlOa<`t^3jcRHu47w$i)>WR%+SHe zGKPI)euy$h<4$KZuB0us@0sC|d%yd{GA@R?U1B8X_We(_Q&!QQ`7;3{1^wl%3~jcY#wM*nXSyw8XBo)|eV`Y8ASwufML?(4C!Ix#YgmSsG=*h_kKqDaLxN859gntEZZhtQ2qzM zOtNR+pR%fv`|@YN-Er3NlIeMV`vm9`@9~#Nz1P+rvlp3fNB2w_Z_r-h_7{#nnNOkD z+k}RMPc~UjbDvlnn87>;=yfQYn8JAM$RLKd`I`|UUm^iu50O&*Wc)_Kv*c3w z2}aBEamHaVxZew6^L4U}uvUJEsb=uU(`$yO4ALOaFZ@qT1%!1k#z4#1HzNOa* z;ugFw(~b&ivyN3Kmw&ecXCAYUFZtx`u6y6ik=zV_z8&quFdAbCiEDm$s3+6B@NEq? zmCz)}Zd*n##&$QG{0zQ$E?I%T%dS|369C;GV%u$1%J*?k)uLvsGaEEOK9D|w&zB(>uD=b4GTl&^UmH8@QWJXD8s zxasohWG~t=Z}XhkX3F!VT|FGCp>?n}3%fFx&f9CuI+FS6s1G*JPHa}SCh2zMFPriS zBkUz46ls}N`bnji{9_gRtDt!FgrK0x@91Ysi&R3hUqsGD{hJZ$YC4$s?|daI$vbb0 z2F`23v7!;L&^F`LSb}WJhZ}cZ50N8Qq>-{#ZrqrC8by*Q-m`CZ=NcS2g_#ov3saW0 z*c&4>0XyK2kFMkR%WMCEFvIdb9-f+R_T#@tE;|MX7{b-yi@WtS(3RS2BxIjK;}@wv2~;K~8{%tg8|*4`*< z<6(Q%W9cgCKTDbuUn9-)c^Mp9<}i4~d+39sD48~3II_dm|1G$bdNGMUFeCxe9f)2| zIlUr4L4>(P3ZXY3>sQHVgxJ&;7uojVDSsjjLc3Cl*K0buwMi_bzjtdB3bgwvepFQ= zW+U>diMk7S?fFeMyNL+ngQQ24O1UVJec)a*2iQ zP4V?;!B};T*#@AjXfD_dpPMok?ZEG(Usp~?Jqx3mnGD4mK+Y2@GKCwa$+(-IHXui3 z7kTsH=HK8ZEzm>S(r)?#S!Q3SQOmS5=wouB{-v@t|h~ViZa2?RMI~;{e$;v%>H)h>y5v0zbCgylEn+><0SWk=Zy8oUHi0& z&t-M>w~zDe>D4WBw?$&!anJl^E6+oF1pKYn?Dgx9+g-aWP4oV}dlAzr^$+Qcoay%t+T8Ux>{mPnVedt{m{VtDUpYi4?>=%CmP=Io^}U{3cD!$9P>FF%lGKsrZ)Vs0Om5w;o_)STRdaN5g)Ysd=Mg zP_oPm)H~e@RhJi(87{NE>{k3u44GVDK3AaF*a~e8zJtk@5N-VocjddSlX%&SB{L1j z|Hr2=z;>Q&zV`B?_pyH-g4=4knw)i<9_!E@`?jhi2K`T}P0$!_+IV=5D)Itrm3;ZU zfC`A1c$ci$_48R$R~RePJWhGG@^`EsYW{l5KnyRAO#|?ppHUr)Cz^ljLc3Z_OF!Hb zia5KZxHT7Fg4@z<8G;;xpczT%=Mlhw2RbozJuu&+e3nMu4L)EU+2@5?-B`eC+kul4%8*({Rtnf>aW8c}enUQ`*0 z%}&DLXxlc2`5n6)(;igimNTZa3esj2DcsDjvo%TA1_1wI2?1P zWMwIsma3(r`__;1|^={5;gI zdaU%Jud51r9-uoGYpkjFyiPXISU2E$Y~HM&vw?r_;~KW|P&I1z(i>9)^#QeJk~J*0 zgT~I}e@V4%#Vm5sBQ>|v0SNt;l|G<`? zI{lp-+uvp{QRFmsihYXSvkV8L*CifyJeg`LeK~xLOTtaeD$SfzsoZ;6SWe7DV_7Lj z&!U{i)vL0I>{cv%Fp!MiJTxqa^K2&T*cnVM3^@lq=?)O&8WtAnxr>Ya#2R^(@~b&I zH??YzIG9T$hriXrTkhoU>1$MBn6XmEI8Xpeb*Kq<`rTImYPK*P?h|UU1esi`Q0Dy7 z#hEYneG3B%W5tk_kV(NvU{6Ktf9DUG!UL_9(7~s#`ziSYO9S{3nBzu~pd7i9;bUH1 zUP7faY_!?#LGrbfuOkw#qe?aRM1{=>q?Xy`yKr2HlYB2cm;&n4+1tBt1fZGL`UFW@ z2|1B0CSv9w)tBhsM@X;lR254XgeH_??#t!ccXdrf2Q2b4MU9%kFISG8>h5#Z3n$_& z+dRVJK{eaC;N3M!5{c^pg}D59fd6PNBVjCKe=cMHYU^bTV-jX>+n^ZraGFkzu8*>h z*-m4dj7&N`J;Q8dFrVP}B9Kr1Ld;iriJ-Z3k=ZVSljg1|JdZQ3Ls44ZtN8ARN8PQW zJVN_i#{<2kqV}ydwzlTZpDQ}Lwv6{dt8)OE95x|HK|(3oPAwWM+2(1*W^SR8H8;^o zoB#yNy_jZ8QlTgOEH>PUacRwy0zli+ym|7F6W>D@ooA{3rBTPl9CSvy(w-rOGW3n8 z8=Wif((WiAb(WVK>5HWj0j>?PJ$H+|$o7bb2ctCK<=vqwccT^mLp zXKP^t$T57T=WzwfCwn6K4ku?xIJYHiCq_d5!U zSTBWomM#_R=^D~l+kgV&F}u$CdQ{UT7;X-&|FP4E7HM6pdf$onPtT%j42HB~paV_n z>JJ#LNlR===U_iG>c1NiTKjM{RgfT~s8p?}^p2XG$^F(Q@ygV0%ARB8Pcz@NVr;dq z3HGtCn4qPnVLm!=5Meo_RusVO2>t;@5?Gk`HFZSp>n?$kk|*esB)puZu$ghQj`l&v z_xtLlfWdPM4c6b4E=Yo|QI_v?@iK|e$?ZFtqqlyd&+3Ko!KS zB<<5=D;OI}aaO`w6l$M~>eUD<>%m(uuK~$rMEzQxCdx*3&3Uk)8v_BXrq;#9^dHy8 z#mBPEuV;}f#tAwl~h0_J~j+Y%L8vP0b`vaTiSMibdP1J{>KvX4gvHa`v_ z--iFb-_@H;i}qO0VthXCt7({u`S0>2*KPXkoe7~dd;wpIHI@X!+lb+r*NbFx9Kz9n zTINs4h0$25tgL5BP$&|AM;#Gibg!$Wf92!>rb=T;lnN5*@Cjmp+Mf};d& zUPY`$>vW)W{uj8zn!D@1E-oO#?QNu1*+V(fHdsPJ;+k_^!}9u=O#A|bw|ep+^y3tw zJB&Z4E^W{lC8-H&v#vL9?F{|B7N}#IwbI&2XY*@DRfj#lcdK+UVG3QN00TwP`K@&> zxoM61Q1e+r+P>e)K3c>D9*<*aOmGM^DJah-h;yERB_u)4k|<&}cQN;qEVOxUQd_5A zWdi=>9;flUZW!?()MZzHX}}n?H)I#eM5;jWLdA>Vc%;?`%ZWKybv)*7nNn_F{!68D z^*0i=IhXsxuRp^}n7?F-*%5DYPa#%%6O>sxFpP+IowpWrZCf{yuRD^c4wUJEnAQ`% z(J_<3>qXOOKi-6q+O*7@v`avcXFjCpn4?EHELR$ALQ)ps}W-FIP#))E<9dd|M~de%AU|Xm^ic6A;H*2 zOJ*ix{Q2->4vvK1)lieZpyS>I9A}eE&eM7k6y+jU9 z-vZ*;`lSFpKth|w(Q%lkh51;Wg)P6&lErM-*a~DmC^)g>JhHzfC_L&sg8VpyMfqO> zT30*~RRZ1bRH)E;t`Za^5wM?Pi!-T@cYao@zz@UQk7%KdQ2dsHgxSxD{k-dO`4?LS{Fx|Dy!y&m)5_2+DK0roLy9@GyH`sEx@om z5~4WoClng5RWf!D$1Pc+k*!mTtG0`)|0bz*e#7-IVdGm|511xQi1HYn>Jdtl#O;|Lx{BQ+|;A^a4Mi&H~7MLZI<`{kXXxdbk zRXm&c#okE@bTrFm)fc5sa*~HfM`fe+`8 z0o9fgm5NXoq*vHdbr9dA=%&YK@Slw8q~AIk0eDZXKxj&40 z3W@Vr8C#Pgz|=?xu)Opt<2TwV`BZ^2xVRaLaKqYC<+_-u)xx*J)Wh<2w^mR~F1{zO zZ?iCA2cYnu4>f_Osu67h+m!08nYpn=?0LVKzkZeDN&os%JPyjr%O_9xVXSKdN}XZE z2e~nVi=6a+lS33A9T;;?7sj<^(@ei@Wo8x<`<>!38D!1jCi0|ZkvA!wRSv5gxckN5 z?q$mXYS05c42w@#e{-l;mlM|IICya04A1E4p!GY&QvlOx3+h}<1+g~9k1_u9H+N}C z;2IH(!4M=7-vPP2SmxW~#3aWCa;gt~7xq>)B7;sWm60LfgutH}Vam{Z1XH_8>(O6| z&x|A9)l}3rH;*eN%jHbB-k{~02t1ONuH})b&nR^ZTg{Cspy8)RdXQqqG;|gOJz6@Ic~=BvxA9N@T8oHDkzd#&#vU zk@_ZGuHM+{MAXEB2Z^Bb{CuFkI^{8w_WjtPY|ez))R=oNRnD`;(AjXArHoe5$sk$1 z(mupsf{!)2A|>NDbVxQry+sKx9=GgB5U0Iv63Hv@@Q9%{I>NPp)NLTd(v$9a1jaPG zii5h&CqRcphQ4frV2g@3Kw*^NGXu#3)%oPkWJ&Hft!pNM0r4yy6(jXT+s;Jw6G4J! z15)%^7nW4js5Ncw=rsjfxX-Y996|-?@!Z55ZtC+r_U>a~*@iy5hL{#R*3;WK3h*FN zkr0N%R*{IPx)lS(q~e!dUMaQQSbBcpyk8gjI;g8hf%Qn^ch=tp+&_FSOj))QO`p6Z zV%nX@K$zA(RDG*)sImTC(lb`oSC8VxMc(&1Xyb+s#=s02Gqu(;LyR_V_Mns)HElQv zO&f22B709i!Bn63s+DrxiDVY-ab=d83}F+eeu`GrcfZ%#%S*U6kskZ#~885t5 zF>m^)#HiU{+v@Xh0u}C1_F* z-m)t@sCt%3GY9+=CL%9j*o65)C;x>@9(3GKc-gTM=Ms1RbzRd4OTWs~rBoc>6^(b* zei;hA>R7Xb#aHi3Bf0vO#*A_wg9kV}el9}L;Ye<=m9;ep-Me*8u8ASg<#+bb-p26- zsr@l9%ewnVTZLp#Tl1~5(dUC$Q&xGo%g^IYh-u;y(d|nk$&{nB+q6;O!yY(x19TX_ z_kAtE#&7WBWv!mM=>B7Rgx$4Sq2j>pzo8f`=eeqy)n-D9QqX8!(#jFJ>V->>?V^vu z*5q812|jD#(y!#TPr4LO#X$5C^O%uV>Gd# zUyi$xS*=LWLr1#V_&k4UNpHb_{_Go(iTi0%n}BY>1M#X=yS>IaxcRlj1JnaFo+|0e z`5L4XYWa6{s;`z;A$WVYAX}`>zj~@@w@t7&>B3TwA^7e4FhaL=Zd2TJF8tJGw66Q$ z7Yi-0s`zxRN~2q~E$V`1zP5~k1)mR4Q>Lvch8Z|z6Cjk6Ld||hT|KLa06gdTtra&+ zUl9B`3BCBh{2ZkmBt=)HTP5c% zXVOdYXxwgS8~-t=3o4%$d%Gwo`sAw0?jzf;R=Z@+3Oxx!)%)Oq={6*rE$Oh7V%@?( zh#QWv7}jL1dci=@BoLFeXMpkfGNlfn+t5YQn6u2u7*m*N7U@oVh}Q3#P1TTE^#uYcxyDLg1R{-UnLGa5T9-+rHV{ig?Yq^VhJ@2jxR+82bHmohgy-*sg1P zBDJqrw7m~3X#1k@colH4_dDit``XLg%VzgtQE$Q8b~j{jV)09(bx+!OsNk@?8XON+ zc$r)|*vJ~HeGeK)PaOX~6B~c$(Qpv`GqUifEs?sx^sRyhfk3SNdR~>u4R02z;XRnu zhNLRJi96_w9MoYztd2nm^t`{9g#IaGmPHt zp&@~D>(%{+q<-M&EqJ=pK%{o&)Y5U+deO|bW!CIWF7+s}E;ToozBao~Jz1_!;H=l~ zQb*tEG8AZkGLd{TmsdE$a-~^5@4o!V`pa6Ye$~lq4}^;6|LeD#X1#XdFj{@5ZFI(e zyo;BNIdTf`tYjJ9`lCk~_A|^igWvM&Y5G?K5I}zk)vD*GVG{D|&>bIp!p4njGaTD= zO{_>M=W#rFB&BlsF(Fxal@1a^6W@Q9#fQonjK)}-1sZwM1Z1@t*64QhSsCAiuWy>v zy*V0tn}ZyV&KK)cEHI{2%!#UO&XeXFZmT%-{g~m?&%#B*g>f%(W?d?*p9pX$T7k_* zz2!>+@TzWqBQW3{4(Br@&>&|uz&>%!O=9Dh7pOk!z|ePPff`2xEsSIMXj&eqK0Vyh4VHseh2w$l&r4e3+6nL24&6%dD6DE9`|ol ze}oP4l-`!Uu#rU{9&dK|?z6Gnx$dTEDrq_DbG8Jp4!3v%;pp-=#rfO2DgyLVfe&+O zN7OSBc$XmL!=Q)kD<=~(5=}qZh}`)2qbsA7qO3he)nwdpcp=f=FHV$B~!?Z2} zY;9Au@YbVvAh+NTm3J~A*bU}Zy{e!&4IsOsbxUGoT4x`8 zWZV*7)tB#iBq-gT$66^h?GK%|*u+rw4n$Mv*NE-4v~d#k1qo+?b<}O+xdFN-L z3sFj5pNAIF{GMpkslfNmREu_uO}ejotAckG>R+|;Qj)xw^t~E6>Fabw={P#zWe@%s z{B}#xm%`5#KuuI4

_>(Pb>t!niinxHfye5@j)%J7J1%t8Y03x^E zRpH0V)-e}bb{{$CB^3}E4=98(^cnl{-M%+ME^6zbEm2H3%g&#HEk_sSYnPG;Mfy*^ z#@tO}%TnjGBsx77Cb5WF-yut9YZ>M$McqjB7DnD6?JqOcBg+btLy{mNXWjsb8jJoH zkG_oQ7onYEf0&iTcE&qvJ060iEc7bJG+#T7I8G5wD^fDVX?c{EuG3Q{PTtegA8)$3 z#1^5glL-yb`$tc~LCBoH@V`*=|D?Z6G;BlUu^AHl29$`|hGC}Tzpz;|ZW@~%n&L5b z&PT2`LAKW*boG%in~4Xt{ZkTkfnP38FQjrBTy4mKi&?8AlHi6c2}ds46A5+*e^>X3 z2Gz%%mVc5Ceze7v{qScHOAG#crvIxStxxB8+?}y@bxRR}QN5v!>G>g=PlW#B*YDIb zC8ZWepvAKG=BB0#i-UW9W@mtbibn~`q2iQ*eMG}ZKa5)x@mV6MYqQ|)O^(M)nfMl* zLv0_wirZ_hEN|Fg64)?buc2ORak+XBJl>5gR$ZhUT!)Xw>ckCC8Rl#FB`a2)hl;mHsx4rE(HlBBjmp$p&Th>q>{7k1C)RC}R z*#kJ$N?ydwB!4iBX8D|AqZL0c>`js-@O8VYwRU6iiy3{_|6DRzw9fyVxK;hRWfYzE znci}Cehw1(DNo$qkXn7TJLuO&89aH+rQA*No@1R5Nv3xrey=XIi|> z*N{C0{?R(@bea5U`_IGdTNnev_KyWcHHBxuMxnylj>>c->1YgofLeU$F% zp!@L!Y~mLu;E-7bXU6RK+R`7c5$X z$x*6Z42LRX-|H3^O0Dx{48%USN~uYiOTb0=qTD;~ZL=jm)ioxp!-{+>V{>uVcnYI4SFpO<(-YKbkkCnl8jy4{b?=9x`2(#>;K8+mbh z0U-SgBOPx6WUb`)$Zq|gCYbejZgPjORQuea_Zu-c}!3$u+1g?P&9l?~%>ZesZ9qo`4br7@Xox5tmE z-;pfk@_iOdC%(cO#^vh$O) z*C74P9~EsyMKq$zYa<)PLVoZUwsH-=a*e(LiBd?CA-})$$yYK+Zz>FmYZxo}@CfuZ z0QX@rmz;mB{J)MGREpK(^)Htu7s$WRZ|KEZ+|{1Lx*Mp(La`_V(V!Z(RJ-Q1GJH_H z+;#Q!cGrKx-poQZtzS@yByFS_KyTUpg)&}bqCot`UwxPjRS9&`zJ8-nwrUW)8lP?hk@Ew#V0d%->caznrod zcou)?oG(`Ya3tc7H&2iL!rL4T>aI4&%U(1;oUY}PnL+NAYG@CjDkZ7$ zqtp@gs>u)V{XHo~(nFC!)oHJ)hA}M1V`5bXhb=e^R`k@b&Z|P%ozwBx8&~g2@to2B z0gFI%zYNM^lS8k-Ya-)AKk7G`IYT&F__I5NYn zx@xJ4@~lEB8kV9VDH@cb=~90*P0CJ{a#T{TB2AepO`Rf5Es&<=OSTX$zu>38nX`#< z9C;xkgc@v^A6Ycz>~P;oqpdSqSUxKDI@>(kX4^$Jl`ZZ_X||QMWVKAWK3~eGY5COV z+ng!QYx8&K%Z-Tj%+}NHc!kQm=VV>oDaf4n4E(dnYbE?*311YmE{T7q&1kXOf;Re9 zDii;nIQydc`I5rE#DOn~=2zy+GE`N?zXm<_3c9N&wt{|>{}c|O>9E2f#6;IA+1g3> zGsFUBQZG(|>PuDXv41IMPfmI;&u3DekmZLJvmbXhvJo2lT&=>!(UY3t&?&FW_@C42 z9T;=Cn=8L2ORvhf4(Mg~;*DA5POZy&wx8u|_4?86XM5f1(&~-qbT~3b{dzq*-Hdqz zzMxUB2+2AFG$0ovvke+hMDFDpPy$igq4l`#0+$!HX;TX&+~@i97+|?q!n+{MdeLFZ zqEaM19VvN8CbY~nmka*|9e*AWI|yQTfY6cjA00$+{Y=h|4q%HQYRgjCQ9bU#C}&@J zopjw};+}`3)a#tt!SsmRsQT?|Dx*6CZ@$_kz2qJHmrinKhteW0gX+`^xGWJ2q-8l| z{n+RE7Nm@&3z)bN=zH0EPJ}KDZGdNoeZK`>A|DAf7 zvHOKlfeiSSDBOv{;qT;3R1`E9L=jf_WrZpyef1(*K5;+F$xa4RTw1wokEW#5AF|7u z{d$`+_LR<+nVz2E(toQZN%>4;&j_Vv+BIWGZFaTEWsz!B4ui7H?X{@!%CC8}P=3{E zRAUx&P)pH`P2Fqw?amnK<$7X6OH=3#uPD2fTZBC2`UbtM&%*r{`LM6qin$OQRy2$r zWa0i)&nZ|;bnbALk7j|7xY;(lXvkO1!=T}!$wakvAui>Cp(9YabU0QW&bIvg4AYf`JSc9DaN|A zU1RasuTqAxCQLZSSC(HO`NWfV|eFn^j8<`MW&Aj}s20!Zd&Jmn@x z#z=DcGvXrXiDMYo8odH5j0cQ8O~s^-BS;^PNokUQe^XKIv_eW7XB8DTf~ZF2DLbX@ zI6V#Ee+$9oJqvXu#XU>Z!)D0;7t&TogJnep-siQr5y;+WcV6TVIW!tah^rMSgnxeP5lu!)DZaPt6qWE%O-7ssy>(^&Q|x-`#AOvov?}=%2wLguu_<)8-DmVf zyxxoyqsHv9U7625Ni^fx5XHpGzZ|dqCv3dN-3px|6}nkfm?2c$LE~klt_Y)+ zEkpvtH0-UTO_DTt)7{~j_}zv=g_@6~T7OBOtms*>R4rN3d=9_Uq*QiPrv_^}$|Ssz zoZ?Bf$?BEQjdj2J+Srm`n(Rh3URqSIdGWVzZ{7OV>o2Xv?_^+t!Y=_yWG|lZeLP{9 z)YFzfLL6{S;2E)QW}ZpPk5a?!z@fwmI)%eO!Jjx*ntWs$k$hyTCbTE$k4scKDu2r5 z>?n7@dQu$H`>ECKm5K>3+WXVYl6u+l<;zvFE!E@3+r{$Qow9H1+rNEr4el4nYFOo8 zNcX??s&xNzdK+HZ*JxCy#^&L9pBg(M2h_Xq9zJ{L;Hd9etN$6=RYj-KQ`q_Yo*l5N z{Lk?In$%oWrysyFO8*b&~uKK1O5 z6Lm7*Ht4VdmycPqM_q_t&!)d{%}y~6Z$0S6 z%Ss#LC50w$K{eUu?eun%rv4r81#^NW7k+GC^6~unZCca8!mXKVdl3IEpZ@W{rR{B> zEJq*Ky^I5YT;IUWjZz#J;e`4)3z61#VZ}}fvC>Kf`2>S!@+Hd{lbq2 z<}U$#rQ!k9jH;KneKN3|Dns2#Tn{)~JFe~7%aT4J=FGr-49JhVhS()iPZeLQX%!81 zV<4U$F6KE#8=%Jx{t!CHDRLOfCRgz|pJzBeD_nh3O$}BDJLpFwEGs`l) z21}@V!M53US(BAhlWDVui*d;%wt3gq?ka1jINW8i;FU}5SbzEA1-VTZJNQsk~0gtdr_S zb2AKR)^7Sby{#~KxeUAW*_+RGHbfmTC@79-YF|)W|}=g z$Hfn=FMlX*UyYI3fqr-tZ-~*?vSvxiJ!2(xWZ@25C8m}mbZV)ffW|ODW6DJg12l#m zM8HwTIQpT5&PKF5Tcl!94+Hed`Q(^)>1m2W)`DT8f1Pw23Rz$3AN9pYH2snU7(?2y1HQ0*WEZep;)*Hkv!C6 zud2n80cKfZ)WGo5EdEI~jh%F3#)isSQJt?~bimiGZXBJYXp?VVv$Y0aa!`Z9wo>Ve z!hdfv(epn~WhMRPB3LVCWh#|Nr)|COyj6E>nv&DDsd3t}c(|nhmNhG{>&d70foE-Q z%l>Uz^@56l&4HT5(^e1UWi+mBs9P~Tc-f@~4ob5Z9$ZqG*Rf~L^fimxGJ=im%PJdo zca;^jZ>lR>zOW?}Y3*DgugGgC_O0y9u796a6D+@Q^aq7)Gp2`wwKH4t`!<}1QQr)0 zdxpI`9FvT6)W>~aIe^U)2e5EVpNMBs{V9+p9j(%P3NGrYb$R)zoJ6qgofZz|IYU_Soa zH;Yc7^ibcmFxm zxA@2Zy6eV&G5%oRt-Cs_eDkk)e1G8EzdSG{vwr!u%jmk~q5r3@>x*rwjN<)zdvDu& z+uPfAz3bYw>z})}Tf1)S=w@3P7dF8WK*m7F01ZUNg(igs5)~7DGRDM^Oy!9L^g$C} zhzthd#XX=8CNoA1;n7DWAu+&{u;K4~x7~)plJK>+y(h~#-#Op+opXM_i+@G=qS$UL zG7q% zMy_&r0fh#b+1?cU%ImT@Zl7Eeq*= zJ?KtwT6_+rEh{*#T3XL9qkpH1MqS4~|;MsY~}< zs#_eVQjSxLfP%>H7Vds2JG}9Be^~QKKL`%ANuz`L@i{j?iOj(!7k}Uzqqd*9O}stB zEFWh*G0M@Jq*o%X>F* z2o6$s4seNuYX?u%UjOV!QQz@#W7$3g*qXSLps`LZuD8Y8+r3OaJpb;oe13N|mCB`D zfp`c-Gc{zS!{x*8zchOG+~v7D?f_?lI*y1m53NtL9o8;n27ij~q**@023=6}ARPq< zxwZ#M+k-dkWmDcWmD#f=j9LQ>^QW1?PcoF)HgPp$3Tl+@v?`wb&M4i9wr1`QQP}Gm zPpu-@ppy~NMQyqRM(#-%m&_oHr`Ak}tx<}7SAUIhH^BuOtre=~ulaSrf)rDpm?}I> zB*Y1VO)G1#>3{zF6>SYUokxo&;uF>*tC)xFGw*<=&gJ!W2uVsGFdYJyJ;8;0>r;us z!#WpF=xOliHNzKee%4E;>)RlS_kzY-_-Rn-wfu^(K>s@pLRi7(M^AruV(Y2ts?Q|} zs@GkfYK(7tb3B!wdT;kxSlcNns{7Qo*@Ow~x&oJoolUDAuK_NH!RqiS9&d^gwB3+DySKL%|N8Gi4qe$xRG*rE_V~7u9JS z8^la0?mx3*^Xz0ru{+CqY3&+7X8ktsq`iom{G4T0sgTmgvIS;jnUP`Loh%b&X&yei z!>k+FT7R8oLM+q9G8&oag8 zO-}BUEes}{bm)Gm)XdxGn-yL7SnUWg|L%pzt{uDF>zJ$Om)kE2T#1!;(;XK3T_2aF!4{ zmfRWECHxKAM)2-B?RSI0F#M=sdJ5G?i4?GrVqp^GW*gk}2+pw7_{g+7P4B#Q~UVq6O86fc)m$Xtn>mJCHx-F(TkUD{i&N|Bq z=eK|Kef`Dy{$XS_P;6A3a_^4Wm&Z;{7PFHdyt;Wh(;4oH**Cj&=Qu3nTCB;a@9MvOWXfM4u{M$IYnlTE~_oohbRH1mj(PFhB{LFR2)LyAU5C z6XPG(VEB-TLP+02WK!WIEkv4t7k_+-EJ#&ldbP^Rc=SPpevYOp`aOJSjX}YxLvs4p zjl^}rWkGaHt3}b*ZbVWUl!4g2mCu7g5olri12v>bj$46Pv_pOTiwCJw2}xAP&YTg% z(um$Q(sc8*2TkKt1Wj+Z)rCcej%wi$Y5Gd95eG}g6%QW8)<3kovP^_U3xB;vfUaJx zdrVvp_am2{U#5R+TSbpqi zefHSg?7x%TADjwhZe(+Ga+ie?24$CI{RT0Y8xsb7m$Ll^6t}Ds2CV^?+WrPImx~n! zu9v$11}2wZ76uLjHaRnw@&5)Re>!PYT-SN`zIEo!zRxhAH!}~0LBI_ACd3TOunk&R zMjC{XSOfxD2(+QamQAEs3zlm;cD*Ft5+geebu!@Cm2lO#NO6=jvU6I+X_MN=ZF7?L zG}Lixr>$k6-+eQKWykI5>94-wy?gKX-R0ZwcdvB#;E^GuLQ{xF1%qS#e-lW4bUr9r z2PY4^5^Z)jLevw(6MM!IZT=I4xT|~i9Uo4#b$^VI<@(4_KlGCyz@xGe03@2Y1j@{j zvBSp_ZSCt&j_eyBOyYHipAM z0hxw2BS!8-Aw3EppqN^af9FSt;JM=B_b;%Ucj>A>LQaL;@rQr=w7kwwADiyE^-s$` z7mo4I078i<87+_FpIQDnLc)z(|8z?s9Fu2CBIP1&P8q1x;%XFxm%^2}3{@ZzS0u}F zTsB`J{-m}B+88&Z3fzRExDm=QlnqeULsU z%+Zp-p)D{IM!$vrz#QI)3g88@;X48E6uj@j%dEbRH@>VjG$J6f1Ui)fss#N6UXT?o zMlN`#aPd5?4%Dh~Axx%&uL$ory!XQUATFF|b?6fIhrWi*e?PM-{@vWF_=`smh=21l zzxeaFN5#Ls`Ly`6f4B3*pT1o#{^ZRx@vS#U#2asZTm12xQ=;xoJSM)0q1f=F>!-vY zUcVsz;Ch+(#^Pr2`-?I0+Tt$p>f*5Y=Zjx)w&TGTJP7U?n9V!a}n{obG_orbA#gi++Hz0cU1h&+$r(fb3Nh7QH zlh2-f>*VT5f9`nC(de<+qfznbgGZk|N*~21qIdV4h~71OB06ybpX)gjJv)0QDxP`p z%(G|cGxWje*2%s}Y7#2@hsUDvF}!PReC*yadJG_kdk#hq%^r+~4)z_KI5>5XIY^C1 z5A=*jCuYZ^;~WM4s+NBMvwZ}( zELsU8HLGRN{x|9@sV7G8&#ZiBa;kt^UVq-O4wX|CG+6f7STM!1Gz)=m_xgF&bda=uS3o^>^5_`T;Af z{)V}C^#feE`mac!@1}=Cp`lo`tFxnh>z2)%+9Itj%}tHrhWfhNP_SlW^@gg-it@73 zfImOa=grELJfhuf(CaiRL8;(5mZ2$(^1@PMpDXU|i!)xSxjCP-rG5bP-v;Q5f4czG z_}M;j7a7+NsL%8aLC@jO^$aC?hSqvwy{j5k=jXY?k}H0rL2_NfJKAGVf1p9?cEy+E z`X;%~c;$u$8g4g?aE0w74X!xubA{uLlOxmNzJ~lfJf{-srTQTuKM&0bDyXWU7WYXL zbJ$md8x=7fA09!_E@;V>2`O^FjOzk73b>XygZj{G!8VQ`(1N+ zuTNjNq(=jNx$520?*2Psak?J{P1E7&>9cV|Zahb7i07R6r5yw~6wi|y!tq=Q2#a*A zEr8=}mR@pAe}sT|Y3VmW^=**;B!tV-e}o8 zjrdf1EYWtM0p~ml1#-LNR3E{-p2Aq71T&SwtPSszK(b*e+?V{D9I?lz23+}hpjr7Z zE6!vA-W8|4eFK9dr0gG>mKuOVkR|Gh#X}8H3H2w18J;T$K>z+eU}BVrp*m1419?5>2Afx@uPpJ5j0|f2@-1L293w2O4x% zeKQP(5{A|{JKbR1^7B8CRR# z1SV(uVmc`zi=;4s1O-uNGvOgWkAk`j(26((e99oAMY}7Gwz^`{kkl=K4TZMGh;oRm zW&K7vs>xn3h_0 zPfMM#YEY!?^e3Gs$kIj>!I7@I{5%Leb#oFv*FG1*=Q?-9e=h40a-Hjn%~Oy$_0@IH zWdbgC*@aL@hEfDdfTW9yG{{UH&``+zotHxhP03hB2FUHfOBl(pL??h@GRm z$NU0aVOcbUKSWo!MRbL!Ls#f$Q5&yDP4qQ1LVt)x7$16(K8s#t^3ZNlrxu}YM^WnQ zC>wu-O6W%P6uc!c?^bxPz&izR8A2cpa$ZD84LMCUf0SnM4M1+C^Mg@s^%NCFWDO4M`72u z6%3|CF9oKhQjTtS7+Qi1MuB(Uedpa9L3jBt<@m zqz8L0t^P--O|5G_X2)KYAPF8xQfFmqGBsDN)^f{H3uVa^u3}t49iu49E@tk^q<=Cq zC2!VcwMy-=XGns^iW#*8KVwFRG0H|!Fc_3&YOqxj;TnqdOGSoa>lZBc1o(6&1l*e(=Y3NGQm(#<6xkmy)&0vd2I=*Nvc3d#4au7bzp&IluYwfiEb{20>T0qpv^k$giL6>bdx<`x{U0ZgeP_ zJ2h6F!WZyGAN`9Xr*Aya>XGpC>1kSvhUc^n0d>Qg1$qx5Rhp>VOGj>41`!hD=r65FdFPyj@Q}rMt|@GGbeBiLq5Ms z)rj0+R*nU&RijAArzt3~1p&$9T6U~^;`T9jtJ>QlZRytS8sa6q$QppV@;km8bg zEz_y8tTWyEgh6(y)4vAJ%TNQc8NJ_-BV0x0S95}f#dN=ZAr!K0TyVGB zlAcJcWC=puQqfJYV&adVvYAc$X)xf=@@KWJqb&g9*U>hU)qhHtc(c9Uq?wb29l(`d zV2)b~8v5$bq}1g#{#!vXt#yC1lv#I2xw|yqXFjgsf7ZG^qoMq{g~+}px0QGxiCDO* zbZ6MNeED`pSw>S8y|X4Xw5h_R%d2TGTKP*UjeflIkVC<*WMzf65uLZKzE8KYB5FY$ zq1@WV%%aR9wSV&}QnyOZYX58H!h*q7j>`?@25a>~i?z1a$>wxg7g*VKNE|X&E*VIy z?TPwUr5iiP zi9DLX2d;rVg7w(PFjQX4hmv6!$FJ)#V-QI|?KfeS2)SRR)6)jqQYa zN1(FOSbu5PC+bG16Z=@R{WUM}mXwvIE-;F#p#?@1B46;H&S>b#cJ&qYnlc#)>K$#vTyy(TKz4(F%iOV zJr0tws#pQ@8^PCQ}+?7~eiqGA+6{jWS;@qc0kRY-QZQbE(~GgX)^(Lkbwy&ii_>6w}Lpji1>#cA$!@jn(DshfB;O5`lhY=iKJPUyKkjZj(H-2~ zLb&E=@C~7)Ydkdijs2Ay_J3{H@I$@1chS>dZrIc0r71qs*>$eJ#Okzi8ncdvOMe%^ z;V=j9diB_$SMRNFIP^r9>Y;BGca2wrI$wmicprNZ6`_qGuQyN@XbjLOpbt=rrd-@; z#HzWX_2#*C>mB-;{MO7yM;l924a$Za--00@Z0NPrzVt* z?g)iOvyNv`!|q8pHSC;pQlqxxHtMVqKW@OB;f#S&Xz)1&R`Tb0iiaz9^Lz+|ux?)P zIc9XN(nS``l;mn8HR^?Uo`1B>q=6=4cPh5aiQ8JfR726%E5={gzxUZYOUuV!7~lKs z-m-hvHBQFbCnK4u694_aSII8&0DfLv zd4#?K{H#YCQA@}_y5aZ+Dz*WS`j7i5)`wXdyUT<*OYS_f2xX;ihJP&pCv&#ww^Ys~ zs3*o~18ckq`x6N`pCLZ`_Z4SJ#ZEkp-yxxTG! zYyQCW_Ux1P%3aNw;pWY`k@J!8U`+=8)!~IxO@_=e?@E5kB$?l)Lhk9@;Cgf9)JsR& z9@t-J_P3O+O!oBGkAIy{*edn39DTDwCa-R87r@eli@B{{oyFB+Ax;K1NwVl`y-UE9 zoJJ=%(l79>1Wz3GG{y3q((dxwoF$b(?;0aoi@{)3v)OgkmFqN~Y*Ee7m>$=`j-nKl zw&Jdh%TJ|DkUpPcC z3fIOnGm9X#m?K@)t^S#E;i93he4?D%YDnf6GbFiawI=lj{3SCBIkg~ph3tMsB(b<% ziT~^Df_kl>`|e1ft04f{1@NOtb}wVm5LYkirKBU?T7l_-hmQQ!5n|;Cc>#K6z()#MI4S}BKJeAR+SE&tf zg4FWXG^^ED=E|$i^LTQq+6m*?s~=E3%(vj*CZeGVL9fv_=L#Eyh(K$E41tpJ=WIx$ z*H9XbAtwjv45A@u*kWK5hWr_+B||e~Ybl)J6Fzx^D1U!v@3o=;Y#Y7BOGQK|xe#X) z#}WhVrm0Od8DK5)_6gexB&V`TlQz}E>oUCS&GkF0ooBUhSW)q3Q-StlUZr3RnvXYZ zwr8)iC|Ctcw_4J48YP?AGSNmAI~*E_X78r#;av`z4#)C4d-e%x0k%@$w}gHYZ)cAo zCo;UMM}MyvRkkJ+ya8Lo8ws1``Q&NTlnNuzU2WFg&!}`}ol4JR=6;1S-E2xXD%s++ zJfF|{Q_viQJve|G$E{8s%j%pY?mb06NgL&r%27s0<3z8h%Clcd=RDRTShDy=5iEM+ z?PaoVD(fUmmM8BU_%DE3t!AD&tu&^a|+LDq`r3lKU5&1_0hWtGW&8w^Q~ z(kn~<4T4Y)a-zPKq+SeZ^Zc)*6LF=hvTemF^50&1Lod@$&JnWQyxx-XHNmlKU^v{b zL1ETU#R=rCNpt0;+YtXtIVU*!A_Y)rE9^VpgL8xlGNj2+bkuj;NA1l%kxeyc$Fiv* z>3@hs4P_k3pqetaXHa|XC+yUp81Y%M4Pn@X_Nb4lsY_%h!ek%nrba2se3fiQuB$?x z^Rlip>vH1E@#$ui%9P|-Kt8D;m@*{@;ouCZ6MPLrf6ssCOZOgmekk9+mz2Z#Q#w~+ zI95J*SJ>`pJ=|G0(CAMSsPkX>aBeXA&3}LY#={@UO90#c{vBs>#=3{~T54Zz+j1$z{@&u<6I993VvAFUtdb64#dw)JI zqXn&AMGp194H9X^eQJ@8zUSonwvNtFQ<|N$R+RJOqK=%~TeLrZb z26crBvkNg>Xep!==N(TvsACR%%!I91i?iT|D!;EXR8+cLmCl7?E9dXjS1u&kNyKJ| zJS5Azk+|xUgK{d>OEE`onLd}rbAO32L~NMcqyQ;Ot#Uc6)T=r0YA}JpBQ++my1Od4 zJ5r=3!G&UYTY1-TdC%D$d6w|$vENWz6~I`98cuK=T%Tyndc6|cM`pgfub^OiZMKvv zL1wE_>osb#M{<W~ zZxtcR2bcG+Si{2EAfs=8MQHnS7B2gQkfix5`?`8rn0yB=UI_yW3x(jcm1{O_W8pGd zKx=4SPc**l6vEkSy0%5HPu@n@wYFRM7yGz4pNj!5_Ls&u1|EOwx#;I& z9T#i4Si?n#i}Scx&BZD%R&ud|i{)JOanZ{~4;S5BbaBzi#WF6Ia?!!X5-t{Vv51Ry zF50+Q$i)IKf?Tw6(Za=iE}FS$;-Zm@d0fopVh$G#T-0+>$HiTkp@i7-4aq%G+A8_$EF8<2JU%2=)7k}d7k6gUZ#d}=*fs1#!c!!I(xp<3< zH@SF&i{EqcIv1~T@hTUuaPd1XUgqK@E?(r~1umZF;yEsU%f)}QTs*_Y(_B2o#gkk- z!NucToaW*;Ts+3bqgG-DI=EQE z#bPcNana628y5??SinV)i&idLxR}pH6BmtK%;RDX7Y$t0b5X~|Y%XfKsNtfTiz+TE zkI~ceuy{cvwcLp_b0pOc+Q&lYM^dLDG{8c88SROr8erVbLc194WVD0P_DISsB-s{8 zsRV6hw1t1sW)`=Jg*GzUz>Mo7DHX`j&uAT^wJgaRMj=M$MUtzLay6q>j8-yQ!Dx9T zxe7`8Sg4m#52J2IU5q*zEn~Elp^nk)h@&2%T1GVyM*~Sh)e*-WP!*#}Mine>7Rwi4xggQc9#Xd262#;BB038P|0MXazwMg^>tsf?yD%4db;G0J86au{VZ@-fO{l*wo^ zmr*(fA_*RxmB=U|lGKQod^!dxe+gGtMiQGr3Put$iYz2BA~FeMj7H@o!Uzj}$LL!| zXIb38Sm+x@|77$vqpuYD_7WXlukC^d8 zMjtTx8>7FnB!6L{KeN!ESm=+8-e>e4i~9qkcbV}WMsG8Ei_x1b$r~*6e|tu+N8%Tv zDX&H17l2-6^a?Znj?v4EUSjkjOY#Dv=b7<2M!#kBETd;wlBXFx#f(ofdVV#Ws-T=srgGGCI!aSR~GmMn@uXi$Oz- z!i?@=bT^}4GrEh>QAWRtf5c(c7ksaVoM%OU9n#EnkO8F_HD_PtXj4o&N6GjIaUB*&g%0h#T zE@5;rql*|Fh}gT(_6sBSZqNmc_DAf?5!%P-{D?h>&_KkF%|_T8f3X*X_AuJbvhQN~ zb~4%#vG*d$c9wk`qpgg#Fxt#$6Qhl+=nX9UdPe;bdk;!k#|m4^Qm$bXVssv()r?lL zd@C8PU^QFLviC9SWhr|Ybu;Q>)X8WWqou6T9js5QgDEOZ`~ zF)EE%Y7r`lSlU3v5z8V_5sNEiR1mRb!#I_toD#9nhUG^re{@00W0cFX=ddeeGxD** zvKVEu!X`7yVB}?#9k8n3c{y1AhYk2)qy5d*D9+?*i{2{5JS4;7#BS;P=M! zoUa?FI9~(5Y79AFF?yZ9173!H$>?*w2)qD1kND?cfBUU*jq_RPXP}>ke#*Go`J{1` z^9kch=i|l|&eO>I8w1)R2xa{Yw(Edvfop)PfvbR@BHxuL?+V>K=jFOa z=TCGioCkHcJ1^56ab75;J1>w*o%@AS=f2=T@cg3#!M(xV!97QJ2lcxJ{cgwZhTS`N zAKm@d?m(w2!oZB+mZO`4ip{pon>I^dZWfMi z7OFN2`I`liY&LIpZI%q1f*XPxk8TK(4NV&cHiS1QriVAYw?QNu1l{o1sbd=)sWsrh zt_?^s^U>?!RIc9nJpmz8z|mmcj1 ze=aFq6kL3CQLw$VEw~Wr7nHUJgGXC~Ev3!D`A3_BjivK~^I)G_+7O&`v>{kuIy+c* zbat?|v?f@M#*<`ovMX6K(+bQ>MooxAsK|E&9Pc?kcPNM>>^S9+VogcTBr(&JC{#8k z3hNU0CSIN>nG#+|5CaLB*)^v47vldAfB#v$!WxLr%&j4D<~UcJWT$P5o7+m;b}Y_c z#du1Q+*W6t$6I5v3nshME>?eL7Y-0fa0vnt%pggFJjVsQvqpLdCVW{&1mOzO>T4L* zkogT^P1EAAaB(=jg?eJ; zr7a>IKAcj~-Vh$3IuMZc7}W$x+I>qmZrz_bi`VB>N-g7tEr`B$!NNCNW?_ zFrhI&{$k0HZrMh>;?7!yMbN6PGASH9oPnJ1-1a2 zfla_hU_HKNpac}N#_%ZtA|L>S z^a`*EqreF89q=u17WfzN4e(FkYv3#3OW+^C-+?cH&w&{tWyH_#^N>@E-67;9cMy;BDY7;7#BS;P=4mz-z#(z$?J-e}I>Pmw*?67l7x1 z=YZb=&jQZ?PXkW@PXbQ>j{~QH-vEyRj{>KFM}UWchkyrx2Y~y5lfW==0=N&j7dQ?a z10ui>5C-l6?goAh+yxv3eg*szxDz-6+yUGU+y?vt_&IPZa2U7+xEZ(!xDmJkxE?qJ z{0z7bxE8nuxEi<$fA}eIC2$3BIq(zUAaEIQDKH3J0$dDS1RMY^B)zi+gbSed1N(sU zfdODIum{);>;iTIJAm!LHef5T1=tL10yY90fb~E>unt%YtN}v6dBAF56|fRm0W1gl zfL@>n=mxrgPGA|Z6zBk!0E>Y|Ks(R|ECdz+L7)|A0p3aBK#|K-c{e|z=X|Jy70UtT{#k7eTrGg{e%WlQnHC-u#Qj9zulFQA&pYO;|G z;O_uANUkD}khe%T*$;Jy942>=Uy?9+lsrvdKPT7!V_xbSL4biyua(FY@e~Q0tWINeGc9LCWcl2|sY}tjF z9kO*BV)l@|=$Z4$K3Q>Qmi^=cav^%{B62ag1U>fSp-aY74U$XAW$5Rhkju%B>4WFQ zTtTiNSCXG%JX}q#A=i?hVO-omZv27uI@x|bxtZL8F++1)1IsP4x|Uo=9wbkYd&%A8 z9&(@Tf6E^DmU)ExWx4F>e)wP)+Pr^4jhQ#Mj(cklyg}PN7;X19_;25Y99yD(q~6<) zl+-(e(SD$%?2cNvC$2!tnEt+<)JL=pm!H#4p5y;!r7fm@x)J{3o}oUw7PjmE%{no~ zM13u}2{Z6kd{VDc^>b*ZZjtpw`_1EN56f}Ce;~J!+tC+C2vwY!x?qHQiF}C95%Qw)&m@M-!tMY4=#3l6QvCgYd?}Og>(;|#-;8Y?0JuO$zxzg- zO_mF-I6&ToUOG-L!;^`%-(OmA5}o3Y#72&feJw2kPab(wxpMU9W1j^U9k_7Q#trNH z*R5R>I&bx=l`EF_^>!~?+OcHuqV~36Ys>uRrhmqHbLTYF*Uhf2sjjN5m=*As&zv!R zT3KmHaZz4wPWEJPdYWgFGr?vtn~Zv$R-;xa6%x+p+0~w!PFL958CG~bvuEefu%`=# zt_g`<0%ERYX+H-lkKYZxWdm>d0fN7qUJW} zgMU??c31d}tmn#F;gthM1l(@qa8)O)ta62gPFHofX3NUK>dva1Y+*>RtMpX%>2k8k zkWLS&hYC;j^bZM>%LQ48ldGoerslTlDu>(MF55_@Tvk|B8CJ_> zxmJZyXL6})DErjlWy5CD-RU#*dV0H-w10)AE@T>%ss{%z3R`^POixufbH|?(;K06c zwx_B(?DL>v4fDq@AcU3aW{+#|D}u^<&U}JePq1`FEvj_$SA<5=CXTx)EOc>AP&d>N z?Q^?nT`nCCkZuIR1I=wL>>}Nc2npo*+QVWejXA|*>_Hkcz+=XAO17 zh*i`L&28a4Pk-3vsbJoQ0fnSjuWD(NvoLFQ*j5=PojuW9;k;_(#Sp0;q#Z*mNXzmx zx1A&fWA6l*NUKyP>s>2k4WhaE(vySK6&| zxK<922WS5vx{gO<-62hbgMYn4L`tVa*)b%@LRoofd$`fp?g@AMJZ@Ugoa`YDF}Pbh zD=~X(uyT58x;!qkt7fokcx<41a3~NM?62-zISun-&{Nkt=xJ%2fzFi|g58cCv@Wrv zL1<{LKv5#881e`gHxC7bi(3}8oir2Ty12D1BH~$dXGQx^8sgecx_|JmJY=g#t<*@9 zxM+ZuG#>$toZfLVK*)d`tB?(HxMx@(vW+EzO&~qPBD0z0bVFYGLIM2SiJoBviwW=& z6tHQSZGfeo98Il3jG4yVPq2~T;UDVEUhGL=K&K370@{EUL3?UD#A_am)VAjb@X zQE&{QOtb)Id?h`k4SzUJ$|W(={lWlJQriGZk0uidO*Ww*T#_|B2#U5KxTx)zfuMZ( z6Dca_3rk4CN{lgVG}W$NI{tRGuN>@br>h5v!&t>z2n(Ka5*9t>sIkfr)_M9W!g@~y zwfm{v&+IB{S9>bLLY#ok9>$_O*y+JSj5*bYuRGc?{LOTFi+|~^;jyvSHurOmGwp87 z`6a-jwy@TRjZT?fk94&ZoiNvi2YR|_JxCC18C`nndfGABd4Wh$7uKQ#ZL|cWsFCx~ z`G!nA7;6}ia{d7X!UOH$b|1ZH+bUWkm&+U`vpv(oDlaQj>7^ISYafjDOr-iY{S}5D=lA2jp(p3y{-$p8_TbU#Z$^Nqkk+-IyKQUPBW{Tw%h=}V@Vhu zJL1_+mpJ~)$@b9QkB&d$IEjf$+6RAV2ru^KWNUtCHOl6}L5=Z0XJG!)7{{5Gf`;_a zZ4FEZoIKuK)pUdP)DMaCkOC~oJXr6+rY5FS;GiR6`nX-a?KBmt+$67Mo*zquBE}QZ zZCWmT(0@Fgo=Rv4@p1?Oya&T8&JC^{57r>M28Y4)TtI$%(J^%0U+oBoFftJ>C!sy! z8g!XG(>(M8O_eYkfKK$&__Vqe(P^W)yp>r|3&c_mK9;#T5ORFN*}19F$BAa|)=CwD2@ z$X(K_WQn4XbV}cn4skt6mrj!+Jo>*7I0XA4MJZWCS$u{ZlH8bxYK2iS*!=Nz}ImqZn>1908l5mAGGMCIF*M%?iwLOUa zY(9x2(}a8PwO3VXa?}qCm8gOX&#N`~D!VdZQi#SANlAXsi6YfO$x=5g|^$R38kXkOaRk>3QGtdA{d;D8`pRrCqSNEi!B|qFQZJc_!tGMH$}Wf`X~# zVo{;jGbu)tZH2`pAWJZh^^aR_Lu}OPod>YcWi&S~7CLo=nR~w%b`* zBXi+S&)8YT9;J;;B3|+~^?&e8Jbj9zo-adPg7?MHwKFsD16=~k^`-N;{8OFFA3IVIgB zXoQHun3$33%1Vk+>=gbWJT^1V5u=dQ2CXoC^l7b8t5C)`;uH~mj7E|)CjG&YotP(G zW1lMw%2bSLc|0FWCV$g?@aQqKFc844lqec3nW*G^+t54jWYleJ?Zx79l=WFHS%TZ$CJ zLe{ZRkv4x=$c==M6QlaHkAk|y7Q@DHp*%WO_ULG( z&t5*a<=U6_mabk@p(WSn~uYw zq>QMyz_PbwhJUxfUsG?%@$gp0uxJTrwN{tag@q_-SkMHF176{jS9sAYc)hB`VZjhF zHfMl_RIS8+#!uIUj`bVhzC3x*nVA=-QXhKd0`wvHJx)SyBh7w1WNcQ^0wN)l03Z74 znt(@AQ8$Vn_atwTrLefbjae@H(QWyGly)g}M$O1o@qd|_@#1ogQKMAiV^k$XG#Eh& zE%ZE5&=_@!+E_=d#+jkmm*LdJI&85Hi)M7S)|_mOO){%Tr)Vq=**f z=`a*@2}q)&Eh6~gP$F$!T5+>JA>NpmFeO*zoPXTx4Dw~hABzR1z%S&z5^XHj2R;BS z1(vdzc?AV@-RZzK@gK{eOH8zob6n#Q=(3V4W(Xb&U-#*dpbLMzP(arjD(xzt#^y|n zcUv{$Xn~}+r`YVNHoZ7nD`;%4!~~aBo$XlZ%1=ws3R{)J0ezCwo4Cg0uo}Mi@QQD* zR)6c%k^(agTfiaiZ^a#1X@;c9j_(#qccf+|>b2GsJJxvgfkKZyC?-|pA-NY)&AFBm z4ct^h{a9i)7=<|{)UPGfktM@o!HLWOf|-5`?H4GSkyd879W3_`SYEO$=$}Y(t{JoM zzW#s^2*l4E7WDVJo8zOayFBO4l<^g7Du4GrCLpF=BsV~_-Wx(jH_drpD4LaeTi$@n zCOA7o%9T9ldf7cSEZ(&p-^x$S@JXKxi+KX|yYl z6#N0*HDpEx%ExJ*X~Uu>V6((OPJar`h2r#6g#sxQ3JY^*Wep3Cfa%3a!lX%xl#g=j zXTEKis~~xNB|Kx1*Tbb9d_OtuTiQ{U$0o&8bk9=E1*1M*D2#tRM9Z5b7Z?{Jldz?u z%-ocZLb>&ZnQw<^xe0j_)<;^juS4!Sy8U{+MMZ2IE}|<*K~Z5Y7MAftl7B*;AZoS& z#T85~E|L7^WJi)SX8M)QwHupr$~WD)YFFHpd1W)Z>ZTYp2CYKvs93PPuzb3tA`K&qp_31T*O+}6rPm0EzXi7{;@}yX^gL_&}$LIJn zYg#I*&_|uGMSM{wj*`ku&4{@tnM0pS%yOq0}m=A3H9h^#j%*V$~iay(S0et3=0!m zgI|emO7K72uj3gLXwKuIg!&ko_jpLoj7dVbB;=kjNzU;!X2b-Ke%C z+vw@A_R!*<%N9xw0-3*NK3;D1%C)t!wwHaDAkH=DXWTb>Kq!@|Grt@7RG6Y0U}UZnIDDu$Wc z!Sc~b4kH_wO$G(^x7(flWqisZbvz4Zwnb;k6^h%wralIPN+RL8^< zf$a6E@Ax`oK}WAo?tn>(>?cE80~F$o`qNQ@c3WPg=nlC>X&P!>I0(L;f} zV993#0e1=R2}dccPZN(^qCKNn?hCbaC?jzsl3LT>9O$jfGpO|{NtD$3;sxsi>yB)g zHe>zap4HcM=G-A|-#T+i`6N*kGu#c^7UbHK?CO}rSfkZs&?hEX%XbX#*mUyz>Z*-5 zv|0CEoja$mgnynD((xOC1IlgKg)gSQkHndA40!K2{6!peyu#I#&}Fb zGT(b96iq8H=2QLh&D6xhrd^)*@YRGh9g78DBjjVKLVrAOB={292aNS>t1rTD>-*pm|!i+LB~VbA9(Qj;soL zZk6n=G;5MY-Ldqd1(`;Z!HQFrM2beQk}i>+BIURbT_(iIBh?<8Q%h%ktp9_t7Z7x)Cy9G{p*Y8XXE#zEq%A)6t5>13os+Co6C%BZCU97JviNzua-*d-!!y*V7GUcN*~Uco#m={qqKhU8?MIa zLDny?-e+E;YX|zE;5pg>@wk)9!^pM3XnxLzw||d+(4NVV%Qe)$88X=IEgytv;WMNU zL$vtPiZ?=~^{&Nlgm8)IN!O2pFcHj8&?N>d3?0>;xHxvd;LT9s&LJ*7y8H6m-4ZPI zxDTeEwEN=Xu|Z=?9$(taMJqPwj2LX$Sm?_|=@P5yd{6S!j)8e4J&xG;S;Ze$_Rr5P zJb&+w^=l4wXPezqTvPI zy=w=od>?xJv6Lw=Z{=%pO@f&3`Xy5_2*q+h@1bt#VlIdW7Y1BHPj5aSz~mOfs>v*@qwc%elbvqyw|r zXdf27xliW|U~J$Y_>><{G}poL95LjJU@%rXG0$|3loT)7^; zXl9v)2@BhP$ow!(S+5S$}CiUa2x)a~0R%}Zj;^YJE~h>#F|96fj@&Ff&7f-{zoClWV9>psKE@czk+bMS z6Y|it5t)9_Vf1*UQct*_V7KIUdolVBHxtrf^q$;n3AuIo<-4kQXR2b8==W(&{x^gN-wnU(!j(`6BGs@4|~#l0lx9{g~{} z6eh}w8@=1G zQJ322{%?zgBW={Lw)^p8Yk%CuoiLHP{pe2IehU#(y=Pbuhm_c^u~!Ru9no92Jhn^I zvCm(QArsAVJY=d@(oB&Ma>?tMyl{;lHRb1V>ge&4Kkbm-owo7U8`j;vwzzELT^qqA zcRR{gH`cAHay$I18)vVsatVJ~d-6a-#h&9E!1dr=b^E%@3YYDhTYtZ=tE_P8KI*+g zqgP9>!h2a{CK;mMyVvg*+{N^<8C@g5@@%JJdC!HA2c3=%x_G;fy2M9a;!B_hdmnX- zkNQqa?7HG2w?dhZQ{a8xdPkkPu?#Zm8f?Y#Ho(?|?+@@DjuR}Km*&G$PL@+ivyMB6 z-e*OZcjl#x|1j*>1AjB_H?<{>E#9vd^!#+`VLv^wr?eYF1!E1`T6fAoZ%v?(a^?$jEx;B;suq2a!1s~MM zBnci*4`_Y$UX$HbXQ#(-+>p{Cb{bozd<2xw-$On*p)T}&O3YW9^oM7e|1?)+r>Vpv zqDrgL#HXa$6Z4Cvc_w&Do~h}xrj?}_-DxQXg(OJbaj6!qR;#h)&M6rQ|NC6sUtE=8 zk~BJIAbqTMc;){)Wbey$$y?C}%}o0G5ZH9LCH8pE?GA$YD%J znK9C44GV7voM}_jrWzb{<#W(Q&p{VL2VF%Sbk;iV$G>2p4_kBy5JLcV13lb$QJ&v$ zk3lr#zEh(6*wSR_wDen~5=)6CZpK@)9Lmi4xDVJohJW|YSmbBE<}+q_Qu`)66v&I- z2C>t3dP(j(A&c(g5V4poE(;#u$|Yvbcq=5Asf_!8&vO*(lZ&M%@d>@7UtDdY^wmX;#%#M@FD2*c`~noWFB^+U#kWcC{o) zcmkuHRDWETmlepgPY%ovwgfVSnCcM5Q+%Q=&1uCGJBP~=>nTq67EX3f@|7=`QPfqJ zZHTp-4W>A=CDE*oON_I6@{==)Cc7qO&1j|P^~|xeQiJp${%^?JXm6e*Q{Y+)e4Ixg z+T_w+n4T*npkMEUEKCsM>1h;_o-$-poS=maWPia%Xr38FDD?=%dO`0(Kk8lRQN4aj zerBCVZ%L`MjBi?HeoL&tZhA;ZhX4=CZ~6${5ztRe&h{&I#~Eki&(^$e! zTImG9^LMo%Rcfpm&QymD@Ds&d7BPBgn z)Jcy1D?7$&P~uidE%c4v0FsmjYm9J0I1*zuDkPOoJvt;bf+U6BW*TL`ZWQpH6nL1>}H)?%IMM_RSJ>gcFd*P@Sa;NCs4pHJb+e|`Yw(ZSI@!zo<-HGt~%J+~hkOyP!d08LCY`OdK^ z_JQ`G`blJD03);IdFm&xeE^`n{M9eN(r6FLE2Wt-&?PcF^?FhZKI{ysAyu#uII=Mf zt4Y<|M(&;ZI>ZTShK-~NL=r+6MA(S10bu}PJwiW1A3_hpGK8fF-5|m=3FF4oq!rg_ zN%7zoT#3N1e^4HcBR4}ic2pbRqu8ghe~ev`yhLukpf`m8mGq*J7g=6-2Rr(TR05BE znpDoQnV$MgvK|*R;G4rfg8eA=2gv$4r2*zing4NO{ee+*a1Fn5I@xasfSJjT8C<{9p% zZ&qH;cpErNwCu7LAMiB0J14mM=1N$WRJ%6Te9Qdcn#4 z)xsw3wS{fmj~9lyR~N>)iwpO1^9zT#wgobuymVn<>R3pILwBU0*qwrb5QYBaf~O+% zaw5$Ae<;z*T}bTa<`TDYQeu*ODRGQ@G10?4H*+WV?93E*e&!7K)VWpMljmx{ z{qRwdd-O~>7bmC0@3SS`_t+-xSkJV0&+N2#wC9L;_v{hTbA)(~_>PPmdFsg9N0LWW zhk7Q(gR_$&H~GNiQ=_Y9XGg>l72$3} zrS>-M5r<~?h=Wc2;=pXbxVx!Oj5S3?f0XQK>K1!uyZx==b`-p|sZ$hZJH?Ksc5&Nm zf4kVO3URkIZ56k&#oU&ru()|PEVec^i!HOwVlz|1H8q9A5Gmn`3bmY2Xybq-8um3p z6Hkd5c@{cL!t?6nwy>mW>ygMwskno_{q5b7>ZAl>cTXfi$o*Y&`Gjfc6vFM@Dg68Q z-3O}zVQE!IWR89M=~aQQury7_e!o0Uf6_6aNY~EslHYAN(&%^`U*q^k9~talnL}^{ z*eRrqj!R0G`Q*UP@%`9kVoDAPDI6u!lSv8e9GB_1$$s37?k6`2_uF;?sGn30v-8&< zq?JLbr*-3xXicyaCg415gYiFN_R19H1nAfVs2~R*`DJqH`g7RlmHHbfvYv`ne@HQ< zrX@3y|Ai7cGR+{*`;1z1eVz?~N}j8`_ETK#3*;5E88|a5S%vt2GbiXcHADU6^_Q+c zFLQN@YVtVxp#wHSJ#2qK|w<3QKhS3K{;R*D^ zCHM!NDdNmMFbb16^8}oQM_?A-e}Z1;?-wo&0SwaQ&HUKW2;BO!70d z`2<>Y0B!ja`jlqar*tQOLkg3hDYeN@6i1Vvk@d-6gO)wa4*LBA5wWvle_MOoEnBy2 z4!5>6H-&N*KtghPNDJylmoW(^#A!jq2jD{?oHbbLUDHSY3z#SAq zQC@OJC52OHZZ4&`5JN)j20~Qgkrev2jKtGgLr#K_k|ZK<0N z3cPf=QQ+rEcY6fK_caP#f4sCLkGIHUg;Ped5OFwg1|PHyHS!XP@uB(q=WkL`#^Yz!yG*vhq_be<_NQ&r7T`+P8a%!q`AuXhaLqm(UrJ{Eay9$I{LWCdxf1AB&<9 zd#M}RBa%lLmCQmQLFPhFeuJoJkmcXaZ!6e=I0>G5(2fzFiNTvN+TMm+kdy5RKRMaa9l2-(;7@i&<`}#=MFU-l zBIHFb@&JCB%1|mLQW2gabfs;GXk>Z&ML)o_%vHz)8Q(omK&GXHkVatlJd>gsm*p6w zDN;1Qe@yGbhR4e`g#O1O9OV0HYz}t~#iL#HWPugqh!2s7PzMqt)FlX`%9OOiKtR$7 z0ZR8#x-UgnQMy_PNW@C83Hwvm5fx|zqA&+#(;iNb-rG6426-g;P^Qv+L|)n8PqW;V?=>R-rh#6O1c zFKQHo;WUI5HmKP4JX4ELLBMnMqpKfX_F*?=*VGWt)vF&Z(UHevT*AMrSIXBDlfxu; zf3u!ZtIaB*u#DNT##>ccSy9Jq@H&M;JtNb+Rn>KDWyNZSMadMUj*;=pU&q*vuYbeb zQ&b=EDyb0U8YFi8eciDX4OhosZqPC8yvyGhQg0k`dm}C&7e{lY}uw< zJ>KZP{Iyd5)kej!ukL28wLAR+`3sGje^DqEZ{}L;W&WbJ9VUy3%`jwU=4jM8*;&p& z_qD^zE2JUy^+577#ei}Z_@K&PP*7m7Ey5bZ>t4TYp|G&VQ@5bhF4T0G7d*FuZ^?J< zUFr?b5;1t)q5Z%&XDDs%n#?(7C~j);iF9i^;54++e>#F`!WEe^i;( zJMQfs|Iy+4)_Y&x7rejj`YT4GLX)W>XEMwtt)Z$r8uL8(pHFr6Jo_J~Lr3~Uc^Qg* zSyqF_<#CA*{r!P^FW=W%D3J37`Fcy1TBXm+zRuYSx#GO6T`zok_L2XQV)?}-c{a3g zA^E9d2i~$upun%^7s0xyb#60mf3q(rWt)7&v-IxLH+F0=QN>ewQ)@7&D-^|!hQreE z?&l9Rs0`L@lTEMkbRBJLKN|6<^fnX4$%hm3ch}b)x^RGXtF$VG0$*SMBKD`T^`7Vh zQI=b#VHWR8e#+jX90n(5=%hch+GV!F=81~q6-?=T@>dtEum$07{k0a@e=^}d?q*y@ z3kvMXCmEJJ3zK2YGcp>Ty(XdeuCpn?Gncc;5z^JHu`BfJW^AqA8T}UJX7FLuma=ne zd~(QgYnCc%%GdAg?d>h;Ey1UFIW;$^Qi&|vZp~e>n03ktoeFFnQ;iyDnGHsCdGljE zeevzCioUbEww(+pX@2Hrf2k(Jr#A=t>I!U|qRmB(&0E}NwN}NlDy{n1)~?ORUg{ga zcr4V?#5k56acyf)G;sULQ273l26I_U75?-CL+F`P>>@_Y3%mXG6YGz!XAYK;N7g>S zmid9}ITw>5CCOT+M7zff>A}O7?=9J>|^4_K0KiRF|*6#iKV>K66LSO?N!LYw&Du$z5#xdyRXVoGha*vUi@0d98M<3f-p0i@7#0&+NPF zm4oB29BpVEf3#C~fA*Qm&Jp|p3LZ*+Ny?QRelIw{?$5HmTwqCPxj)Y{w#ae(Xephj zD=}LMQVy*`c;KokE39O-Dl3%#pC(kFp zWX_@2E1}q*<1{38AuV6~Y)PxrVBuRVG?%av`cREGdY3SZe{MYZYCPkq8n>d9MJ|3S z<{YC`t1@i7GuQ5|@j1WI&SElIGnH!swKc1=3fFL%3YM@V2F$DsZANZo=grri_+5P; zs0ppnvl?B7T8AIU1jOX0%&Vw#3k>?}PBcH#%;YbxwoUR~fQFuQ!~)@>?r z2`;PGRg?csd?=eowtS8_U&R;k`?vzvOgr-l?TBNrdN#ca<(qF34E7P z$)BY1QLWaq^j}SN&T@cIJkxAAtixZ)9a4I5d}`VFn!nHa3@Xbp~}oIUqGMFd#NCHy|`IIUqDRGaxiI zGaxcKIUq7NGay|cK0XR%Ze(v_Y6>_sATS_rVrmLJJRmPjWo~D5XdpB*H<$5B1R@e$ zlfiD=Fc3uV`U)P?Lm*m|?Uzt?1{r@&QlN)-7!H@aBd_!4-S^$lFD`$Z$98Xv>-r(i zb{;QtYwlpje#lmZi+#I%sC3qznkmaE-e1p)opwVvx~j^Y%ik$EFZ0#iJ;m{0-*omm zN1OZM=1T5?m#5PEUBvH~>pfNfZv20`PUe`1 ziq&nTc8qqOn%3rKI9gVDUso?Lb!J2S&kE(O;?R9uEmn0Uyf3>ttBL{%-fuUO0SVr3 z){-R>a0|&b5^x_SH%P$UN^X(hec>e^kU*m#`G^E+Q{M&&$SC)K1fEK@EfR27aw8IO zOG!flZknn?0}Rgoda0~t^x+K3Tl%iYe;#^6p*YTUpY#9uia z+i{nfHwPe>oe~BKmb?WUmm_!vt`j&lATS_OAU-|{Wo~3|VrmLFHJ9NH2NM!8F*Z3i zATLa1ZfA68AUH5IHJ9=K1|xrZX;@p=neaL1Ug>JzcL7)83b6Fh z0tRej92@V(OYFpT8!yCZ(rNZK%QQG?$4^^{w5dbtZ!*}Ox{H%OeKPG#XOihR-#jy4 zJHsUDGEZw8_}+6RVUo0+dFI!5;ofu3dzSm|?|aVG;e$tZASF7D7*v0;ZE9>k5-)8x z6!&hMKI}@jCEF1qPfzUMIhAg6cMy_Z+qviXM7pj00HNF`CwGj&IPrphR67ZPbQ4!Y z>6x55d@SA8yb0ySJ^Qw0`n6w%c64g&SmyocAE52pJ2tfgO1R3-!=q^b!5x`?Jb)0Z z5WD`=14E~PMiDCxB1nIS{0J~6^Wypl(SB(l@cu=~`mNgL-y)|>9QeI|cusuJ-#j@p zbo&=eKT{mzo`()OB4W2Vk9&UUX9y{7-u}gHnc|qZQl?WZgp}Ohs=>`D2sgnExE9qT z9@l5eI$S$f&;O{k1=<)#Q9bTN2^@hk3}pwD?NGKs*$QO{${>G~ekjYKEQ7KXCD3Uc zhZmp5U9d(h-G?^7N*H|rheQIf)is!R4VZVADU%uJHdmwZi}X z;|KV^`)N7U2T>kmz8u%|h_j&%ArxX0s7c2M~d?E1=!~mN}T%I6XQ|rlGQTVk(iG!ds{IO+7fpOabKZ(80u^%LfyogQExc zA3S}KJxKN?4h-!}?7zG(u}_Nm`v9q3ojVhgmv?_ACOWq#c3j?`*w#6o80#EOghuhb zom&z^m$!tv68FHs&7J*;#O3}(UuSP()8*bouQbAM=v<#z&lK=Io$*BX<#?j2Gn$B9 zjwYhS$9Hx{5)tg+g921gG0K6$N$(fT2H9D+akFBXSa=U}KIxM@i*TKD;~{rJepp~G;C!1Oo(q}wnu zGa38P$RQC9FF6b^qwf&vf*y-KzYe*yT=hZ<2U>PG!QTLOP= zMZ3`h(C&izI64945ZZ%|pfji)#nEQypFmTPmV?ldOMNh(^n3 zTNnet*c!lS(gmQ%N5>>xblw>Q-S8`FT_lR}YD>l^7aJylKD{PzWa3%4mE1Zl>PtJr#JIYFNN~vfU+IJ|* zN>NIogsKv1$s%EY3Kz9tu|j`}!i_0{WNP}_BvTL`+n(ImI}q-0y4`~!47H1EC8h02 zPF%~iD+xHGhh3@CH)k$h(V_8CpK80XeeB+WBr^t+W|;8I%(U zkt`KD!bzV1h{ZRpz5pjB1v*cOcz%p>gLN3i*A5Nis@%-puxx6SU`awr6$vr~sS|3*phs z|Ma9Sd3xMcRtl08{|l0A0rb0)jCXW=+axW=cFYJJKq2rF^$#RN9Z(64WtbUGRrq22 z*eDROi?X42An6zOCry7sTbkPdfJF+zyZQ#iDQRdpX=+cR(QTPoNq-pTf{KJ^s9-39 zbS~_pG~J{UZEJQ7 zU?<8BgSTWx(Ar1Vfd*619)-zJ!qoPt(+$ckUVj25XPN*&BPmT2i$X(0Ftgep#*6hTyqHdhjD zbPWhQgh2sxD71fZfC`85T9j|RPs|`hX=k*ge_(AZ-Cw`jm#HNo_ZUbMA`WFy$Xj1b#CBlHb4gCIqtKjl0@Uu{5f9PfW`D=P)Z)0PtO`QB6rpYPi; z@VX8m*ZKZ|IRcr}Xxm`Q1APOpyATSAP(q;;NQby+gRZm*8ZvRb^Ysuyr^Q}Y1c>cz zS1=M`=|KR)XxkN%hUv272*B7hEF{7P;R5rrO#=VH35Q+VsccRTPR@)DQp-XXkR$x# zBoYu^a877TVhaX09G(=iyb{8bV>{*`wKN-r z`V>a`+A_pyP&s}Zc7tb75gSFj*}q3unO~r*_%~2)L06><=&HmCcQe|{wgKz~)Xlty z^vrcM$rhnkn4M@ll)IVVph>bF`It9RJB8!x=y89zUy=5sS7@6p7010o7GRDICCHzn zLgpb80jye%5OWi< zHU`#jKs~4{@_&4roAsl~ak_!3dq^7XCHV~+4AvM)rAzwp8Saoe( z3lpfyBMgj8Lt2Q~zIkVi>AUlvSH4 zs%v+LC))FWF4b7|2AftY)!Gbts|GUCTfdTMZr8JCZa=_Snnywc{tFpLSP6T>YIc8? zhddka)tmKNGSG@ujuB?0zQmS-O ziGXF(`8fZ|%I`wmDsA+b4SSUeL6I*AssfMNqrPUb)R~W(iP@vLhH*VPMhLO-o~<6@ z@d)06*HubYzAaZ!s4bXP3Gipg-DH1AND?R*42nF}TN@~I^#R>tl|F#&{^5Y_`fwF6 z`lk3ib?*9g9Dr^pf$_t>;SsnCENONYGHyo0P{!8bG^4GYz_{6dDONkpX1j)CPA=Uz zN@NItyCsql1hJrMrHJsztSLqu^q25&IY4Sp)`CG=+R` zjUKew4T{TEmjggg(Pa;Bdhy?0S}}ON2CTdEf1X+Y>4u}PJ@VZfpZR~*iFM@Jmwx}{ z%}x*dn8&&0(!V}_;IA%jxc$S{^Wd^DeLHgq-d>8zLsm!Og52mbx{%y4@AXRU^XlHh zd2l}z2}6S)5V@JQwJMQ`L~yUcM&zPfPZ3*FWe%}&6}R*yiWy08DjCblpuLE{FH6^D z%e5qjQ_0y{wMoOJvE+X=W{ujU=9U{cHPnnM>CzpjiTJME!gXK|W~6%!Ehu!GM12Jg zWiX=Fu20LAm~kE0r9p4gNI9)lX8^l2NflC-rH_Bf*0NlPz^V>p2`S7ANUq&v&JYeX zXV*?so7N4pNGKBlYGg}q8@y7DO;2Bd-=}odYfM^7%NyC3+&+JD7k{Y)_}z@+p(1rf zh1Ks@l-q2M1y7|)rC30o+Fqs2s`lF|%cXo#FQ3R-WY7TC7zkqjJJc9Oi_|x)^9R8G z){-vZw9OS_L7(+&R9~oLv*G}?L|QdVB~q=`paa&BWd>}}sMeU&GQ6CF0d52rCh;2D z?A~0H3}2Sus|tUsJy#!-n{>*%9DMZleJr>^MgoTU4~EY;Kc` zy2Om+*b#{itwVv3vrwxwE#xW6%c~YpUi~ITxweqC=l9wZ(zN@rHmsr4pQVsGlS1tE z777-V`45nn$5;d2>bvr1N0fTA+-`F7ym7fcKg?sCRS18tp~~iR*tK}r-Be%aOm_e~ zxF+g+74{N0Q`B^-DfUe4&iq=+WJbZc^hD$Co!bNbul17;!8yVsiW z`l69TSY51o2e72R|E_8kGUW_7n~&<0#hS-ZW9NT>^G1t>srD9ny&0E8Uu*|W(ka;E z=8BHd_Oo|+|LcmNqib(e@U-1q=dLL$G96cQKkd3Fx1;XG`S_krw}pCp8Xa+C%}BUt z>GicDkg)2;#O{{Rj-Gm>wzQ?UYWc^4gL$g&kX^d)S_IPX@5MRNSW@I{m%}y?A_dCQLvm+stNQSh_9RIDy~lKIj|>D zT|0hZQ*nR1$tZ!)B9%*(WgR2UO#_X&)ti5(4oq#X#v_~0Z>_Sr+*aPIw`e3nvEXhQ zYHZ%zT2s@~x4$QTw69cW=Z$iWQKN=9lk3TK)pZp&Z*HrpS=YCZ@1HOvxbMo?oz+n4)R~*qb1y$YJsowa%MZwu)SD}1%maU- zU;hbp*|!g^is}�N}|vaOa?llOPc{vw#99gvP%4RQ)UUq>|<`H41gK$XIVA3ZuhF zWLg`v<<{yHDlFsy@y0oQYst&`LhfvN%}W+bdDpsGRS(-u(GEgL(k=Z)G^*>v4Uhv? zfx`MmhG`aJl0uC4dTVNEnK86L4UK=8s|jEWE4o519rrDPs@gintu{lzP_O&^hRy@) zOIi-TIR2Qec5_SfXwD2aU!8+#*CNbO!;MYJpt!#J9eHaY$j?OotdmM;nk6aqU%0TSrq1lW&jQW zg@Zn~0Dj{TUIylxva)bkEx+)`xvp|x=N^NoGvt?gMYFG6QBgx-g9comw6&UWQef7< z@|4$q?n7{Y3A_IsCsjGJ&;%Fqb_1RVZmvC~kv@+GYI4JmyP}80dm$srGKxsgP*VLA znyUqtd=IU~+xa_MHdF{IbaM!BthbyAg4^*ejs!8SW?~fnij2C9uKYABFlgVl0WDnM zbltZ+NKFR$M5eNNLwh6&J&XVRE8 zD$DKSV@B|d7>SiP^C?h*c`+z=zvB%J^O>%z_U`4sZM*rN`=hsUwh-$JC)in9mZALo z?R4?ZY}EWiZ|<=qgW`z>nnJM?sczdg_15->*(yK# zw$yo{Lm?u0-`tlZ6S&)FD1Zw~Q8_KMroxlU;tGutl-YGT;~!C{iGth!lzMK&}pP1(`PstPZ)c~VJjNL64SmPI9E zfv7_EHR9X1ypG#Et!#>*iF9Q(HtO}QffaW3uUjozOkdQwU&+5o2Y5%64->AnpZI92 zvBwM(UY2ACunx?lTu`%`qVG(+_FewgC*+5K`*}uoS*fm}#m};a5D#f0 z!Q?m$T&lfK>UbM8aPW>=?MVXtsw}A9_AgnWHs1q2~JtJ%dTCK$)TLJ5a} zrpll<9lO->*ZAw!{Z`GV?<4O6)5%M#x^VF@r!}g!(~Yv5#HcuqF?fD;Fo3mNo_enT z#~?LD_sWvzAX{0I36){t|ApG1o{|+@DNEAOI({pK!@KfZgnwv|}k*Cc%Eu2&fH zYbbmAhkWRrFu;!duY^amULTDLocX-NnqApyY4h5Z4?C?&NuM2boXgR_h7Y|awX)yf zh!^9zVW^*w3t)ecwP$^fRUBo75fxPrRetJ=Dns6M7@$_%9y|jp^QqKCPD4rs@Pxtz zD%(QZivAJQp*D1Z5Zr=sGLemQDFnRrT_H->*cjFnH>05`TtF6wJb~P#0gQ@{2s7b|_~H;OVs@Gt zf#xK)762t;hPd>4vbNmt(#3&njK-e5b_tuR%B7Fvman|c!^wJbwScIoUv-7mwaIth zF(&Q9DuCygW<%Yoqd`G)%W9qLQ_8`X_gHoWCBZ2_@~APvMBTI7!V}&Z?PN=4`CZqd zh~RAo8#~+8MFpJ&J`4=~!=jwHy5zW8jk|R18^8mQp5;vUwKg@7lOlVt_QUhv1B0z~ z(fDs1+xEc2n zrT|tvSKdul50Xp0jWA^IuWFB*=YPe8nyxyyL2M5!@>zt%dWVk7oN5AM-&IEp<`T9q zW-*+_+dW20Ln1|6<8}>8+cY@vOt>f1Ka&|@Fphlj2!;843i6(4#3n*)5C)UcU+m%v zxhJKwpgYmrtEod6NV*?mFLd~CG8PxFlmMymab%Ec%_v4=!&3z37iAa3rQ%D%WQNXZ zXl@Ix$d+Nn;A9wsO`E_}|7DV46+OLVk|F!`+W5R^4`XS=aw&G)tJ{fYw0uO^jRV1O&7!-3#SK^6+f`Jol;JE8*461CTS(hbZfGIV*n4L z=Q4uTBIcIxNmd$ze`oYGqpy)Wp?xuMRXvOZqw;ts`|9b!V?u<=q4GkP5l8pDXW7a4 zLyOsbq!zFyrr6QYeroyu6qu9^D~XsRbFKK4t$|n)unuzR1wA&Sg*5s`PlNSQ%pjRW zKX9R-Np8*edkP=0#nQfl|Cvkp-2!^%USs3PX?RiYDscr6H{v&O;Vx)6^aLo@=k1tx zvfL7M|Lv(cP5I}}8JB%l=8P;ncOs0p!Q<_0hoP0UAs0I%HP<$*GVCaE?a0_0hV@CB z?K4tntRN@B{yHfvV-1IJF7(?P_rCfrFOc2nPJP;V>i2E>u`gNz?e%9b#|9AoWjSqa zzIXBG@bSv?BEPy>*_qOd;S!1MvU9oPGyY8rhmv6~3)^T+H81RNqE6wZx@1q*BoFqA zhF@;pY$UC&L+vKqg|lSs8-*Rj66rrn)|h-6uQ(a3p`!T!z4IlO z2?WL!Z}<$aq4vlW{-U_{)x2v{{RAC?Zu2{ycfFJ z-i^dcrG_R_oPUCIT@8{nJaaoiIsVRwlzwLsmr*%-)XhasLNq|MQ1Ir^EXVyhR!~)c zvB};|@N2XK;IEWUpO2ZJq;!ratQ>;)5=vk7%@8H1&t5!h5r4Kk?e9lN^d&k10AYlW z`vS4`WFGRup6FRFWwK^Jew-+ zLcOX*!?A|>#Bn_Lm@+C2rMY8gc=E{)+0T57vN?l))T&+_drJ-&VM9tPXEe49lhcfL z=f%O8)jkrT%RT=3d1OKlaM@az57ZfGj*x|F`!qm>lzNgTIe_efm9Hby+^MIpLXY6| z*u80k5S==&b+qb~(@FNU?5USnXpQ@)Q&TJp_q3%;ZOhS=>F*D1yz|G0Q*GHZ+YnE)bcm_;jtQhHEry%HNB2YlE^r^l zisd-zIXuUc4M4Uxk#(@`uNiHGmBFs>%7Mz49EB?BKXc;JI?sVfxidT8frPX};zg|r z5w3QAG3-}CKQQgew9|xf*OztCoN4iyOtlt+?v;ZtbmMyDWG>Y2SeZ}{)#L@P2x>Iz zSl>_r+c1p-cie?(f^=)#u~ZNiu<2B_T`Z2$qzFddTSYLaP~SaM@b?gYuy zMS0K;U=IHOI6_2hHeQNF`g<+WZ4io_Xy&)a=tn_ju*3iuI94-)5oZ=umPC9s8zh9y zZw@TbONRy=e`2j1>Y&(bIVhJD^t8%pB@a$dSz8IPrdildGZNqWF5la$xONu|b*<@; zt?3q-{s98hnzZGx1?7W-NEkzH3s{#0Uk#`R*zkGF>)&wmn#OS3!GmSolDTDwQ(t(5 z=l=2?v~(Tvk93^qQmx|#(r-D?kk+#F@A5(|8e^)ENSv>kI{LAc2UifU zX&DUgTBXNY;t{r7RFX?~6!dq^=Agw~#W>7|H&10sgkq;GjqI5O9ef0Kev%L~VD{!v*obD}{dWOOYPG z(f0fm-#(*{o@tH)OV?B0hmBLRi2pLXrg)5O&T>80q^=q+levwGb)aY&*kSI2mQNkn zDLD{di(1k&h##kioLYBjGZ~dscXH}9YolI2NAI~ZdWK8>^r8!4>?=*ybJTxZ&e7e2 zc29P_TIKY_%Kw5EWP`~|rA_@W|H1bE_z(8~_>a`lRH%%fEWf_R$G7@m`xYR~>_lv= zEUB((P{bGkqU6bu$pBB*|~ zFIotJHdT9}Daayah2+u7BAK8AT#BQ?G$9LDsp3@grj_d9RBpbhMn;hddg&wL_v63J z2AkFQGU~GUdl{X;s43eJ2LVLgL-OBPKS#M3ix`A6retpB}7v@E~I7f)1ZhXsYdzF^jG?knIXM0& z|KRu!|41FlghB>m`#)z6MKwU;s^6H@ix0kRJ!xOp<~I`#@O@U zr>c2T%N!T4@_D&_yOBNk-NRdOyTwk*2*IjDhWu@D|1z<{dm`O%~h1T@+8fWb=U35HuMBHIO4IB=GWxap+R&^o*b6@Q2$ zKFkbrM9?_GN9@2P8B%5D+XU95j6qQeD?sHV2xx+s@&S@OsY2y;-8^n2*P*N%DgMrg zX}}h44fue-F69fgg4#T9z&}a00$|xYUMZ@*Ma#m8KR>Gnb9*BE`&9I9V@{jRh6dMSK62fWRLy-oYo zZ+x;}@d9kWPULUT0UwEQZ?T(ot6RS2tDleDjB9}BDanpgd>&%}w6Nmc2kxJ9`wb5J zugz$uH}_PwPAv;c$ZYFj?0H_K%(`f^utDRq4&D_Hra z7OqSRc%H1Yc3)~n%b!w4{oQfPhOv}LYdz^4rT|VRlOC3y>CgUmrnjM&yGQffsYd=g zm*fv?d440nZFt&8_+7{&rw@Z0;a&?M+_EkghYP#o=yr5@LzvRYU6&69KC3qXwGY)> z4#4BRyMbCk87v-#^fyL_53hmH@A$eQEPdcvvv{*G(D~nE>=rdLox3^$2Q)7|9(a{>BS5e4BFdU zh7Xb~+q;`eU+6Ti#9+~xRV%d#fo+e3DdzTkL|8Qa;bpkQiP&p!bZ7iPbp0_LVh-4& zV&hoI?S1YGfTX36_0{oyGN||60{@XWG5qLZX8#3tx9*%C4SsQx*hm0(^Ps9F{>#*0 z9P|sb=E1ko55y0tm+<2#GRS)R|B{cl`Mu|v6maOBL+-@8JkpiW^s=xzLR-$>rvYiC z{_*3t=g1W3N$t{V}fR=kJM`8(*5wHhu*gwd!Bd5+nSy+MfiKk4#Z* z*swfh8uVe0Jqf=Xb9{vEC&t*-_4dV0Z6H5sX7Vvr3!xSQUO`&0062o&v$`{OLEq*B z>f3yTAmuBU!ZsDk{^AQU^M`UTtO3Q}6yXo0G``+vYsAD4rJT+So83ER_JT(&ton6F z)Q&ot&o8V#%d!f6wh=j1NNM21(KIEka49O@_n0lLFDBn7fi`@M%ijM^T04xl3Tp3- zmBWqT{MFL|5j5ft$kG1Tv)UWG8{aZqkHY&xcHjfY!Uxmg6WjyiU8Nq;{$S7QdW8;f zdB|PvQ2+9eRQrOIOy8SOp<-DfJUhEMxm<0{Zz$}lVqN_S%l>UWf&(91n^+fBlhF@M z0|MW9a{pfJ)?b))iB&0$6#cA|pfbP?RMbn0jN;nOndLb9uCbW)Sv?%iGq%zFYq1Wh z8^3lmh=1S4gw<|q24g1YYiCBq`MZ$~wF1AXl#PEHapt%@0;zq> zX~NuY?X5b*b0#DO$?15J2YG1EsZ)uasrtm46^z&g;H2+*(CLbU;0nMzb7rHZywwEH zaIoxBcDyCpLgTd1=PC5^BU2WB`|^7rv6#4AiTFPP!UO+$Lk6CV*W7Hjzw$BMw|55S zF97!}*MU~jDG#x~lqHs&Y@B~t!9xY47nTTrunLp2q>z|#C}R1)7#I2vx=DpzetP(? z;VwA?q9v__q3L#!?5{442qhmw_g+glaIz(M(SHQ*eD4vj@iwsFh;$>*E&=%86VI%8 zTHB=*C^ZWbLTYCdD0>rp^x7gfbWzw`)tjpv+>84?Iolfx%9x!-Y$*xD zcQ&f-a*ugs(6JKS2e}EzM)A`6ds(xP5?CZAAng>-G?nirayVRFnS+-r9W3PRJy1Bm zNCfVzbM}w3x}^t$Edlctwb}T7QELGZv-XB_cPDVeLc!tF%#G4-?hXB>uTHwpv9{DB z(Cdt2izm@)Q2FHwEkxX%I-|EL`G@11eJp?@GVyOcdcou;zEh36UtfgNV%_+TO3sAM z4x%TVEB`q{IW|u8CGNHdVL)cQW0@gLa#*fQzMQ-XIBA;&rO_OLd4u`lh-m|Op;7Ai zf}!T~FdR_e?lD)#KSEmqeyuPTeUMZ$#YAH*ICx}8&qu(^$I3GJTU*XJCc{Rt9J~Nk z3&7qPM1uar#YPuYI9!N)I+3a)Fa&*tkTwCe5VVL z4!JVSe2+!Va980DALMw-Cp zuohyy{N4}wjGXYL62Inv>wm`^4r<(DTiYiF3)6DCqPwo&=jlG5I7ClK3lCHRM1|rkVx!Z(< zT`wiI)1CU{;451Cno@JAhz1z&--)~r!S+2LD_HYyD?RbvI*5ReVz5HN@+3Ho3PJsa z?fLsy@1PJQ6w;r+!0JZSXZI20Sxvu`N?9x$&5{*LnXOqV$UQo9-y;QHEXlo3=R2^B zFH(@hzI5nFD*d|*nU~>&s;jwKpb$wFM-RB_B>t#+;6SIw{!y1mjtdp&UX))Jyq>K$ z?9ula8Zf*ZJG}x3Gq-WkY}b0Q;hO7fei)YuS4R*q8OO?uNj7lGUAj^0L|BU%hwRh_ zVCl?RW2q;Q1|v6Yu-U4Frmz|N~w~rzZOv_%uPZITlKeaXxR5C>=v<25O9KTxFyegI_%y3C3t4wDyBa* z9F*x7S@l3#<=0tg)mgD=-`KVsrrR92Jlh=DZ2@S~)0%AwJC^pc#-k0{9G)D%3LVC6 zP#1Y@CyqPKuK0F6kZf@%_7b@P?@LLGhwNE7qPmP78fwp>Ca7=>J?@E{8XtX=PX?Il zUZx2L(w=4*N_58r3eD`t4gP(JGE-x)v~wZDm!}+$8*ghJ-S0u%73P-~P2n2-AjQe# zT>x>fu$;8AiuIeO@XNwX8Wo{B|M2lfskW)yO!UmJ59Mi}*GWTTw;b8(xzS{(WR5=^ ze;)b>v)hh_+o)6^;YbhkmT@16&Z9BzRmCLAIzlU@f8G_;uQgLi*g+z#`&?1T`{vzp zokrTlXY(%Kt@G>Y9Kcrj?ErY&b~eigY5=^~UAX$Mk9AzP3qydf*Ag;GX#M9m@u!c2 zm+0Kj+8w%5?+NE&P!Dec8kqFTP4e)md}pF?*V#Q3Ee!OHO;Lq;OTXTLmP9WI4^W^) zcQ1UFa-vzJN-}4!)PBLi5w!^!MM9*e8p1{9^V_b8Zl{tQvxpO{cJ&Ocs3eu>xA{@Z zR|l$i8v^1Ax%GxhKvXDDtvmH&+JU*Ap zuEPd`Wx?6@3hky87amy3lN2AH4`5~_t~u4R9O+EU)@-ImB96m*18 zl94o&ySTQE($LG#zZJtyrmPH=B3k?>`OkDkQjH9CjP|nC{kpd$hP`p)?R4ro=z+GT zY*E0BiH~vCx~TlNZ?>+N6!2xUH3Q$%#_wcgJxF5cP7_5_$G9EI`x+$t1nB;j&un3g zW*W&*ArhsH7V77RHXUmPSe_>XVT4~#&uNPnEosy({v}l)vbX}Daq)?a={oh~CSwjl0_!w55}gCSVUaQSnUvw@Zxd?_V>_OL9ur{nox zO5t(FqO)`RxuygC_(~UE04!4pGH%=}Ya^NJ({(#88O=~1kA@}4FOax(xA?K5693As z^MCMjN~i&GHzub;&-Ebs$r*I%8EWBr^c|voVSF2XfzrJ&y%M_5F8VmC zH2loMDVOup8YXV1fT0E6JTJ$m)39@HFz%~x(-4(cYpS!11#?Z62d79dz2JoU#D7sO zMS<55j@iuSTb7>J_5^;<8*hQ}VScX%(3_m|4rBaGfcp{nwOi-mqbnXaOPW}n=hHvo zv-$Qu_xq@T_rv4uCBWBvKnp=6qE2g_`6D!@k+6KXC@bqRAV<0u6MI|MONcAgK{C-3 z(Q-XfC_hV^#btcSGWY2p9Ys@AOKVO`<~i5oq)z!7Kj8Yzau{5g`nFltCerK55^qJ# zft+BihdAuzbUQ@1i8kYb3^hGaIIR5*Nwq9x>&E?jpB}dN=?O%i)2akVLN{+TUQZZ( z$tf_SI120mU_@n7uI=KMsq`tmI=DsW_v0M?!N`s&G?m(b587R_ZZ>JCh^|}GoQ1iV zpyqF4{oDNz#x{6U60a4N4S}szqI&G3K77!><_*QEMGx_i2D+_3{N>0WjkeBa&O^?T z7adwzVy7(%{6ExFZDHS1^z~!^_^Yb?b^8}a!Axgu*J2t2Rt<`$u=D%4ZB^eq0`b6@-HRMFXy3%<_1;m&~Y^Kyr$j3Xnus%&k@uFXF0*0jio=NXrz%?mw&s zL@mN+gsw}k=p6b8u80=a5R~oJ7$E>- zd(^arrehq*AmJXdG>ZebRw7CFby4I)2k24Ch9I~VrQRmS_&5xG{^o4|P9ko@j~?U=^aBbg?MsBSV&(t=ifo&x_JKXu3-8r++;V8E27j1J z8B%r)x|Q_R_ik?XPCNRTeS0jsi@bXelw(KLTEc=pu0|#mr^Z7aL{2S-YJV6XD8lp< z15jg-Dhk&WN`y~Hlem;rPEEm`&OehF07Z9|NqDZZ(UR&ASRW(3W>9Yd0tSZ&&#T!? zH_|?CxwH2o`CK(|l4ulU=)ccaH-o7y`^_vZ-@@vq0s(I38W}eoP3SAMS?%iiBh#01 zVzE$>0q^3zWowIopk}(ahwu5d3^=Tu?JPl7Jk_N_W>KO7rmxca9`w%D(!RF<7!Ttj zSl=jOw4m2d$H%c=b;V$FrQNoJ)}s@_rC$+a52cP>JPc5*d;%5`Ql59)G97Ml zb2f_+9NRD_;Vg-{f>9c?^DbFf|8**!MmBW3K;aB6og#J1b??pj^j01XoJ1pr!q|~ta z*ad}C$)9lAlPOH+TK5(O)_eyzXjg}NlVqVL;=*OV2^MJFuH@FInc^OA`WuW0^U%|@ z*@O=~4Kzt;>;Q_7$`8PCGA5KZu8&m@Kjr#{B;+J5uJE^CdrDalFqm~`kxJ<9hKCDd zXIp&BXd=KZCH?jo+|1w8QEv96E?z=F@VGTP;aldZ9T`SUl3I0ju2D8?h6c7G;*dwu zq;cQJtf$Ve#Eg4<+>n{*gjmCE*59eYUCD8$i_w* zt=!4cZYFwT?&TH(P+{I(yEvO~S4{jlxw|ckhxNTvuSZ}Hj`?hCyyG_CcEvGho$8+l zi5}xW=GTL--RJP&0jrx$&rR08Q1T^8h|p}>1<&uV%(t7@EQX5;KDKf5;L5^x8WV}? ztzMAOEYq>7z_webRyjt)7&qUNwx>}xtrJLfSQ|OvixnaVDC2^Jl_ZOAlUczo79u)y zw_tI_E32uB?TccI4(e+tOMoFsgpPb*`;k=NHoZ!aV;%sL#}9zi^O=%k^eFI58td1j zj?zH3oUH^ZSOy>)g-vC795u>8D$s^aQIbzO+7>{v#9K~-#*}P(cf08sWZ0OHUSm7y z$}*+ij5rD4W-HkpB5EoM$mHKiE_n^w&0GqTHBbZxopDk1VStOWm9j**vqUME2}lz& zR!a8j6q>;nQy#mJ$V`E&Wr<5G=t=4qOKeDcC9zHkp&;hbYx(JuT-456t;&~lMu)?* zsn@br-O{WE(BYp~)@ZzFj+dvy}I&vFrX*wc21; z-PE-Zh@IXX5)+U2=|;cMCaCrLt5ZL;Kab)!r4GW7Fj zb$9)OneBKL;f)7W5We`^Ejh{A7{49&arqVXvRAL_QWwuGmLVDTP=JrJc31EAeu(Zl{l7CfdB}Bg{A-vY#oXv)uNL|J32+xY{Pi)@ynz&I@wl5CcG5l0O!La zCzsgB*qptF(xB2h^1a2AM98>x%5hGA3yvt-s7rB64Ljn>lT>!LJ}kYMZ4NNLm|`W& z(RgicIf{y1*pPE^nr{p!vzl#saCsx8&_%;N{i z-&MvrGms4^DO{0g@2RchIJ>*HXxO2O-n2$$I+|lc#zZ&cHYCgjR(^UMpsYh&xd#M8 z>xISWhejERE_{^h$Na>Ar(t*wo!%_Z#k}r>dznr6>3_^soUb3(ctq_Udz7b*@xcbT zhO=cQHLO^oGW_+h7i^c=u}~(}Ux|D=SF82c%-c!SC|!u5qzoaTuINwX%Ohr=+)XdY zwE(ph>;YD!!z^RN0W9fLIV<7O5pMBTeJ@@iHEyN}hc?A^lbHyMB^!u6`XJ>sK@A|R zPo4JD)euE%4~p^g$1+i}Gs|%ZNmL&opU}Tl1US7p_#`2ai;^!wwpgb2=`)lch>Dpr?}%YA`gb3;jI00mP;SI% zPcMYEHz4v!sRHh29(YIZu6vVL0)GfRlRg+ZOv2XP13!=(VJbz@T|b0rY2{R7i2x&|x_x-y=HhY?M0mz)SdA0j-am`@`RIJH z6aFgmo*5MRM~kJ}XqmW$ym!OXYf#(kqcS7@sfU64o)#V8w==_N;=W~XJ4Bl> zS1b(^n6KIi{3l!N*tP|$LT(nO5?(8xjHi89%Ol}(ADNucEei@T_-Dg_aecBHB(F7) zDiQC0JtRbvusdhPQB&5sd2Sq$oYq=Xs47IT_Vur+7U~vZt=$` zE{HR22Haw9I3EFkT9PMaNkML5p@v0`t|L@^1v9Wj+%UTXZxV( zMZZ$ojmZo?qy1;VbyVL$$YT z9XnfnSp%vJ%6Xgx<~As~+a!|0MT`4n?pv$7`UdCn4(i>_MgBPA$LSsJW{py%a<$Sz zKg;Mw-7%`7bfx|SN*RfKHRKSX#Ka&KoDPc8l~Ti@jCap!R%Ir8EBXvf^1Zp1veOl2 zpDrwo4Y z$8ZS>Gu8-sE4H*QO{h8$Ip0+TwPYa@ho;L5B67*E_aGMIpg;1w&j(K}7ht&r326RT zqByzCTTmNtH$WBR#W+0I7PwIh9ix>k zMA-uHXXC1yUph_F)Hao@L!28>zI#LFD}Nzk9-6H6R*4X`_B>Vb3!j$HocmicfsciX zpszI6gb_Vlp8Q+EyjHy+2*ZQQ&u@4MF73=p9S~|JJF9;`!YJdJ@jIvq%ID8B0&;> zzIpi!`NLB?je&^TXTV5fx|c-H_(&{lo7}#_qWN)=$$@*EF_<5d2D;EKF)=_|goWc* zKWOh2%%?et5*YPE9!f4c)nE&ZWH%!QW9k-3g#>l0sA@cwq^i`-v|p-Su|*a*hAsTe zG9>4E|3yk6Mj$5MPr7)j_{9_l&0;mcosfWMO9GYZKNMON?TadmvTD`UDZAh+tpJC- zSL%)CqT`a1N|9uc#Yj1d^o+_GPac8vxuhl09~>4imJ31oJ<{9Tjb@N2S!m;u!2B?aMP>%F}@@1Vei7nODM9^)t}*a0cl>vV?wbs`wRD<8Ne z>H@-_Bmz1odpA_q{^~IPeMyPqqgyTN(?)PVPrJ#^V%39eOb`r~9R-A!BEzrA1x4Bo zE4`5u%b%_^G}pG2fj&Y6^8*#9dO)YuA`tZ3(i>uq@oto0c-ruzN*jf_=sNGIUzN09>?K0Av3W zBHbt1yurkXzp^I2iD0N;MK^+CE~aW8#=Uxen{zxR=@#(UpG-y;eJeAPK3T!r2d#G# zdOq#QH7h9Vkz%lS4N$+iFGPOJJt$74_y9!gON4$igGi>o5=CM<)&2AllWy-#8OvgEq!$a%dcN!MUaPMx=B{Eji7CpefG zXZ6m>#PPH$p{RLNupSUmcI!*2kwM7Cop5HA59a#eelVDwzmri2a9;~DmW7VN^y2#A zCgv{M`j^oAnQ499`RVXIt6CU8|Kj5!i*)t34#s*<8`(@#VY`owa>x7z0WSF)-0C>9 znb^Cntf2V;__%!1eIrX-TZx7G?9@P*B}N|n;~t{{zY7s`L;kYcmLlQG4(}nkqJ2-Q zj8hl~bGj#0Vxx&2V2X@;;_t-%nwC#!`!*Kdwe9VIlT(P-PTK1>xCuA$t2J?6&0Yq} z^kaMZ(#Pwovw+^zlJ{;>@Y$&N1y|8-ABx7?M9_CGp23l{J7GIVx_)g?it-DkYD|Fx zU}!Mg(AjORZ*%xYB0yDY%J-mfP~`mV>*$3MFpiPHRBQQBr^aF#(|#AYUO^ zA6+tUS114n@FmWbAC=10=?mXqrAEXP_qogyOOvq*9AyOw+EA@Kd%@4|%B)CsaH)us zq+ufjinuqm!9&Zt=AzMgcvKH30eBiG}K#>%H5}wl`(ldd-?- z-@L#*O0M2jikZfVQwL7GQkd3KFJNO;{>6y)?uFJU(-2*JA2gJXUzJaWCh^g-TbSS! z3!ROzVLswply9wQQf!A% zerrAng89&YkC5U`jcbA=PgO{TqDnpQhayD%Mv(s_CyO&R&k%|rm1h9T6^!#&OTz$E z9VjSAYTQpKjQ=3Il%enVBu=LP;paG+{@c%44S)MNCbrZYDF_n4L6)bFvg+#dblVe! zWiy3kX0hyiQI~9ck!(9-V`HkFOMc^wn0DTbl7IploeG4hqZwLNK}>5D7tJ0A7ZQ!7 z0Ae6_;U3H>M-&$WY0N~c+q#4BYUgyDyi!C=gP-5k+h4~0wk_wJhIQwhqb%p?w&-en z96hN<6Zjp;zU>tNct!a8Xf+56VouAy5JzoR;xXyeD!x6Ns6Y5bBH13pV-MrMa=OCe zk}6Ue@ihV+oxn7j@zAjTkoQg;nAh+U?rGM5ys1~?M3tD^2YAe`fFFrp$?Ju+o@E6z zq=M}zZ-JzqFA7T+o-~Fbk83;4@wY2TG{*ZC#Qk<0lz2x5kha%YR8<)|sl77e=olv)T*OoR;%KmB*34m*4Pe7LxmYN3>N zm=sH2bjY8!vwcQf9FYsUH2wnS^czMTVpBfFzY>xYf#mwdhoOu}j*n4_F&DSo!Hf@X zmRsP_|2qCJK!Dne^&%O(thxj{$cMFTyF$W07wW%T)lGYq3}9=qsQ#-IT>ujnnUPU2 za>_{EkE=wm63!*vhxi0)XJ0{*#SMWfj*Kso#UBrHCx1~h4w}_EfFG<4WB=C(Qyhnd z7u13Vn0t}JKgc#+g-ytZj=U=dsvQ!k%QJo5pE%w?Rr^qzra{zz(AHU@Q30H=&tXeH_#pBis&=q8GhG-N)|EN1J@YvorLT}=6@?O5|7~V zd;c2zGuQ+ChHIB~;+AIk6X*eX!@NsANQfMU(j(ppe8%5}9J~V_)uMzUL!(TS_(it( z0-&5fp>9}qsRwINppoTCas+r{JP>ZE{=LBL8me51p9}K@FGs?V5{U5lw-VMt*WscF zMTIIw_67O>6UQA7;F zIuVe~Bn*N&QO_v5VL-y*b8>^!NMvo~Oq5JyTogz<8bMe> zS&0M3Lv82r!g**vN2U3WT7Jw?omI_Y@ zNC34&5RJGBa1=w*LQ4@=Xz~H*KqnFG0yM=yDls%-I21@`51jg!JPdvsU!ac&L4V|k z=srTG5n6mcZLK|B^WU@Of_(8~WUXMR{9&#=WEvG36u4mjZ4|sf5+DMOpbXJ43MKLuQ4DFf zm`}*FQaAmF&?U+TUh-e$Tw*>^pTK9V885aJqz5$M>S-xX)Ft#p zA6Z-&BaQRkb}&~s1rFF1!tt|yLHK+!t{C*1au64|B%C6q(2Y^g0;(taj&cL1_VGPh zmFGV+RtyKPP#BF&dj^~siE_kw!Z?CCfF2m*9~c#WP&c?X@AJSv*M2=nnx}cD(6zmZ z9)*;(i?PP0vBU(TJb?fYtUAKFA2-NqU6>U}#*06U7Jm?Zk6j<`g;+3hp~o7L5#}ym z<*VKxFEAUZPkf1#Zn*fT2O-@Ys8G8GO_)e$yg17h=QX@z$YtjOAD_PP4Tq{Qs3*j}X%p39HJE&Qk z#44mFKN|}de}ugo!R(|PALuQ|h%r&DmArGPfS6z)QHQ`KcpK(EL0mRb4_F)SekzfB zz&h+Ld>prc9}>Wcdt_R`&3_%rslu%7jv@|M&=1TBeB^;>DL@Cl9)BMvjxb24O7F50 zZeJ?SmPp-yLcy7`b#I?#cfT8yfl{uWGYLkTVgpUe0M&usNOojU>;idLXV7YpW{?xu z@VzNFC!P{fAuSgYQ(IvXAuj+^jG+=vB9THK0u~@+aR6lCQ6Pa3!LWwk0nj%vzA#xo zOSpnD@&!v#9AQ)?rgf^44#B^mn4xZoN1%zWf;youeNgx1iR}G5k#2EDDu@6OEuCPu z%p;^kKZ4GoSDT{2y;kZKhhVXghX0Hs$=nL(LzCcW1Cjjx*Jg%j;BTN7(kO%%&JI-9d5B!#8M46}uiWB6POag0P z@cJ2?=qczNbOq7r_Z=U54BIBK6}gVYB(MruH--azvL2xhtqy_-s1b!Fa8HUsj6w2> z43B(=a);anBp5sa&Le}0u=mGa=a=1~c)~D}V8#cHh?x-cz_7nk08oiZ&|pCONI4*e zuqBJu`Xo`}VB_5TY8eCGLBD?>SAu#FFZd(yMAJb$(4m{~PE1#BpTH+hfQ0W9A&Wh% zPNTE5f%DyPZ%H;T_-eE&?i$xP zW=JjH$$*q>z+}Lb8Q1(0n#KNj)-?pN<+W~hGx^0Hi{RRo#>KW8cMJ!g+jWI9zuW=a zS{bpa^O!1iCny7+UPAV$I{8*gC#|Mo9C)XlYkvxaU6W;GIPEXV5=}_F~o3 zt+thaR5Pb6c|~iLaG$Oz+tiM0Bwix0<`Kg|8rSUJG>0z6-ja%j<~LE#|xn9FqA0?7&}Sgx*~1cc;bwV(XuxGmF}-T{x8rDz;Uz?W9t%ZQHgn<5X;`V%xTD z+eXFQdB6An+xm7}`*6&o*`9-E_CD@@Umf$*cl@tO1A?Hhuv*ep$u6KevHAc!dAte&URf)uz71XbCjNNsbung-;-MW@zy@#!`4>SRFW>mo{hlmlkbZ-ptN9}yTP<1uA@sE-e~S@-8)c8wq&l0Uy?n705X?9yMDUH z>I%H6>b-jod;b-L8@vLafWKv)-h_HqtMnZ1Fv56)iIYbrY8%17#u_vPY8c!je(~Xx z=+|Pjw?v7p@ai~%=jk>C!ddW7LjcHR*b2h(Jx8(IKh3++h5PJ z3O+pQFl9U7x7@cx5eYbBOwYvbk#C{x;!!cYeZ{ZW+$U9hQL2PfI5S$d{FPlX zk3Wwv=L7HcvX*k9nugl|2e^=&OX7WsNaC+Bu$LeaqQPdH&ck$MEkWv|4QST};acjm zAx3{{$n@zr`=kHF75FF9RQ0vAyzM%qyJ$}(qa-smu*5bc>>c#5iQt|>o5C}bM(-Om zV_KdiN=ExpZdDX>K0{9CZ9fY$9z5_ zUZ7_qru&%vT_6)fh_62?i!8b-p5wg4JBf7T_qKabjJzbQEK&+0;-Rh?tr#do-I?r} zoD{|siuur#pez9mTxCVL1$myxB8cV6;?Bg}Oesch@&luicyo)EEU+}Oc)gB#1sv%d zm#=&&OC*;$*Ti4)xj1q~tr((Nsne*Wx?$3I@-Z%b9OKBv-rK`z&{p_Lozpy@9~iU9 zUzj3uQnOn^9k3ho7?q!OQBH9KMx>mTZpqv~E+qVwjqiYjhO4bV6h6Y^Mxy&=VjKMz zd#}%sX6!saR3gZcu8%pDh`3QNb&0 zVqppjg<)RN$FrB#P>wItxQprxU0%x@7n2TCr6b|)otg7j(@qwY=cuSh$0Xi6-`cIB zxfRFlR^tFEkpP`C`Js{oXul(h5lhtc7j1r7K<@g_#KbcV9lWoBURW;gF{tufiMv0Y ziVaAd%m^j_r191$rM`?g6FF;ZskpOGR++pLI0EaRN`_4KBFNq~?0y|pJ1O|4G5sE5 z(K|$O*%0JPk+oY0)tHAi>;UN_S_1QP+u^CaJPkm)L#<0GK3i0TRpW;RA?i4~YV_CpU-hWv`H{04}VuP zR|?5uP6Pk9#AX~-r~6@Hxiw6wQiQmV9t00a&| zjt<=WRpnC6#t@u8 ziGPUug_Q5I)+XXE9NmnHin~-aNYU{#=a1W5+&~HMCTt>K-cNiVU8fo{9laSb`_~kw zX)sb5%TZHd8MvVpp(3Wm5YWvjAv@N6fCfd%pq{RmgPIN@lsvP*!h{%QrTzdu#({$DL!7t}=&Liq@nk*KgzeroE!+4mj zlx*`9z{Q<;J@XJ{Caf^63P%)QbdVZLpa~BbR+DxlL?%CKx=Q@9LR17@D-eYcOd0E( z0_Btd8_YS6W%$f74OJX(q)iWa=>@MO1;FUx**MYaU$#`cK8peL>014um4Wnd3N^uC zoHYND2iE0@6=+J{ueQ7MS^u1i*f|Vi5=JXL?-M;S` zYiLnIt)8<8D?=W0`VurCsY@tIo;#d>?V1ESQ>9+`d6=7x?R*;o!1RF9y3`e>Wf(_7 z6+$C(sL~t==X!|Y8IolEJ|t*3f7@<9hi6(uD4P?w2agqLPnBx)7Olab=IBL!Yc(=K zAsfS6+;KnAYy9BU1F#=eAXw`oo$mh*!pk>f(KMHfQsLEm$pz;3_+Ys4{S44%n75~0 zVCeEQp`;1*H!Y#T_GAHKt8H#_Id-_d3af)3DBfk&z#QNub9 zw4{bD*n>1sEjr>YXS%wE5W9w+tw1Bb?jMDo?{q_u)m#PnNqYdIofSVO9}kZPyfw>` z7l?`NU%GTbF3^gyN#+Ft-2{&R1^!sb7tA4E3*@Se{PaH#^zP4%+s4q#j!pHVH%DI; zOaDt$@mALlzaxDo`9!)r#>7qu@UbywPRpdVs1B1op{c<J{^6j8p5`+5_IA|K2KW?JaGK2y13 zH-$7^^Y54l`|YY9+?S^BS=m$X{Z@LNCom6Yy)sKa9d!=5n~;uK;t%EsG6SvAMsV?)K%KnwRuYVJ#! z1~asnX zYKzDeTXd=pP*0mRYv;yOgG%Ps?jSmdHf^NEl?PIHSz9K)@39v)S71jjWX7GEIJXR~ z*uHTQ5&Yl^`r*PtvBqJmcff@%{wVI{#KLWuit8(uz}1e{Z9lkmqKCNspiH zPBN3_AEIVWT7B+=!{~DIHl3=l)zWGtnbupPaOX#yOUrt4De85P2b#887FQX{%l$f_ra?MaL!f&;B9akn6oUBxGq$fw7 zTgUG8ng}Dm>D(c%n$h2gMxC=wySQSeVimASNGE_bL*9nAGBoiRP0WLm(AFGIP9)H# zv_!-A?k@>%CdQ=*!*LZw&E0Tm`y1K_@F4*pV~dNudV$1|?8f7olJ6lyVG)MH;6YFD zlXNAYbEVUh9KZDShD1tBz@#l9v}q`;7K_l_Ys2tfLY1^Ng%Xs$89xetgJ`3yy!eXmD&Jls+*6H>`j!cvnnwMwD9+kA20)Dm zPK3?fDlz*B3`?El%<4e0RgjLD zhIsaQhNU9O_&`m%oVsNEdt)D^AyncZ`!=Yz+qEXvGGD}zeky8h@er}B+oVJ|rKSKa zdO;XFWkf2g@Jiy!2w4L|je3z-CEdTod<(StuvrEEBZ_~oVJOO6*(2pa7!3AY@jA^v znU~5DS;T`;DBm>6>SW|J9EE{JNZb8pDySDZJRIk^)vB4;Q-4rxBq!lnrL%Ij4oy^N zEd5I^O8(lD(WApjTEvDoO2=6ZbMC3LtrV!<%}&Rm zm&u@^Nk0kRauBoU&$U5@N3t3?{~<*U>QpOV9f)O{yMkXL&%*`?iu|B!aO%&fpx3tQizy#!LxTWzkUI!62)X z@vpZY$_3i=Y$FzTiL`KZdRa;vdOGv6Yv6c+D-lr&$r{4aBTRm^+4O=` z)}|!1sX!f;jnO@gAi|}Ob>gVLH50#R5dI8bbXD(-Ah3(lT`#$w$AY?|!+~JvQG;l$1kAuQ|H);5=0Rx4J_AJZ#~O`rNcjtIIjD8u z)J=(D1u$%o>E-(m-`x?rPK^_gGaM6lG$yyiu~ny)?6ek# ztGW3=sZ~ zSym%T4~1PFSCEh28X*|C45Grjo?_#QL4sDy5HLSy@#^1?v&V@-P}O*1*0u_PHLojK zGLv)611s6D=G1V^jnOD;2=m&#Dtu13ZyH|r0^BcdlR^`-dyl3BNn1N5Q%74(W+;<+ z0^$R_G4Y%qNgbuOA{%i@paB{fLyiI&KCA5w&Sx&!y2_558>W?=*6KZsJ|DUMsUJpB z_>Tw54->;;^<<^Uv+-YyK*CKER%Kf^v%OYPdYe+5&6;WF!~)pP*|Rn(s$cHdiDxfh`Y_uUmvx(^fMLj0WeKN?G`xY`pP=lt`0F zJ+?>HYh6|Lqt@HghozoZ91Yjv+y#bg?B{1lQdLMzgu(9b4Tj#{)sQhu=8Z;z^;e`= z3@@n|po+HT)&-w$o8-3I+OE}6D5u3c1RMC5*p}Utk5}hL)vkSaMihEW0fQQi zxu@mO0PCz#eY6l_yf!9T_3{&8^sphG;faTkP|~dOayJT+p{F(4LA0d)IKQ#hj=G7~ zeuFIn(~9)H%tn``>*=Y<>-1Te3>_dtucX!O4O>l^p-l%3ie@h0D}~YCTkW zqM=POBXqO?hRPI!_^Tg`7`XDJfJ4v^j5?NR^t){sD^rr3}KsguERVpVF z-lxF@_Y6(aM5P*)hIV{!(_b@Q* z;^W4+laH}ZPl}^iT}59242NhePIPQZb2Z4$(2>*@q2jPIXdMTuc^XQUpTH|gBRHwb zHfC&rSW=hgPlx!c&rxZZC@YKb)Fb>-5`ZwMpb301M#R&i723yN&dj!*32cEZa0^vq zst-F)nkSpf57)zi9wKUdeCYFx$j!6rHUHG9r1T!n1%?Q3b#Pq(Dsc(40(6)z*)xjK zNlF=6Iq7!XGo=U?Iy%aZ={-7^Q`q28+hW-w=@7#Z0XdxeyMoa3tJcGQawoaupKWrM z!(th3#zed$NO(C;-|x1hmL-+l*a@-jOrX~gDuPd;7NEgIPT%7Omjk16KTz%B+S$+R zuAHpMp4j11D;L(*>K!<%TJeYbQqNmy30n)-7=t1MSecnBwhe2V@ri2QgJQ4y zT;TW=MCp0>!_r1%vhm5(aS&tkLv8w!RP?InKM3hnHPk8{;+ZwcCg5bhExQVDq4bZx z*`mIYyYMUk5nEj@(%9#Nl|ZdarU5F$AV|5^MC>EjH>+5&+TqgWw3vRlzTYfxmDq8( zc+MmGX+$M(6}j#2fvRLBgyMu(k^9UE?N#PDoSZ(uFOzrYWG{lN}1il-UB~D_z0&w;0enxMT#fRXqJK96}3fL@aJSFz?Yc` zQ5Xkm45WpHOMg!o&ryLz*^b>6m?_y)DVjKMKJ6@1@SdzH3p4+M&?#6v9&ed`Od9rF zFPeTtzlDGBrcdp8zMP^NrI}sn(+U8y?Ap%j%sZ$Cv)E)zl(gDTZX4z7TvxKG#Vj>v zm4Y0Z)FD$L$kbQeuh)n;N0p(Or%?MH2?q*PM`7sDs6@HHN+l-xeCGbHvM)#IJm1yfD4N&E=s8EGYFitQb zZ7FJ+GS9xG(`atsZW0`oj6iYIDF|2eq*{Y!3eysil^)87!bXrzZ*Xx*>ak!xI^qO` z>ZmwrNq>`alTMsTObo{#E=ZV8j4qI-z(Lf_Sxkv3q*@<7p)!u7o=Heg#e4LKE)IvR zC-zdLiugqQ_Lw%BRXspt%;rHTe|cLT%|mI$NomlY?_8HOp|W zev`wsXYj$PaB<-fTowJQh&PzL7z z=AtT8-JNh2TDn|KSZZl3>&gdeXe^W2Y4bLsXl!d^X}NERh8o*PH8c7{FJcDZ!Q&7I z7;|FC>?uwtV+QM4rjsh_r`e=6J=}I?SSbA-cy4ucIZ*}Nr>AH80@U^%Bli;;kM6oA zIrCb+fI^p7j}>*6N0;^qK@Y^pIWrfbSU^JOHLKg5RaRQ|@OUU^VE^vOO~0B+l*;x= zdSK8vuIILS+IlC<<{sVpcixb~LeZrMC>!2(9>yjz0{dHMZsfJ09jN5HA8#qMeZT<% zguX`tpOOZJU;eNkJTZw{5?YaJv-eA8Xg7i3yI|b5t{lIL$8(Ttr`7;mr594yJ5X~3 zq$d)TeEv}UMl`zyfRCdOR#Ztu z`pj5bNFbUyuhVQRD!mVj-9Ki=&HATP@`4X?+sEX>9X6}NF5Cb0=Q{aLRk@ z0NSsJV7FiOd_La>VIz12C4mn0dH{^P*OGjUpT#1$1^*-lmNEwMfQa$@CKf$325dwg zzdA3VNogA@h=Kr(5P$Y3tKOu*idZPL9J~f3u2HjqrFgR1 za4nK-V&2^Ld6!e1dAO{Urbt)FtF`ve!hgr;9#KANzh!mvKF5y&GX8Z>>H|8kXn79% zLfeGPXHZBVh$r`I5fF?$>>h&47qiG=zf%5;2$U;ecBmC5+q3T!B^Hn+7Vs(H%yLRU z`|oTUc<-5s5i^31IirK~K4R*K&lnky`fe#xO%&)@cJ^uON4V^#YOMxnc;>X#^dpyA z)Srx13}AZT=^q$Z0tYUCBACT*aZDqe^BxQgjKjfti=OOwnir%dts#EyFTEd>;q zhzt>WCUK|j&&$E^Z83x_LUDCVn+rHfbY=@ZLMHVnBH!V__Ng}L{3=`~sL+#Q$|o)R!@eFC zI3(*ZaZoUFoG15l=>m*6@QGoy%IMrx;qS^EDp~0-*}d1+R=?Keh9|*^3!wR^8${AZ zgajMp2@Njc)`yF;J+s+Y0977)qXo_lkxbLwQNslJjYp1W!O=7oF#Ss4B*1&P_A zp+SBlI;kQf?a)&QgQ)`=MGB>}eGnqHUH8oGt63E)2!J+8JUlV5Q5>r^B~gL;vn>c)QR&9;i}1;`G^Yy<$OPAmDGZFL0Y7;2JbBs zmz)BHqEY>n3@vSM%sK5jXY@#=rP)(`*|&F@E2(BBN*4CUgt~Av{r~~^q+s3!*4-lu zHV&mPo6ZlxdjRsrA1G0cp>=9oBY^%b`2!b&w+}9L$IqeKlY>wF7WC_zY#pJV&&JMe zx=<#Rng=s5;r$(7SJk?NhRN-UfRHU%qXBIh=* zEvYNUO@p?Ogb&*l0Pu0XirE+ zMnGPV!F_8(Ob#=n{y}cA4OcmAPaIw7pzktYD!qb1F>$Sg{#;W|6UG(U>pJS??MN)Cd zS9fDkWJN}`7il$0sO4c_DdM?R_hp11I5uT~tD>5?S zK@bS|WYGDAg?@ei_MH4ZjXEA#Eo}_$S6~n`wERceP2c zqd6~XmpSNNPak)r2*cQP{tu{I-WjIk(!%>($Sg~^#? z1dF31-S7@K9evA_Y@V%Gv#7yCy(-QoEzMP3j1{KsKbtzP&jLZO=c?@;8;0pebXlMi zL%vO_e03;J69Haw8e=~dT9C)|y^WTr1L}@;ceq7LO+112z2s!J zj&)AccJDjA+Y-l{AdFp46Uaxi;?aLTx_dm{+K|B)pgHV~+^uIV;$@eo``hoL(b<e5THvscfj z;$?HZm)yG5&BXM%i>(k9tkr_F*D9XXHcd|$?XUBM*Yz#T;DgLbGx-iIJr6@{=J{5B zi-TPHU17eRv1od07=RfNX^Tc5Wq0d0N8qEJjK|H*@+!8roEWnCb}>#$!!uCHcxt@M#VlG_@X<}w8Ss8-<<1==Q@Q4-znCjS9tQ|LWTyp?u0$}s&nrxLtK5@UH_ zfENsu{T@)}TZlNV6u_`Ri>W0aEHZ2{vY+Mz9-`*gp7NFCHJ;2L(NtvQFAZJMX0F=G zZs)+0VnON3>Tr0hNfs$mkTn;`i=9*lE+!A#;smTOgcOG^OIv}K$ceoL@P0J;XZFWS zAF`R({>sbuOo*9o&GfFtt&izOyl6d-ccbu%hsmg?%$|Wn-i1jQjx&UTkZ86QcB^mm zID|!(-48JZAgqNf)XAL!9&QL9TL@2Z8JPz8fgF&-Bqv_R!`m&!WXR=x1V1mpf~L zPo#DT&(NkX%ANRJmR%X)EM){~YH`sRLh5W)F_}mjG-nB;juIi7f1;siU!1YQ*(z

N5R98$!CN4_{f*bvWx+tvW+v)1H+V+W8fQE6uw^{?Kp(v>*J^&g6Q zuLD{1ywP3}Z4x7)kCh(>v1c&ZLl|x!t zRC-*fBZxXdZim}7+l1!If2j-%ccUEbsA!aMfC<`e{xDw)D+o+ zm}quq-;`BFH7qPf6Q0jg!*!2X=#acNIqV6J!X3;?l8eW{ zc%gK$z%(@OuLs@ltBL)p)RqHm;ZiqY-n(etbxv1j=53+g<$Iqjn8ewN=nz@ObIF_u zp$tFOdVMy(8xcf2U0bIl!PZp71U#k7oH|h6h%k+i#ffL6|L!|w-9$fizqFLN9Dx27 zY^c@otVksk^N6&lXa%L|09`;2yOqzuldB(S(Nfk?{=3H8=qO3Z(qL)-^SfqZJ3;bN z8#rb1&vytRRL=iaebfI>6+9=~f6ZLt`&S?Q*WsH11WMD;I|Rx%P)^qWadfbs|Jii; z4-x$T<>=V|>*%&WzZ@Ogm!tcHpa%|!W2PBSystsTpVf#0WByz(%MKp~RGDu1leKln z3D*hu^7;3a*O1wNu|i*CRpOV^R#PvFUB$TtiyKkbu(=^JWfm5($uFLwnF}jicAX+d z9@d*+q^-^fJ{DddIvppkyw)A3+^;4!YGOP1#X^4kk=ww4hYk2$eiU1Ni31SC$JN#l z@NqCQv{Pp!Ok{(m=&Uw)e)1_a`hf6tB11z=%McWYv?79v$IcVc!UqjKkQ$%iDvVv` zbS7-R;ExvC>FHW{yFnVCZ1QpZK^0Z`y*U~z>QBit0|IN_diu`>ED_Y|dw>lIANn!~ zGrGzBQwq~=VOm-j9=pw2>Iz^74o%a#Lw%JozvRBRHj`C$rR&LUo&AhTm84(3&&T_( z#M1VAzIOA=hqC1AZyp7S5){JD@kJ|AxamN}pE%-p^WRDDDO>&KrI+TG(sAU;^1)9- zA(eJTP6bYdPWdcES0j}8R|DsR>!Hm_XQAZ?1=^eK0<8uyE5z}vhQt7{kEn+fk`}yD zdxKRsfylMo}AH%L$k7Wa{A}4aKEFOZEm8VsK&{#Q7!~m%5WA$Ju*OFGaSOIe=GLSm*oU&-cD7+1aMe^1rt2Eq$U)fx3+;)C;k-!1~McExwH@bUqi z1ci?Okf8sm|C?>cOgbz(0-uD&MY4%M9HBRnJee|OpTRKYK8C5&f2muM_po{3=VETl zZk&iq?O$J684_^ z3Gpm57iDqgF&! z5rWLnt?RXI5F^c`7LWm8MW}piJc0Io=hnl`3Wmpg2i=sU8?+b0*wgbz0|}M=g4Xi} zI1ZY1e4F{lQqE7peUhY>^hB*uK3yZVaV6N94xBOP;z_mIzBD8=uA0c6P&C%^+DW%E#{!G@iSZb(XFRLbMN3c6C6woKlYN$;xEH_?jUk> z!?^zS0qIo>2)HI$)Q9&8$)fVO^w&?}nos%pl&c+`)eSdT2@3HCuTdj;4dHew)UNk3An zbw7I=F#3@h1KPtpfBSQAPc|^9@Mb{ABFj7i*nMp}{>2ahy#gt2Wftl}qv*(ld zPg`ABnb~agUR$KyoT#A1ZyGzPW~Y{p1e(~_8_f;hgIn(#OGm?MXX6Z7{u~~em>Sc` z%4(W0rbj@?|CHN_+wciZd`ZnwvN12&uf^s2Y4?5G6j|Uh-8!ZJ9kjT0M1g2y6S88iR)x6>%DgGE~%p?wX$r7ZMMR?(c9=T9R-PC$z8)fLGHTjWN~qqaB-C zU!;wx%c&jDG1?v1q>S{8d(fE2=ug^7g{h7UM^72?S9Sf$)4fDkFJWZ#m64x8i^SJo zIk2iYH?kz(8XE$IP!BqHs&T+V0sgtr(DJ3!kVq!ws)EEP(*wThTfSfle@aNspzTPv zb`?@Fp=fS>Tl9mQyLtt(#%i#@J>>ObU1%vKr!^Vi!YbB>z<8I&X)SJ*Dnn^3lGfju zR2E6w-b%LID6)k;3Pz#N%Ri{~gOTSYrD*OSAA&gG!18KQT>mr#(nV6r07eOXr74we z4R9CVeb)Pb(hIf?THP{?C0>kJ5c~2=Xa!`ZUB(G%(=7FLZzbOvuwlIH67PoH4qk(~ z)3j)FL$ZcS-e{C;TfxoyM<4a5a8*+lR2DDtb{BX3CCHxoCQeOZ6oxQ%6yNYS+Eskg zz>m*iBnKuvlK<#fD=EsCY?GHbJxg}_@|-JzhL;WBH_f!zL&JlmP`J{M?es z7r3^&Zi>k4_UkljSnA@}%;(S};SF)xIed25(Mj&q`!5`R=dBV9YB^!;5MIaRviVqU zhr@gt&4D0ZF!XO@Ka@ds!GG2K(F=~OMq{HMNHo!(tDk#0ry|z@jm@gV{KH2pl(3ZE z|3Git#D?`_8KCR*yxD+}=BRUIvmt2=$Jpu^=S-(PW75IEC|!y@@3rzi)BvyJTq40x zhB~t?sVFOHX^>ab)uh5u2gfU`SevF;Tz@g<7aAj(r8vF~lKpOQR73!SfXZ&!Fqr6W zzQ~fN@2qp#dRS{!@t9O-F+!6TnLA@Zx3*2;1ji9Z2c(vl)jHlXOBas6Wt=Ok{EGCx zjh*pm*t9!7ecv4o#tW13v0{XQ$lG}P!0;cf3;p}| z|Gzxt>&bt_E)ggj=l^eVq2jKjbcFWV*)-k_I}TCD&<$JH%|OG7lsDKPUjP@{Fi|r>V4ilcoIepNZDrs&o6i$kVSOGdY8YS4DJuI5 z4Zq`R;)mJC#U8^|+m^TE)z#+ftBe2X|jC@)hjZ8<=@81!B9(VQ5Edz{COCO@CF>befPtgc{*lVHs9&ybKQ5p z5uPMa|0LjL?2pS65xQZ7A`x_9`IeZGWO~b;p=(!Bw4DTOK$wqcC*bzkb~#@tQ8qn| zMc*uNSK6K`ZJ7f$epul@HX{+eWS?L)|HQOjL|H!6pK{wA5ToIksNJ`|pisvRysH^_Yh=@$G03lsP%rHQi75xo zxbsPYx!S|8A*LXEVO;^2WjWU7Y?_u}6e+VXVpf((mBQ5eRZi#U75z6fk$A_Zk~1fE zCQznXBVs8LzaP{n&b}jk9O6)HSj_8mgL&I>3QJ={;@X^hyxz^qTXJ~6`XiDdeF#3* zl!Z_GMeE2XXXzep(;C;`&$S;$_CD;y?dUxzNlyj=UX7P2gFOPSW|_WU;1aE9Ej=-O zM2Q+^dhIFV41ASeZFw(-`mh2}=yAb;!sz!oa(W}N)irSf(SuW!5A;mB(U1rqS^ws@ zb?0f+)Z$F0hHHONQ5#FYyCHC!R8OQE>D$kI$9To3)2_~S8=>kh;~x%l{~KN{M2O;! z#1cLWbWP^$#?eOo{>Kj{%tCkI+pG~di>HIEfoI;gd)y!DB^$Mt=v8fM;#+1e|2EY$ z7D0;l+vN$8^A_326{j84MC7RBS}}}BCT_pcp792){;&y-J`k-?@X#Lt`4=Zxsc-t*Q?F06l2MT z;}lTcWM0fti@q~92K0cx3b4PH#y8L#0X~c*!7PIP>jZuJV~`9WYhyhP<*T?_kUJ3l zvb(20(834lS&0lf5VT8;A-cFp64^GM^to14wYc{@ac>v1ZlJM^pJ({PlWTRb(p0t4 z3bnt2r>(#;#me1X@v&MgFdQT1F%T9fqY5=TeJN<@)%56(yk^a)F~KS`$Qe5o5aH$N znbz_E9sJto*Lj(PzaarLq+cAXA`mJ3gY*|@@^^{fT(4pa(JHGu;XhF;0C&l&#iwPF zXD?^(%o0uq>0nAgw!@;6Xt$9bl-$JfQ%qGul*=<`6e&}nxX9&L>iKefJtNk;$Nddg z1+UtHUQZh|7`_aH!irZE%N_OI!7eJ|6>L*pK6p2eo zu-4LaGB7l+m?Oeyc$imNQ8s4s#F2@ix{K(NOs$kmB0q(NvJ8)EV1MSpme+QaHm>Y5 zx6PX)@i67t`M8TTDk2foZ-48lxcvjWq_2 z)Z^YV`N;?+u3ql&n7psS?}lr&%yatDNhVcRKk1Bt#S({Edv);$lCiDf zO*>nH6Q*k%B6AlX(u6FW^z(3 znx4k*?{{Qaz!9*s{VppoHxU&$(c^Ao_6K!>JQNw~UZ1B(pW6$foS?2v4l@H0PH^gC zP&2rL>$tvA+X{aNq*mi-d7lG$SlcIfS&!+(@K#n`tn7j`^Qf?Y;b}SV^lFN#B*96$ zvUa%cT%cH9=NO9OAiSNf+onfl+{wPbtXpOrW~R1@{}Pw=J?yc?pIIPBt$bY|{e-sU{ zQeugOPTCYZEv+Ie-j-DaPww#K^*vygbQ_SBa?;jMZshNcsMkka+28Tp`8NGS{PmAF zjDpa77Yl4C#@F!25JI5bPm<&AwGD4VVF`^eBH`?qtkfdSxUF@$Y-S4_oc~L3F*1B&W;&*Nf3ukdrS)@PEJsGx1hL?}4~h9E4xZs%irNUKO!eSM*EQ382~_bJ zfh0?tjZoIxxyLf%$_n?KtJAh#E*)`3ied&aN__|XV%|0Kl31wi`Ki-T)eI%&pgy^2 z5eG5@3b<6+5*}WTEb{v2&DfH%&L2Fyw=aH6^R;=K?j_rBo%woTgz*gXjPo3Y8{%A6 z$jB0Fk~Mn?sNuFLwM1Y(Z3qdM2L?t3!AJ6-a)GS{+@Ov{{#8daC#TIBo*uTfHe`Pep;fHC!`b?k7o=A)t|OlN z-){+@1HB|3;-~Z$@jlvK9(xQ4p1g1$04@-n?0CU#PEa0w-s68rclN$sl#kUIFPpOP zvp;UX9}L?g`y-ESif{@xjk+7Hw87oFWaFA9MbrMRgVmo`x4{oe;e~!SPSrnH>s@h! z^$H=Z+>14l|9D+)N18+%^dx_(R;zY1VA=BjVK}MAJC*V0smvh*)IlVhJ2pIC9nfPm zy77#T5IxFMGX-BPberU^WY1(YIC~SC<-Et8VrF zOW?n;!yuG)L(Pez8%r;f(Dh>}I;LubeIN4KEzk?*b>wlM;+WU};K$eM;f>RoEiG?s z_VCm`h660q6Ezl(Fn7bag~$lzK7fh;fA*MNYMo|BEsFiAN}wO=f0X8Eloml)+7iGVfqfc-&|3;fXP!C44^7qJ32ilnvU@8-1Ru`Kj z97@o2sOo9X)mO#(ZGH7>OEDTE4!eT;!l}gSGIcED!);wq#g7_E0*1wt9A;GK@S9U} z1?MHUFtAfu1`1CF7Y47eB_~g4%=R$3JIk4mF;eU2B5lgnZ`;cZwyrAY#qp#=thw|; zRvGK!Yz0+KMQvqD6{##GKu1M`siwJj`fOx!RLrpen)0Ff>!&uFHfKe$NL1)?OfXu2 zcE*FJtPoVlhq}LCk;35WnRXA$5p?h^xpX2J=~;CaSTN5ba-(~WEc%nR3ngpek`RA; zP9s{e9ivl)gv-xWG=Ok$&nl2Eh#fm7?Vuh@MhzjCA!D{v9C=m=*o)Qo$x|;>oa2{Y z6pu{i_HkJ^$aSctX9@>5a8aE8B{BZd(Z^!QlnA3GVtv9ON(6gek9<{np+&;l^kivi zC1aXyMZDmk8&|5KFy_sfB*9?f&|t`6`MAx>&#b<@vq+DO?mYQV(u~Hk*W|K|rKQ6Cols^d_N; z5I>otcwt$t45hcnls z*?6TN6`_h2`q5%WTAw1n6kiWKPk$Sp5{3xmFITvRwp~^;=iq+Yb^&7_>{>PSu8)PU zFedQsGb!&tV=>D8dYh{((k`!$ri{lY-6_!z&5u(#dA2-uEHAJWqhyCLH1FMHXrd5C zM>Ox6J$Up&Z|Owz=B~!ZL(lY2=ycg3euTxTtnBO;Ot`JK&o@bTPe1CCqd%SQBG3|L z8V7uCm>gndk2zOY@}tpbVDHg$I*$eS`L;Ed6*~CUX(JGKbM}e1Mj_9{b>`55Z@+0O z7f43W)Tw4IZ$W;_$q#NkZbLcm>{>q-XY#W-(!q-bvqNm;X#zK9+jui}*n4fVqa%q! z^riOW@`)Ih)NV1+g-s3BKSo_>bP3*J)7ssF2GY}gc&fFFmdA1TfTwlt4B@zE#9+n5 z{k~>}9m2Ky_9Xp~AW3x7d@;6 zraP+5yf?z(iNWGZ%lj3k<}l4*Lj94Ti{hf7>}MnMQ)K3hOoxXGM0c)qd$`5d1AlSz^Ku}IR>vdNyx%@7%CpS6E)-E*SRyX7eI+%=%+L5W zAkp=}>c#x0E7rEp&*ZIbLLBUr$$1l`d$+BofqYH$9LdU3d1AyAIc+N}%K50qF<7PP ziqV5ejz@z$8H%NMxq3w>ku)1R?#6c)Q{6inF^-ON{ye-r9AZ~4mvn1t+dt zM&3)l-D@Mzx)Yc5Be{ihM=0s%#wL5v>d9&)CG1cR)rSN_KMLRccpq z@^>Pr$H|peb$ZXM^;#C#;-1k9(BfUZEomizN7x@r3})hN&=Cmf0K$=J*^Wb1uN`-D zd(1!bu~-4I>6gO>@p_rCU$xF}f8<2*r`{{zFI9$YzUtZCkK2(S zq}jV#SiMuC{^@*U$Jv0eTLuSxI&!Se<_DKA=69QZAe(XYvoxCY8E$?|Cl!R8a*#1K zk1nly$DdL0aVGcLI||1m?+cjvxf=qeRf0R<8P?r_H+O#%zO>m`HIauedU>@a!~bWh zy7v8My>DCx?U7z7|6(89oJ?!bXue1Kspx_;4@+;Gx zI;onu9=CwY{DD~mZwJ1v?op!Wn^ypouLX=u^O~70HwwpBgXh>2gZ0rFA?hM|G9iA0 z><8P`CLi?M*$F;+-F}6^iMSlQeEJi2I(KrN)q~y0Gd**XaY4vsywQ>DzkZC3@(s^j zq=tNUpZei7_Lg(;Ls;CNPfde2la1_LDAW*$W>=z$&hRR)Un)*pU9D=S8<~+4BhAOC z=g8XgRQY34w?^x@B%?|9bk`b8tmjJ7vj_OC`*MxY?vHa>6-UhvuX#7&h!}8KJ&(%1j1)#6dNwfY*NRk&y!uYhyR~j^Iq~YNk~7?S(TyC@ z{-EpQ4-SRjV&uzbkaUk>%xUET^o3b(AG1&cK{Ztx&9UL9j>zs^XNd42b@b98@v3A;rmwS%>8sgC878UjV86OBl=INW1(DD{85WtFBhVh z`!uBw*D#>VZyj#vCYz+j+)m*vY0cR0Q(P=AeavT^hwGe(@ICqmbxNRub*HZN$Kut1 zLeV_K2Re0R7d8D#{~n$f#;^6fJ*LM*EtF4P6^Rj^3salzg^3C~TfA9Q)!P#XR-Oyk2F4i{U>kBy!(n}DubQOFY;z3OUw5>;~Tf8x~=A<%CLsm z3b*D%l~dY6tn?G#mC_Hy`RF9yg3$XH)h!>8UtIwv@7#CRi6lCE{V2-ZbTGWXpRc&c zeaOA+HlYi?KOXNp_rL@eYfgsliKk~W;l;hS330<-bxxe z_V9j!$==!2$P`;KV|ruEnIc!=yuMPqQgk(tm1a+QVo0~{%q|XbU-V(b4G!E~)_tCV z3mwVQ<1Y1{Q7)o5LYiU^X!vB^W0$=x>^cKN**inTLK*|W2XgpRD%TlH=dsQvRJ$jx zX$D)5oqOM;*EHqPl#|4*b)cvcFV48dGnTXE<7TDEX|57Y`6J?CMV4|8O(%=_ZMd`( zcm|5Cl!EF0{kf6c$qF|=1gbmYJB5`yS>y+=+Ukz_3Mm)eESgi+NKS=pM{3E7VF|rY zSLU_Le55iiZ1r%Bd$F=OQTF)cL|M_>SqlR<<2Z#EKPR)t-Lv;34}bSdj<-2H=+!U{ z3RkNj!aj5x?bL!%1Va?MsVBI+hU>jqQ^YR zZQRbXCvSK^QhjqoH!W9tO2zt8u3R@Yyokc+k*{!io!>H@^NrB%nOq|5-X$S5bAd1D zdS?m4_zPQzoNt4i4!GC+zUE1HiXBQX3q{T!bQQg+tYN*KBFtsJ{2+ zpxfIdM(Lwj_RFV8N6%_T`6i1|qV=<>2(o>5ww`oR+>7w-&zVN0yj>;PyRr)^7+-_Fd$5j4cOeM{ z)dgjYFt_hDy@AQ%Ri#V1AC1ayH9j3$?D)cA>_`s@bZ7l68C5eE^mQ^enz4VB?_orH zv50k}{Y!zY_JyPSl2foAtx<+4e7BQKVvKsVBhMxAoH(gJTbVXU{oMO}A_Ac@-|W>9 zXQj>vcd8UD$e~WQ8tJNPH}*c63aW}jM=IHWzNCZ7n7o;L8SXmw% z@{y%G^^xt~iN6xr+sMI&*_yjtL6%0NabcuA4?QqR%6c-2rhC33&C=NKiK5lOpP%}k@D@d#j2&lacOp7D_G6&4NTzKKV9siIr+O#(|jX(WAXNOr_@{})BkyzNNrFW^mwx&@y6o=E4S?<2I*o`NRs|733 zxhKU>wM!e>_GHus0YezI|1nQE8WNd1<2d%=Wt$jI!Yz`{u^E z9jDYom#CJvL~7TLOhvVFMOd+#Q0$KGqB(V<7A6=$t8A{<+w@HMcT|_~B(QQvb2HTq7I=c(@TWAGC2p@-Pm zM8bl@y^O_4k+2JD+uM2VV@im~_X5nqQk$FnTE~Xl{J9eBaMxtf;BU011+t1zE%C&7|AA7yA_?H2)c%Oag z_Fo&^OJxKMKd=`q^P1afB|qA5)OkQVgL-0g29NuUzktBnYzw``rj=7EP(E?LweCHt&kWL z7K4w!LqrJhVMs(+>-7)H358t$#X(pcF}{n45az=W;q}+`&%f_5H~>BYwu^)~%nAVz z0r>lo2w{o1UyoZ!IQZslV-f;Qhu_0@asMuj!C(ObJi+)o4IpB%5WGzLI}J7wPh{eQ zEr+lhXgC~hgDi=FgQp-d@#Z81iWQ3m;4^I|u)cHv0}?ivLn7kg{g8ij4gv6Hu?;i; z$J{yG^7>B9KV)Gv*KZR4gNDVylcp#P{ZAsa(LyW^03c>zh_F7P$|OsKm^+3543=4G zEJR#C_xE2}`rppQ!5(045QhOV%qZXhB96He*!SzZNB>X;!V)&nV5b1g%HSmk;0B+9 z1i}WF;0bslG5)kP0=)%({N*}U5KF@T?L}4)0`bs)sU#8>7k@(rjJ4Nh=z^X(97@)bga@r4A|7u>GABaj1cEtS%7|7LfH?>dtq7nz^8d4- d&aWShcI9=0NAF)FD+s4Q20)_GN~e{P{{hM+#^?Y5 delta 151229 zcmc$_c|4S1-#2V6DzaoLOZFv^?E4ypVJx9Ymh3xYX>4bbEy*&(7)B{;*=4eeDBF-h z_CYep5@Tts&#A8Gey;2NT=)In@B6%;=l#Qc#GJ=@oWJAu`)(6bA?N2~&SW(}@wyz3 zn%WsyfWMQy*O`ap`4$FdLmCXt1k0L7sWx57=wOvSg+;v*(;u2DcdYNYN1j^LTV=Vh&2PVei>_^ofai~y~SfP;lBw?IQLl1;hf(50WTK5+X4ms=P7U6gj7Td-}_ z7fO0Qs`xEGB2>0_R%tEN0CFh52SB!~o2b*^CA;V6J4_Gn378!^XxbJXYpn0heVSr@ zJHgu2C$zwRs=CIxR_&hgF|qO~m&1xxgW>f##g6mKD5kb0tp`@koG~S+y4O;VTREsT zGK{^tAusptICBoKuIASV7bJcbw0Li*_CbnLyoXK}cd4bwiZZI_<&lvDBoq)@&%2cQ z0%E00X|CEK*OwSu52+7Nd$6Sl^=P<$q&rEWRo)r7rfo3?!Wn}bLLP}pUN_NA3;dbVugU!*fJjCavFEp=7#>n6k{-FfI5lfOO*Hk^{h-GM!lT}vWTJ>-w0gr zK>2-J1f68-lW|ZHtR& zclCR>rLGnyGz=}jo4=}S4m@O!@O79bYkg%PR7jO>axtGKF5&yw+T_(lq8B~<$KC1GC)UYJ1zgI7X5zwg)|rc zR3*n1Dk@~YO6kQ7Pp0nO5wi0xg7^78bSK2{43+VU|pShy_R>Cg5efOD8=qENZXDuf&{Mzp>$V47(#>L!$ zCk=O)+I1_2*)qji4>ndr-2-n-JkfNjdcS&F^8=j&oOKx4&`%2{kIm5Nk z2c)`t)+3HN=1iZJrwuLQgT>w-Rs1-%O@}q?XM4ZYq*u7DZ_Y;>fNx&6l$SgfX}NZ6 z()@e#TS?fyM;+e0{Z(-mH$E#w<@WF+pH;~r!-=$(=8l2GGPN`ybl=6ch}9`&4L<+0pH0QtiQBqV~RuME++%hk>=9Q?tfg=Mo*Bb}qD| zHv5i4K0N;*`)Q9FI5XgM{A>2syJZar)mOeP%8$-5oPNV~cP6loTyw_UdVKGO0PfV0LLxNVfuCfNyc2}y zS{1$neIo_4+>GDXU!$H-?>(~PQj>)#D7mud8MK^j4Vdkc528LBVQ^|@B*g373&!;P z5tkXr>Xei{cplv2fHG z?wN}!`7R&OsTP#PSj;|7=PSA2Y}-nxywGl2!1uG$UjF&MpFOql0mZ3vd{=glrCsYt z%+N)m%aQx{HLl6yilpti{G+F%ev8324ePANehH?{Z-FUM%ghhBdM@eUy{9`@Eib5A z5+Dt6%{O+=a>%ox#(!JLOIz}uzm=qe=eXc_$QhU%0jFTn1Q}a(UGk%@9s>zeWAFD|ErOTG<+dp|{ z&PV*LFXA%rEXBE9?^z?POxY&=$kvs{jQKYUMKS$0jk-Hpn!su9`nL7TvUNfT4J7zE zmqz-7=+Tt=VzNT(bE~{77dSe919CCsCAwMlbz&3tU);Xg+@&+O)?yT}m-wn**L5$E zPEo&-6n-a%=E0|s`>~QN?}r|n$x1;JD&yb1uBS;_2euQRk=Uw~1vK?onYm&_h63i! z$CQ6ohuvSjvwp?#lkTUXsJolGgbz}`b!r35Ta;^T(xaj`q?9j+rhZ53=2=guXMSK1 zKSdYvz;foYG^2q}lm!ck!S!Q^vr`-Qg!?zSsMlBC@b_LwjxBYvps!sI(pG<-@bt#@ z1pc?OZ%2CpS&ys>R(!VJN5daztuq3rEs6FQ8z^3FgmEnOvpculEIRqCjuziH#1$}>2{4-4y&rV(W}Tb5k*_L$ zWEVq)LMYsbk})BZzJBu|)R1zyEsLIhvQepDDv5{kV?kzHw7|?jU7YFCWkRy@T&~D@ z*9$0WR^UxX5urn@e@f7q2AZZ7;Tsbj6Bl(M{OLEA=bvsjtqWy&8@?>9v9SGAVi#+$ zX1J7k3XwQB&8L!^wAJ~r3!-o53!e0=^-KBwH*$-9t$={wTo-DX=co=MeXqUXAA?J7B)>yv|v zhUaSrt^x!51woa0#atg2m5gyg&nk(m;*A54vafcVs;lCA3?rCn?QaYj2gkee6P<^g zy|2Glg_y8DE}+A-jc?NEx`eD=C{vR1!mr2FDnpF5&eMq43`Xd8<;YHsZ#)w4UGa); z^!iOMLw=juN_V%Nd1dMJa7KdF(6(X2&y=bBgHFI-H(SwzmG;|vl(*M9e_z`NO}|>% zl;10Q9$H`HpF1g22{Ul9`cMNYkDg9}Dn+Rv+Er96?SZ*nsw_cBteW+H)VZ*=9M+O% zb4LBqvpOHsYuJOkxfFLVnq=!96g779cR0;SIJ|)k zN}6iU*x#rmdEbBJ_4=Ny^}z+Zw%I|gGvP0`A$pD6m%>H@*g``*hiX_-Ur*%63}Ia5 zKmN24AaA)-1ydNRwe&BVVnR~p#r$ISAZ_K;0fvk)p$*~0iijE=F3VXaY#s+~eGs;$ z&NH>d?e6Rjqt`vTk4~bJeJQa6#>G%}gn*%yMilLC4U-m-Pn#iZXr9#B)@;r2e0cGV z?n9k5M@*ck&%lNG^Zeg*xIW*ow-+=a+Pz2)^v|xmCRiu&LkScB1QZc zZ%8@2cf>UIYL^Gth`0)-yt_`Rfl|#AVG6AaF*2YRnIK+~bE%Q;{OEX=FmykRv0sAb zJwN}Qt%>6`t1D&dA<qzH1o60o{>3a`LgUceIMp<5qcOt1phtT^13f-J(1(5y&Yw{ zv)#{2xIV{UKhLVq%tVAO*};F=S6tp++P66~9z~RP5z$k5%k{>TSTg?LJDJm{IQtt1 zK;$-el0NqCgvcr7o$o4x0l{QzyDWhc($I_SXHq+|E50P^!&tpEgPo>7IwIa3VrQe! z(5>{U^A{J-%Q$Eb$=qT(l|-sfzvx$|7_OjG{TzL*Lsuuxdr9AOS~n^mCmeH7`sBs^ zq%pS_??q+e3TRXnO8LB_{kiNh8s1n92jKndP|noR3%8(WPAT3l&AaXpGuSE3y_qKD zw5DhhXO<-p8tO=+zNKQ$EPvC95A$*P%6AwZBOKb0?m;LiHZ`XGmod9AciPQ8CY%{aB=wFm+NN?M)M#KWUXh@Jdssy#XY3U_9zW6lJG#%_PZ}q)g?g5 zzKxT&3}V&%v|0sSB1}&_&*c;weOfaFlIPXMELb2o@j@@v=8F6mmg3$dEHket)2=L1 z?ZyK}#H3of#Ntp+*%wB^7s_I>tf`x3Pt({-m{j$Tz6`W&?$%U&DW^5`yYl*a!E5%g z==u-IqjCz)KaGZTuW6)YuiX*&k><(-yk|nV#v?HjCLS|}$qu~tx*}w5$OU~){-Wn^ zcB$u9THN<%9M6BN(Ct-KJYZWM)f41#h(<|1bkV(czTG=XV$Mq_>*uo%WAa}r$EiQ8 zW8-JPSnopNo4a)bTE^8)*koBZug6~ptu%mT zk%ynoX!mlLkA7;=i4Ai|8sW_>8j-;;r_i3U&=jicNx4sR_x8Zj2e{CV6OnCDgp0J% z7;pZ8{na%G)>-t9poQ3}#!q3h+51eoY!nOYl0SOyqg1XG4puL`{m~twR3YLUzaY3< zRq~Z*PcQ8pPYNP9gHzM$Q&BV`^easy;J_qF=GJ#KP!qFkpYEC63G!x5Dx3FZwyaC{b!4l|dg-nwoaSk4 z=Tht6$Y!W@cguf}a_QZ@%(R;&4DwM?;W@PNb&YW%P5wO8Ts)=X%Z|I*0xIyxH9+Qt zKZ}h-?7hJrW@XB0J<+m!>5GtqMV1iD?WqX)bKf6F++)iN&6dCM)}7otFL+MPrqu~v z>loDiTCvHxKj$3}%+1Mmj4_Aeyt~ddO_n<{*%j3oF&$GqYJ4d=6 z|M>OpG5f}?_g{AG_PC)4&R(yF%d6Lc_Zo-h?q8GkZGtuKC$}F0#%EcTh;5=4?QiEZ zZg%X4vT+PNqI#6m?QQ}slH*0i}mh%UhL z>%qCe+mbgs)yiM#72f!b-Z8B1!X35_a}B!{t!)T=ZLBpbd+%hTACe}NaQjtGHDL6N zZTgb-hkE`zgxaGrzraeg=MTCgR)ul(sn9aF^6ZdVo_haa3TC&#r};c;<{dKh2{#Fw zoDRJ@9mvc_u|@tsyZ&}byc0T6rJ@Ba8IIo7(qn^0Xo^Bt{fg%YPdtdD7~i~(A>QVzwx3ZH%c!Sp&tANMA@r&!dWR4h5*qpwD= zzjy4MH+INZY{}2;hfcx`EiQx@^OV$mg?A3B)OQsy%>LTSJbMqNQZt)LqTHwukuL0P zPm$)5;39>-{z>ofY{8n}2I63T!3JTULeWVk0S`Ebtl{qvWdC2}|`gs)`-Hq^On{OYA^asvKw@)(vVds8XlOTnw+;HHUyJM+V*(SxdO0C^GI;&epV zFHy+nq3x>sNSe1hzL$r&Z3Y9(fv_FF&x&0QZfBxh@(Y`3FYcO#o({5`S8S1lQ+-i) zyL68DSi4T^`=hL1lFxo2S_NYmmDA4NoB#1c`K48d%nLlulE>ABx*nT2cAsEW77JF8UKl~kVwTOB$FZIc> zm;hDfo5RksR!Y}fD|K3n2+E6HcY7vX^HZd(UgclA0Tnbe?*9FysNx}1={MW&f^DX* zKu1pBCw@uOoeeDUXRC&rbzF12w6L3~-@T#6U*3EdZec~Li9ngIF%;Oa3ac$Au!|Om ziLx!`*X~VvJjoy6&0o0H3bYGO_G^8u_#AaHC*8lZ%sG#N55&N>PN%G-{$1%?4FAKyj^e#Q@j>*8F&Kd{ATafR#PT2 z7`nJ*Z*UHKrE3B_ZuKQ9s<#`apEo`+$8By=_)8%?tdnt8(%;CShV+`p)6;V`FDw;( z0|H{PR%~ZmncrQ$3bWAfy721$6`zvv>8b_WBG#X%*XkSbaglMX-Q71Amgoip9Ls5b zAo8`Gcefn4>$SW>DrzqR<5SbBqfQ3BPdQzu<%V2x!(0=OlJmcRKk96R9oUfORv3OR zo*fKi5#qW(5t&FP9hHVzZm4+L+>?$e5Mbkm?}78EUR?cG7ud^Ep%CR|6WIMLU*Xi6$v zp-S~y-h+cJ4?%bUq!Jm>5*YBHMQ~+8Fite|#dE1co}8x(ZJCgsqI+18vH`ivAt)sP zuIc!Mfo-CVMIe1X7q}xvt4HskF=^0GW6oXeE6je}R#wb9%!Gcw!N71)v{yRp{f{T~ zjiqU7>5u8dMuP{!D0(wkn=X{cJp5s3s&)pQOVy1W3bMc8c3z`0N%(xmTZ}iYunn*4 zB&`)pQ^UmNjk|TDcb`hv4Vcz(tm6jJfAcCYU3P{VWB0{Eet&>6BjAzrY|}Htt0|tc zQ+v9IO?Ew>U#2GSD>_3Oda6q8g0b0fsV~7ta8Su(#p;= zFoc7~z$hx=T>N%+CKmR#%Bk~wp5(dfou_|}IJW3yc-{Nlq|tG{gRaXk<<3ByzQD}2 z;54r|_V~9W2lK-k02_^B!UuEQjE&6*=i7=x$+JJ$R?Ni7ycv5|$ND%WTwUlMeUh0d zY?JGt-oK5Uu9vuz&^RTYBULBv%$en0V5hA%FB#- zo$oPPtY5}kxH7e7E@kRy%Eb4qe`uythy$w77Gn?6)=WX4c^jf4nrP(3j($f7p$fx5{X6}QX4_>HytvmYZ zC0@Td?XNz^%97;(9+2~5D(}5{e`}QQJiC~1Fg5>?Z2ac0_AZ>7l%C;G`s*m#2c|Q| z8v3VVyCFLzcHOc|%d&)u{^TGl3^d#tQ*~cH(GFRJ8w- zpI0~N1Pv-p3e|=r;$vBMKcpvXGP?XOs*_4CWxr~8sVa?MD)C)ssyYi>Go3nXzgp?? z&Uo6ED*YSt$VvamdnTfHyDtS8H0YBur3nF_xIV^TNx}C7>SyEF$WuFt{S)huzC$wM zDaM)E9-d@09HxM}8ua}-8@e%n{t4s7)Rh=th*1p#Q$K-ymSu)DZZ`GCLEd<4Y-`hi zyj0S&sX|F*#kLEpItO3pq%y0Oelkl`T2{4GXn`g&AC%sA*!L(c4~PjC5wmT z>*Duwr6>5a(M&y9N=+LHz>jk4QjUKB1p#)3yqQcn>4{|h$frheIAR*( zGK0Q1*+&&c32%x)w}sW7x&Lof!sa z5>cDn^aC#Dqw_^}|Ec#Z^L_queC6q_lznh6WLtk?0x0&%C|{-s+Bd>xVSD z4P?Kb=*_JccG+Ll&d_TQ+*^EiMuV)HB|>QhP#n=h?-weDPggXf>IIjCX<)Y z*O=CNS7JTfc`*K~jV2%6r?leBKtiIYt1#X9>snC(f}NeCVMBeFhq9nb9*=oBlMEWI z%BPS7AiAZU(eMCC;ktY8P|g>=68dh7=epOYjX}mx{+>sg*ze@$AI3v|((5_0x7)Gu z*~JwZ?3=G>&mS1x1*m~0r=*9)Z3i=F5fmMS@@&_yoU}?QjWm%@<&%bv1l6&PZ*G0f z(-~U7I;NfS_AD_rB6Zr%J1XThFWj(3)q8@o7|3+UP8QsO=X^PH6Eg;>K+bE-c@2xU9!?TR^1i zDDY}vE==E$&A(6eVgZwE_`t|k+?dun7h^99I;4Uu$%Nhfo@f%xtwT}Gyo+VLE*bav zdbRvVp4__w((hNJqn`jlwvrlY{-G&sL8qpQV_RuC-16obnji&|2V!!P4Wvc`=UUsP>AI0 z>t+uAM{ADo=rif9Edn+I7IW{usMriuJaDlA65M^g1x%~i!s@B`Pc5H$O*I~`e=|Tj zX~IP%Aj{(kM1%W2Lr1Z|w-0Udq0&XHz>dck8@Ds1tw85NJS9=Ugp=i5B@`oYs_WTx zR{y)uI~EPWqsz-1gxVPespYbe%Nmvw?TkA&jOp2*CtWfuo%4|`@6wG4^wUcH!DVwt zGUMgtx)yuO-$mVF1ob3q_8WoGxrJcgula56pRj>c8vS^Ugbfp^2E+58ka>U zzm~eoykrvTnf814__W!bI#|ZtIx1L3cL4R7j30GWT9;L+!=|(ROd2og6H9Q@}}ExUnibx|LekQnwrKCB~48pXM0bW z)5&LXPTr0_4(?~9WWkkAzWFb&3h)p7my6^xo_(eg0Y8=h*N^p@?s*_4Kd~H^+44G^ zt7f(kdp|9ZOhv=YJ@(i^Ob;1-rJEg*mP2*x2r$CzWv`idj0`>#`$5rHWQ$o>-5pci zH#>4VGK(P2UNb-1%4iHYj(|V4bh6J{YB)5$c+j#+3}R2HbYDJL>N$vNXv_gl&Dj64 zl^z+J>N!|g!_J#W>}nqcHgl-ecbE6%bp?O3%w+DzOeI{wH*JU=FQnXWYR7h%$C!9E z@5_b;Tax5SQ-!3<>zo}NT^?^MiyEr*+-kNa55G^k{j6H7FVHXS7+Ei0If68cO>$Qt zTc1URniCqV*B&x3H`jM}Qyc(eEj@mFFOmB64V4~t+==uakaPW7I1cEf2;^{)&)Fj1 z?P-CP!$KjOWc8Jw%_2V~oECJXO1hmzmZ=?h`S^Z4_{<*X&ZEDtXeH)9nIJKt7t5JCvitjI-APiH~jlri%byAPm9moff|<}D6I;`KQWO`H>}CH8 z%Dd>@&^3kg-7n*79#W_o0WcS8bC=C?m#$z}I4luihOES9FsggR{ z}`T~@x+3XJ4y5#kEUfTZK9yxNe@d7^IK7{$Ia-Oq~@36?Xw@8PNcf+5z zzTj~;|Bd*qU~>M(y;f4CbjQH$c8`UFQF)!=8n15n=iO>St8{bQOrXpvldZAE&Pw`% z*S9w~3K3JA5|=nTZdRhBzSgFmZLMWvH9<_%?N-~hYm1)36U}r^J|W+^+u-MI4Ph+} z=OdLxQ;+pl{oW}CNw7cKUErSoD!fByC8r~(_n2a}G}(HXRwYNvWcrS)>vG9jrK4A8 zLCL9K&0MFJ#?GI1e*t%cm&vO)_{I_-}b(7O$>+8B_Rd^*mnMYfXKZ-Th z)gjTihR-9{^XQtI(9X4dRVB>~MXsnacKs(VJRK-|1N|7jTb#Kz7PiG%cNb4@ora}T zbP?PsOn;jA{=6#on7!D@_##Qn9ieM*+A+NSmt6qMIp46S{Q-FZd!@v=?mSakVJ2S4 zcz(fN^3}3~u4M*F&6LuMUF;Ga>!<5qc9puYLOxGF=2!DoCFlRjBqdi`m?+lBB&PFJ z$>SQI!=o}SY6q7exWppXSMf4|?B#Ndc}7-2cNp?JODR#4Hb=}uVK5fITT!9V^>#(% zPO+hhLY}RSTF`Y0z%w$7+m~gIj)2-6VVHK;?CV6A)3J*6Hh+RL9&LqT`xpyh zAsNH0oU^!llY~_hxl#!$J)$7D?D>u2JS&SEth({&G}zgM_!>uBnBYKwl^H!zGPw4m zdoj$n#6fUe&I2$b`;f?8?iT^8$`+^=*}P>TK=a9NAmdptE z2AQ&ozX~J4I26lOBHhLB(qKRMF!7drc_qMESgN8wd;MZXYHFIlnmHc+DD^H?J;~T4 zYI2TCoUl|3v}}f*C2;dSnGX$p%5Rrg;35DeqenKqA4uxGfA=}H|Hxz>@yl-;i?7o8 zq6x18aY8R`HN=9MpS&)u7NuyCYm`$@lJi_`T=MirNAJ_tO0@BHbf>9jRr#3gX}Dpr zp^amszW-4pgSlCf@SQzjTh^3IWk0T$T(}VRI8SEnu=nEAr3+rF`v}ts znnZCA&Rf>goW<{&oVAr|PG>RQ(Xytz@m0g#)>uwUkV~-Pbpg)8AXJ!_3jILmF1z6}AL=~xdQb*mWNrK6{MWst6(+{n z1i~l3=K)R;rrBq-uJR~!2$-tKzI>X2o3uigu$LUGc(R7JJ_5rD!MK2 zISVmWU%GjtcIPFjerf+MB&nmHUL&?d95Qtko8X03FJF3E+Zo?Q(NxxpMKX3;KCs-O zTnk`(uMQVa;HG|!J?DY7WA>5RQb6PerIG3B+OxmWz&{i`9C72inz#1W6mbE|NS?Oa znq@r?{7~`M`qWlZ_3T+3!>1bwd0WV(o;2Hd1x>|a`_w`f*~Q9IyO)c}mxM~MT4~q} z{1`s{DPE!ERV^*WpoxY&W84esaUqt&-f&;HcqqdMu0Y24v{-h%_)6ZY@cX45;@3~( zV#236e?>(Ret-NJ^`X~SzBRL6QqaGxpgh6vlMN7+0mqHRMa>0YdyYqPAKvFodcR)9 zMoJ=`$Ms*jx~Lgb>F5a^^in0y3ijk@h>myJEc^5W4dKsq@=|S|)pBq)bQm|d)IxN- zvhM#Y=%teHD`;6&>tdhs&r_kVDH*CJD4);y)4s^l98+6&eOlI$bc%IMDC{Y4dd_Sg zAyfjmJ+?I-KXe)auAV;lK+Og*Wy0KujkmmLa_zb~Xo9uWHyYCW%*@j4e})IOue*3uP}pz_vCaznY^ zojZU*O2Vo1t0@k?af%+nR>gF+zj40a_maiuSmqAZ=@43O+^Wm3Iq55Yd~x zKgZ^g&!P4uUQSGd0iSKO!C>neA^PsKQLT1_-$(iler%o>U6^iFpY%Jp<6}ttt;$O% zBxAj&DM$FF$@fO{A3d7%G?&b;J}=|BW$X|{#n*av&5?d`QEdpuYR+C>kk1|?d*MpF z4KSEwR!3{NzEpLoX#Nv-6f)xK2RkNnGahpaZwjs3-@;y0`@ZS=b+4UpMa#L0;(~Yj z8Jv{~;#Q6TB3j`}vS9lQ&zLaffp^I&uUAkrM zdHBu4HOJ?=;cI>2o6?+yV;#Y%x?ae>EWsKT2wMGe@V-H2*OBi zh#4=4(}}lVt}r3!)uO7X-zye(4F>iEWdwEI4$WoAkuQpm`P}`b>$y0=l?%|j@#Uk#bf7$Lv!z%TvO^qb_Whud#i**P-I@zOO55)86%Ck0a=W@wiomSCRElILE!m zI+cAhr->p(2M4{|^`yD6erWFDzWVXun$8gsuX!e?l?nVKOyK#KFySN)Fa`4go@=_`Dt`ip+qb27?m!*fogVyso1Pb#MJWEy%l|21IQiLsBn%nL!&Cz2uS+RN@?5*+XJD{~qX;6LNpNO4Y4FZ~-gft$JkyB7bU^I?wzV-@V z8f#e{n^x^2Ol3oZuxK~$y}}0g^wuPHUJVx(ditV}< zf0;s$6LekHf*REEQp`MmmTa-+IWBWuU`|}Y?|81G=ZW4}VP%(o8Tmupl!{M?w~K$S zTXR#?(S063_pmg#t1u)&4mi?_*p~s?Ea$zUtFYJ7!3|TnK1f=IlNBTJ;>m!e3^87J z2k;544Tf&QUKJx<*Dr&g)&#C4tG_-P>7O@KRY$2G3$%o1sn3e} zV+wcbz9Ji@*7`BMR5T|`wyv{|9@%W%K6>L)k0K~S)jtX$f>B|JF0Au(kTCUuT4WC zWfXj(u1{WB2D#cW5mp`58%ljN-XE~vG;xrayO7A`9WebnDCh4+$Rbzq;?N(^kb(v{ znz&`=Ed|GP$s<=h7rY4I{RbRt*H5-1wa)U}Lmjue3wRUdBeLX!K756Lp9p07TW_jb z0HczwzJy#`fMapcx~};tA-rQ%H4>8p-d`Ir*4zMY=S!pIO!*-MgKy}=|8|=moz*2I z`h3&-(*raNqeoMN^C(ESJV~@#wLcg`+_Kn_LH5cM6R`_ZUJEMf|a2@PEbTwm^6OTb9&0zdmVX* zn_N+u%E6+ry#PcNjwU%{8~VZq$0qwA)llcnH5oh>y6)YN2}N%atQF;Rz^w%bE;QiK zNVkPz7bPDhY3c2G_yS6CT@Hp5)&Bd$2mHy$Q{$O3Bq4;4?0@~x|Mbkj{~r(iPtOee zZ#}f>OVWCVC_+^cN?K3}_3rOeL%QwMrQ|AM2or~eN5w9vT=1k;au=4?R*92@9cwcH zY@ecqj2bdJlh3^dp$m!O8hXYHZ5vLHJ?M0hZeg~AF+Z~OG;hWxZqg*G(=_JplT_91f@ z8kLfMXSa>TkeJ z2FM|cfzYEN>-A;$@>Hqy0xZ@IdmuxY5=9U&MAC?iPjDt!OT^LY$t-SKXPtmca93XYs(g%F1mVbeJa1=U@U!wF*HbckiY*}C_XRIV}vwos_JZiG~6$?3-w zz#H1ZTIO!~tjmFojz1akpk@!ODu!6|r~ZYoKS(A?3prMm%7KEz6^w!H%x9~@)%q|* z;q?V?MQF|hkt3&%9)s^Yat6>r*akD9eh`9#vxueH(zyirg@4?Bx}p#3+~4a-2=mCQ zhIM=P`vfe|3m;B27&dohujC%$vJajA;^s@XFUQ_});PFxf#SM1p#auB4{t^haE*Pr zTl3ccddGjgA@G0vj{kZ?;Qzog{_7q8^@hOzT~%|uWs!}c{KvF8q(qSML%oADo1H?N z!%tXcvbxKq09g%WRl9%%KbZ@-l=`*>4hQz96uuX}yOX^y1A?Itu2I%0>j-Qz@Z=m_ zu;q;n;bO$TY;K(H28UHij3ku5?42f?lgJq!m4y4dTJy#O7BHMDPuPY_p6j(i?% zs3zT)4IaBH*f4*{6~q8c78>ls)DsBD5GD)ZZ^3;nl#1fEK+^L$0Ss8gkEvB_5W)}2 z5Q=!ECBKXlkwSAI8@bS;jRGL`Q(pirmx%A6RzOd175I#yeefr&yX(0L!aayxD@ZB$ z^huwCv)(kkC4)S8(JK#|Zc-(v^;v`alJPM_7PNFZ0w)DP62;cN@!et4gy5WB(uiJC z!yjUrDW{b=<{Eb#T-7~dRw8l~l9+cU*CfMxfSs^?Z}7r87eqfq8pJ4<{$5B0@Pi(df{xB05Q9XkK8)~D9aaR{uUQ$KXB;T#R+5p<*a+#r z3TEK!JkT$PIRU4W7saLCBWEn8pl+$_55?y$^tO1QgL;Uo-f#@E@TgH4a5BPHw;)mA zG4`qqk8MGalfnd1z(1m)WlTIcbD=<38U$Z-Fp5-+4*OY*Ef(%4PPsMbfP7YqU>rPeY%|Hi)Y* zfAnD=Fxfb~<+xCcEdX0s4dgKdapJJgyRQ9jwF-!!CV_6xTSEhlKrH(!NuLW#R(hl9AEJ<)BA0(=eXyT&R|YlT?~#S# zzDOZYRRAF1(1`Cg|5G}GM|g=G$dJ>|MRetUlL4@`awHE5TuYbAv4>Fe-?2GiIvCY~ z&7Puqf-~X(ZV1EiYtO{AZuv;{`!v2qU?L5ujQ&^)EYKR?di?ZQc`0~TntJo|ksHT? zcVAc)u=8&vw-lA>OfjGi*4i6@8}+-XZFFG^L#m_+UI55TUIa7JBxnnEphUCvWnNHl zdqpno-a&&J{rD%f8i{~vkR`_7|CgnK|B&?1OS^EF&zW+OG|J#z#MIKBIZ}|`n z3qgmVMgm;wr&fy(^!fwj`(fyaJwu^CoSoCxxH`Fj;&P;sp*UKV)jvj$T|&=ef?>oz z%EBnRRluwKn$nlT#uGx+8xHvsJuM>#KkN)#x{mZ`Rsa5kN+`5CxZQYWEjGALZ>o7AWsd&~%l!N`FG9!T;8!ARud# zy?xf@D;`3*9Vr#`MmEB?^;zB^w!sYu|+0afqBA1e`FOKS80;|dT(IKa-% z0dF@?l7Yq``@!mgdKV6wcraS%2WfojFOE;D;nxOE{Qlxl=uP{N zz;2vY>ks#C0c5vNGJ_TT0&z`7KF82`8IM-q9%%?chXnr&QqIN8_6aLH63kS`6#FJH z=;g6yp?f*-A@t+T@K>k zyBzS3&i}*a{3kAFVl^z{n@sLXat?IckpMPMNQuk-T3Ua&krZ5B8T8jDmH6dGK?+?d zo&t|aP5MtZrKk=n1(|g@WY|y8pw+7qFfy=e=#EPIALAKb@Yi?(|F!e{C*ukFD;r!` zm3ENYFd_$>te?f`)dn-QT}3eX0F#rytx`xkzg}4wGy(Q;?|fJlXlf2_yWnt*ApVtt z!Q{`KZ2VwvpV}DlcEs`|+GiID>=mNDB0${)3gp5DOg}7l!pHCsjDm#rF{DQ2K0_A- zat}j*Hf@)o3#X^*r?7-zLt+>G80j`N$cVU8S{PEhZUoYv03x`$8u@pYa)pvxKBLFI zz)45-1|3hFNITpSJQ(cX)v)HkP;|4ClFv?8!vuU8=m&M&iFdTX_B9~86hn;`K-&e{ zU(j~_s|origV5C=9IQU;;#YOtHMNTI!H+Ls_Os_<7`N#i7bq+k<)h>T235b(S`+am z6IifeYlSe%px!Aw`~wPq3ZW9-6EMAk0Km{^DECCG`+yyrjS7}Vz;?1v@DFTG)M1~> z>K~_{I}f%e7_+#j_4|MV8!QGQ7`hhG)<5TXjA)qf!8gC}^8t^7;Eiis?ME+wF&`-i6-A^^fZYCM zg#11VKK{qrs)He=8y4e_4MXEI|0Dsz>*&eDC%GM6N5a7vd~>)53cm(R?Vl=uPvolh zO?fphrJbk{GYa{Ln_kMG79Y!+Tm!`}dZ+)y&YnCmFhSfB$HA+i(_lCO>_GlHXn*hN zJ_vRUCIzNZJ9UTNJMzRD5Spdn5n%F)%l7$^O;TyO{%1~&rd8|qY1I=DhtM_emhk-% zxt1w{KyHH}u~t1a3ycE)WKVzDIqN{Ky07Ku1PVMNw-Bl7)y5P6AGB~J3_HOLF7J8u zSJEwhS?c!vtMoVwX!;r(R{t2BPTo*-w~sOk^Z;f)vLM**_MV`gPd1SQb%-IYsMMiU z!NTJg3c>J1K2#1lNd#x^B$=J51)IRl(8bvw-Hhpi1Q!zV<8_B+U{44U5X6NkKnS5c z`G56R_=mw@>q_H#6Scv4#RtiOYPfLPJtPaV1_pfC2D}16UT)uqI0pBZ4+V?e(g$6i zR`c2Ml&T|u4Std_{{d}W7Pfa@CEN#rTr(oHyoc@EfRqMe6yXG%f zicyB(t5jPy)@uK_RgRN1q-E6;EcstIHz|EWKrMb)AI}~9LN#&Tdj~?S1yH%uP2tlk zV~+m)U{m*iQa^G6JnTZ*9Ap=KZ(2wi%ntz%!cGHdbCB6kELeERn(sgRWZ=XoM|_|9 zgPzjz^e4zLF$NM8I^^w=8|e5>0>p^#+4#|wmOd9k*VGDf!RuH~y(x6RajY7&yWr@) zIN{Io3t#X)U>H_-;-c`51r0b-A~sBHAG~}aJD3=j1s+pZgQCkqIx#2~EdM44*pG@! zz`ylgC@e~~5L{shN&4%6{+$65ZpfMJTh9Ww0|v8(E(?F@8JF$S{|_4qI^zYKi=gfZ zdwkLaW-bvv&YLO(Aq2@8R441;FcPMKY$8bTM7dm5FkSC$^q>Aqpb!3YM+y!LAs|>O zS4mp^KedI&ju=D(2-l6PxgncibbRpFsAUmX>i!yXFe8|36a!@|5D9*!zM<^3+`HZf zK@%qbiZ9|6XuTRyFwj4`O)pe~iBvYy5<9_lVp)AWL7nSQ zhG1)@QiZf)rAm>i2qKbH0)#MRYfmeks6(6r8A?GSRm2u0LV$3jMS~fXFo+?E3M2+d z7{V0D@UA;xhci9H|2#b(-qR2K`oo>Q?|lzzUF*8872uP2i~|_QaTh8#V3L~vx+It8 zh@dWLGlg)>RV=ZIox53^3m~8xq9U6{l>uavDl>*VRBTUEJ$(WaWyAhvC!vXrn5f6a zCJ8_ljWSQ(A#$9RihEjGIdRq7=o>u`i%98(B3Z^ItA@hLnRYqJM1u-8`g zHTLrOX=JQ~fk?qo+F?s3QEFHB<=6+AG~uda8pe3B(kjwNxL*+UWh(?E-DdX}bO<`9 zc8$ox0tBM)KnF7fd@_-z#VDy#3>y;*Rr79AMAl}5O*6QP*%UQer&sc+?#!=%=_F70 z9~##cag~}2Jj5#5A{S#kl1YTG)CO8RROIO$0u7@(#ZDPJE$&*A(LQKU5SYPrKwAr* zty+ji2V7 z3_w^a3@WaST)X*UDUMerFO3>0HQ+OQVSK#66*%T0-uSY1_LRevXZzB7A{bkSv1Jf% zNrw%+eF-+*mvU~#J))eUl9y=)t6(A-wgUEMCx+267u6eTdcUWbgIk#}6M;{X?XG}D zZRPJ_CpbuxgW$#!*sRfjhxlbH0)ZMX@#m#LG*W?5r5*&q#|Kp?MX~iHT`QC24^$D! zl!W2s5Ul8kH__FZuaK&nqCI#fRBHuFS#pAj&ZPq7Yf|__iBsvviFMaW;Gl;N&_{|0 zG_qE!3Lox>kdj$qNUdgvY{Zml$uWfsf!OhrI$)EJy;0Tk8p>zNCqo%$d^B8;hk%Fb zVS7mFkhZD7i6LMu1ae64-&+m*)kF;a$D{t&4I2Ajjrw0VXzcf!>c2Z^?Dw1MzdO?y z;ObvC2p&p+rZ{pnzE^!SRySPC6aoS4PP;1;j0?u28NvuiZOVmZoK_JB3UwiYLc3`x z;{zehTsUA9`y0vwK;Z%?UM?oF4CY}K6wUz4%S>glfG#~dHA7^h0!g$;a0E?8QQ3q= zb0>5u<@;iUdDl#Hj9|flF*_rLtnn>ZRyIW^W*IVsn2@9u2!U%aRqax;tV(l7ab+&x z0SP4?5wWdHdbtt>S7~b7RgueL=UPr8o?E4*^4O)Ze!$3?4a6{8WUrQpv}z?_F>=a2 z4=ENAqAe1rn@o+u>{BQ=*gjro+sV<^E%|+>0E0RBcTNEYbMV(wFwL^`w#6uwq^Nyk zp-SqB)9Q->)rA6EE=m+=BRonufWaLsx-~4uH?UlZ(l(w0Wr3;KUlf3(WvaYV$SK7^ zEkd9ep(csTN&uFltui+NWViqp#Zez+LgUUqEDX}yWAjj?)x31uVw0f3ME@TQ2K&uu ze*eK>|9EPCm%(8F&2{=O2h%&}u8oM`Wl?Vcy}QGB#SReuf*wi9jg<~6k3Y;y3r$IF zQMqXbw(33?Us+EjR$;;b5M70=R#})1SVRumD}eBSp!&sPo|JLYK)E~^>Py5)cu|d> z(pJyC1C->Fp8RF8|jsR?0pZpC-_mo0IUKKq|CxbwY(o($R(lF|rP@bb>t?m=Zlu z4l;KhNI{u}1XOS1f&AovAn&LtMaxl*B}E_+6r$d{+HDXi(ZT9~7^)!SeNDwkLDK=z ziAXT+J*+9E_xM+F{E=Wt&4zyC7y)CMVMF832DbA=NNrzq%Tfeo5FkDFDOyRdyS* zDfI75KStlnBk@+-v>gWqQfxQu<_xg;XCP@Sx6+C)u{j8!;@AXz=0SDIri^7f}Hl!9Ng(IuP9q zB$84B^iOZs0d<0hIosh9Ao)cjE;E=2bq$cjQc?g;$17V8TSGb$itgcQ3e$G@kLQ-t zFF-j7q$22znTgy1oK7%KN}(c^NAss+qHsQaJTgpFFSeNy#zt!RBAI#6nY%WLU*S&H}yABM=M~%Xm=V*r4*_bD1WRatTb4 zHvJ#hqu8V(hZB}>g1UnOmGA{crS%eW;Y`@c9s@@QwGA{Yl{--4FVD;=Z!JdU@}yaa z?^_z1*8TH)Z&sMMf*}iLDbzF=$pShbu`dLWUnwTo|7O17*!X6usS4SX{<^IC>#7P# zt~K~)P+nFuT7bl#bfp1z4h1^cTz-L%;arf6+j^RjoGRJu;I6|st)5lMNQrdR;f4B) zmrFB8V3zpwu}cyX#_~tp6dNc+fw@T6VNs;q%q%Q7tM$etVsZ?lp3R0pfQLiIqJLMa zXL*#9WMQ@rONw1go>0{%>bX9R^FXg9&PI`9x@{F!PKiyuMv64P-j-H2s$3i{wkd~$ zKO7qm1jsG3Kl|ZOqYEv7%d1@_x}EIWqn(OGhEQ)Hs{?y-`a6nQ!2 zm?iq~#DzQyrG^aeg-u%R1}Hsnqs@$T876%oVD#c`n?(n8nz7y+v}Y$TnPwq^X>eqC zuu5TGi9IY|FDqZq)v;N~A4EcD8aGiS!68G63jc9wLw8sTFi+71Pffxw;wvX#1GI$_ z%$n_+*_*^r298s+*aDVB$L37bNWiMVX$MIP>MtN;z%kIPHGAP9!njnC`ZDvIedZ2p2tQ! zbicBis8P48<~n!NCEib0lu2A5E)#5EE4?j21iWVd00t+1IKMTe2%0w@1LQ!MA<&LzB>-nv-kK-wK)#Oyeh%NLTRPc~`r@Sj0d4`T)97?e z;iI`5O}1ASE-cfP>mYX$AR(3-fLleHOvVK<26xk;FrBeSo)Bx?Gijbhy|4q@LI0a4*QR=C-eT}$^bbG;cosYG*EiV4U)`BA1Yd4;_LU z$^h9SJ%^B<=pdGGNPEDmvCO@()u%LPbxNo}kn^K;@aE4`Fgx|!I@`kWdRmlu8>2mi z(ZV&8jcsfa3&3uV7-&bc7Z1_)n$(d~9Jpi;m!j)wl|e?21v|jwZMN?IDJL_nXQd{y zGs2v$G)Jauh2>N#aqka&Fb&~!l_0WZAl5shT@{|9g=^^5V8Zo-aK;Ha`YR?X{Fx5T z_azx4rzo9xvW{k8^=f5O^GPu`M#h~W86A;4n-GHNPbHOz0X~4th)jo$C1MYNRmP#e3OvK?VLGY6f9Uk0$I)i0 zQ}!XzyY_d-oU`Jx40Kx?Yz+bn2_S+2q>2K1DdU78*C65-Mp9zBu&zq;X}-GQmudOt z**<(17Wg*r{;Ozr@y20$;HV%33~ob00C3r8K934deyScRuTuV8EA}1n~2gV zT!VHWWPM8yF9Ppjrt*w2+GrWb4^VbOoskQAL`tX`d~--!Q7KtP_9Bxuf}6H!7Wyz+ zXAFYFbu@ju%i<; zKgWpydK!+uIhxnwucvpaNO!>_=va?1+dsL>zvwpq>%n0E^qqj%&R=Ki<;2p^TmacD7TbUCm#xd5?zmEpuWY#t<^h{pn?rK0?$?cG z`|&JaO*+`nOn!u|2Sfaj4`gP(uR!yIH1H~)8~fzMrGevNilAR2%?A@#RzIjZVh|iK zjrg==yaWmS1|;x~*pAhYcfBJzhhSOA8Gv=^P9c>13x~*&@wt!l3-V21pz$~k5a_5A z-b1Mc(x0F;?8sy$g*6m9GZdOjNYCeuz0e6D68nG3&3&s6YX$|~jw!?#L1wb7m8T=b z#^gXsb&&jG6JS5E6HIs%0E&k?p

^fUWt_jzZvL_4fQQc2guz)~ISAzo|j511zBYp_6 z;R9+Z$Dtl0z4EWJ++us7)I2vu`2Bh?Z)#EndP$~-D8NCd=!+Ay2tz~4@qt|7(7^Ff zCToemGS2Z9AHx({3#bZrmw+@%sZ=Iui7X8l4>cVi9Utlm3|_@@DIk|d)qw`MQs)MR z-EUGo%>|&(9@GI5I7DTm+K@=6A)7=iI9>i*sZHr*7IYf~#5@eObA)NuRzby|Ibehg z2B05+o!Rjob;6P!iD1CZlmUG&DWLE8{w5xwC23JoGT*Qdr-Nmv`d}I@ojcy4GOz;( zu>hV-|DF5@YK7*KKr{A<%`ieXkuuu{F(|o5ps+{K0S<=NksRE5tAFs+)KerTxVsXS zkq6SEf7xzrvu}#RDT7#|Zdi$ilIa-AW|}9}11c2~r4t$29q5Ul0rpG>k~2se?TvKP zDpJ+Cte+3ox@Rm{r)UF+?^3==?2qOJk*ELDWV`rD+koaBf_N5@Nh7AS$c9zs1^ti z2m9w>T@rLBfvrJBpSp^}8iO`~>dxN;{f&n$5lOH=JYz8?w%47Os|`0YDV;>n`y8-L zAyk4h)c82_Vuk-j>Vk{|eOp=Cj7ZcBiK^Xia}2=HXm?9U{O zgINxYZ^$FnNKIrk06CyGLfuU7f=K}AbkNb$Fqu_R^>458gl3~iXdD{R(tiy#Q6}K6 zIcATbAhpt_JOUS(vG|lPe;yC|A7aR2yFl%Q-II|Fo{%F0e}nAcIjJSf4 zoxJL&@bccOORNb`>x3%#zxg?RD%RKQ1f%NJxLNoBmtJfU3rR!lp1z1);@;c<0`J;h zk_^WosjOErxnrvXcx%8xY4@y17}|;rceG(e%MSPc@g`;>@G{;V?#O7+uRct3=@31L zW3-Uf))mmB#~G?AqZ=5qQQ8eNm-;Z6%46Xi2)jOw;QevlP<~QMuZT2^pxgf^h zkK&;R)79pb9GA*XAC6O!bFmg0UGQ9=r=gg*gx;=8&Nuzor5Gld=g~x)YD85w-B9*6 z%{8ih?s05c-_doZ-b!&nEFufz+#$;wL`?~{NKL0|XN~-dVC<8QY+g&YZ0-e;Qr8jD zW?eniK0L;2!SBdymsv?~o~Q|Ql8Q|3OfJOEXg&*I2iYDWwSgLy3Hcj()Ew4+U|Dm` z1?Y6f@Q_0i8Rb=64W{-Shm%F<6rH2q6A|Q;16pXG!~2%ni^^MpyAy&_Awh1ih`HrT zV6dQKpc-#iHX%r%r182WG`jAW)0yd&dmtu>Sw!- z7izdo;^&Ffjz1m8W*!=J=lKouw=7|47O1v)erk2*60I%S=9XE zhnio2HW|iLd_Ymal0pFG4f-eJM<#|HLn=k3k~U)iOh;4&w$#(W)G5LK7D`b)VyhEF zfygjma`3H6@rb?sbl}JF^pq20$mD0nUuz@$Q_4a!>CL7 ztq%}qOQeGxt6VQS&H{qR0471cfSeRcA>e>ZnrjO{q{fwde`_=yDN~BMI2y+99Xl`=Lo-q{9vCnG+V(Gq47q-saVG}MWiZx4z!tqO|~lHjzIqaVEHaus1j zj;M;sW-^4wp={Ry%`|X{RfC(Jab2WDjcl^N)5wOYQ~X*@9mc`PiS0!ZeGhC-TLNHJ zoJj~66Z!!q6oaHUZNF`v+@dzfpgn~$2o}BSz4Zr1P~#!`p;h<_{B$+~KrjT)0G*Yi z1}G@~9h8~(+|lU6q*0m@-l^ed9E`g9u*tSQB^j3v+poGi!k2H&H5u?IA_)^r^pR*r z3veUQ9BD4*m?KG2%|n((sDcJlQ??CUn}W?O0};&}`jN3im!fM6M2t%-SSrD}JVDNF zgU)4eI>b2fUg>kOlTnYOFY0m3$;E!tUp=EB;UBRf;);eG<5(Qv9=E4l% zX-N4MUG)@o7nvjcP3iE;DsXjS`K9L5D@s*J&u&9MNZoBl_P7o};A4D<=r~{?Ou)s{ zTQ=Wkf(b;3%%&GH2RGt?j{u`3ur;A^%b_YqDD^4>-DCs|!14HHabjcq@P3+`Ug=~_ z0_wKKvnrJxo~0b{k)mm*Ltkkb92DR=!~v*2h*29&m!PqDMd`%L@Z1CUmgyA2R;Y=X zR5d0wk#Ys;Y8jpgPOs1xAO&2r_5%E%C*J%=-G}4^bn2xLSg5r6jGt$U$1=3_WGq$m zlxIT4f&6&T{vem6AonQ3$l&<>xr3|{JkmLIpHxra=g`?Url5|20@O5}V)TRbc# zomiC{AceX?r}DR7p$oxv*^Nm+S-YI}wJ^y)h6lr82ySlnZMHc>okgP#aNa=Sp3V7#Z>STx5tq`w-bJFR%pT zl^03@o*$SvseFfHVvsxx4PPDLd9*0B2JlB_%Tuxn!;U~QEVFabLSk*UKUg1EMLvbUDXgL0q@n%kkbf&r6e$>!uv?6ZD5pN!FS2v3}_>Bqd|N?lI)0+yV_Y;~%h8(5N5B(`N|ffCDLDFo3=q z^Kdcft$})tT57q1nlXWU@&f~(K%SfF;c0+wU!zZ;s_Kp@j$=09Ok$dMFKl<{yjHnc zl`@Hr%)|V3GB7X)!gp=(Ens};c6?pPF()&Hf;wf8ru|RF%qtPVTWO))OoF=6C!P*% zO%8SrHT9FmH|vy{gJ)C;R z9L($ZXe7v%6C5b4+FnX+h{v=Sg<@n^NDtLYC`r@{ z;yhiE#2tsx?`HX$!JuUd!>i8~@~HdMP80^}ujFc1WSQV6dc2y;C&pC|2NU9|wY<@= zEUzfaIks1zIEtnxWj3&d!F-h$i6GF0yqLCyS68cQ;-yeJDpxTnfp>7d`;8ufdgcP7 z`eu};K30H_PYF#k$l1wwGbMVU*#I`4Et~F#PaD2HlzEL#j$-EVOj z#Xl4fUuWzLwl{xyV5Q@eb5c)Fu@i~82XdUzRTkf=_8?0$?xuX+O1&oSc*1h@(REJp zIrlX;VwoXKLBG+N>4aSixsSQpdQqLDk#)IIcBb-Owgk6;6VWVjMA&nT*HC1nQ!sbj4_Q0c# z6;60!z8fo}*N~wed+v(A{Zmpa9wwQx^gg9mNj#2~9@U^P!yaiGfwgE1C?hS`jAAfK z;?Pd2V`Aaj#rHM!_>5;#`qCfK&}8+Aiel;Njl%vHR5vU8Q#>bUQS%a9M>#&S+GR9g z+03iXG8ecbp;qbQ7=nOscFn|^j4qpM-^MsmCYUo-l=b;nR2K{0$%Y@&T+2-CMz3kY zn42q?Ph1NtQ6xGg2_74c*g_n?T}y8m#BovxBq3Wts!DsrkBNa_sCs-BX>nK%Rd5G( zuXh$hyDY?xONLVvBDiwP97c$D?@h$Vjk$3W8!XF8ThHN=H&Tu6QdP%;j3MgC3aM*0 z*~sw7f|j$gn1R(atVkc{MRQX{H&B-{%_MyzRZ2pKp!>`r=Vg6@Irn=z#BMC+V6aWR zdi>QaQ-Pl3Ye?j#5cs^bq1z;>1b$J`n&2-X*}Qb*{4iHtysK3boMR((HR7bXWHY*&)(3ifqF=-a`dIjoi zIkZ*a&7_rY3L)i}D<1(b;l`3+Z}YkF40svuC=o<}!=h7DFkB1%lJEj%U|K3SaV*jd zX`Dq|Dr*fiG^|&RGGjRCBke`f8Q#Y3;bX(51ye(En%S`s$O@$ zW8@=Mq?OeFAhL^T@#gpA>_B?6IgK{NCra|jmce&Cbu-6is&ZVjv;4yY zF&dNX;YO;D(vehS+hk!h(NEbl_^#{W(ujumEVK;fZgM-tKjRi`)R~zLvG5GMI=&Fi zFn;!0bHE3*aC=xr>Y1@+*u?CbFJ0L*E4iJ-O|Di)T8m~;&$|T%G)m}yW~>(!u5Vu= zhuu|>E2(1+w3x#L@a;-K>rf81tA>=WmoC_ExZeNb4mPN3o>???PqX)G~A1TR;w} zNEV1Vg7bQv=;*X z8Uf3#Rx!=lp&8e5{n@-x2rZO%6>{@T>*R_0WR>4CRR_k0@?MLFqeRZehS-xkJo}&S z@bZHrOqPCI4l|-1mU#u0W7>A_9GoZoq8OjCpcpD`sO?dCj1MDizUre7!I9<-l%zjN zwLfwGtn>!WK4W!ADc04T+CcWC3}9}vDBke&&0QqpCBY+#mu6^{lpCJ~XR9Q0a1)$o z_*=m^QC7FH3;W_hwvZ>;ENEc2&4;%PWp%R!jsqs@f3@@u-Xm9dK^G+VF6~z+9vyiC zc>!6Fcj#T)n2OZ9Oj{6jBq7HdCa7bk6qK#8jNpb~L9xf{6WruJRPDHQAD##mcTe-r5!!fKR)`%I0x{@C(kG zPGZsnMt6CbActL7%N@k$2q}slUWGRowt23lt~LVp+N;blrm%YzHs&S;DyCF-Zx@{G z_!q4xnlL`C5%)fTrRVKVZ8zdP6~$gSK^<)BFs-ky*m1lm{*nWO*%Ga>tNadCdcZR1 zRq>z(n!VyhAzd#kE?!Yfn??)qU(OuGQqYVcSD;0bIJ z8HBHLGc-5rN^?AEZ0^%(@JDvmMp4P5qEoGH=d#)Wg~wr& zxotl>Vc6Wme8wz58-i=!%d=ep#CO#IaIyRd5tII94nxL-`0eGZdK*#k?l`*IM<<-G z8l)sX3T9>F3_esXeoV>=5p)DoNH!*c!s)mutW-XV5=W&s@Ydc|JUUrx&Y{-YizV9C z0Uf8ktie@~6fPuPnmv%TxfeCcaK4f3y7dt9;26&JCa8$5tos-^AOt3np2yIVv zS;mP&_SM#922+Hk@&r+|`(tOD+u=b@hefnpIEg16S01K1*@T1a2e`ST^yOADQGH^H zOF{24-Qa}@B#TWvxGxx8#=Ih@^h4oc`NCz+D8;#fcEV&o-E-RUKv*7uOJA?|kU^&`B@9P>l6tyEG@L6L*%G*^=?Z>!pDDAJ|KZb+tiq@D;hAFzRthcsWgelC z(jNCB`hb1@Va$MM^`5h}V8jvZK^3F=ab>}Ge4@z0Pb5!#hIH`Nw;W@H_nZ6>Ww0&H zb84UlkNKuBA@>Iz60sU7MtZ(7j1ucQlr3}MkYnpe_oK!`wCP3ZyM3`w5Ak}T`Wilo zuD>r8@hHaA#2ZmP7+d}D1C-g;%-JZajkA48IpAxrPsh&1)>@d0$}<7FBP{iKO)eIw zX*K>cwgE-rl&1lrtbmF5wXRMkMc|C}FR zu+|X~zI1Nr{V2!&&@ERc8c;{+TVJ&oyhY{}7*;{Sb;v%`XH5QyJ$+zn40FBQuIj?< zEu=B}mlb-JKR)qnFvs_!Pz+(jldY(50Q|Kpv>|R}xKgi3-698oiv0$m#u*z>*%79Y z(;F@Xc2@3^3A`%wk_BS~QjV=av04|0m!g~1(wXaL(J0+RWON{;Zn+E6awHw)$03b0 z=CPny7u>;*^^xoOS#W5J^mz{KJQ&83JctBj9JT7O;Mt8DrB~EAA6o$0;Fw!gjCzX|LNEw7tqEgZ0Mh@u`p6Lue#G^%06^SyizBop z3}zE6a|d9!h$A@fD2%LI-KnW(pzI0XbU(qlVZ=w;VuQlV9nx6|v>X&sA>5y3`AgY^ z;J{P07I)?%s9vbw^6F775I{=FC1yhfCS>y?WqSV3fWa_N&$uxrgda98B+%Q+#&A_* zVKO&u#-@DWX7hMI7g&>30-iAV(MZ|OUPm^s{9c^L7jNde5hMkn3v}UwE0q1P~a&jTRQ#Y#+v4&LQtp*F3x10LEy z!a83Si3_rXjf3n*d=FIU$(gD`QhV@1sFLE&P{)eGXIUF1n_>COH_d`lixd*53ofyp zG#w#m8XgsTQXlEyNz71qMb%^l2R+yE;4T5TxwAUkx2HT8r6&+}evM>TrcV*M4n_QD4roCIT`I@k6sJTl7 z5=fxF5>Qwn)*ei2AyCc%;B~_WE}DjnU9m6j*IrDMZnwtsNMkJmFGkvVlKQIF%T`yK zQhmHUWuGNpK7n%aTyG`=ZitD=)GxX3mAZLT*Fti|JI=^&Ym^07of*e##xfDwxHunc zH%EAyS9hIqp@mnecUT4EUS=Ez0J&z6;;%P8#s`z|zXwX3_eux=X-vWPvC~jy4T*)# zY#2i`F!C*~*%)SM1*9(o3|1P`K&n#vwkDA8lTN>KN;2ruw5 z&$CLqykh~}5%p1;hEYtPN2IspC}5uNnS-?V^7=~xCa^FJar0PFO9W0RU6r;?N^X=q z@Yln@&uS(r$6pnWjdMmfICYPd!}R?C2uDYeb_mahySGISrNs|vjEW9k(oR}9rV#ZP z2p?m{$)XG^g~D@NmG+|TsvKwSj+hU%60Ua#73O(6Xiy*cdD*VU!aMdf!w7-@8%pbr z7L;$0f7hCwLtx`nFn566Csv=7c^1lAeO}DhJ0LiJXeGk*t=|T-WGQB4JU3MTiLenW z1sXFZbbu|Pbnv1RX-ybzL33nEyYX+Th;4vy$wrUZp}?!R*!)3QJD3og+n$(82@9FGBUfsx611g??xy zqoI*uXxKj)+wU}Evp8ckq;siuA=c=z(p98?Z z?+0K;@^GDACMFxAvs(a80Gmscwhc24GdF9)G&iFe4N}#W?hl+O?w1(?IKWGoX!A+x z?8QPvDr6J7jWg{z^ah?VSX4~vqI-}iALx3HrC|E)$*7#leOyjmh+*UdX#)e-W)FHT z+%{1wSVDStK(v6A*y^t8xXnz2;71n?tK0T)E{@nCmT5hWV9a4q>>^6JC* zx$ldVC`JXt`Hhchx5Gi(lxC=7CyyM#r2cvU^_pf3H{{Ra<1bNDHVOwX`tH3%Rfjl; zqPkkidw{2b4KU8cOhIWmt{3bPENNt#t&mnsiGw;I=Kzxo$e$p!EYn2`^g(iQG4K{8 z+$K$>bs5v#i1%RelBjrt@0prhmx~$$OvudwbWltCvF_D~$l4>Tz|w0)G2B5Nxq;Hn zOyf!vZY>1Ag2=RO9FG=4Z=oZ%wC~b>2Za^TA|ppc^rZnISxplq1f~!+qa-swq%0T` zbpadF8}$ceQogRExk5P_F7KkK87IUUT|m!~D#~+HIGgTEott}yKj#S>XY!Pcl=m^p z!817o2jw>bs@x!f^AR0+vX)@Y){+}gafOqXr$>~or*@-CDD3I62`;CI#Y2b(XS!P3 z6{s&Wsvo5QZ@!z6U%pAz(@+cSCX~wM0kHz@Hdq0O;^gSU4E48#P?iFbX+D{inIpmG zV)sI*!*Tu>c&uz#dH`KpV%md+-4>>xnLK7ELR>feD39zq(v=YCcTsR{la%eaFW`y1 zEFlaqKMXOt^$*xk-~(%z#Rbv~(tm4SdGbS;)}kk@P~xB$mXOkh_-v;6-mW2DQUr~X zBs?yrVXwhx&XH5}4<-oWHg0JI6^H?@c3uG9Ba8@~2{0DG1!vUP5<|3~2O0_q<6hh* zxg-d7M~M4G;hAg`N5tc;*o%jU##0{ZRwu6?D&s9|j%Yg<6YAidC%_-V87mMc-swQa zxk=3R7^yy(YE(D(+-~#jhw(QahHwrAXXJjZ1DT04442196~#h4d{u3S@GJ~w3y@qN zO;GG)d24YwU=$Ky2shRW9`H*P2b)gp+{JS@{m4sEurue&yJBPVVFN+Yda^aO!RTx6 zD88C&6CDLmf%)}Q&s5~4Ddn!+tBhNK5k}PgS}18BkSB3_12b_gA7*JH1{4rzl=zf= zWDYP&NnPu(fsYvQ!rmt4PU{L?bal%6R-6jLjtaz=NC^z42nfMzxn4lmfPB@{+y?oX z5BW1&a#9Xl93IONW|KX51D8om`a_a1PDad*9r7%_1GP6Z*iqKfIM_h*y%5AHJ9G-( zk{WE*GAqlSSAHGO(0&_k+vbF?-h}tCZ?jcH88ca+LV>h$sx945?`@JYs{5q@hGE9U zbsAE`k)N*$Mhw#o$Axk)v*eG}{hrTN4G893>yNBBZWauTME-m!T=~9BpGFy6!lDeD zvMI(m!3gy5qU#tZd`?d4SsR^gu@=$Ec8g%zS1*p+V<|hw?5jSp>x$U3?SUb-nX|^* zZsJYbok*EGNuB=V6>@&SPY)6ZJe;}bCw?kXS%2lt8u`lO2UjCLgbiwyTPEYqC-~X> zh}|7=V*ulM^}F1YnOLpqh$~(_B#0pn(F0DIy4k#kePs&*u`^M)z6dLC%w})CkbsoA z`<@_NDIdwN4Adt$Nn<(wlR}N8fIL7+Ro{M4C$u$7_{0)^B7cCMufF|_WPi|*<*J;ICW}D;9FhZSvG)zrg`4f^LlduV|^B> zx+TKCsYY&XrCbm5=hf4PlX)a&t&Wxcu47@<4uZ?NU`y=|P1;iz#fZH0g35{Z~ zSsj7z6yImVc+QqKdvu}){R>?f0Y{?pWf+Ld5b#<1Y zr(=9L;aGjNYx02Rtcjf8;_9enMDUUrFky}f(XXbS&>C^x<6bn9rF-=_Py)Y1FjOv5 zp{fRDBs)|W$LiQLx2lwDj$#kq5|Y^iG#?=}5CCa`MXmhu^oLQjR!8c3 zoLh52K4c3~H37^(#gLe;U~&uHtidG&kYrlhzMaT(lxQE?5R7I{>KE%wenBMa8AewO zp6;mTw(z{bok`|MuvX?6m=1JxD!xaqO>Ekl1t*Meg8~i-I_V%DfN|he(~7Er?baUp z593GJP{(f9Brc^I*4c4GW5aF^Wl9COD-Cryv(WfsgO- zvbxE~PP?(2N??u|6?Sj2X1yn`G+a(#>0@JxY)l2w# z0Hp$SmTudFtE#O*NDSDORv5AZ zia^b6VK+hglH?WYV7gu7Wgt$7(~xL|4M$l?2KCKd+OV|F(y>-k^vFy?h`p|~x)YAf zVUVSV4EPG~h4W_#i)|ExPk@^|%nB7Xu&N*Zxn?Z4Iq4b)G@}wu7!Ya>R72XI@(odc zEV0>q@36Ts9`uxn$&KhuNb_0r%j10ex9dW97!Yj@V3gF7e_mCA7hzdd$|gb_cR&vZ zJp6A~_Csq(yl|qO=*)UThFy>j~ zC66}}XY`_}5E6BY-n=c8D!}?LQp#cTe`AlxS#V;f5L_%I^B@lNV|#=!L|$jwifPXy zF0yU$Wm}>5LUJsqgYmkPtq9bw<=dG#3(u2amnB!Hd`KM1F`cwKrq|w_4!beS3RnD}ew! zTVW5Dx;Ek#Zjt#$`Mt_ZlhW($j)Q}BSHYNnwUcnf?n*t=129eXDMed?e|H2q`qIKj z_S5cJ1ubO2$ygW>;mt04Gg(pE@885DIB0YHw2Pv+kgO>20reYl&EV?lPjh-BlR=jX z1=kLkchFhOGC$VXD{iUk6r;K9jyP>dR-K}4M*t8z6k{QR*H@?J5QQRRECwg4{Kmq!EZ3 zVh#jC)_G z)Vdh=gtu4geiU*&CZ}NR|dz>XCbim65@gR;|%uS+k@H9tZ!8DzOU<$UL zHQtovP%M+`?T}4dQ}3Erz*j)Pu7S!Hs*7M#>nmkfky?V`#nTF-PR1kR24v` zQi%2g^G4ten&u@d5Wx$_Y4Sa4T>%?-u?CTFJ6O8DVGzSj3Tk*gQ^Hg=-he-iwseu&5m5N-0o~bI_0)VYXFUTxt3T>>TcU@coj$$vejjKqrz=%q_CPFzAm^ ztPjB&21~{q@e8O~fS-`AZ0~tyRfx?6P;=yR2R}OFOJ7TYWyN4!7p3eQyq5>=nPr&j z9B(0#*(O!CU|ux0r;z9nBaXp$hqBz@+hZuprIB47q@VEySm9vvold+4d~f66#T?*y zi%j<*C{@QWZi!L~c{%V`K!Chu7Gg~(?+@ZL=g;yi0(D@pBnKljhRAT%$_=VP#43wx z>66?1@_K*?P_IEjzuMY!3~6r@(p$*bGE+2u$N{(^^jl2`kaOs95aHrWhc0 zm&5j_^(zzK_|4zqi$;Ip&hyNJKRo%`?7#Z!cCViK@@?n0p78Qod*-0%sim0V_Tee; zU+rS7YhlNy@9e5MH1&z6W<3AuhriGNV87?X{?4ydyk)i{cbD$ zcOU;e_Iqu#zyJ7GvEON*|AWVWi2Xjt>>oY82K#-F``?TM`(2~W-#mWmf8x)-Hvs%6 z{``9bz<=V;-^PUB^EdyAKmUn8|IQTrAN=$01t9;yKmXnW=s)$>zqbJT|9kxL|1X38 z=%VKrqy!)OJN%Bv8Qf{-RZgC-ojIs}Y8h4>9{YZncs@7m#Ia+yot`~5CvEPAW2=`B zzT;fptVhfBi{u?||JUZu%#Q#3>tRM8h9!vn=>*))R-G>p%B{ z|CF1H-XXu{NqYPKirL-uA0C>$$%UPFeS>po*n`C7IHJ$ ^mzf^_}SFHG6z^#;L@ z$D3-{*LLJ?@Jq8dO}V}G&v(zPdFZm(_0OqWLuE6Z)-SpoGX57Vv~Jef8=Kt9#O-@$ z?0IkXJ9B+q=iEBiTDiSG%dT6Fi>0cbWJMH1@;9=+8)h_fM6>C44 z{@Mpi_8ne()NR&ldBUxKn)UUcpTCE_bs^ew_tTkq@9BS7C;NuHko45?FR-BFr`Fwc z85eAL!+6X}`}EtW4GV?#=ttv12O<9vfbHRLs4kJutOyn z*I&5kg878}iTCD@g_2E+XFPM{dF|I%r!RN;vw1hCp>oRg=kmsba*8wXi(llsl&*Iv zC;S*RV7c~sWE-9|k92bvd(=5@=ZtsPKab;{7Ei}D2YmJPnkmN@&vu^b^G*%-shC5X z7n8f=9vvoqcYJou6CTH=eo}Mr9gl+J1q+VX#4q@hGd9(w1G||u-8F;0$(fY*roY9%gEMQ7^a$tqaluzllz#Ea zPM6cWZ?2A@eirQN{`B$Mn@gho+GdaZRMo#Rbtp^q!bnX(*0)v}E?{Z$u|-VsG2dWX z;g`>ek37GAc-^X(IyifUN#`RX`=~K8*PI-$rwI;(J z8Poq%^`Z+?)~aRUHwWJHXJ0!$W#+!?`1&blr(n}Zd5O-lnwhCjV6$gjf8Fb|r^O%6 z{~-CLYuvpbx}SYw-p9M&d1l+;p>LcHOjZ7ce0kOa=b87PU;Cu&@U3qawoMti-=%k2 z^ZJ_9S!2&S=PjP`$>+~6F8u6|4-c(fy6CetbG~}>rSCU-ocJPo@tijgOJ1M1^xVz) z1x~F;8W)RRdh2-D%?$<8|{;oYiE#Z%&Du1v!cEZzc1`hv&>-3#6B5$sKGYQ zIPt9baL0y+C)|&FZ1fENslcNlwBgx??V~=)$JI=6^t{$1J+7L%=tOtA51l}tOAjn( zwY|=G!HarKvYZ&;O5G`7^RV}B?O6HFmwa#1g)QCZn^zSR6AFjlH|#SkFm&Eazp`e(=yu+t_q(0D zrzL%nRMyRIreFW@>^#Xj@NJzf|1$y$MSMPLo#dMwSGAa@jh9<=wH~yLP;opQ8 z(LN|)e)|2z?H_FOiC^BmxZAzkG_Czv_OsWYy%p4$ea-jbpKTklOe`~x@wts*bsOW& zy!+H&p4$G@g_-kbEPDRok>MkAXU5Ja`}oJ-_1r=$7};pMdE)rfZ>hcC$nMGBogL$r zfZy-k<>~X9tM}>o6fa^z+n(zl{dlq0_SnSh18y43f$g<<`n#&Xme8 zr%S(*T$lVIMk%=`8IvYplDU%SSiN}c!`DmuE^idPAA4u_o%p!@FYG1U_@Q~=(jS}Z zYu}E(`j@WCrl;fGx|ek?Z=Tt_=D=XWHJ?v?uKJ85yimp{D=%v*YcbqRS(LIpCAa6E z;obD`^y8W5tbcsE@h{TXdoGLf_WU94r0!4R^Ih)>7U;iTa3kZ!jvH^~Z_i(fy|re( z>eaEP=Wo5XV$Zgtgg|XB^Fmme!j+%CC%Nv((MYedxE{CI^w?_ZIaBg;M>c(SE8|Si znU?kibuKp&7SWWa^z%C_e!TkA(1l!KuB2F2tW-4wG*#7GPiI|v>#G}yN2ha_$*0K8 z+#CLZ^m2M9ec|AkQhCSkR{GJAFZ+G4_D|bsUttgT7;8SSktG`+u3_=h&y^ZE1&u3nPX2ba2cKb=#OjhmkHCRKQr@Xm;KY5T|%c_n#Op3 z_o5TeZgFdH>zeQDcZKlPALcz<=G!x`<)` zJ{z#ScOSePpKl~x&RNX5J$vT2<#*!qU;Odzj~#UupX2_o#q93%s9Sd~w+dmw%d>L*4rAQt=5kYnMmF1XJ2yt0z1lajA@s}USJDJ);|_)$KX~WkZ*hU2 z+O|eqeD{@=)mMK^7oR>ix~0FNZ13t@KC8#Kz4WK@tzBDpY#ZB)5is*P-VNIaHdD

?a9=unl4rRm6ktTqW|EtKf&rdTyY|~x0w`$eShGC*3&bu%=}^| zr}KDcw%aQFrF*02-q?CD-{YG^?9{tWKYTYU*E#nRd06v-;HT70`qT1=pCTxf>8fo} z36YUyoXP-fymaB`elq(*tbdapN)77?6IKDYMJw&=FKVW72ogEtzM${|P zl_O6Y;xAG!D$96RMT1k;ezUeuS5hQW`#*p1a_6xdc@?$WvMzl6>d}*pONUCk(%s&i z$;lRHFMO-^XqgusztEg8ND+R`T6n?#5-p?C<=*Gt&V2$moiyydDK|Y7+sjUC@Tlrk z>x20vJXUI<;lPir({*piI7B%C8~9lM>7M7RRxhgRI9T-H2W98jwwC6lBB6~@AT2Jo zeKCHjE=4|E_;vq6+@-O|faFz=?v;19b)TVZzINxJ=L4-FB8;4@p4Y}Wh#Qx63(d6g z-c{E~6;T80x?gWypP$u)VRxc>solH3uCJ;A&!5R1e@_`-6d$WAbQ`PDUXNeB98QZ@ ztLEzFU|do=dA!?>R+_z712_ZF*Y?^^U)3%q*SAho$@0bkm4~NxU>AQV?V{S#G^<0# zzfCLw;Nqaz8T20d2?bYe=PL;1;tA@K5BH1kgtAN0-V>&UQO{APl!X(be1X@=0Y`zF zBcR%zK=OJ};#OQxS>{b4>1d~YIwGs&`D|>xr0*i>RK47EphMX{879TUz_`SM^0`50 z+PoYSo%UwyM`+$U%`oEbRfqlxHG>9Fjz6en)eM?G?#|Yq*x< z{5D?M`-*ShzI9e~jKp7)ACVtbcRYXn4%~fp?s+>=5>&i9g?herrMR^5`n!cJ?Ygc} z%JdaDt9==L^o>Eeo7@0;7j;j?W=($rYco#IFV)w;AFnOLM6ETEiSh22=ar?0f|jf6 zl@Vb7ZZB;E-6_E9^~5Pm?kp>@1ISW%6ciSo^?DfYoW!PJ7;j^!Zk^^k%u8Jh@09rV zC=-Q`sZa5hCBUE|ZTB4edZn;>nEXDhJsAk&AI|@#a!?@n4wYF&N+mA~okJXjV&hKllq!yndmRTB)*tfJiqQvC08r(YZKQCTu-h{7( zb#?)%b{p@WWyqhgMUdEQ$F#TtWq^=)`@GgptqpdjU;YxU8m~0$&AI`nVSORr+GK!b zuPxx=BmnrZD%crj;wrDcDfSEUo;&3>-4sk-j0p>q&-#~o{9uHm@zI&9(H-^Ay^Nnj zUHV?zL~cjx^i3oNPm{+_14Cd^g6$%sto4!XHDyePRjaT=@wHgi15KhzLw~t>69ZeQ ztei5|p`^Yc<#wvoe`3z-aN(&&MiQ7=`i8M0`C811Nt$Y1tvuFNxTG@|{&`@UPoIKo z>Zd-%wBr0^t08Gs-C%J}+YSWndcq4Y$y-vrZSb2F3tJ?W9Q4LiRhp;%bXvH0WVo0R z#?<^l1mbA9qX`W=c2uPC@I=$I(#za;sU@~MC!^FV^2Y~6O*h;!3zVzU;1(dToIbJ# zIR9i4XP@OX&nw9MdQo4^$w_U8w(+{w<#rxl4V*Xjprg<{;D6)`eA4uWehFU_d(6yx zN^!$@4t~9VUSdFp*<{yFPs}BARywjKWK$OU9(AY|vrA*!Ot13MD%F#st*c;w?M}ke z{&y;jfrH)7Xw&P|O~KbSZUUgyj!3!b?wn0yaZt9Uf4lzljTs4gJhtIEwR$n9^7W+ z=2)cj-T7T{yrh^kAvB>?>Zu$NIaiOFq+3pyOVt7p+nnVPxqmosf3Tw0V$7B?oNmS?v2jHCT>u=fczW zS$f=MaQ7a>7x*eR%K`LQ+i3utnF0~#knay_(Y!T}u#Ml2k}T$Uxhd^b0- z7yK;0T%|s1VSN>+It>;^Gpoo$HdDF;Dbecm6IqfgwhP#VcX_bd(8)$E5-}nw6}J9J z+;kJ&Ef&ap@sQXns^wdczpklp?>me?)BU+*2B?hp;>U=@m!D2oh z*4ooD$;l}4f|eE&EkdVUR$=Sv}?OUrSTnHy`B9XvW zl_6J!m6N3gch4kk zfJhzg6e$C@z)*p^agkxQQ#&hGq!bN%E=Ked+LTx!O#W2|QWl#)F9r6dLcDZ^S;F|5 z{|DuqOBM)q$dw{j8VfXifIu`sakd{0x!N520?vKI}lKdl~y8b%`*K zYM||u6bBKid8igkPt_6Kb=Kd_Q2XSjNaHLa-c1OzOGSd-H@6rPkVfqupdvN&B;uFn z16GiHi^|W&o)Z@0y|ooP4*FC>CQ+LqLsPnfvG*w{)U;+&J~*s?l?-(5dg`#CImYS< z{_zG9l{?m);0`1!Fn*6?6>8!uVJuFnZS2~XYKlJNi-#a%bPV>W^rQ zR6mXFzKgySwF>Mx(Kk+_27^-=e{Sc2^WabQV~gHN)lPRDIigT z>)hFMNQ~5^cT}_(a0jrYI(2sv3{sCCPE;YlzqtRrARwC%yT&Ydo&4rH-H*~qlx%2E zVkd~0f%%<#-~W1DoL^TcCfd>WoCA^)F&-kCdtT5HjLX zVB}``g)h${?3_ml@|`si!t#ux1ZYSKm1Xo%ti>o;Im^<78w%k}#vQum+KwFg+Y7Sb zKSTR;am(0v_Rj`r#JcPr(B#g>e_fW$s z7a%`!#5D_*G;}*Yy&M7?cc*p#u1Wb)bKl89OU;4+*ZZIYt9IF?+D8>p3I?`C5euN; z-oGn|;V;=Gi0*h7rZCYLu-*;fR8)qInCV5iG7!rYKY}l~57hd?My_U%ijL6P)H2~O zY8K0rRR+mxhvQJuGl%oNeB=*Jy%U659mqEfPy14yMN8gQN|D(bce+J@ndIwRG7cZQ zdkGq;iIlX&3T0WjH&fm1_||92&Har-3S*0P*AVtNwlTaXV^$i5Yjs+J*H*4zzyIQO398aNTDt9whxiOhML%k z-UA&@s}#npG-*pY_H4cpk$}CBA%j;03Y+L)M2Mz*(b6nPpV}TjC@S+XWnXWJwk@MsX+kHeb%3i%7gz-#{P z8fFX+G13)xfv=Y45lR@-p>FAYrQX1JsjaqU(>vVZS~XCWzoft{2a^VYql$!AH^+zd zchNPp9wma=d|yVn4jJ9|i3MuPn3Cx{w7b22*=qv_gl%gP)?GIdAu=b5Z5mp6mr8YJ z=MTe`e6~QvGEjrFV;EWscAo%U^q5UgnZj76i z%>{v+9og3WHd32hOmDGq4E6g8-9kBS#gFOIu5W`|RL6`lS<$P?R}DpN)oe5?Qq&65 z{!D1+?~o=u?U9TwMcE6Hol$F=AZDcC;OheBO=vXKauz1+o-+H~k7xe8Phz|(x0#<2 zL1L{SY)li%A#t%#C@gyl0i0Los6@pFEDjS_l){Io9I zb7Y%LfW$;ke{c;MuChk)l1B@h>^lH7oJc=QwIsVZ1?*4yV6%?7`h^EJiYGm(oro0? z_#WEL?c|2fJJT_n+G3iX*jB=z!)qx*`2@cv0u-_(1wuwWSSJd*_g% z4D@+>$OgcL7BDCw|HnAqnx5aQ*(?@w32Ne40Ct5%^pxqG#K@VT;W!J?&7^Rv>WOiU?8c{^o zeYWvk<;C$y9Mew{T7SPl^)mBpmadFM)(O7S+k8*I*7Cx`{u@jV^v{nuA$+{JD^_|E zrLIOC3MX_|o1b7l!glH1e}n*P_$I|}(b)07o<=7TwBq(7-8WD0?pS^A2OdJ_wN@OD zzT0@H6cP^AB61zQ5bz74eeJ}I!@#R?iM5e?>cS%Dm3%9Po}-fB-_pH8Uj<)2pBjEt zBsC>7)bcA6HfNEcuN0pY+9aZ*tpOWOulu|Lj}7TO*TD+`z2Lq~opC_8ol1I>aw+570oaP-HU%;_6H!hb=wwke&;S<*qw z_tg*<9ixod=^>|XG+p)H$;g9c5Z|YD3`Ihw_Ee#D3v3*ln&29>}kuD5Tn*xF{pO zVQ9FaJ?4d^a}W(U8ew&Y7}&j=_Z&rDN&n=Y+*}J+j_i`$b^Axiy-ENEbqXz=Idc|T ze$8@CXOn{beStQc0YS9@LWTBmXDp8;D_JUs-=*cO;`b_~aF6kEc_=6}Fu^u77x7KJwcn;eTEZ}zhOl7oe z#jv%-@awT1C4p`&Zi5evqn@M8Pf-@sjS)#<7+XcDcXcI{d{h~en?FzLI%RU33s`wt z5~Nq?qm3$*AX~L#f&H3Mt=!29eGk8cZy6OEFG>kJD_9^nZ^L&9z%ote9fErMewSBvg;%BxcTz#%Nz{Okd$HY}dNNovAu6j{JJ*f+KzEWhoeqb+xQHzg6WE(^qNT+<$jM~YesMVO!jADbvn4>Dl zpxELeLgW)Pg#GDbT&ll%UNH_A_UoOqANUs%&?;8B$gEVN7HXhk7ojW|hu6)A62Z`4 z`S~|Cu{}1wG+TRr>eAHZ@ zfOn4RW6rTJB)s>O2ovpN*+{R;d*A5ynYI)yLvh_n`9G8-hN{ zzOO?|MqN+EbJKQ9eqy4j?wK5OV=_~4J^X3|kNd%NbQ$KdJ6aDkX|8XR%!aHp@2GSp zm~9sh_G{f0y^(efZ}&gcW_Z}T%m&?J0~6Q&w9Hn&rrW7iJ{v0X6+VUFMr~`==ff}WW7MAClT|vAIuqgGRjg8WC!=V2vcuc2Efsp z96^6V;n`zI$wXpEN%}y6k~6-oINB%16E{8O`&b)aB$w4BNj?-=-%S=h*aD(P`nK;MP3JF7PXr@v)5kIaK;h z_1WY5PZR#uLHAN(pIwR}O#vI1;3UTT5SOxly8=W)OqG2KJ`;awxyglG#q2zX=geph zOSB;mm@Dxnsafoc?T!C@ZV*F6PMdGD{5Jc2_4Ir^FUF+u}x8E-0fTcS1T z0&BK1W*N$mYlfxgJxagzZ>FsowTd_KZW!voVltY`v#ADUS#>-p{X$_f?17T|61eD= z95j(Hsb?>QS!&Qzvwu9D2yoyO)a|=csr-lmIXGsUMx&L)NNDj-qC^sAb2^SD8 zurX!ZffWfFbr@(-3VWh-CPK{}LN$6Qh_Y>A(>Tg8t7uUsD5`jKZDAD&SbR^*6Lydr zhsyV*=?rA(14VT_EH0BFL{Sq_qV^Y7&^ORw;p`#j(%*M1RH>rE0D(2__Jaqd1;)3f z7y95s+Qd_~3lSIdI`rGAalw))TUpdC!4vY06M7h~U#2NBoyU}^_)J`NSCO(Bg?H+*Z~4Q^0~#x zyai8*bO@!`k5?!)0VdSk2BB#rJ&VrmvWos=K1BB$o=!4v?t&jG`%HVpio#^herGg- zkCm-Y@TD*@PF_#SPhMoMI0z@LE>`-V%Z%e|6%Jd*u6f6%-V-|{-x(Iyy7WC0_q%kM zb0@YOBM;+0LH!8i4&34~n2K-gSoi(&J8sr9_#hoWlXw^qF|N&AhSm|l`l*rsVcN*p z)LT+7NhSm@O)=E4%cz6m?NKcN>)eD+yxdGD?JU^Y5W-RjaRVwWc_)2s1-)byY?fzkz}frH;K!Yg2{lDbXZZ2Wrv^x9_T+) z3$^-&@YP#Oy+)ET+8e}d_uo$#xGD`HR+wPwj#+IslVK6~DM@EMff0QzMj z&{s#hV8<|oK$qv45j4n|=Gs+vQ6n9#T= z5KAz(PO#XAR_O}x_&D|LMNdhaMw>|}j8fw|^Pq3s@}L*oXGSdao>wdk$Sw&_?d3B~ z&j>5g|IQ)+^`?yzBXpXMTM;cD02j(LgXc`KS03XPBD4|LCzRZ$u5o;mmyA5MdR7T2 z6La*TyNB3pQDI3DC2Dd=Y=lfWoYuP#m~X{Jza7|$w9(tX}|eADvpICf>sajc7Z_*)Z>ns9ih& zdMyUkw75GZ(h(KBaJv)+sA%sp3xkO{){FV_f_{Xl zoH9P*BGrvXYcrhj_`2yRh`mG3spy@2;Y_!tMcPkjb10B5V9JK)`!21{Ar)9h^49xr zn!=LlMj|}yzq0x_e=(}##HcZ-mt*F4D|{J#hhq~;+NZpL4%oYTMiu^QlsAF|{f$72 zvmHJO!wNfa{e%CG7Ae`2e#Bc|B2}TW+2PJVEd|4LjD}yiotq&UM=7zzrlMCpNiV_9 zZHl0U084UFFzusafxP+3ht<2T?-hUK%4eQpJnd>@kyO+hqzc5(Af8a=NJ1@vDncyG z?{%yJ23!P_J^+K%Ga1#xJ%rGL-pCppa-6CxbCkNtF!;d>-m^tK2uG%KKq`GnO}Ls& zgSTG@CtF+&+p0RNoOB-GcB5FgtWNIh<^=@xPf{vsYnd3^HL%1tYNbbT5fXRLN)c>P zH@=gi_BfsE-x~*u+v`w3b*3Yf{<)PVD#Koq9-|G80+du9a#VVE(&Duf6I_?|8WxB{q~UR| z1wPF>jFo<7Xqmy`;~;shbQ9b1!+j#;V#Poh#Yb>$r0{o=;^X-9^Gkd54k+bAmwcVg zN@eV&7#~WWOhe7FHG&^p0tJVl9%xu~I;PvXlHFvP!~U59^%Y3w;^o_VYV)H*kI##R z0gmt)3f)L0!uk&z(#-3A&ICQ`Vaxy8Zx3Ol`LhtH(3znS+;{pF<*t8_V4n}J$~))1 z2@QsUj&)t5!+2jQ8n+cD*{j>1%UN0YS(=3%4{Pd9SZZK`rQS!bA`m`C zb$2(?QJ*PlSNm7dNNrFGM?@C$OO^uCG8=)sd?X+o0x>Czn0JK>y}4G)77WYCI0HfN zWV1Cm1AKKdtqEmtZ1yg0Byp{)uQpehwJif_xivK)U_r7u5@AJM%gIM?!mffs288m- zbGn{4_);J08HzX3eS z-JWbrWF2mMr{D=Xw6)it$0L*kyPwUJdy7%I5Yqj{wj&E8*7^kWSqoCrz65u2rQ4)< zT;zc75VCWVi?yTFyM_I;~nklG#U8eJ{o`!25r;^hMrj1>8lbTwu0G}DKqZIf??28*m z6?Qc23L0DWTt5Rp{GQ$LfBoBxV4-sMr3S;R;nRpRy}t~zn16{XAP@Ltn%DjU!E$nQn7FR=92MP`vmy4V3 zY^0D*jd3HcAs^CuZvJ@Sj~PEc>-jh4F}DWG5rI@R@NLS6oJ7((R-Y*MY`X}xq5`MF zG3u%kV%LafaqnDG$yN!zd2w)5v7lPLi(P24+(-WR@I&Hm*a072U>>#IJc-%RKc11M zai^{t*NrQeK4jZsvt&+v#?V1flpXAsujo@RO8>Muqxn>b#`q-yh@K)UxEaYvg?jo; zTOn3YTcg+dVSQPTDn82|$hP~8=P-wTO#LE|1D&_k^UJYP`UFS+=l%{0XMxy|Cxask zeI$0#Y}BWsUi#)U+P!jV=ZH#=TMJL|)JSySWYjO(9GawK+^|?*a-BPvWTJGrVCxb; z{-!ozHuCgvw-`jw1?0fKn>1!KH&>*?0zE<*3SM-VzmdHXWgHH=@xhf>`3mJJWXaMk zH6nu!x~ax~!BsBtcJV z&Dcb^$jNwM%4fEp@u6+D+BR=-Rc?m8#r#usqQPX_pSb`6lpD}~cyfZ{`fu%u+4$7q z`r8Tp7oq+vuL!A2;a;PiC7Aq_OsBa%&h2e&tIGJdzb8jaaX_rtm7d$QSrJLJ*44JS zPmK4IxE#8#L-6+Z49Ylj{h)I!kNB5iUGK1EhobxOff>v&e3dyVArCkvPvQ9nQg399azoSFvjBouBYd6o)4$^pBzGgqN<5izU{q#K6T;2Kwgw{p>>nNpBA z(~tD_lj30Z<3$@5OQL1I2q?GxM3R%>w)V{en0sqBzEf+#T6-WDr<~O}IR4~4#2e=x zpym-qvEhxi`xJnhXX|&6q}Xec|IG+>{NqeqQ$oK;vWRt>WQ)hlO*2o9DDm8;Sj%3r zqHf`Y4}wGPI^KawjugJ1_XtpK}t&_aN%JP2k>NokU<^M#I7B}!24cM>il zP_rClI9&UFQ@IXC(7xtNRv-ANh>nxgv76{;VVdWDD#bI)-)Bj9t98?PxX^f-t+ayH z>2a&JalcEh8j9TR)q>Z8YM-tC4zX#~r$_cD7wO8g)6Olb&co-^yc?&SQJFZXcr`|? zsG0Y=PHm~34N9@zZmqq5SQbInN8`qMO+ z@$#zo40CJf<)R+%;EMH*Rh0dD(-a&@VvBI^w{$xDD7V-y&8XGe_@40^b#0!B8+DOJ?_%fdI)IT$VNYJx zQRjY_XG`vZH%Q1g`NwB86{J@mpT!!x<~Ya=Z?$0w>YXfh%v12Av#M2SX>5+(f)-?i zVYiKtU$y$EzDOS!B8iYU;{vSuu`U<4&lGYqUTSk#B}0{sN`o9`iMq~ z|0C`^sV;MSu^0unr&u@#9_6XH9ZAQ6G|2X}HUnLS=Z$m}JvO=)CU$+jaPJ@Zk#GamMeLg|Rk ztjcY!wCb&6HVVcDA0~k5E0}qB;GH7tJ5)cbLLRHEiXW>@zac}M0+#{WF?uDzG-Zww zW;-dQ-=@Z8EWpHyYIrw^Fom54GC0_R_w$7D7nel(lwEz&RFWWi_FleP^Htfj{{77NLdNAnKaOvG=5C3 zu@Eth_oV^n@9fdkJsEB$_R9RxIS`E%tG7REMYJJc4&=FR^;W(2-;!#wK$pMy#atr6 z^HqK$wg9m>vj%d&Ig^XX>G(h->%U-8_$ztr{lmgCw#d!l=|W!OR@nnR+);7j!q7KC95V#1|#qQ-7>bsXpz{D0_F} z-)vLb>m^DvRtFrw98d^lqJ7lH#8RE_h`$$ns9GK`XwzTa4yy=?ig#%E)yH3mtD>9m z>imbn%%Zwi!P3qo;rB+m4}nycgXRVGuXOevanTY7M+#tzgj1!Z=;{d74UCvXYGU^v1fY^6nlsgkf@5sxZPbAe}NWW|JJ8QpX$BRL4G0u~&Jp5Y8J44nqVy%66;Q*r*vildeMV z5;048lhrlfl0B+_3dV7W&R352lSRZ3%K6_0qxMS=sm=)<4+m5(}_k zlWy1znfjt1*pGj%o-hApH_^Ccr?Em>J*kl)>2+W8gY+pIy{$1z)Fz%mGjFH8M-JL2 zJ|5Pl|4nBwdD8K?L4N&roJ`23Uoku5*V@lzjnl(dPubNijnSfK@$)YGiq&QJqsNeq z`a@)z%LQAjntYh9N%V`aMLkx)<&Mqp7UcB~h=uvB|9=oNxze0kVA-*`c>cq|WS4Sr z_ON#LaHrtnPOEN#B~2Uh03$(j@uX3H0*eDO-X3zA9_Aj_6vDz3>~ij$ND%J->dCo7 zC?Nl}@kZ!omwhwy9~mtz2nFANMYOayIVd2U|J!&|;QHSNHxk$XC&o*`CGfvJ{1n{( zqeHI+;h^CDUnK~Hg8P4me4~l}zw}XVzJK&l27r@~|NloG{hs9zzuku$-ubAT{TRT5Hnt;@)_T`; zk#*5@F|*gnx3jc1-1@%1!=be(x7G77%jVBCN1PL%$IhwC%#W(Yj>?sIz|YR$nJjLH zLuKaAmGSwF@%@6OkE!m*74Ds_r3(s!hsiw8^5GZ$)cDgO-S~KAcpyKJVXl}&QZOPp}tM%(Qsp+cdr*jUjo81$i?}VpWk|Drm z-q4C)hqB|lOxtnVpD(MOr@jK$@)uczF|u~y1>Z_7>w9KZro~=t@s^42;64pEoX7#~ zDYQ3^J38&-vM&Zt$XYum$gdNM#X{!tK+Yd%lUgDDwDC0KxPqfVpwfR@t$*ytM>G#3 zO-|M85*MrnJ73kHvn0`^9qXkJ^grKUe7Ft9EIoazPKN4H{AZ*cGW>Q9SG&MHT@=HX z?;>3ROs)xz`pxrz>w+$934Cdg6Io2c!NyC~nN)&EqGinWXtTvGXL{j0?cWJf$*ZzK zI9cLFtNmgAmythV%D^p0>}EnXp=6%L=!dgQCytd>8@Uv<($longgQRWd5VtEB$ZLMG7fXKW8sdbcgk#aGs&=_C}rds=>%P;<7)iv8UyT@dUn-y>zioNaA}LC zUrKx$Ltb0hGZGjk{{)q;Q?)aCqT3+F^eO?@%918AA`RA>+PTb>P$}YPKejYkQR1%H z`s(`pcJ(~DCU`83=YB$#pf8TKY!d))#w-S{4u4Mirs;^wSB<>YR&M;KN>|$7*L{MI z3&KCysSAIjIsv9LPIGCS1$wP3!vZODYk{2Oz7?wI>{}yCn!eem{2x=8-DagtYFRZ> z*KmkSV%(LTbZ4H;hv+U#8@Bz07OJXS3q&g`{#y3#!M{i#7=Af)iP8h?+SOoXYcop) zbe#6~#3DvjI32&~F}` z5_Pxg`<$X&Uf94yK}SWc-xXSd++TMUH90~R6K22=kofsevSOO>I=@$?qNm5p)RmJ>kI3g8kfqVLty^+^tWYma z@YX2VY|!!*fwEz#Ze#(=A305KH5+B?r51+8_74b0u$FH6C3Fjfr4p*Uv%@Z8SkM1dh$))|FBe}RE++~_`5HHwq3suj0R*_7v9 zzJUH<1J87cjP_e0@k7t@2WNvH`*WrPa7-Jp9M8FVJWkLQgjoJ5oyX&EUZuUbU8bQo4R= zAha7QF{3`g)qIC)Y| z-8ZQvUO`yHp(BgESX$Q@l8B6%;U!?ONhD5SAx_Wg%sd}ESt z1)}>QFa&(*wOF#h^gTbZZAEBIEYLp!s*q6!{@! z6i6~Kc}8VA_cXPgH}~CguEjJb%DvV=e;#I_`Dp_B&cGbQvMIx*RvJMs@6S(G;W@R@ z9neI@d*aApts_0CBnI@-LGu&)&P0T8fk26I!JneizUF-HuWprmF?Gd1`t_{~SzVoCTIVi2XjG^3d?GLY8r+v z`lATL!&pb-P4{rN4J%^OIgRxCpc~4GWOss>sECn)IKq8tYyzMkA{?rY`OU!|`ZEgG z5{La1Brh0b$~7e)mJC}V;KNFh**|vQe(-@`{w6XV!E=EPY~^aL8J;RV?xv3H{{<_= zXIpl`gD$$+y}so_3f%8trcGRlf?VA&Dp~ljDvTlpF%&+oy=&i8z_g+25Ye!?PXB$L z@9U`ln9h6K6$IdraCHP|ZX!_Fn>5Ep#0l7IiA`^5ctF~yN|arI>H?S# zHihRukfEhMgrzU=g%{n;qG>WhZ>76_5|C^k48Zf*HA4^IVuTCU z=@)NZI<+S&ljXZu{@|vEIr%H^k`}Fd$WyN?OWOc$@uxn?u$fRlY>NdQ&mB!^Tfzy# zRdf|GWjjECD~3e5k{&ecPO_yuYF%QRc7{2UZ1#x0E&74pc#^@l?o`vY{#*{KYbb0r zW-SXGW7&EK{s0?wL*1aLMlKgHL%a3J{!@#KB%;^b?l)^5lyyeG&|rZV^HVXD7DRm2 zEp(C`y8LBBs?Za|hXXNW`+)FJ1E!?SnAXwm*$K#(C<$*5t=iTcP>vDWTGZm{$BOHL z`LNfoq=C~jsSTm?y_qv<0wnSAlc%|LlHQJB*FF@@MfSUBT4o&nkSeO?;89$P{Uf`o z%fe5kTIGTJ%=Xqkf1}Ty-5=9CJjwD7uV#+0$NO*FMUE((!!;C-vtg} zoyIIU(Y|-MSG?D}9|b@&Fl<_Bzf$06(*j#y$*{Tk|BG+_7jC_Qmj8iU$Y}x{a42ak zpTImg{}c+xgLf$^z$|CvSN`Y%M{{!fd-_#X=T zA8aI$#t;e?O;ZQM;iV<4z|o`~MuLfOc>bm3X;83hxoA7vTe?_TQ}A%6nH|EB!fXTjDNP%Oh1E3@Ob{g!GC#z|1hYMwX>~<9R)AXe+$_fC$GF;62}NTy~gp& zFoCW9VITmaL|_D&UPZJ9Kdg+ellG-^!pCkg8TC$s>0Lf59;pd5{NXZ z3N==w!B@Nm{RTB>N$BYBTJf;O@4zF-R*8Mt;uW?;eC3tPK>`xvu{67|%4D>h^aCl+ zK?1=I47(wO%lg(MV6JA(B#?WEM#-B%%lEgpUY~@%{Cw2S4M%+SiQl6pZLaGYihDo8N-i6g@Bj;qeOJH$TL1p@;FqSEi)JWj& zub#sCxy26dekS0~@9Z)jKiDEAU&@K?(QE?vM2CIO%J9t{>zq}Oq?d$Z zBf;q;Z(1zH5CLoo>kvU&zC3;(LlknH2#5PM^OYa#^V@f7HrlobWq<=GMxU(_T=(qL`U5SCM|Ed*3+_1f zrx~kX&6Q5s4~0jW%$`7m1NsGNyW?<-Y3q}4#A)%d;ID{pH^jf2O;j|^Z3PaO=)WG! zNx{V@AOQJahlX(S|JS9{lH$M&KzBcZ5-w$exID!laf)tOoy6#UIyYzuBE`Yu=tvxkRP*ht$l9gI_%m{zNaxqbu?#L-o3W9$zl*hgtelBb(v-iRvmP5U zZ}`6B|9yE8X_I7;FGLM3CpT_#dbS}OgXj7^i=N#X7g6>anNYRFTX}5Q3-oRypADf# zuA6@)5q9t0HGcGNhQpQLfiZkcI+#|D?6h`Y>)5_c;=@35I#_B?-RmsK2yZ&p%Th{f zRa=3#ntRJt+5M_dvjJayWgzS-*#cGj||ng9I%#FHkc62n3u zAg9c%s}s6ziu+zSb|-Xx0L;&cTyzJUYMxESemW9;b^M#~GT!~)s>rwc4-rucIkPP_@q7@7swED9*6vPSYz*u(&iDIvtLi;myiGlCls-xqtyFfo_2X!KxMM04xpM8NM zM{<=75(ALWf}u{4&*(v`*z3li7ltl!*gJO6i)PR(#yTlz6>t3(x}CNw3%Z@M>j=7? zuIn50lS+^-thatp8mzZ^&>GSkTVNddEE}p5b6p>#f_&xx3Z(5~2Ybr~m4UtA1(_n8 zxr0;?&$vK=OkGLP**NQnATs=QP7oRHIwpt=z+0yT$%6SQyWBu=h^|^en4oYl0Tu;i z*C%LUiY`^yX44>Q=wC zX;2opSs~~Y@yr?`fc2=wJE6XT_p$SJgS4S5aq{JYaG_c7%`%`? zpgj<4w1Q}%J&P51T zckSD}?dWZVyti*Q8WtF8V(Y(WJ8kImy7P7|`1F2_9cX!D_hvh-JBrvIg#((z3D&IW z-#04r>SwaA-DZaun8tK2K8Of6gw*e3vu;&p)w7MIerOD>R~f@|Z;Y%*8(S1G99V!J z+jZxSuW!lz;WjHsVkbdt!0$kY)&)aV!dV8dI?P#!mkV;1&RH5~shkBk^AG#yLs&k}yux3^f3<_D zI8%6}%tI1qgfVG?vvHP18sniaIQyKl&v@Fuc<58k{>j-tIQ#pscX4o3`rEK~5!hdO z=r5do!r8|>?IRxgkh3$K{h6nIz}fpe@=u(-$Jx7_{gG$+0}uTd5B(<({Re07aP~G& z`#ooG@yIthdxNvrIeU#~d6kELf5+J?!=9yR%FDx^C15Xc_9Bn`EoU!q_B?0L@hrdL z>{%XpnzLVX_AAbQ$+JAe+0#7oDb9Yu+0QvU#o5nz&Y$wolboI8>~>z+ZM>FSIlG0YeV?|PCaDQAa=-Rls#gtLo>f8Cu39U69HvXL$tc2|NOeYl za<+!&?B{GXXMLRYa<+=ImAuhC{K-~uwwyP58LzsVe^D1_OZnq2f8k%$$!l56*&@z5 zIBOqv#nG^Z!!9b;1;Z{nVCN6J4uj1bb`^llmbq^ zhh0~IH4M8h0;?Z(9Riy@>}Ud;#aW!QI?if`9atAgGkIvnu)Q0h>6}d)w$pJ`!&&vP zeGWoZ!}cz)%3=F5mm8M_Sq3GTXV|t1mwJ~4HGhP4b&%4ywLds!T@(BQ_;b)_pnoCm zQ^frf^bgSAL4QO1UqOFC+9wEq4EhN4A?OU^{|x#7Y40QaC(wJKcR_!&tPlRdvLX0i zp#KE@2k0Hdy$$|*&|9E45q<;wb8mz|Wgw z!GGsKzX3gq^wWs@wRv;!SHQmneg^ny^QPca=8eH$m^TD}ZeAZeg|a_GeLn>~35rjg z#OD*B$3c&owg(?I?Fc?%+8KP!;M;(21>FMt zebjX`>bePaeGhTp1>Fex4(JBZ^`LK~+_zBQb%y!DYYhv6-!!ZbUSs%S@EeAEgO@2; z!Aq6u;9;pccuD7>&Wn#6>b$7)!p?(7F6=a3C>bvdT-bKu{tJ&>`1*x$hsJQA^M8WQ z{YNh7Jil{q=e{F*J0F%WC+pZ-O`cWQp0Ykc(){z-L~DK z-HK^f=Z?;uM|O0Q9Se6H+L73ynx5G4_70iskPM>}Cr5S!(wo5J2X>fkP1`%Sc5XYe zwR6k5&7GU@fE%mVcWyYczH?pmnt#rnTit1&Z%a1JU z?5^(WT#Eclsuy>59$DPEsJgwg<4Akwg6jF5^ASIgLXtBh8(2s+&3+ z(Rh+-OAVzeHu?bbQ}HAuAk8ig!~<^!J`SizAQ3njP#ji&&@bm&eNz1bpMSK~caiT} zpJMg?#w*9Yx%o|2&u=`x_k840Ipdz(!X}bpO9`bYZrZk#d5dY=MpEh;I4`MSZ4IVG zqD@w}WOWDKa^pvC>2jh-Aqi(lHZVnpGDjtMut|B09V@AcBwa@q$J$19q@yjNTev(S zU75&QL_g#0%MzL^6Qpz5@_()&N&05@kR;bHPPp3Im+|mr*IYx=X168M7Ih6PcifRS zySpuMh~jvh;R%WfvUJB*?%cgI))k*g>~GsYwkz%@Y`?L|R;y&SPFUr*6%TK<1T8ZC zn6M~uOG#Ce)f_a-^kc%Tq{PjLp`y$-EnM7WH3p4xXPt3@QH~qy>VKQ!#)9Ieue5W7 zwv&HzY}d-2F~D6h_793Dq)B$P-Ed(t9%?Hf`%>}iAT0t$KX3!i^6Q~i?0ICPg2F(J+L3N;7 z&`i(_&~(r=Pz|UWR0XO8Re;JtWuQ_}38)xU1S$j-fbu~xP=6jM7nB3a21P+xpiEE% zlmQBZLZBch9h3%21qDEUkPqYqc|a*3H^>EYf*c?_$Of{4EFd$;1TumQAU#M2(tDzJ_G#=^eO0{pnrh=4*DDDub{txJ^_6U`UvzP z=nUx3pbtRrgMa=6dJpt2=#QX3fc^{gpP>H$y#sn1^n1`-pf^EpfL;f^26`3rJJ2hj zmq9OqUIhIX^aAL4&~u>QfSv`N2K^fJE6^`N&w!o=Jq7v&=;xqQpr3($3VITB67&S< zanNI+M?sH(9tJ%G8U>vI9S0o)9R-bmhCxH11n5D~1Am~OfbIt!0o@1sG3ZC2dqMYr zeh9i7bQkCcpgTc#fNlrf2D%k=3+Vfxn?W~$z6bg)=tj_YKsSJ{2Ynm#EzotKYeC-x zT?6_C=xWd)=qk{apesO^gDxX$W*w3)1v(761avXz5a=S%LC}Su1EBq&3qa?C_JQ_- z_JDSSc7K6(f_8wmgSLUTg0_G*g9bq7fi{6Qf;NEGgVur8g4TfgL90Q1pkB}_&`MAb zXa#6FXc?#*)CF1!S_0|>Ee0(Db$}Lv7J%l1=7QQlt)LcAGiVN|3DgK`0M(N<|I5wv z|Mti0{@?z9|I43`&}G@=!i+wwchyQvqkbB6FNrF5{o*}QCQ|kX?VElabW@1uK5Djq>GV$5Onelr-qiV~PnClU8 zszPUDCTtTQektz5@#`mSv zY`H{)T-Gs3Z-WdDpv{M;JTaH%-bra4gfwWo2b1mI2l-txrNo}39I5mUBPW&4V1Ke7 zXe}2eV}vBGL(4cm+fFJY+Jr8X?Kyk$q&&N_Y#T(=P~yn?q2dEjP3i#5pqBNJBz0x zp85d!3G2B886v}Egd9ar9w#TrD1VFp@6sNG?f7z;kz`%NleIiV9wv`KLmnq5VQGGf z|3u7VhnT{MUy@&uUz6XEpCj}v`+ORq=g14>x8xPc z4D>wt6F$etbL#&h7BUNWWgkXw+(K63zyBZq)PCY7cT9Xbv3KHArJ1ag7Jp*_c|UsT zDES6F6I;%HwG<=<)qfBdIXdwVWd(T7*lX$y<3E`AD8B6S%XaPDv3=XtEt?0<+q7}R z`gLp9tX{RUXT|bm-CdoF7j?8RTrhv$+_u)1<~dD`4fV5U#p`Nk&X_)}rn;)KqNuPS zKPQ@%8OaEGU3Qz*Y&7U~T7QjNrC@!Y-xz7?4JD$z2~{-G++0Azkv>H9O^N7Dgb>wq zZk|Mlmg_^(IXUCVx$a9j<2+}4GN)t<%^))h@c0A3z!iIhfyNb=-to|N=Ut-#zfPe4TFun4F&nqkkL>dsb6a-$bTn81|y&mD3KG{ zHYDZLN({(3jnjr?qBGO4O(i~_jM~WR-k51kWKG|Su7uKuLW4@<;NTSrdn}O~X-MQ=@LygCaBU(#($JWQMety4 z9g|-mCDd8ANNDiy1b+`7IrC>c^^};tWQ-=u_IE-PX%i>Kl#u!aCU`bHA=(!X(`UJQ zG)`6{kT}%d#ls=8IxtM)MX~OL+)GnViWGMzO*te|CX4q*Alb%9V{h``o(3V*Y4sJICE`+CucjZ_Tn zU5TQ|wuCD(n@bxJs3fa#T|!1XSrqL>Zzw?)hPona zBi#|`P<&w*?Hnp=*1v6wBGkRgdON8li_Z!1^lF}#AmO&fT_Qj|Pl=`&>sQ*XEIfyW zCxgvjN^TL!usdYo;NY4eqGVB34h%^QsOzupPArIZM-r=JkuZJEg8U&JF@+cR)?@TG z!E#2L`hOxJTc~NUZ*=0&>cOFSd~jQ1?}lj@7lVjG1xpR`abx5(%l!pl>5;*_x%>0jg0^2JO|n^rNNJZ0Bj#b`)k|c=B$=ha z5b8t=5RD`0A$>gX5UYttJuDqUP8xRzwI{R5gl3yk5x$Z)yb~IwpF-$a#p*2+h4s>rA?Cqx3gQP%L@lQ%fky?_FBei&9jVWP>teu@OMrPCa zIvQWc<25v18=0MuQY3WtD2(o4Zv=)IW2y_s9o3@VRqo${&zZ-*HRER7s2|a4iCu=~CCRT=y zHx%lJu0bJL`9laK4s|EGWAsbAHqs{wg=`7Z9GRBTM0uU+DE*?M?mFN%(#c7IbKF@FHfL^8`l zdn7a%vPGsv=m(mrU^IYw(NB}Z0_qQ~ql4y9f2eCUlpW$}>K$wv#FvEn`%sMPVDg(1 zTVm%_iE$xe96}&e3W-AtL%rRhUMx+e_O5U^fYAsZTGy9|NBZbgun^yme+w}sf%Oei z6(`;J(m+CsiD_Nm+DI4^4u9ghnOs@_;M@7IA%Vfc$Y6pQf+l1}^-&DF7RvB%TP)JI zmM)?3eWAX!tZWk=mq~{{U!XC9vAh;fOXZGs;q5Q7ntt>TMo?Q%Zw!*K4>|@zHG?q0 zJ(xXI(f%d9n5yWE6k=W77eEMdZK0uVRKzptvuH*>1nARjjt%u_vwzOU(6N}<8snLD ztX4c(M^|E@D8$AS&9yz2kUiB%#FHkZ4p=tGpV}!1Po32Q@y4Ng0a`kgkTJdT?qTIy zXi=C3-eJ5LV%Q|Yh8QMEG24iFXhi@r{n~hVh1jQ5&k#IQH zh{LeUKo{V!>`i?EtL@6@%7-XnH@WL-~R{nFQcOH}FEx{7kX#ZYS`s1C zND7%l>PZ7$VSk*CH#xIOkkpbi5+!Z)Wk`7ZN=XgLC(ZcQICjQ-su^F*H#XiYjfHsryoyrUFMk=PrjSDEY|fX z)*>Ac&3`oM*fDoQgRVgPxKt1EhH%!P!!?BZxK$;aPx$?HkrNe~YZQCSs8n#YPJ0bb z(dx$D8hf^A?5#77nxZpO(VOqQ^^Wb6XYDmbW$(QBP6=*Rxcuf511MS%IWbV7Xs#Jh z>~*w!Tt843m$lanpb~Fg%>Qic*`nC9F;o*PE|(6g1u}o|#WH2Vm_|MrsasN}PWM|~ zW{p}-^*Rb>WZ4!i&zez~rd6~WMXl53RL#z48)(dUO>0kcr=&S_I!9WHJI$^gdtGh$ z>@RA|=k=sP(^u4GD&I5cWR*rUn(p=GO%Jy$u{v!kqtj+j(P8~rr1PZRr$=SW#JIo~MF%Zx;`{$?_oycuZ`gIP*ZnTW||k{^sb5&2D*8>|H$ zA5&^CD=C&@J^c6pmn;JDbW~}|`rE+NI;Ym-6%|cZmsqR?9)DXW*|LJcET>+zb?lFu z6oWI8nwDjibkeZO?8{CM<@qhD{nGEHpUzAPSX7GEq?e|TKchG6RccEhMKx@+=oCd~ zHC{8eAKH9BtcnD^PRBT}CjX?ejr)UMn=~(Iv(gVUKD;J;gdi#0{Vt5>_}y`&yW>cA zyN&rYb3VhJc$6o^(Yj zFO(w@I~_UBvrr`ks~7ZdZyA5Ulbh?2qPuSBFHMQf%Bxt>m@_`+uU^(Ve5$^q(l2>v0JB1?x9V~@*au(V#O z2$nZ0W#RUvoPSvk4HX-;B-F;ZUFonU+@h#VvRdbg zuiD>o@K@K)TXf^|7gcXs))dgG6_w7Yvy?8_zF^5UYpN>xuUkHEXM4F-YtSesY+i@O zm75({eD^1}-ucCYE8L;HfW_%|xKf?^?4s<(%bz^3|FMf^MT?>udpd!Ypt^i5blHKq z>3@4nlhf+Ll9TGElj^6_1xYy_5R=mj895)J`bqp;Fa1f0GLC%UOfSKiUiu%A?U=W` zl4;n|9vGFPLu#&zLV_;}z3T}KSs+H!(E&@ln&uoHKvt%2T-D@rR82cYv94Y6!;kM7 z|B$Iw){ox1wf$K6*847haOl8&J8I;ce}DA(4?DO5Exqf#n>HT1wDk*n?V%^3DQLNJ z04>ia572fG`LmOH0?zb=akL7YwTh)f2HB&sJ+9X~L(UKy=O2}Har2?5bTTSE7nPz> zjSuY@Hn(Sk4QVFFUeER&P@E!W3T?dErBr*OtODZzG%iI!X)`T;bimxMp%n}dpnnE2 z?BL{1D$u#oU>X#*i!m7CP&uG7m~~^?vm|8r!V31>DYnT|f2* zty!y9<3sg8b`Y~N+P!?@L)AWY2rIHT`S?gpwR-9J@Y3<&rS{uv!1(am(0^7h)eSRw zB3lY&$FqC0m27KLO5jXN;LQ4fGwZ|BQPMgpmmV!Dm&!2=3`a9EYKm$fkqlTx8Km6d znnfB@*(E|qGZN@voVs#hLfcHVt^>KZTj z@!A>tE5={6+f{nZpx-y9I1G+yD^{;5x$)0;E$R93nd@3FS=;D0s8(X>sf!jy=MO%< z^}xwX8`9FG^D{Eh0Xm&6)iLh$N7FLArk?vhzTxK25`F$iu0JEGfq$xnSkV-bKhmB! zT2~@POiA&AGx0KxE&*qQmZd|yCfYHno=hX{IV0^kBkegO?Kva0Y(_eVdPv-ji8t<~ zA2z!*m&B1yJoJJs5-2~8G*4a!Cdd4^^`uF9&Lo-6UF#I}Y(G;cVOD*S&a}y)es;+B z1V-{YOuYO6F=5o7Eq~9~I7M`z*YT->FEX(_J*(b)dT=9-_k-+KE!4TfUVq4?8yf-g zQ5Dy@GQ7U9ODE4`N)O~mXF<13I=Oc2rvj^96KL!+StD>#+EN#!?IwLx+9&Ef3p@{c z6p|D;I1@PIkR&)0I7^2-$-}VyhKZ9WAUA`pgDnIhl(PnZgdats#pg6sG)d|b4bi*9 z9@>z}$3OeXNvrfJp0bP_VwVZC1u=ikHTp9UH%`ryT$P?}L^PT${hQ88u1DQ2jaHIU zQj|}%u8cq=KSev9DHVz*z?mq(*|hD3D2{&;y|u$V zD#emhm{fFHbX{P?+M%IIlarI^LB1NC)K9uiYQsdMA3NpAoowB6bXncz3OMzcavl&G z_xYWAdn)fwO$@Wl4DvGTPg`&8wp!U-T#2e^{H_#Dppd)|YK=s2wH56;@xDNr6S=ny%ddx-Ml0r=|r#;vyHZOG! zY+K5p1!BdFU56OW_A-0T%%ZX~x|`|2=JVgyK~*GKi4YVuqV|YHH!Fp5wiKD7Fm%tE zE_uzDk`iIv9Y{K2wingUZ%;P6Zm4Oa!-1m&!~5%xp^O8=V*tA z;U3xtBiUA~D>;f7hbS3`G{HZnYiJgR7+p;4>4w6>QY!XRFY=apk+IZAHm+RjS32+|G2Enh0YMxLi;a^!JQV)#W;Qr!PWpy`UI zEJ}APN&QqEG`s!gDt~q);vU}+nw2Wcvd$UwdV>yKzP}?aJD6sdrlnPumUtzslbk_c zO30y`a#7WE(Pg%aF0)-!Mi66JTpxl@=@9mt=}F;(vvCW~ zmJr}<3Bl4)D*X{`U<|~E1%q`_gpOS`TQE#+SYAvn80Z?oighLy1lC1rniI?IPamp!)y7y?e@msht1 z9x}cyF2&=XnP~U1aJwft^U-yA&lxxjlEYD0KWtziu;IcuEqZJK1;e!D@quJfIxHuB zm#4P{EPuW3g4)H?cM7?}dEhLW)O`__*d`ez4W z_;eU{FgYdVOY0(IuTIJvt9rF!u3oaWe%AU0(_EI=jQJ(w|CyPlTsC*3N2?j18=k%p z7I4nQ8Koa%wMELI@_&fTg2T)Tci1c{UWk)%h<}-Jj9PFe)>)%+emqthce`toGlKv9*w%APWVZkc8U)VYgNFtn3nAKi7vPTg;@SdZdvA^uz$GHJ4UFS|K3N`L*{J9}nrT{_)k#5O`_DOH!=act?l8BUE# zRy0Pfv8bVETIIrWf9ax4TQ)5!lg?ZIO@FwMLK)tyAY7>0jGRb%)xxr>`O{0vY8P)` z(0I@U`O!ZyX)uwPOW) z@+c5t#s=NRj1a~W<46EM*BH@-gdI*hq}eqNy17kD+M7}=c|Tzex1Yysr($&EXn)dZ zj-vxC3$-w%LV8mc`C+lmq8l&e6}DTyK`a!+XZ=2Ne)jE5s)5(3o zXy<*HdCtc=Fh-6sYm(MmAcd&)2vKViqG}nUtD+FqNF1u!;`XWgAZRg3N!n#_W|tX9 zIdCQlmX6XX591{ntorG02`lT9aeqCwONMBNtpiRSp_6QL#LWs-MvmwL>KM&P-9KlQ zj85;9^U>toZBP2irp)H&Hy#?@eO}^V1K;C2b@_{Sx3ukU$KnYaqOemhy|w3|L$hno zKfYIq2uXeMmt~jZ{H*JerHV(yQO(GJ1>OLOWs=Le#4@Sz&B>Jflt=wi4u4#jW+~q% z<$EO`WW$UD19TeliWmyw4jSe2`n=JsV24-j;CmrQO`Y8#@sSyWVvwF5si&t0F7d#L z$!t&$C}%cVV_{sseguF}Y}FGEpB^*?&@V(}S^8i)#E6 z`7_1h&kcq1Q?1JQeHzIg4FxluT3L!nl1tIMveHw-F1;e<%2Jx5aYoY8BQ{ALwb<$E z&2CYi|Ds4>)e#R43lyEj`1vW-G@}(04GsoA|AlJ00a$JE<4h8#eMGqdmL-?eQ5g

1Vw12ej4E1ykX9Q^4u>mBiX{vC1mU6?uW>C7XqIR@Onqn7H+-&lc z!lfz74Q!XC@`n_I!z_1>4I3=<$t?!?xj;~5uv^9+koVag%}zM_LXj+UiZAF^?tx;FS&cd|!2MSwFGv64X^?HkvM;g@SLaUDT(9!0i z2TIs1RPci(HiwmAHe*iuqzg>NHfLJywofT5t*lb&Y^ec%&@%m7?Q?dv7u4?h(Z&NQ zCG%@$_O+Clbl3@K1GAT`EAP8Tb0l-lX~T-^l3ccj?s-qEQEfE(O!(vxgbXSEjB6};(FpXR91vlY8+pv zk4IYrO@FqzHGo6vdDQ(#UA7*q3zPe_vy$%#oZ#i6v>*;#c~Lbj3$32V9FBBx=t&+Q z@ui_S|2S*Q?fmRcY&*2}6o|K0R$eOWzpf|N($tg zyBjZAGt-ebyL9}fMN4P&@27Tf8Dtz%p26|Y(`*c<(scn{T7$n$b((s*jzWBd9Sk6W z9T-50;A~%Z_P}7`eOdqq2gbO$$Rb&M?*`)rb8`@0K>4V%Rr#QVt~vDP=92tTNi(E} zpnu0+j8Ru_40@j2wVlFTNlixF6!g72z$S8A9RrrD3XE*z@(j4*qBfBqq;(vnaHh+fK;`BPQgW;U;i3gS*?uuo`B06Up zG`AO0y#?<)MR7v+D6=lXy6KWJP(y7>4PAxQ&=qnG)y5jCoHdW&#aKd$xXg>FMk5`Z ztwR_`Ar_C^MO1+e&Tx~lCOe?AVC<`hy{#}%su9aPTxo&wGWDHw>IrWHEC&pSL4OqV z(vn99ysZ{m7N=6QFkP%M^_}!N&{f)08&R6#nOvjbFlXwKUX^l-)}HF3C-rk~THgPS zr8%XmzqM+?;kecnq&lp>r~bl*I%q63cvg63yeZo!6n5{tCG!pst={#>rE?nVWux#n zj5R`6S09KsT(TCrTVDd{_CUHf!GCtgaJ%GvCf&TE%DT#}m5P&&c4r7ubvncObpMf0 zrOS_>nH7i5{Oedl?CzLM4@!?wYf!FAsyaB+Xck5>aBjv`RNmom{!@ok*Qw-_D(N|u zq*A38y%BBozTa!vW|1xW_fwfV_wX}leh$Xge5G&3xN1|oizN^`AVZb^)PKMpR!6kx z4OkG1_x%B4vEdgX6icf9{Q=ZP4^x?koNhDOiI{{N8{sJ$43jeTh*frHSF*m)DmP{O z#)i|IwzbFCv=o`NMvWpXT4Uvs?eVSm?wB@X`yKt8zSCQ9k8=LrnJa2D;1S9Wx9wX} z==Qs{79Xz6SWQNs*I9eP=zj&f9=f=(VdwX|oR{2CICpIowHR4=*Y0xlKCGOsq_Q7Q zu~AdOOhh1Qktt`E7=SID!I_E1qW53J#d)}2@m${sJ$;VbD-CA`kh3^?W+0iDE}UXmKVT*kOJ}BuZhtmoK~__C;+W>d z?8f|JD*1A(4>ekMdTt=AJY;!BXVj}5)@O9Ev~V@*F0$F^ZtbE-^XAsb>`W8ZjaH|} zqShPr-m>;-tF?B&Gc)wX2Xu)^u6DAVb;YkO8+u_V`QH92vXsE~^B>y@jNr*Ru< zK3OHDFimwk3g*yJKYvFD<(w0;Ii^W~)P*yC9&l z7As|1E!9>S2gvkf+ze1qrVRwNWd$nQ5Akv+F6p9Q(G{|xSXW+F+z6g!E!Ha4t*@CD zz2|oKRx5v+(VQ2W{c3gV@>fF(l2<3{*t+A)%Y5pKm7R{kqmcKfHuSlNn*6{_1?H7|XBzg+I zOy)I-W@})iQ#?tZi8zLU>+cBvevVnib3}Uvcr=+&MwXX19-zuk9(G zQ{-8@yrj9vvuIWOpF+7_{iS=_*474;U6Eks(xUnM7UidCF*IzQ5*(+NMPOzx#4=|o**L1b%0Nuj0%VgNt8N5^&?VKz*_ z#a^D7pp-{&cQX}-L`<4rJrqic9Vb7Z9ybK(O@Ai*s95b$pRI+-@Z~eHq_Z$Nr!tE^ z zUTpgGJnNeMi(@*2+3qmYiyUfKL34|8+4V8F$Dc71qFl(j}OI^45K=yCAG;eh9P{4 zQV|xb*wL|BuJmo@JfrRc9K24=%|;=zPZ@>(M+dJ5bj}QaAmX)Z#xMP{nn{aw4u2nx z%`@D3vvvGoX^Yv&T*->ote5^WZvN^({Ne>^kHM^0u!zu`yteU&$FuD2qy>{|AyGGJ z=VKD5=Udt7IU8M5bS$Y_le#8-demTRVnZsaNK}JHSQHvPrM+j>VqMu2BFqjJ7)J+!v(dt=ZhCqO3{84^ zDL-AM#+M(lGUIE&xH++qdczmiVo$^d*Oa{xs}^Bqj2K7p>__lhIHkmfeN0;`HaVKO zc~)y}Q$cl0!Q4rsjqa!Gd2vQjlRTrqb>O5^k=k(f3toYtHfqL?4z#t-Vt=(+2F|Tc zs1se`pDSXz=|9J?eD@`s9N)dV)z5K*@6@^S8wzW7Hqrr#Q(SFIetluhu1Wi^ zain@u(rntf*S1u5Hx%0n+S}%2F5S}-oa{n5QuC#m;Hxq41RJ4-dZTV{=K_DxteldD zJSV1zxned&ua}Zh*6UW@>wmQClN0Ngdp0?r@~%QpCpCaN57Lcvf5tD*(6!Xm8#L0V zCz4Yvn==gst$Du87SUbsWTLA?*CbEhl06g{;?t}V)9hp!+9xRVKlR7C;{W=Ic2dya zo%cUXwC4yDLhPmUEcFMx1u4?=+h>>@Q|of29EX%^r@r8*Ns8*EsDD<~IO${OG4_h9(ylwWuqdUM`!4I@tvbv^x)g|*J)MGV7rAIwh;mUt=8;8%iXRqbZMV*^FtC-u0ouzTV)xY&twb&MXt+(pG zcUGa~|KzOt>iW+8_0Fnqugqzf70(p24D`?C4mh;AbLX`etbZP)&Z;uztZK?`xS+na zyUH*9Y0smF=h!mJBjdHgN2U4`#xyRU8};Ys)#keAUi#qf#*5d?aOT#RjNgnGYS$cq zbbBG)TawZ}!7V$)8#KnKC5}oU&PF}%mcygs z&T28vj0IITEPrCu!~WK4>QS-HqpO~O?@^(ySn5y_<@~hZ(E)#}jTXhVa#|Jx{H#Mo zY*j1ZZx`DecG4kK)BRPCik>d1gD!1uYjaCB6=`Yzw^rpeHO|SSZ$r3J?b@$+RmP7B z$x5elYa&+RS+QqL&)qCUJ^pv@TH$U%xK_9omG6a&%YXQp(9vxbQq-E9&A^$kz`6QT zK-b<@y6d>W|y??Xu;EJh{@0B%roz9b%>Gl;@Op8p>MmFta&6-w|W)5ejnN*6TtWHU{>-Bn_ zt8i}BSbsvSch1_w!<7x$Rz+tp=q&-rql(Y zJeHd$<5ARCXL`^in+o5oGJIfPXz#Uevn%{q{C{7D=M^Kkju zvXz(2FJ9VMoMKSX^X^#PlIpyM(m-~6VP|_hJGWzhM`rW1T(?%iYSW<6XH>Qn<;8Q| zIe+nv&PDNT$t#r8|oT*#Yswoq=Nr)f<*?V!~`@4R0qw%G5|Ll}qk z-KsgB%v6_7tyim-r)AhIdQBGYlgJkCs(M*m+IpEgv&IcQtMmrF+Tw+bZlL~a<$ux1 zwNwx*C}TF&*KDe<+4Ouko0-{cy1v4R-oK6$y7VQ%q)7m05(NL0?W-uD+fbT8M6i7+ zcFzC80eV48wo&bC!Ln6-)`?AxfLQlVDloUX`eXqMEiu(~P0LJO+X~P1q|2HgtK-_Y z*pO*2Ud`o`0g|;@bU<@Lj`);m}VmRrhwzpRxX`)pCYdjgI|o z!K(VKu1^L1rEq?j+6sir-Im(?)K)5GH+J6+BSO6eS=OUz9H@vz&f;Nq&G zSe81Hw$~2v#jB8^3hObulx>r8)KW$cB4%btnHf@;LcH6O8J0pUHY8<+qb@AC9-5Re!1J{X|b%1TVOatCY`WoPY#8>2|GhyGm~`X}|a}J>XaA zECyw%$)Q)^HIeb5AM=|`@*nkZ;>tQB?U#y)&(xQqUmB$n-Y;`tTxUWEI5NZSvTCV{ z@~lEB8kV9VDH@cb=~6UJ%1)JXR8p=YO`9%FpC(N&kY?mdwh%6X;D2YYnX`#<9C;xk zgc@v^A6YczaomrXXQk2BSuHFd6?>g+fo-epBAdzy&KmlzR$d z0W+l+r$F_kD)sn36|*NNJ(%Y+DUZqWgNoUYy9?O}jsHun!p6~)n&HqXugdrzv+5lf zbGV}_zamR7%eWTj_u|b_<#w&hdbXeCYxMfDoo9R9>eA|s=znxLGRFLRJv!Ztc?78Is-Hy7bCL`8c;;;<{D4}QQM*Qxb^{;7qw~A3nkoZ`S>_sxmUtF5zKngValRX zBt0D|c}OO-%nTw`N2E%lWDL=bBkgCSv7|V+C1SLvwFu7-wN3Os3_A#7cVW_2U;R9LHN?pV4ZR~HDfK#Mb}&8SHmZL2ipuEDz#E`; zNiTWF|EZIl*`c(E%b+^_94<=)18G?fSwH@-e2dehhG$1BtsVb9n4&g0Ez$|;UW?PL zQZxqb_>i;!Oi>wK*723pu3;U10Mg4O+qv`tkVFN9P=6JWas!f=9=S!mQq)puk+b!Z zpDtjg`6XX9_;jBXZ1EYKErvGL0=ywkz0BDC!l*z7{Av*H2I25`Y9=ZQnhT-`EBvxT zm6N`L5G|j)C*))&11T=8T((bBQtA)cWz7M-O&NbeXUj}a&v5D0lB9g9v1f!*Gwqu3 zV>Y|mg6t)OhvPJXR>b>@=z|3p%K!=*Fh*75r{xjP&xB+R)MzdebS& zZl@L@Pr1H9FYB{#zeOJLHCr(kV#A7t(St19BkDN~i;2!1&hpVL@DVp#=@l5dL2aXl zB42j}h97pYSCYgP7|i>xXml3c*vsw!wR6%n<9`>~ob*U1~F~)(twio@UsR~k?t>t@`DyJCh(oT)VW4}smcKV!lk3mvhX7pzIeVHEPwZZbj z0)OALS_5`<7=V)VP#|Q}Xlx+;)wLZ2-VR?ncPAp=kLkgYBDTcqxa3m}>A;wiU4GJi&r%byV!B2OO2xYp`5vneH=mh za7;>5{QFyqYG)Ku+B~PIun|NxDo@!h?ZoM60RKw_F7G+0D=F?dq8>Iw{=bm6IvOl1 zD)2t5#mzYOUbpiif5@THI6~Abaiy|XDP-SSMI!WDk7_bfO7XpAr>TUGX)@w8=zpy% z^PgtdG$${sK%!O2p90WIUyV(n!|fiUC*t*Hq!=}3kL?Pz$>DR@QVf!M-1Bb|V3$;L z4kn+?pI!zXIju8j>C5Q4@iSkOK-&;g_R7zn(*|R2Ygja6p{@$lZ$IwKw)iwwyQ ziQ6?Ad&vKF3Fv)k{9VPMejNUC57Q}|niR2j83DXSMbIEma)>40q|*LKWp<^xePM@6 zBloDx&U80!QK;0Pm~jnHYj&D7`^{E8q~|hI?P`>c%7yYw{HjKX^)t~L&wt=r8TKVq z#g3|sX9nnPFurrcZ32E4MQw~+=&+Bkbl^|=0nCS5`d4;(Fd9wQ*!`&YvhjPRzpAgs zuYIub8h0ynid5)kRbhruaR-f;k-8#`TDA}g4AZc;jy6fs;EivGXY%(83KeQTl4>P= zw5n&-a=hW0(%uQePZGZLE z>h-REo_S`iYEW5%Lm4^WW_|L~d5ZtqpO=m6W9J?*tpTQYwf6hu)MCHf*|m$KcIiSv zk#4Bz=fMYAfxJz~{p`xdvsrgZ)mw?RHh#9avdO`@pKovZ)#ssSJGt0dukzA-GhEn? zzrAtB;4hC4fNiL#E_vKSb(qcehA_3ynfZ0TrRyot zxk)j~J{W6rqQ~kP4b$5VA|hLJI=RF%DqSYieK8mG_hnXIl{3^W?(2saY4j`?IfPAK z8$*vj#&+2Q_EC&G$eaBtiEqp1<2K9&TqL1BBeHI5+b_!U9BmNduhW-1^wXE`f&*pO z1a0n;i?WyGi1aF!wl8!W$zct1Z$dYGW!t{}zPu%g#H)NRd+qfa-P|G;3!+*mmm;-ep8r3mFF!^o=lK?6QP0lT;Zh6G%OX4yVt zcjN4A_~cwb zKL9j``u?>D$~gtI%BwkGq8i>#*aATS>X|PhVhi0}Fa-t+2;oU>2)2|6tXX6KY;8=Z z8QQMiO77h330iANrSq4VNDq1@jHB>CuahszVd~bJVC>i|tgE*kW{);vnQGySo}zMFK;|Yn$1MNqscDNR zn4i+&Fm&72AkU?S_A!VAZgreKSWmMrJK&xK+jx4KWB*v z*iDePKh8Pb&|Fk;X&pE1s|Z`YyefvcX8JB-CIP!V&a)khAN1RfOT;@*ybeNtt!Y{qhIl?r3?2v5Qaa`o#q2`~hVaQ;yDC<$^>c4Iwr^sWVLgi2%x5`vx zgRfR8Q7+c+LR$U<%)We>f@hhTbC)Z|Q$*3AX3DS2HMsoXfitK-hLk9xHx)1Y3b@ zYxy?IpbNQ){~(&^G*yWxA$22Zy9R*ss}qGWtNjkx92dsF6cY zpr-QRY6>*;*={o-A$rNmDEcGUU$l9II;)YqX6Y(H5Gwa1`XXkI4XHQK19eqIZZF-cUkdw7~%n_=uZF+v>8HAEa#vm`>^k=*A#L@;oZ<$Uja=h@oS&eO!^#0SMqULn0vjqnK+EW6y%S+No5Zhs%4VI1EGH4M1V2m9|vpv^n7+zsmVN`jnZ86_@2R|6OoDxLKELpl@}B_0d0bYM|69v{__m zGe25ZTJUJMn~kj3G6GhI|EKbBL+KlyjCzdLKM{Dq?R>lSefuFMTN`;iDiRgd*$hU0 zODPeQ)q%;@gaPUs`NnRqRk(K1g`X+HldoeI;|&s5_16gM0hnNgGzM&{PM0Z-&>T%D zLZpBgFiEN~x;Ia1w;hw=q{uS{R`Vk}N#nEWSc8i%5_CoXyK|&G z@C7yIVenWKwxsuss;ms!1+D#q&>x2ulUl!r)ipomlxzQkZwEpLF9BUh0eqhWz4QqZ z{P^;O`W&x_lbTs}TWxJcdVb_6HMH@*gK3t!h7@+28I1d!Xl45s=%r+63nc>srG{*o zpuUEAZt}*&sc{N%eVzOHjuA+J>fOyo$3DkGQQv_l3xkQN{+>^umq(gi`Dedh6;;6F zUyh_lRp46LQW64Zz!8D3okE_H{()~Rc`$EUZbntY9 z-vHA1*OgGDzt-q#qJK!yf8wr79>gd!SRCn0K?ZDIbeT?x%GP_Gl0JR7SxUtgLcilz zc^j1un&MaX@9fk9@k_YpSKP=%`YH0OrC+3-^_?~4@t`h4CJb;9TS98msUvA&S(AU_ zMGRJ!;ozvq(ufN?1gbO?s>%huBZ7YnNK&1(osfR=5qI~^8n@utsza?GxqWC_iL7bq zw89PBq71QuEzzp=-10uXoyGv%M#SpNFPikW43fcNH`%FnF|Tf%T3+Qpdd2Qvca%p+ z0k8O>Ve6@iYJC@GkPP_RmmEQyoRa+mNsL779ie$UCGG zM8R-zz>IGWtJWV6?et@6@bkiILQt5*d67gbEBnI`&$Syh;lM8BtT`9T%dr!tkH*e zt|$j}t0Yask~NR5M)kZk?mVMzKDNC6qA8cir#!NrgcaQRfw;@2$z~UJ66t4zlyFvx zC2oOkZF)}liL4sVZ#^2e2dCGkC~VoDrT96$a!7Kmf4w~GrofiXap>yI5vGij9-J=y z+#&n&^o&nLrTyh3htB_c(~rcQheOs3x*stBzpg}5_oaEInj8K|fg7=+F~2nVI2r$C zKK>M^dt&@)(rJdfDE@sy9_yE1MwuUy;(Dg7tJ;)78HwOT}e$nep$^Pd<~pm^0-6u$4-Wv7!56QvMSq_wx+Jw$QaK>5E*Z;dToq>kBaGjeiww682ve zK;5wdv`~X%U<*I9oZ?tkO<(oij2S-YtdLjhW%U9{b| z_#Y?OS%g0v<}dgEVg9D#{Dt?2;o)wH{|ny( z4a38gS{DwF{~v(q_XGH9T%P}uuKX8On1?4-@(`X1hL7Vv6y8Z+8+ZK?pTh}*Ac#LA zk{Y0wPF#f+`Ye+X2Gol_-w%(&n1KU`r?yZn;Ab@we9p!!U+fzjsFupzh{n3zyBN%=lgfREqdHs7@gE7p_20;) zGH>JHoX6bV!@MZ9hl7d$0)qtI%ug=rq>P>g=V_jY5fi;POb%0{Zz<%@V&9;js$XBt zBvl4dzg%=j1WU>a$50I-9bSa!4ZhYAJO-pN7WrTN1MA(4!8RQZr0D%H(IQ@rBCJO! zh$Dx`Qc++XJ*FX=#s~C(<2O3xwO_Ganf8J{KZRNC`3Jl`xKE#g4d05Lqu%K$lWHY) zf;}FcfbTd|+xw^B?kcR9F=o1W*JR!jw0T<>9u$duXF8xHzRV#0SUYLzq;~k{s;VH- z;mJ}MFke2S;}1bJ57_xzl_hZlR1c&h%6aJ0SCs?F?63lBl&{9SpVm?A8fl%aQ7eDU zo|_0`Mer5CFpBZMD2b(izaq!8y!Gh3w5ZC*hK{FWFNIT~J1kKV(`qGb%Tw6i@zEnI z)!dcPQ9$gWPI6(qm-ImbOf?9)6Fp1*>D>o^yv4(RUs^AJs2ITr2fw5CJdC$|9qQ@0 zje3BzTo2~<7y#dHCb=)G_`VKiIt6IIjAg19oGA*^cSq!}UhI;T3{q^gGQM3%r#}qu zSY9GRI4+-dfS0Q~wGT@Wvz=pzIMt31K`JC5$ip+L;bjd$`CtVq zm~6)g7!^^&N{Um%l10GAMha*FZjD#e^mID!XcU5CPn73p$s*dT2@a>F;*+^Bu0Pa+Nnk-t?RDBPT!q?dZ{=W|Wxn`h_M-DjxNzVibMY#Rgi3OLvoOib7#rG+B$VFzj$g|VHWU(=B zI_s!X(Y&V-F%xGI7<{KO7?Wo)7`*6G&zVmJ`J&&n=VGjy%mJu98XZ0QT9dbRvT z$_(BgA9uj4kD5Z-pe=s<#r7*LxO#aNcjRD4H+zq7zMEz$8&Y@}le0`ZZ1gWjc|gNI z70>2R{Nd+|tzgDNAkOq*)a2Z>NUG`*S%h-DCnIf(wk%0s+*x**>-$3ouJmfy)&bT_ zJlNI4+W{k#;JbwFRlKL8BT8)SiLg6iB$`4LtXKgiO=6-8aMed9bbvk#Y{FHa`x5_D z>(3B@e?sW*^H&vzNQX6oJJ~Bs=l#{CQ>GJ6JFH{v^ z!>SM^XSM=@7+Qo)#jgc$5H>y0&%^_Nu~ywSg(WJboC>`+s>(a;t$J&^iTTPD@%KNa z4Mo{$ePFl0)7pkfbxc1=!C=_EnTT=~R$EDfUVXe%39rKEygw+X(C#ot)KFgj`xVPxppq9^yGLI&etN9o-#LU4ZQl zwI~z~EMS2ClL%3jh z0W|Gp(cfEHEba=AIe{CRX7Rl1!Q zNJH?5>m9PDQ0_nKWrx@hk}OBB7BtD*D?OKL(W!B}HtAh4uJ)~raXj3*cAE?gW#!yx64zY;HA&%v!J1Rb-hA(zd90WNIWe6gGY42wtA?8dyC)jB zL3#Ne*z)s0@C^eMDCLbtD(=S+566%TxI8+LHxKK}+)PXD?htlxOSLH0e#~WzP(CsN;J1Vss1@Bjvr$6Df8qV-y^mEWHP%57y+f}N;7A$TNZ=W zI=BBVpKZ4;AFQL_37kXu=)bz)J=2W6B-AtB~)UVh3B0SgK~B81UZAo7P`}vB1fq| zp;3y9&#x$Wk4_inox(IyD?#UShFi~`MHW_7gVVTaJ>-og^Q$-tNU7~~d*YP@g5#R9 z8xyLRv%BEBP0>^Bhp6tuhe+HkigB`kz0$Y!EIPcAw$p`pDl!+z9B_{r6zzQ+MGPu&RO&xiJ&3vhuEY0j z%kU06?latWtsUR&WY-4God3pPLmd7M68PPjRGx=XNtVYgZD-y^oVXlPQ6vb1iQ~-< zeSnmedbG0~Nre{nLEfn>x{!IF5iUw0NYvm6i_d4F;LzI}aq4rpz`Nq;kLGc1}e0Hg~yW;T|6Ib2gsdLsBWOp%Y%4#pNi zFNRy(K>5p*^oh{|DTsU2ABT!(k9$F-BOcaK{)2<9Ig0d{1brb_6!PtBw0mF!4Rd{8 z*J_lvR39mP(GYB+t{CSSZf)msIY6T`Rm->~ZfojD(xnC%) zb!D2W{DsxTA-nVnBML%ry#ji(95qO?E}(2wC5kL))AadvlN#$r@$r6d<#@@3BQGDJ z?|%R8>_>uyVmMc(=bT;!QZp$7oWm~N>ZH4i&TgX)sa{UE*W<9NT)syd%Rl0YZHgJ& z#5rU43=Ma{8&(fEw~z~&dlFgr%X=vG%D}F|ky>!4>UYfSx zCT`Iky_l8h6m`|}0A?-ZyL=xVsZM(n92|~_Jh@XDbY&lU{F@~4$JvhgR z$G1zmvgbxw*+JC6=Vk#B?7ceP9h3a}xlXKQX-3`dFO@vCKW@)~ z8|~e2leC(Yw5F*9*MhaZ^{&Arr7X~Pv>JM7maJ}J{+tt70~P!pj|L@Pq)(e&O;sgE zZ9*@}8OdPnTVLsXtu2ULgZNDH>ESV^@Y9-AhcEDTUt)J`BN~Iwt!21e{Q;)?&VBe=NQjlx&8f&`S~*U@~yEF6h_A1+5W@@_?*kTU8ZRMfScLDJGC9SqVRWV9IP)^J3_ z3ntr?jr)PyoEl9RXVl*lv5B0_3sPWFqfavv$et`k{9cAnY(HBxo56$9nGgf*2%~M; zH4GLE;~S{#ZD8qUS|%k5B`DUdc}l7Yb3C`8B%NU)Jlt);ENV439Ct5gIaX--Y!bKO zpcOYmxpsUsa^nf*p!uL!m&|fgcM>=0$4w7E@Hr8*8Q&1qT!BO znf9<-VsAMYK#ca#@S^K*Y>gWD;L$i~-UR+lw`pI)XR~i^hUi=jNZU>M9@_oH^~u90 z`dtf4!EWOZi^bxG<{5DEK0Vg%!Kl%3+L{sUNL61m=0Ha-LrqZ4v_W*oYSfmfn)1;J zIIl)VcPMB7cV)W@(F&k1o>g`ycV&D?uB@ElEx2x8xc|qiCB<4wlce8~rkkW&lPOUSF)6jVVFBGA|!`@(ZNRCcF`_NVy+(&gdRnaUjqYX#{PACLA9_75Ds3AD z_Rx`RH4IepfO?f$YbO~j;X65BI@&wU{J#bj6`V&+HvcllDyYk5KS$EgB4!4kK1r=1 zIhaz|HTUepZzg=mI_%%o*#Zfso9BojbJhwi)`!V_Wy$Y~WeQ4(0s|-;Uv;AAY!&C~ z@i5G?pB zX5q#XLU%aNK}rcjRNk4DH9EmM;`j;b)fzM0&kFeTa_q(Fj3HAP{>4@17#3C#%I z$8=Qy;9a{p#OMc8$LX0qVduJzf2Z`yyMZL$Y ztaLoIh_s&gsR<3ntURSAdt1E>QA2X3oTrOAl(jazqV2{1diQU65OcvK-phu~A7suN z0ZJ%!?gJ!`JNz3ZhfC6~`OX?$;`Lc~e zrlIW%bHeM6vz2djRizwYHz#?0Ir0N>@;2ux60`*+f}Br9F^`wH0&M##vCoDVs&Zpg zs&cbbYLO-Z2_*{jUYlUy?)ioOAfOQ ze*+nR?CQO-o69;r9?D9)G~B6=1^VmMkMA``luY|Yk1MyXDY+whwO~~X5Py?o{6~x# z9pDH48~XZZndimX=}hG2CY9ul+s7ty+TAnzn5|B*Dr>lMcY7B6#hHJi&(BcA^Kjw$ zm;^&)rP%jjZOCAEA#Vym1r+Tim-=FDHhPSn1WydhjdBQ^RPYJFM_gxHZQbSLxZxWX zK;OgDFgrVpqZwRJg*7^hO-N0;;lhZW?D|4>$uX8owT(*f1g!+?lc_jRoZOfiQnHpO z|C^6L4QFd{M6Uy^EVXV|m*eUlE$xcn$x5LJ|G7EnFb84I@GUb&abe)#DclOzustXh zXCCiY9l=ijz3`Dh#f_Yv=+txz${)90!ivdY2c{F%y6%)4X~{@3=dDdc{ta`o+wb^* z*Y-TDDwpKwp1^GL01FN`;bE++4uPr-b0sdD*5u^_-F^lzK7w0D-_{KCe0%c9r8bWQ zbv-NvUQ_4QNRUXL9(n3-P!M}OTh5GXh+LQw?}kh-QAds zW%=-X>-hp#{8QpJ?z5qPUenp$ON1ie97F50ZMplguZu@>01%W5&?Yagf%$wqD?N{M z73;{~9Te%%Tllsc5P55oGJM8qGL;|{%Oas$mT3Y;?H$WvePT`KLOzP%SnVZt>U)%e znX(F>elr`=#qn{8B-=C&QGq=Hv68>?-F?~>T=a~ zvuyQobKLtzE3A_k1a|Y@)<~pknCM8z<&@pOFN^aNW+U!JH`a zdTRzgz-^Wjq51l!GH$FQa}Be$oC@VhEd|KjT~q-nYNToz%zxRCF#&n1uE>T~16;%dqZ` zs(4g@e}Y=g51DfrOPYxj9*59mfqGT4UC`jM2?-@u8AGZLBWzigGmRavP)D92^I-mm?!j0=^|o__R|`Zl##>1-ISh@<=&{4vVYq&jN_Mj)R2lI0W9mQwQn8>Mn-gifc8kpB)4^FtVDj|7Ghna36=n_(SJQAT|vX5@OMYDE{(Zg|x!R`pN zSlsp;>?o3NQS%A;>2*zbQy0j&ow7;bo`!p0Rj8Qg&7ERu>LgHjLxf!fw9?!>RR-Ee zAN1je8GM5JN|9{t(Y6QC=I#Dd_QF(yUTbCPLqnO^Ge?aYJHq4$3GZ6Nk}h85_hNlk z8^P#=N&9r`!bma(2M&fXZ3>ON)+EGmU zxG0-H3b&(wOkRDv;8o|q^LwJBr9Sg8Rz8*S2X*q; zRCl@~?(}>*fct7x1cl-d;&$-t9Lp{q%1sm*^Az)3+O@(^U$%du!^=ZU297VykD?*c zP#?ZSv#ojkk^O{9BPt*BajBajzg6Y&NY9c=%aYufXZ(_06F)HOp*ZShIO}0)AiFLg zDiWoZo+YDD1P0a)7?71B~57(#w25I;x_x~ zVR|j1b3e_&9ldCO&LW9=8w2-%pW|cqo{IaNHkLX#3h$4dPW(>*fTN|B=q!H3q&^bF zSAM$?uLdzj^uH|CxTnj&@>)4eCjbnbpa^q2^WO|QMxb0K)i|3y)XhMx@7E_Tab{`Dwyn~W;!iBm^JjX8GZNT2hx zmzO1Xt2Pm@{d3yl5(K73!zQ6;`y>{rRsq6bzO}{ZBvvrKkcpP9JgY`Ii@__Cb#)m?I8XkAAHbcdBO8gGjbEV9oj<9y+n?ZB|lR5Zv;G7w(kx~k9l=`HEoXR zLxbJc3%aV&gET%8&ErNw(9 zrS7U?9yzKZ{6NrlLONK?X^#rg+?(fp%$}Gvz%^4C+RWQSzLVbVLNv;D3@}x7{)bP{ z*_pe?!F?L(g+loqKr?2`ky;6ZV1>u?A2sFw6i)K}Cw!8EMX-V4;Y+zZ?v^3yv6oKu`1 zyncI1ygl@px{vakx}Wf)TW$?d|2&&0P96V!b72EkDj*s2J4{z5U;7^Aec{HZTDwlw#LHN*s)=G=7^&)xr9ef*o9-KO4>gL zI3fL~qzG1Qhy`l2Ha@{7xV-D%iQ3#vjZA?w@8^rlsXuC$ zM@^FB-6C$DD$fx=;lx?xjM?_o|9rbslyDFG*xrx3!+n&Sl}SGt&?~Fg{3iG9dlGiZ zgL%>)DvO;=TE=eZ0J4{7#vX(K{Ou3f4r~uv0P+jchrmX13sE+Xaj-=Odj>&fw;VHn z?ZXQPrW3%VLzaqtfvJm#jVI2-Tt)(+GsfSU3~q$t!0+I&@&8oBA_mdyhyw3iT|@!F zpp$J=K0rt7^4Jgtu-UCH8d3$a-!|m}WVSAk4j}*+T{wD&^ni=bbr}#Wz=2C$BqSMB zxn(K<7;im1D2Rm^0)1N#_X{A9Vi3!A0XPvd1Y+4Sr2>$F8r|vwA&(%qHtXGjWQY%_ z(Rp^_3ogK|Ep1@P9!T6~y;YC{(FINH6~sXFfVQor`vs8@IUo$^p{;bw6ag^TmNq=3 z0sPg*xLc3}!2w3LG42$^K|X;L_6q_b-+))GqkpNxP$>W_Aaj@au`dV!LtqLrf;QMC z1#;f{kOJWXy+9yNtq%+UBezR@fRXDZCh%=)2mtyvHDm?)_G`!nD7w941{B@dkpzlv z?Kpv6k|90LmsY^H!6Aw^?_9`z>jNy{-1QOz;N*5m0B~}>L;&<0?05hTcXu>_hTA({ zpw5XQc~Ix*5GqJ`ddLm*k^%u+wRwj^O4__5Aq;Ka-ytJy{6j-dt=>_PuWkHeLo^`a zi6Kdl@aPaMXnlGJ87SS#KQ-hJS|1E_{HV{T(1M#`%&N7%(=J z4IP&8=0cjy*Gy0>Em27yL~nn0j|p#>0V zXh^xOH37oh+L{H)b#@7ajJLKXL8ySh?HyAfaL4pB0O0BZ4R~%{9v^xHp}E$DK{h}q zJEjZ(q1NT8Azom!Yh4Ir5@f$)N&_Hi)tMd=1R}KRj1Lh5wcN0Gc7%aiE_DeId=Sfl zDG}fmbmKA$9{+*~*Z{e^)FnaaK<=(}X^<}th$oQ1nIjiM32*>4x^l!qEP)xV zY2*Lzk3m#Ud7NekK7JmBVQL~?L&%y9HJd^a&LnVFPufl=VIfK)Y6?QTME#dZnwhA| zxG`(CUd9zY;if@_qR>!UB&wargG29^HS+k=}eP#60vtOOU)!WYtLS)>JN(gty!sB$9Bx>mUq zw%*a{D^2!{K5IdFf#_L{l&&mQqCz!kx~NE9lP^&yx}Veu6)iP-QO2#3My~#H{xaD! zOPXYasM4pBpdnBr1GADx8L1NHf22yIA$$T8X=_X4=!>C9<8Vc?(6Uo=BAZ1?|E2BM zC3uRNZHbzyZ3WlO@O{(^hj!9NUvNIe>;0+mKeT2(>l~g(bsOIZK%S#QL@nGiW*Jz{ z%%mwecEh4Xjc@p*!4|S_{Ssiy{LghW6hvLqhav>`h|=eryFyW$mNx{2e7XsII)~u< zD8tx6HZgTW$lx?$*RMl-%NMf3RHClI!!Id&@Y2&zOIb!qMTR%SwjsY8Y+4EFY@ z;?AUy51wHEp{X>0W0j)LtMC*ceIk;FN_YxcPNiy0oqfdi!^-aqC(DekWdNJ9L7!SS zLM;A_U7Z>?ikO~DCOEJ>Yf0-9I#@PF%t}j>=*%AL-g!hJP~RCQ)u-S$hZch-83zxA zr7H-l%pbMb^`>l_qb$y?=10`-IFC%`Crcr-YSQvLg+jWM1YCN9#9eXt8-2j}DQoD8 zvu~dHl+4A^s?3ExQF_$PP+;Ok(mK@^-Y@p<{ZrA)cR~A7_HB79EV49(q2cI=$oV-T zmK+&lQ6AW9j)M!*_JAN;>SmF=qi_c-4g82ISWQk8_A9RP@WCAr<%DtmKWuqKi8(^W zXq`i0CMyksx(TULZc`h?WGNO@@gbJ#a8;AR1lV1?6j#?l2@)-=I`9nqr(9L?@tpDM zxPj3TlyS?XA(V0Rq`uK{MiJ9|LpifO6AL9%i*{WTpjKp}D${ssKVy1)uqsaBV0as@ z1-;aSyx1l7wVDbkjSvk94RfJRN?$)`TKeoDV`zMQd{FUxLz1B7Jm+tAH7>QfB)Jr| zz9<};`1sy%xM2kmC2*vwdd+oZ+4LjXO-nD)mQk%g?Aqs`nxL9MHj{V63$H={po@cE ztKO1co8`~YqSEVMk-$jbpkB})>?T|fj7+uo-_c%>A80N_?azbWp*m4JgD=*$S_1ik z_yYfsOuKbEW}SRPe<|nK`!;mw@rL0|^h8%U{YZTQb0NHw8w@^e8D5yO%Kvai5kGy} zWjaV4PM%_jV$O;sNflD2I(JgpH0v!CGd`gerGO?!Am^-f6SdYk|JGaE=hI_Scn!x6 zLmKufx@9;>Cb_QqA*5x;LO7dsa@(x>bN12{P;w1?1s-6(Iv&&n8o_wMgaru&uHCjx zt@ZXm1;hxqdW+oEN+o#R~rXInGT7 zX$P^_>9U9Ul70tF9n;3t*4mtGui#{1B1f`lqpB&_3?&GYhYgGF#V^rHx$*^p&h!4_R zBm%h>ae+Tj)h5of@gaRN^>VR&|OuJjSQ zKc({9KdI_uwlZ8^NsT34NPH)h8U0npHPnOk9tM7x-oalK`=(_`7QywwR-hGf&|lBu zU2QIOc_SW9g#2w5+!fvwOf+C$$@Z+ff|mOS~sQzntyyTatZyoRbFah-aFf}_WnK#{)B zoR}*D8kfl_(q%aO#*9T8Wl#ZF#YL1Y9Ul-yRNNYfO>p!JP0~$2TlRb&BHq(2%Z70C zcGMmy+LCwnXVoXEIniLH#bpeEVoy5vMH*ZxxhxgzO@(ldPJ;atO!)&j`tcGVAL=g8 zw?zjShy`c-*wy>kOIeG!jD7;+2_qDE+RJOq zTnoR9W)H&)LlWrG3sp;?16zq8(d*O8WK35Jql3W$`xGRa2RMXo4+0v0s)aj*BLKrf z0zP3p;^VaX5c2^_n{hsr1PLl5iOy)f&}vjN} z(x4R(e?qGVx#poU!pjAk<{>e{{e(&kf}x}sg>?-g?L{<))fJ z4TcSB8g?4G2R;Wr2Ra8K2POwD2Z|g~3AzWG9F`nj2__Z_6BZL169yCN9+n{xdyC(h z!kNt(jUPoS(1a3g9d;f4g}4=!ALa$+fzFx1nXnbMm8=#00sg{mOKS_u8P%D~8P}P{ z8P-|E8S8<_nar8h8Qq!386SM1z9qMXvgNwP&q zVj}FQX~^@?O=9ROicfFjssG;Zjyzskv@d!(1AdT?4`W(3)+5WOZXqfkWg-)G+3sNG z$#Cg@;f)i2Ib}c`)!f6rBKSx3oQIJhxrcEjk3%1uP%?IWu=fN;i*i)2rbqb4uZqn~ zWo9+36uSu>#b`#aQ!N1=EqC^JBI1hme}Y{rDb$Wm|N2#aXYMh7m{@#na%Kk(xbxB*<3h}_brl3JnHwD&dtxgonYYugWx^}z?e=Df z2U)`mLub^A`md;u&(*8wV9i-|m3b2s&AHwX^7y1AxgE1fH>JSMTTaX?(#?rv<_s(N zuj|zMp465d_8XCD8|Ik4``_xdwX)JJDB1!+;f5XIAO)a!?5p=-!lj1fo|-Gs(<8&4 z>y_D4uK^&Y}}>PY^3Z+-(oy5L%P)K3aPB7TZ%^< z!`(A<I(bH;GI$a%d z`UGxJQs7WEl6UM!>%`BUuh4iU%_!417nu#X_Fk2AT?ey`I_!Dg44FvaTUoZjHV^D6 zO`ICV)9e9X{A(DP2>kdSo7UY-I2EY(7zILeI%7#0XzE|VksXM3Bbe8u(JA;uY=7a} za)kr2uh<15lJ_}YT*f79MR1yO64dK1%=Y;9lCmO)MMLXuX|+nNMjJj9b?{gG64T?k z%2YShKH&71m`)&Jz9BWm&NYjV>Z28oB$LZC__Ob>bUhsSoxxZZA)n7zD)g5TqSX(HvyeL>x3~sYI%Ub#0t~$%bg+&c*O@tSGuAj=8 zdWKqhYFW9dnTh`tm9G_C>6ck76IE;GZ0DJZCHfzQOBU6a{-XGG^rO^REmnev@7xDw zMEYj%J^M7g95ZSm`^VDr0-s=I+D;HZ!LnEw3l|%EDl*Kuxq+I2%=s%xU@p~IT` zSC2?Kd#t#6J1bE#H9AdJIdyvG;NP*~I+#4AJ>Ev9oQ$}g(f%@|L6~Q|@)WET2>U!B zkdv`mI~^R!Gey!9h^6&2Q=~e-28roh;1$_cr0+LbQ|a$XBWz;XO#ZW^@u zpwUv}Yita=7J)U%EQ$0XLBLBe?5J-tnCh==GST-)bH9~#3%Rz72S^rqhI^t_QL>KD z{SNq!7t_TD+H)mkrTtPAyhuZ;9Sv5xT*PR)XGIn2AoVBA9S5Cac=69b-+OqkK7U-2 zeI~Xm@0|rYXM$XTji-oZKBnA4l}bykt6#$A#r;qbw8=z;HRrHRFe-H7?yMKwwL7*b zg^8+h6vY<={!&sFYfnp9ve#=(yS8$){#|-kp+mHTD#u=oT9OTtW9+HH?Ep{3YDE|S z#Q){GaJQsTx+M@oO{vYUw+gN3OE9anBIU=?hfhCQ z?Wx>TG7!vxXYE*$E$PpC?_^dHCTf`MCnPAhI)z;Kz)1k0KO1iLB+paM>Gu$AGqP#< zwVm$jEzEz6ID0Ehe2ig3P7FRGp;r?m`xRp%PVnnUUZ`KO8$-Eu3613i4`}!jtYiY>g6dQXwqoZ6fbPj7Npdb82Myxqoxk_l2B(MTh%4 zo-3pJ#Yjg2N6R?Mq_E86cJ{KR!E8uH8__^ShIE)|Q$puLBd+$aOe|V6w03IF2!GM9 zymUkPG{90@wPRHspI`^h{SJv>BOi!KU^-Fe`E6r1Xxr)MoW26sD>^E=si`B^E$htL zY>EwmpgF8b)ZYEq4MI_->@58C#D%dFUlk&tgV>~>NchpIYu_;;O;y^seZ$PG3zGcj#cv@kMxj&K z(X@@aYVDh)sCFL^i%pH=|Ggez@Q3gkVi@2l2HIr~_|A_C^(D`uU0@U(*%(}OBPEx} zQWOt0M#cH$ROvk16#tbk!zSbXx#VT$W%hVm>;22yG6`HPTSBil{K-;tE`?;viv^j+ zEKFqW5NE`wO@O@pdG_)vDFeHz?8poz`u3OZb<#=9D(Mwr?jnx21GEVv;$8kZwV61> z_Nt(Nfr%rT6)BFjIiV&lK(#>l^e|%K<@rH(6jFCu1&Wr6n&6)j$(etfCa%pQR0h4N z+ZD${Fw?;$v+Bs`RE8K-f%hujk8OSUyM2OEOWW*eIf$c9Y=WWH&lRNGSkm+be`y=P zf+T?rR^?#rXHF$=* zLM1X2sL4N=#}?QI>=Urw;{PJ+oPxv(+HRd>?2K*Owr$U3 zY}?q$Fk{=cZQHhOTPNRlasI!~*;UL4OrO}w2-S-lxOJjUQpV>SwAjoN&3tpl)G}+KVwU_AFu-6kC4|7-Am|7u*FvgPkUNZS*>AlL?0kf% z$(kT`FfO&f>Ud1WlC69-$iY&z-|=Vw*E3Ovkb$0Y=b;?A;?ynYBG5b)4_G(yefaK; zyvP4`xpH(j)#=66$n#Yn{WbY^)oBNO?s`aj`0l(_wew?cjjzRq4+#%zopa(*C8|IO z#SX~dLDUlh0xI~BInkh9^d}x$cQ2hsJ`{uM3@yUd&9qF`jJaAi${u6~Ap@A3vAw;N zsD=(POU#sF@iwBQ1*l3p@hXZ!Ql=$8Ei|HaKW%g7oz+M$AD@rVsg&bn*zy1=N;2~P zLpgc&COWCC%%t4Jc`C^gMYBXTS<8Pm9#3T($(o^peoK}bN{2_3u-9|#(PU;oeb8IG z0@BB;AwRWoIg9K=rU((0YC*zM$ncTH?ZZ26p?q3+B|SYF0r}{F$8OB&}c?N)lO`bg+JGqd-S8L<(Vg1ME1n= z)gqoB?$(SzS)yo$)6+mMbtvt#K+sMiIevErGmsbfVDR31wpM2$)}09IxLX`a`4uRVYh7fC;2(9QHdRxeM+M zJS#wR(eu*Dkv?ez?I#+NOp#PI<*Vp#pj16d*bb0I=*zir%~fa(tkwZKq$lN>`|-|k z*@#5YMuuXg)ZCO}HNwyS*URA?;^9I{*!8{jGer$z6+)PMf0ae<8L=d1lkXuWFS~h8 z@ZNqIC=?pXjP1RcGXW`w{^C)oft=e@QK`nC`^Acf@(u#|j|h>yHl4u(LgPiCg!s%; z)cYdk6`>FT)=Dza0qq7C-mur|a>|e|S7V)wU9Ql5p351(v&wPdS}HaX36C^}SGCG9 z5No4?LL0z{g>*>*(lHi_yiw*!8!^~|!uDrMYQ@E=afe*BsQ@t=>;`E6;`!wt(r)ut zzK^$@6-%AIH+*{ za_o03wFbm53w|ARuBD3Lx7!0jGgIAkA)n&}nCal{Cl08V2$r{+=o(Q`n7;uEKfI5N ztbX80if&MNuE(_xB<98nv75G>i#HuWD6N)EW3rl6g#uHfq3B5#;s5w*_<2->xjm3d z<~c{9euKrzm<$Y*p)#hUA784_j%OrK4&b5QP(I2d?V&+5R4RN-o zRePV$h0k8Qq(~1~8jq>t*Zi!E80E1@MSn*-G=O$PC4o>9V;ItNO(6 zPU-^!f#x(6|H52L2c#q|Fzza6J%h{iJOTDWxxvy zCjBPiS|#$shbNsq{O3hGM&6Bhfr`6RnFPks%%bU;KQ(9=0(}X;63mTi_^!X}Q`W~% z4b#))pUIIohJ0y&>lo$+rte`z2_z-(FbZF5Jh#dRgX%o*1q!t;Dp;t$u&|jKwy%{U zio=k0@D7;DH=GR2r1%XUO3B!3E^tPoR{GV0R#b~po-dJec7&A4!k6uMA<%z4S^^Dn zw3G~U)nGXLpK9wd8Kx4W&fZM|t;DR{id7AT%Qb^}2q>QSf>0%d2qPWQmXmeymfM9& zr$b70Jrv_~1-`Teku51T)kS}i8ugl5X;*KWao@%5}= zDrN3-E{$DMI^2{XWq6*dP;O$OlcRVrx9jHTjwK6K)fN(S+8BkK-doE$<#t_ZCue~Na z885HfnA4?3G}r(emxJ5Fl17ZJ`<6KIulPhF9S$#(b}d$}HQowL-fH*r`u(I#!oX&e zSFOwMNhPD233{X_4L73T;oC%9iDAW0VdG@y#iGQ${2+`Z#C;;5AUPc(g|n3cO4kt1 zOVOB^6!>`_g^_<#e@`HNaR8J|=pl1*Xi)hQ6jY;S<9LWT-{->O0wm*5DDe+i$KBT$ z#076(q}*4Ds)D7tUVI%#oAT>;vSdRkk26V=VZ?me6;Qt1|0c3a(lOA9kQqper+84} z2i{`AGH=4qI3nMYmq*o`>lLlLIKTaCn+RL^=gd}*?cL4gp`qCeCIJF@1m~?mLaEc0 zl&D@NMj}bf#_OrIO>NCbDwf@kMl&4ZjuNMiE3>SpG3uck@+?1Vb1%cz+M95TPs$u! zS=1{tV)Pk`Rlxg%03FYb+R+sdxDyxAiGfHI`Ov|1b150g+k6ctzqU2Gfuq;DQ>`+~ zX>pz7JnS2t3UuyAf4~u+^J5OQBQO0?hEvC6bRrq@pq_rzLOg;0H~#(4SpG-6i-`AQ zA@D?Wrj!`TMq-!Gzr`@e<(FJO9znv0AP7{%P;kel12f5-qbnTWW_&0+abS?O{q;g@ zrLOtctM)LF%_og=0)jA7pEM*U!zG29NcJ-sBqwiJOoyIVBLEwlT{Jzajp9ak&-%}GNSshBg)=nyALPa1 zh_NxG!9t(fHE|z}2o9h^-{cFqD-u$mjJ()+VI%RKhGPCjG^)oZoyrj}nG7NSv2v!o zdE+wb7pa@I{yh$bQ$C1o%*6d}3*H0U5Qz^u27}pm1fUuqvEql$q48|a4gTTL6FUX| z;}U5dIZ{a@iwv(t#7it>9o_OoUu<_p!g51EI=hN*D|@kwL{aHX`mhS7oVVTg^xh@d zQ(b2+!7I4lW-NRFHBLlv+@9SwBg;&_w#*30C_*hxFe_QVVwtbjXD{T=%8G4$+IGXs zW%K+~3Gh^t7_tL>q;4`q?@WH>Loyp=-0m>CMt7LLfmT;eR7ZsLFo77OZUfnjLNVTx z`7$I}wC;(~b89s=jeTHya54Jg% zW3J`Fn6;Z^S4f7(c5&MtTt!xXqCxYFMTjqq3hep@D&;AyDc9kgh*l;Sv3gc8E#B7; zo=SBWmaG`DQCG`d-HdB(Y?nweo0AlbVBzP@pEf`R(kgq+Cz4pDp0!0e7^_Y@l^MGg zXvWc;PLiTeOfjXxs->5bDDikEIEUP$lyv(XD-p`!l{_x5r#LT8&FkAwI;T|ho~HzU z0x>mq$Cj{(N8HaHZ|3oO8=#mBsfK|ovhaZ=0#qtxC*}jY-?K7dF$f)D7T|+h8Po;e z2o*%Qb2D^vH%!DDAJ6Z9CKC@xkX!>KFZ6PzWf zU(Hp`G=TUhJmXyac3+n~FxuDBXW>8U1J;K4&8Liq53sN{VKL7i7ZHT&uTN%!3AMa6 z7qD|`!IyH`g_=d~^UuTulOYeukCFUQgCZ^Ef8n(0U7Hr$AN@qf-|%_4u%%4&T!)yG zU-fagS{Od(+LUL9r{j2 zK%nsIu|py4a8_FbD>*408~s8Y`KicLc%%g?NYBX0pGYD%QB4EG-Sc!zoz45nQqHwC z#|EsYWAw13w$W2&?nF*$vkV<3V1eEe$*GR%B4L3N3>EZjIgrDpiQ>-T)HSZp*%{}_ zE0xEJR|zMBE?HdP<8|1e3@5P-&%h&n*!A9R9mE|kPUhVDaVPlGk*=EOq}!TpRXo7% za*h)O1N#|*4|ND>;^EfxX4OK^Iq&Z!@+}o>x&-nDwqXgwS|&!9V9pd3FzEJ;+Q(h+ z4FZ=~#oTbEMmZuS;6c}DB86>1Jcff$oi6*xQ-;1lfq|8~45z!)@n>hTLZv^wRx-Ig zM6FsUNQ`(|*g5#S8jLH?vAr0XL%Fp0I9Qo6hkJU64%c|N*0p zi`3!3q+rFfD3b*Iy5%CH|FtJB`{I$#<@vrZ&zm@3V>9zMx4`QNU^%~_qt~iU^1RwUUYISr z)@4f(3@UlLe0T$MgYvN!TY{|SS+mgCbRygrEXwnz+q}%9yHQMfMmp}<6vy4m>zqSs ztIS`nP(a&8anEY%QM9wA3Jz}t*RE-Yt7hGK1Mx1};}|>H`7ydL+S z-Yx4*aTE484DH$ykIGwk*c52(g~if6wd6hH4JryiR$8%@k>odNCMb<-K_8je?VJ1G zi%Z+AD>@IZp0Go3l(>dP)h46j0x$2p=W)oVLR&@s-UX6?d*X|{ld5Z~6c0^lJ(jGu zR4Q*xwtK0WU(tR_sxD^(&%m*qG$RFx;Ax0-gL#8i1g)Lx$;x%t)PfHwD<+v_-kgOI z&b1^kwrp|Z@tm*AFjlt^y>)D7ZMi<@E}vJ+bo!&3=X{^R6z_Ro@mUz&h-)-FMvarG z9oN24Rx-`demY@F5S5#450w*_hqV~Eg@QTrDfPj`a!c$(l+#P(r zN0pE%bh;JpCVfF0!F0Kt*|G<|ulL&XRLaP30jFxx=onVJq4s)B<+^iA$=GX9G42u| zpO2qA+GGlL9HtSRDIj_E=b*B8F71TH05W&*7~&bFAky+jNvCx~EE2)~{&Qs~K&j zQ`)^WwU#X;>gqJS*%iue|JyV^KR3{OM{E)Y~&>Ho~eK0TakVoC7m&W+n}&=5-<=b5&SPtG8@BxJjr-Tq0Ha{KiC+W#+kt_ z|Jji_|Cb%voE5whf{pRNHL8bVuX%}noEfy|I^YYYm!aQ9$PhoI%LicRZHx%897MR5|be38# zGkM#XkA}G>Pt!FMOo1m-{j>2){JSOHO`oo}x8|qM&daDbCdNj)Z_W&0H1QW$k&%Au z_gRGYSE=dSam}^(z;6$0d*>&6By|8L+tcXj0sp1@yPuOE7qGc|uuvI4%NXeD## zK$JF}c0b%17wy%MpKY(boo13c8uq*L_Lkc`^2Z z5Xg|T|3Z?t|GK}6rtA)UnfS*$*!U-P(z}w<()foPCgNK93t;Yo zBLj^&0B3Qe&<#lpNX29Maj#L~s($Sj{2{Lvm>ZpS>vuM}(5oZeNc=tB&?oyT`K=LN zcAvl8{60Zmuj^EoB~A>C7o0?! zyiOwbIE0zRoy5fAnS8gGG5v@OJ((Z7e^Ne(fTpjW5BV#zr#Ru=olN0f9p>Qv8R327 zDTkZ>afcZ0&+VI{sJfvQ#u^(YrV*Ll?Z_SjMJzJzVDX?R__{uclw(ZfA+te|FAZaB zXKPCt#=-EvZja%A^O%Wu|AyK(a8yVQ>VeJLSWSPmmxXMdjLMoV3RSHwtdY{pQv1mY zldY^_LkN||QTMGl3AYBnOS7Dx1(0PTG2hZSqcu&IAZu%r%4$c6$Vmm_-e1^VC905< z{%rH=br8ZkPMsi?@hO^K-OT8}YWwol08q^n)La70R?IRrQI9PX3Xhksl7^tTz)?$>N=WQdq@>D(8Vohd z49Sw&Gq+}~Q>0g4WH$(Lj!)`%{Z#`m$Xtxz&t>g}PY$@NMjib6yF#rTAD3;k2W0!v z{O(^08L*l%%2Gb9${Y5iQX?FQPfwJPekYeQ9%1q4xq`8rXy9b~M&(gydqVr`f_fjd z)`EkXSTW=oU?e~0;1vFtZ%C@@AaHUCrMd-u%>iK(!nO93tCtPPs7AjOYX=+hv4=E3 zSciYx#+VnTUJrd2#1O;B2_&CE0Ft(kIF$QS+6+)0_r{U!(eMH>-61@4@JY$H>HhX) z^_($)y6t*C^25Gf^6f>dJ=r%izk{kb$6vi=y{DY0RzHz@PuwT(RIo(O0w^QNItk8@ zy1vtTCF9<|LG&7$4J|%4YBySM&i|Kd1I;!Mar)l5nr3CYs?m{C>ex|K-+$qVh6eeP zK4Hy-#pJxo5}{R~QVsjvO#xEWeFQTGMJ}hLBw$wv1#0FD5-~(v=~xUir06bpxK(gA zD%O81?w*|VgF3c=DwB(eup&hMDTqt9Q1>a3CG$RFI7ShY-=+HZkvPF=# zMj;jGZOf(U12A?H9hlA7@ufX#fu~4ZrUshtgEP5+K?#T$s)xF zkOv%e}8;>ES<%7TH&Dlh+;J_*H7j;RIMoCPL+)RfJwL zWE-*U{6hzIQc}qY;KIoO`09Hj%xNk+ohQfiF<1nTo2BTh? zY=&ah9cuNtnt{L>Dp~lmkpT|=p#f)W5&i|7P@Fq~G-Kzih6Lz?re}@4zC=tE8gNvw zkTGdj98{k!ysAk{TJe@4u6OM}-Vl|bh2+7*2g1WgS8#Yc2JU@!7tn0;ffb$K~g z=U{rQ@6T}=JDT8jaxntx?cY3h!gzk`6*9$3g{TA+LF!mg6Jcp?`pzIQkUF6<2@bkV z0Ep2Rl7#t)%dA5r7K0|7;1;1I6ZCVf)jKz}a5-N!kPt7vSZRGa!Zi};yqfw09J|6K zMDu?AkPQyK#j~Up3_qitg?`Wa#9vC!s>WBM{XnWgqUq})G+0p=vZvr;2ve7qPOK)B z>sHbH0nHm9>vp4C5b(VK^$?YAdCV6|7}%F}PuBd6u7Ukmf?K|`HxJ$%@q-LhV#sU6 zG5~&hH>nDozT6TA@m(diDQW*{m-re|F{WZaE5-KE;ZV9g94NFWs6zSO5#EG{cBIm0 zYJP(rUz`$A2lt9zhkYGI_%4kFX21bw5cojV$U8KDuKe@**T?=Td6LhvY|6qyKj8Fm zN*?CCe}jR3b9I_f>(al^FtSl@Hg8!cHB4`^i0(m6O*Lwj@}OH3U1esR+EiPbSV5sT zQB9GOKhMPE`?5x{c5`8u;st%$t6Q+}uedKE^jDaNPR1C@CG~B>jip+JaROFDS?MMs zPWjZAO2HJH$to;WLQkT_=;B_)C2+Py@vvpBfb(`}yQo#yM<3laU5pv1bmQ7MpnFPh zzGhpSyv!o(>6s{&k&vr9WUt4j!0QU!seM*$vR)(w&H+1}3MZ}UAA*3SK-@#X#9 zQvRY{iCb^OAoFP*x>Iy(_H7^O_!#as>^F}8<<|M}+gq}$m=sz-2i=lGBa+aQ${=MhnN;1tM- z$*uSPexCP5E9wLj=45TY19 zmm5m{P`IeCpiU8b@eo|FqeG8Vu8vurLYAg`aKg2^=*x1hcw$2q8W%-=bXi%(>u!L5 zre>iE4c6KDVRA2@cg7O~K}^#m(?_$J_MF}!&Iv0wKK=1|PnEO+4WyBcMI|wlI)&)z zRri_(bloj!=-=hPHK#dX(o$4&C*^B8(#WJT4h@?-Kb|&kwk;NZo3dUM6PHleF;+ib zl3UYipL?AcmEGq5+(_*(WIT&U z=E7XoDuia8WWWwT25t+7yj~60ejWIjx!Lk!D$Kr*Pbys4@pe!ytEZW*VOUU^o3Ea; zl{HW$s#+`zH9FgHJvweZ4;bYfiVfDB|1F^9jp@E17p_1EpHGucqdu_{5I#;(KK~&$ z4%7DT5_c9^Wdt7|E56iT9rTiO=7AnZwht+TmDI2KE0SqfAMi}2NJs-NC%f!#H2l3D5Gkh9zoj`%#e!<9kaZIGaTQKm|Z_MRY z`s(HiJ zMZp8!)cBiYX}njpK)#Y_qcWj7I}OrEu3o9s4%Jx1g2F(%+M-MI!raPsjim+cbj5>B z8Qn(xAEyNu>$74f)cQ-Sv8pOZ&3Tr%Srx2JU~T_~CDF9)N5&c#2wiUZ+QvSM@lmS! zk#X|FDUW#O%7J#9t5J=xhW4CCTv5?T#aKOt%L!Tey=MdKlBJ94?Io6*?`LYn#r*YM z{bV%&b+CPhZXw%3W*SkmI(!`NswEJ*dv7K|m#I4YD_{AL1>IYn-Mrpna%84Z+=TQF zNGuzWs{ZF#0$N-RGp4m8QZTk@qw1Y;EnR5Lj4GQtnA|OybCdHiOB2%5R~rjVpGF{w zX^IYK>ACH!bmp4kG$qyM=f*z&S}Wsy<4)FP?WIm>Jr}#y5hDds$Hg18re*ky1SI-S1TwkgXN z%6~AkBO4EQUym=mUI!oJtyk;3Ac31td`kJWoyuHm3hioM8TMVEP9e za}t#}xXVABFU!Bh#K}p(#=uU%#KugZL-0QV>3>HC0y{%XXdWH{dKnX2GiP%G7Dmpb z9cFN1AhySLjp3)r)hjgKoG^h_{Q>AtP*BPr&(mao*ASuy5(u*sK{ffFuikgmQXAXTifY!FHskeI*Af=GswbsCaugnU)Vy_v0 z;=YbEWlZ_(gKqa}>>;xfK<%oG<0Q*9dSF!I7UPzewQ^#{p)G5#DoaY@sw4a~3)CLU zX-hyWYem|uYU3&k{QH-DK-dnokcYm)Hn?L8Qsqi4s)0aqmN{S%AQq~ zpsZVVz!;9wE+upXi|>}NIJw*}ES^1D)xB#5<4?I?9*Yw}nE;hOL0LBYhV)|et!+F- zw5q*|;|P}0rcxBrFck+CY8-2RJ5Dh<`QU`ngM{2;-1yTwAnK z*dxjPS)VX$bsGKJ0qaUGr_KFwA1BF`5u#_d>211c93;skdpNfWzIpXa5`+9=-21S~ zZRa@sj(d!^>%n^}2L7D88H{q81jto9DGZ6>mGEXU7+$pFa_**7i!o*vnrAlhYLv@A zJ+}$SPing4;YuvE`UEE&I(|+>JSOLxj`Z6TkWh61+rPXC0TEYnd02~ruTSjKuOZ}j7g)^= z`KrwQtq#vf;K(xiE3Ad}UHPZg7a=c~J%{gp?+yp>9MeaSTZph{bh8ICwELvPfwtuYn}x}N%I~wI zlFt7yT#_U=Q*`WeQz_gRTr8}x%*uu+FS8wi+(wRTwx>;J9cgEY9T8OzH`Lenyx9nM z^9KgI7x%_1d+)Vz)BRn@uDz&&yYo`WtzCO{w8};sSx?yOdBLW}{U}hQJ+FcnuVWg| zuE^V4TidD~_08RlZV0AD4R1z6Os}{9nnXV)DpwcKJ11(Zr%Q}>fg`z22`CEo4r*g3 z$u~oy=rqWt54mA~GdoYVyhJtJz*c&I!1u*4VIM$R;r*BM9HTd5e;7iF%g2EaJ0MjO zn=Sy%yROQnq_8Njf}=jJ#XkqmyKgaINkK#Q_X$n1=;tC4;4k>UNs<)4ekW87&OGT^ z4h{ky!<^kmzPn?3WIac}|91J?4?P0<@9o(E36sPUiTx8>9ve$2c$(TAclB!vqk~7p z5t8zlB$fz7NSZzA5M3|OSus~v5OHb57(_G)tC2+SRsGoDrv;Y7x>-QlK$tUfY^V_` z%vHCwoR;FF zx17!I2a3+Hx8LiLaYe`#nL~3Prul-%1i%rpj|AcE^7ML#Il|XNAG7-yZdp80cq8bt z-DU_@VR;d<-=GX!rSaeSmk^H zh{;CKHo;B2(0lO0TVGdrBjCnD^zGnTL9xnNy$T8V}$htki3QyJ0Kfo4w1|@kJR@C7wprdmV`!PFIh}!G+W4FF# zzuSjRU&)Mz9V{58+=QZ{s{EqE*a_Dd(oTosIS(h%LWqzT4blz`vsdb2g_+O>nszmfJ)usV zO{Dr0$Ao6J#}_3|=ndx1%SG!n)_5&V^ny{{*_2#NtS($Rccjn(i6T8UT}^)9nn*$e z5+!=cyL%1K67_x~BSop%%lZ5P^ly6KyShu&6~tyyO2ps5h#~?8Oc+V8B?`m!ZK{Q~ zrw)j$JdO=9B7{g8S2mJLxo3)vzym)zKS5b#v^FQ}lXvq?nC5DEyfwa!hy@JWzkW?O zvodm?($M{w2>r?g-TUKe=JyC6Ws61rGMq@61NI+M^Jb?=GZ^$vOg#fYJfg&ZkBJE+vT#$q+(bTTvvB6PqiBGa4oJ+lPqBzN)bd8Jx=QU z)DiMSx=T`x1Gfr70qm6gOd>f=kaBZaW$Um8l>dAg_L1}O+^HF9$M>gR*K|`smbv6K z8MH4*l|KXuMdXjo&UtQN4s%(q8ZV_T%5nNbL|eeVu{U^H1p#g~fJK!Q%cj)r(Ewu9 z*}o~@D|%MvP9X4+rKwMU*udPWtq*LFmhY=47KajC9}{ZjHWfo7V1F`I>FOm$LuqgYGtd*&*%qLMi-V!D>u+5GT8!N^RB zF$YfrITMdA3?BnPD#9)g#q0BsEirQ<&k-4;ex?XJ_W6EhD$ksEiOIP|5FX6=o95&1|j#y$Q*@8 zmKaz(?gt8CG!lWXd;D*gRYEsHyb%SoSpw|ra%=N~7%*2$>U;ZdSWLM%{Y2cqjhSab z28oWOD3$ss)BTaDK=PEQ;*KTH2a2xcuZ!kEUHm73yrL8$Q@`Xl zbpQGh!YxBhB9sW50!qirAi;kez^Rx7st>Cw6IBptEC@i1I#FPG7E-{)YjnAK1zfg# zT+J`7NBE#3)rBZKAr0CxC9)o#y^7rl704x;lGck19;&mp5gARibj6g?a&@Q@Cs>wy zW{AQa-yL>I&Nr2G+OO*{x2eE&)bFTj8ToE8in;{!Hyo=#=Bv?>*;;FuP()jiVK zXmF;+qbEl;1U_eFO+mH`e(j4M={-SfG&kQ{XynW{N`umH$A>x5|Kl>Om(17W*BDm$ zAvgaLRPbDSbtGFLlwvi3#*u)@EcN{p zxL-NDcaX#h6e3hC1cS)Nbo}|AZD$V| zJCu%OhIf&>z=CLvDhIXN3tb;}l3BH(JesaTm3kx>XiTXJ2Tn+KcgHOyc*NEVncFH%~P6_!(#Le28_i^?~5Tl9%rlA6L zD_EYPop$H4_?~tv@L^M(!f>P_1WVW~jKL1TX6!z9@0ThwZGv+}Ovtc0LE#;~c?%-o zC8DZf(-FU=uXB}Mx{gbEDw^}LM19;{P5J*GVG8_ZR{&qW0v0r;7XM99JaG(1a zt2Y11^FhPw^NXkL@QgKh%l$b6DBF6TsZpJGs(SzAKEt&=RBSTpdjY%+ud=eVz0Qsz z%m{c4oGnhsDu28&5nf=MN6u9uKOoql48-5U=t#-ML#hACICD{Jh+ojC_pElxi;rW1 zeR&R+q2w)7`N6Z0r@^78s%3fe)`C>Fz;{HaOx41<5ARA{j+U^crABlB+_k;94_*p+ zR<{U1zHO0{k*{V{nkMjnQ)_e-{2`~QhKJ^ic}1#3cxl!1-Ow)IJXR_nD@+##rV!1d zn#EtrMrGvM5DmQbJC5q^0{cq)iWp`mK~EA#7_ByX0(L_vw>Ph&6*tJFoOJhlW@`g` zAL(7*1LxQ$ zFX!sL63jKeYVNs^*H8EI}Apw=!*OCR0Hv0zR8v}7^3s- zrEco|5~2^g^X{Yxc) zD>yw^S)OD|O6g~*h1gyl&5`)*O`}#NFi>f@!G+tQEh~dd%?-M|9ngbzeLcziV8d)X zz6n@DwmSRu8gL1!BQn!kUF9&Tb4jPpMMrEByj@K1Q5KrEz*%OWP$^|rnH7r?JbJB?x{?9Vm%9j4Al&=-IdqyoPijpHW{= zzcOQw(aI$SHXV;!hA0p;V}VI4+h=4r~<{?JDHi~YLCfzD>Y zdfAx+7OGU0O^k;s_f;-lf-FG>FweoI3TuRPY)d3^+j;QNbh-1aXuMg~hcoF)y#F!D zPBd66eoZ*Xngn-iHNa$r$+?(RgGbA8VwBsNN1r!{QJx#?+L@4!%tfLVlf3mZja%sV zD-0L9vO8t-Xo$9{kU{R1szz1WthE(Z8*GgMc~M&aXV|B~Yaci_E+w?<%)hueTG*bh zUmi0qdJExfoyw($ zsxZS{W6f`{hWBEP@u>p-HnZVyXt^~;a%nj>2E^{C-Ih3TCjJu=$J42kSzb(|LtxZ^ zRt(9L`lh~_(u?wx#Bwr3u>Nn@ASmYa6f3b<65>w#bnZM?^F0^L1Y8VcY+6mZ`NVJ4@Fc|jd zU3adYxXhr7;Nosj{84u_2Gxq)&V-@y8+3X;sm5YPTlQc4F__ww(B5N=9ZWn3ESlYeG90nMF-fTeH<5m zS?+u)|54Mn4>_{z8CEvz=zMMV%+rTb7U&8ncXM5D99z>P1rRNer;WNDWUvI*g!o#T zvhdr4#RMf^avX{HP8xjDvXQ7m@MBYe5PXZmVi2S%##t)Er*uxR;lR^w2xDK( zYtUnnDW|4gd%eB9khi_J&@q`5u&}UgtZV;r7uO}hrgl#K>TqkXE$i)cqgmE5*lITF z+r8Q#g})qu@Oo-IoJKHC@zE|-$3LMQZNQ{<>_9~kKbWtmssOeYln*V=VIbiaP~pAA z4f@2Y+=Pqo%tt~AJ4m1xw4W-44sF$VsU$M?35QIqR z#LIehtN4%Y9_EIt9+Yd@@NE~2L~GlplgBCREsDap*DD(3pjLb(_GOJoiKMQ%{xYQ) zeP1!nKhMZufdlSjTJ;s`f4vHpP@(Ev`~%z#tB|XV+_-ZdyYkG;05peMVr%ggZrbJg z&U?K(;hdG>^=E2JQ5l$Iiic1f4e>uN8G9;%h|8J27{=oFpRZQy6&+^SnZyfGtxz)p znJ|YVzRl26pdER8@V@?P)*`d;5WQcK$saN)XrY_VqXtN&(?M!cctp(_?E3rP@_14gY%EOYW{57DMIAR#&`bfM=L zEhP^3{Qb>|C==DI%~Qa_#31;soQ&vyCajxM)RXEgFNxEO_Uad?*el|4q@g(}8Ny+t74sk{$2doQu)P`k@6kXSL#}b&03F zBggd|M=+?m=+_TlNT%>0>Ba@zioDm;>&DnTP@Erh{gnhys0tVhl^;gg*OdcDKCDzJ zpBQAY)~|6n?~8|~Q;r&EMpTQt? zXw?ASKm-p~HB>(u!TYp$xbYL07+ zP4}w;uX=7Y;tVCWo160<*O#rS?g_plyi6N^85z^_rs|V$- z_udp@X1-jzhMF_u3_;r(aI;pVmju~<#ibld3X|xrnxICbX6Qz5p}ue{_!4G&;ft3_ zt!kc3n%?!11Mp#ZXpUYy_t~VFVW&Qj&&lJdT zaZr3dJUs3-|AhCi2Qu9n8vuU5(Y3?v;5gcg3VQfe1?}H=B>*5wOAg`RF!GV_J)|(!hr$Zd^zf>>*{-? zCM=o<%4*Sykat}UyO!+fR}m|fpDK=yA`V<8{7$EAwt=r~FNebX`qMT5jSWvCtHA-J!ZgupS!lF;lx$UV^V%+l10l4?c}njP|IS8cb?w|GNbJ&7Mr(h_>8F zbqPcEhlE*LP!Xn3_KR(p8(kDd!ZbWqIguLR#fU}|;TO9ox{u7Sq%9Qk zN3~3Q5^t%hDqUBp-}Ko@w23d5@oddaF>uCM*kCxFdr+0`*_Y+918j;sX_L!iSJEAq zIa|DcwS)>vmvG-D`6wFiRq(8Ut}K=eA8+BiYHOAK;o%Fei>PX(abl*C(TWDq>NvZc z7=CmM(qZ}lWHe(Z&VeKE-XWTkYFb%V8a35up(JYi(xyx1HTroA90bl1^IJbyg!Y5J zSayGhdZHPneU84%c$-FDMYbHo0D1Ake8x{gqEM&ZF+>Lhx3L$fbTW|QIpXi;IgSgg zpFC)DY`VJJWedAFMCP%Kom)1cOtm?lozVwgt2VX#gJx-y(}Yrg|78*Yw*O%g{@Vfm z-@yduegZnpnJ5z`i_?oS+J@WrPg=&aK+KMOL4LS}s+;KW3U_{0T2zZ>Ez-}KOzK*DYxt8|FdwsMl#2+PD;`!cys(m6<{zYXxtP^%4&*uFi}(rSIe##`^XU( z{6h^^OG)kOy8PtCSDa_)`L$@8yvR{B7%QiR zUXl}Do+3sQteaXYF#yDmT+@R^ZTUCO70ANr9Ay zHxgT&n+3quf^{45#*O|?Wr@U_F*E&tUxj&17YOvFCexlw`eJVPZ%_YDC z_4ft5rs&S*M4HouCZ*;yGej!MVOdW(z-cuva>?V-sZfBI&6E5w;9+&n^MWutGZZxr zRyN-0`fGg2<4=5oa5!R~lkhJhcH$kSWUA`xZ%F|7+eZ-8gG&>rNgG|DWFm-!aR7u( z0VH~|gty?)w=pgS1(5-=Beu$FK=1|X8|%Z9kITK|XeOd{jAT^K>xdiZ;jUY4-hLg>j*@-CTd&%{Se1e?@l@zaudIduN#Kq7yqRi)fpFxaa3R*n3F! z;l*=0XC0trX?9y1*QAz7p&rn|KG@Ujo+KfcMKOxX_E(!$pR`HV8mYpCeosi}p$_nE zR-e_~vNjmto~qX{fMbv?@L!VbJ=TiQ zBHx#FM9`-&A$RcD6p1;9_J2 zp&lV7`_kSa-b3FezPVY_be=9zJM?9J1+d~wAM;s7r#Irdj)}>lJ)mFY8x~5O7+)X= zc-OeKRr1qc;6NX>`g>*ghId976FoDcV((lo6m%;F4#z2<_15-u)o=bD1WhEK8GCs< zwfzNo^m@#O2fgu^%0>Wv|Gcs(&UmaZ4g8CV==|OK6XXLwQ0Q$I3wrgcUs=p~0gy!4 zboE?T`&Ud45kEBfT0Sl$&Kys&)Nv%B=@4WGiHQe>sp$GIk}hGBePN3z_n^LkPl_&7 zVbn(K_sD!yOM#C2kH-S=@7T^&N0b>-o@8OtVkzs##brIZ^t~=x_3HDzQ*?9Dcut?q z-|mn3CZT!PYWL5daWj^Ow2MIT4?y?bAyMC=V33`oB&-*$)@0#l?E!o>)ittE=iOy$ z`b(v&2;>*5!6!9o3SGcd$8v(zk)5OM;CYa36dr_0?{&MG+U|c-8b|sP{Kda zJ)r*HaG&T`G5xulbB6BNSNtJ_B1#2xCl2f}EdygACywk9UoZw@i`GQ^*MI3eh^oD@Mhn=e4!y@z&xYRPusr} zaFaV`e`3JCv5)+rEZt#_?r3naaOV_Fw$FO^E|zzGSX6$Kw|PB!wOKzJCB*Q|$cKq0 zf7bVG=Uvsr;{A(5Z~jVLaR$h_shNpUYa40lsTpYKs2E~WkImlu{9$CwZpLoHZB}JW zmK`E33?#umYmZwe{8*nf9sXSGpfPAwuSTvo!t&9^?_-0eQ*wjv;$BU9Xt)?!)Hi4 zwUok-=hfZcTR&}2n?L&C&qq08S4R7;YAdH@BZSVH_mLvKu5O3Z;;{B#s$H9KAn2?V znu+OGaFd_>^Cz=yg$OXam-UxtG{6EBN^#`A?I^7+AADfLZ^bb1uV`zy+tknCK<}#+ zA<*S>-w{;-($*t1ai)J#wtAYl(FG5PCeScup|a!!*DqU`STVAIhEAb%&jOcsFj&YB za2HnD+OE_d)0lZWszt38N20^PMh5*!>ob7o2C5Lx)!1xE>LK8J%Owb}hHpQ{%H7{I zE6ps~O`mNF**o&>?QfxFdFY7hl)Vf(l<~(JwY({xg_swBzwa^@uWf|9wGfqC#@Du5A-dS{m&_0IeDH&LK;Dy}8;e48jx3iAb*RT>Xsz zElSdpK4-Wg6TxjAB3J>9iyF}N8H+4R^;!wm69RBj(jNw1%OM5}#!%KIndegq#0lpU zuVd}ML+ye*Hpqj2_rtVuw=6XC2r>(B@d|UmWf~Lg5sk8F#qWn!DjAci4q5y$H`AZt zSok8oM526`Xfm4O7^(jeg5#KZw9vJ$TRKXK1)_`lsBZyA=HMfUTCNNlfb^?hZHx+7 zU)D7;@ZPF_Us*jy}#y>N5EU*be>K?Ke z(<}Tl?jKV+u6ba!EvnE&v?Cn9mtXsp!ZWyPg!)*RZrw{9PxOtd6YR%8E%^oGQ}~T~ z4>Exw7!=Gb7;#%r{eq@0i&(%};DJ5E$PIX&=N0WU!7U;!2FVdOv!a@au+EVnOo>+C z-O8ngmb3;@u0#n^fj4fjHy744m(-y~vmhp7CBbtgK|Ce)bOWim7cI68I*v?)s7uGg zAm*D0Ii7goB6;BU#rL~0dofcLok{LB-^h@g>I9Q?R=sJNqwS)eKsCVZ9GaE=NCHf% zCO?M#&+d|%pG#h>>tcbJ>8&0mN;4JZUi15m7~ zg@HcM$|T_E>V=9+n68J>GLNE-XBKX3A1D{z5~O{hsm9@SXe?Wr4(!x@)HnSRME);| z$coi9zhR*TUv>xenlNFk9s75nuK}2tCe><_`A`@r(FmlBoq;Jna+7khQRgx`6%mt~ zC_S}JUBB{ZXl6*^W8|Nf(0V>+j?Lt+kH00a+&CW6VHG4ZWhv3uV#0qx<+lL-;L*DS zaq1ILWQTg9D6J;d)t;CnXd5-HRHDUgqZREmLH9o&j6#3U(n6LAc1Ex)I|6>Vyu?$O zk|M)8X2m`QCsdv@R;x@(FT;izlCGSm1`4U_zRF;aXWc<7N_G2p!>9mBZp5kohQ zA_@%ih;0K-4*UFZqCSYSmjh5zsb$p(_xI;BHcDZkjVLo?ANc&{&{8?Fm1A#>YNlAj z#b&JkgUtvf**o~1$L;nPiZN(X8SHPw=b+xMdv?;r1FNFsu=0rJE_ut8(){s2!MY$o%PW-+*mTZBGFJ+J}0v?{0EaG4yb>{Tb;|wpd4FkO^f|w^%;qI=X$oo*xcED1o2*+* zX=X{|>SaXyd0qj-Hc@)Jo|6sg8zkwnq6JgSJRFNi7HM=Lwb7 zRi_Ulm`34(cnJV&Mba60MH2dnmaKTeNUDXR!a`-)!3do)9SqvB8xVag=a9}S8im=|S*_0EGd~>Ehv1+gYaKNA z=w9NWqYH@2M3ns-h5wCF5>ngQuYKBtnF>>0v+D;KK{H3Cg}2q!`|6RVM1?t-&1U37 zhVRPJ|I9U3&4>&x=TAvYENr1CseSXc8EeSMHNYr0LZ85_^hJmUO^`Mu?01Gx6_v43 ztiB-#);26Gd6R@pwW><9C2n4kna6;kgfwlJRsp!IeuhBzxOEj?eyw`8@T>RUbx4BS zr|rV2hG>>D65$iT@xMLKJ|c9#cpVQ?wS-rz_A8diFx*1=*N+CcpL}@{+D{(cl)z_C zl%q$hYi_~XjazPM9*#{C3EU0!j*i+p_AZN-K+-!vYHxttMabuvj$b4(k+?a=+o`ye zSpeYw!0z|GxAq~HN`#>zI39CeC-Yi{_8jN~Rg_6ob((mc5hh0$K9rsl7EcMqvTn_- zUx(cdZoE!6?sk%@+y!Jl7awj~@Yrc7`uTNi!2az{^*!r1stQA^x-XvK z7Ie|V%Qg~d$k`Z4Dmq3pRMF=W9~zU>TmcSwOR?dn4fMTb_r=FyLce^KD_F}bE_yRd zKN`ha4-Lp6d}<{wN%9-&=I~+0VCgY8lPaYP6BtOed|LmQYC_RVK$5PkPLC{X)0BhH zswe4(rFbo9E(`-yY?y6B)!H2t0B&FB$tW4(ghNWIcpFFwQ4&-t#VW zU`dcdwpw3kRy7J<*ZRnx;W)_&TysI5({s7CJAQxkJC#Br;g_s!a@I5KcLQo&%=P-0 zz3sol@sG|!u)LOQtXCW5?GX_P&aU{>OAG^6cj}X$$%S`zz<(Z=XX+9w1aV4v5@_hp z{;*AFg=LQ}OlWtUUw2U4OK*zq)%Xy6!HP0)}>kw?}5M^CdEmhv1bw+CiOsr>6`66jk>|?a@HASA8 zjh;#EVrZjF2#^2S~>{ZUo`!F_Ot1CQNYyq~`b#QLJ3N$po zP7pxi(tKM?U=s@=rT2asBR%{{V-%SN3P!)9x;C z80*fNwwS?6F<{Mv-8ehK$PGq3Qw!Ps%|1zVPwQpY25TB=iVVQ|PBV$JL?G=`BUIAC zVNjc?fY2Ty`>h@y$VIkKKEj%cp035LSc2kT)o?W*Tm8;?u=4Mx`2$7nwe)RcURoFm zn1-Sw)?E}fNX2DI6u{CzH=qFDvb|-RFdin_Zr{MN?}$@tx3hS(tt_CN4JnU2)(l&; zoRwQKdEMzZhzDrdcm4|BvxYt#mFtVQ8~8*A}*@xnxa9*oblVZFj0_N?y5`fu!m)s3XJsG3SS>Q*aK0XDw1--l?3Be z-25Q_*VG`ZUx7e_HukUf-mlbi)yvj%6E}WYmZZ@31_ENIWD@~mdP{r(0gcz8CBEzf z&EmYDm&coWBj;>4wTS=jHLD)gkMU6_soT;c!=_W@0wp9Sg(hmTYFT6C1Gska+TzH^$0)OSozhF7Ne zamQFbkqLMuJ?GccMyDke@g$RrS|jD0<*0R<^i!E=BrRd=>QUDIX*S8s?VCqrB)Qt9 z?7Ut;tz);AWdf?VdB*z&uGhnBi2CQ#+9*Itu3dwcTsM=JiXEN*v7pR@R^3m_tq0#d z)JL~aYuurS{^!k=7Shi{KdIkMIE>Eug=55JUV>QIc zUHvpfHL~nb3i^V3HgM9kd@jK>uDVL9-3^y%ct|a(=@aE6t%k*Gy^a73#A~H=81&qecZ@$Ea6sqaCc9|$c=JIA zKqN^Z+eHwggs>Gwbzt0H!NolL+a0$=WE?kPVClOK`LTwkc;X#je^`yy2kovjhNGXNKEF zxI8D1CiNWhUI)sH#L!AezN+_k8St@tSo9m=OVa3EAkhfzn1=4(=bf>lbOKX%`7rKU2s~Wf`_s#SAQh)s| zHs`v`%xDeaRh4kt9@)07Z3XFjJj~YM2|18KLe&PGiL(x{@`5F)GeD=h_kr!iVQZzzFCUL-l7@KQQIbXzUG zn!3O-BUcNR3`rwZ^b7$ra*X$Z(wPr@Eelt7Y3+#C3rl~p_-y^E9Co!k-4F)R>~@}> za&8k32W?#RuS0x!?aF52RO#;5&dS=5Z?LDkHpFBX?QljyV?NU~&<-QN{;6=3Y^sVl zB(m}>$xhz}2KK9%7K$drtJ!^PREBco-i7tmGcH3t+({eW7E=LIE@)jl|E9Oz;Jg7i ztTHJm&vpTjvf9<LS&5k0 ztK=5U!!D$)TLx$9R5qGX4>r0EW{m>vrjhy;33KYrtVRe$#-o`1^Z>hHGt;nDLz0Y1bSEthZk{CJwsr|A4PIi0$LcYi8r-eIpFa zLJpgo{cmA-RUWA#5%LjikWUct65kMns!7>zES-2I=_aUbO}k}j^t06H7du%U)Yd_9 z7#+jc24Vj%%pN7!f6}dD(@eweyHPqsj*GUNq_{@oG=G46UV_a)m-0tp#)q6}UCUFe z1=FLt37EYxmiI@^isHp7{WW>H+M-M-!wBZSLya}%FH1GH6E);?zX3upS&S8`hK4AM zA1!ufSRw{(mD}0WD^snl?MHYUXM?Y)^B-{>3gDQF^4Q3_k6$;;3NhPY@kox0hvzFY zY+*fK*4uz|Ue!BC`QN{IBGF?9AZ~I?g+q^iJtgh_%x#k4SQy}1r72gbx={oCh$kXl<(p}C|*0fv;O#n5O(;(G1Yr2FyVFLRY zCTAMCFkAve!u#RWAMxMj81YqAV%( zzwK?1@sf|`k_naS=Q81RH#)9Sw(fi{&z9%0$~B)5w4>UbmYP0@K@=(+CcU&_NTj~i z`H>bi8ySf$ILb;Ndcu!I{K;JF_}5TA9DMv?ka;a;>8%Vzi4|YE{hR5KG$T9JkMVl@ z_RkEUAxa?q`NG>#>Qz8zZ~g0P-BYV$2+(={xOk~Rh52;l`x(?Sf(AFr%X9&+>C`#b zz1L6hxU7a{Ee=ljjXM<~$v&^#|CCk4QQQmV#KbKdf(x{&Yt(-#F{b>z{t^+K$p?H6 zSl7n>R;E{Dy=`XbaaK$An>H0z{tcCpzBvz=PetNh@f%R(Ky_&r=X}|mZElrcvfA(E zYxDlCaZdYObx?L$u-j_G?$;}nVP`G$cvlz)?Zs6y%*uA0#43{)q?1nUC4H?zC0Q^_ z={LV~q=HAFLN<;4S@{EA z;_iUANU~;bKrv6&z9Cb-$5qPE!O+uF(Ss}ZKesXF(l?_w1bX!9G>g<6D7}Cx$rVPk zlm>a6y*o6^;w9=x)r#qH5nF~z1Y0g!NK}U}eynNrnd~Bo`+al$H$)Bry>KV=!BUKi z;rR!)V(LCts>P!XrU5roeWZOKC#6CZ9MnoSf%foHhUOb(Uuoi9K{4*~jDBF7x0 z+c@YSU#uw#BnQM)K6Y3K^3|YOn;RB{Cz~4^6hZObjmG8o7_?mpiPPvBrk?(K~x=SA=>l!>J%yp zHHQ#d@GlNNyL(nP5RhR8_oi!IE(_kDQcc?$^-@v%_OW(0nh39-7ewNRr?Q8SQG>c0 zBX1yLm`BN#SW%(ACyPv4+M%n4w*$vXUVt-?+zDle`-@`!j30nt<|#EzpgIAQ)rxf2 zh23(KqPtxbpiU4HnGoU=wd6j9=!;PN`Civ1p9L#ptf=# zW^6(Txt(zlIRa$N`kFlQ=@JZOeB_ z_9j>?szeo|VI7Szd&*J=ru<3+C3S<-lnfOQuX*A@MW@r4%zqU&27FQJwS{7s3ju?| z7NXq1oppT4JZ$?f=uAqfGS=2^0ZH*VNZc1;VaTFRia5Yq^%pVS4#q_FNXi9FolN;Z z3r;oc-o&IUhXVUP6cpz%l5p`%*}mX6f|;rePLMoY{;B8;LT~{QYXYapbe-I3zv;6j z*F3p4_y>uSsH{->>bNo$-2J4R-Ep-_vzH-EW5JII(=jT9cc+pT-BZhkhF zuM3#FA3gwiF6eQjFn7C0zJ1d3{pAC zaD6N0%5jRy+!hJgkO_J=?CPvTld zhwUI&Wc)$@D$nn0;(C8VS4ZQKokB$X1*viGg#2GhMfy0Jw#~BsKYARIca_*;OJm^I zQkTUr&9SS_=#U?MR3L370GL^PSOK&j)y!@kucr5hmX!E^(8+hIhX18G8k^gyE$hPd z8T$b7Si5B(J9o*PjtOKKIBOYuGsMC0_8f;9z^Ty5oi&OXfJNSUSG6{QRMeorpeqLDcLZ7j zkm(~d5-(Ee?Z`5~0!+BkD;VXpRlu?uks*K_t@7y3_3aW;7)kI_Wu{BD@%XhOvCPW$ zt^-K|k20$PuEpQM^yQCnUZoG|l202Q)fGI#Dt>o5LL3JHx8cbt{~FFZ#!;U4N8+f9 z+iZ9Cy;}#OM`+7)t6QA(th0{u_feAYYTVgfy~3rUm!Eyg1)Vk1WCqS{D+gq5DiVNE zNM~Vjm-9Bp$;RHoE05m#0HTD| z`$y@V24{iya-#@!evqK%S$>GAe00Et|Le9`I^{i_=bS6;ckzbG(t;6-i&r#7=cTdJ zU+=a)O0wggCl^!Fr|Iwe0WG1u$#kl(lf1o-slBq^-oN$v`A!p;8G@2-m+!_S&;O+2 z^WcR&i`h($lo6bN*A=w8eh^*pE=thy)^&oz@xl`vjH~+3+@*wN;K(1ApS%IW4QIm> ztOlW!fGYjlskQ}@dPN+mOp<>0m;{I>?pxs)Koom56;Ln}u-d+|W#VPMUpAG>4{%@-*vM+pCAORT}_(gVyFo;7$h#X=F`#cr7jQ<*rR``Q-KQ>F|^uVUwkaX4;aOR0o<1zB%>Y z##U8H@e%>H%1RprD$)dcU*i{F%2&t&n4U8+gol#{uGq^B!5)BLz$o04!y+`wTd!QG zdR}@eEF@970VEV`dcqbIc{*wu6cIWn_y56g|I118{Fjq7O@s1Cmj*&2q~{z$!n9tb zLFIvhadQ6;P1lw2Pwe9agv3n`&4j`SWlJy2grWkZ41c~@Vg2V0ELhU2?7@2w`j0O>E4#>rs`HUm)m15N!*m`(v>%>S4$1c zo@e^*g^|C1PTj8~h}g}pUcZ0-Z9Qnb&Yb->MLuR@o1G%>@bosvnNMH{rFspqLaL%% zpsEDm&#`-N>tc;KXoONT8uYxyG_vIR1|n+=;8d*4rD?jEw&RN-2l5CXoQ}L7tHTMbXnyAw_*YxDC+_3Y0yMsiY{Fw z6s31cY))TA9bRlEKbJtqB>b{r;Bj$b;8_8v%2B5@BnFULc_7DOM-rKezhhJaY^#sfyN><4-(QB;(eK}M58!6Xj3eggXGwSo}h8c^-e{8jB_#+ z2#Dm>@l|D431%qX7b^F-c}!3pZ%oslIZnf_TB{gEi)+Z%2 z*Ff^XyTX$rX5}GrU=E_uqSUIrzmZdcIKZfcYOpu0IBKyJQd#6-AgMUXFOWp0pfZwdB-`7f zg@`e+1JW)azBnf-04_1|hWHPO9ff*Y5VEKy=lr7B3Qi!qdIz$iIPE}?w8$FDIFLLP z!9+qE+0tK>RFDKT^%e$g0LV=I07D=eJx9C*gRz1Wq5MlVD1pozhOnQ6I#{{@Wr*Aq z%mwTgVV`^7Zb%ErR5H52R7^NOtKtKHi?gpbLa+qCd+>HXUv+P#G0QV`vF6Q{&SAvFf8Hm z2&A4QSA)N=CH{o0MZRF{UnSsZ{(PHEXvcKM+Mh{iCot`W$vg(Rg306q5kks526aTr zl<1pfoAw8hRvgqgwJI!0g4WCvZXFJRQxr zx1I9A*q=!7VNBT!=cyIekHbcwcoN>QC>%2ED=8cb3#=|266~WW9MbF?E*ydkBr@4R zVmU@)o|M>U9DA!ToPSO1S@#j=8-0Zs`%4@j#Q&RT8V3vq{73KwSUb{zVS=BqXYdE? z0l+cADEJSgE7cg2@D<1_&)6lg5coQ%E8bZ38OBVuup`9lk1+(|)ewDXSB5dq1UumX zc-LmzuzT7BI+2zjeNffPeMRTdahsv>pWyl;Iqq)MplR|QH0ZOGyShVJ36ZfICPVGO zWgy8A53muX64{DmMqHhQR!mZJ9bO#r7XV)+oLVA>J7mq4-0MK{P~}OdZG_%35qzqX~oy1c2i}zak%iCp?R6gM0P-xT8wo5;lbPK{(Jz z5DL~a1ogo=KuL%Yc?!7z_klUUbRJd`8E}Ww^%WZ%q8#;QpLqp(0Pm5>fWG1cfQ>>- z5>i{_Wnku-1sf?!VqabQu@56T@3nX6@vie|A~-R>QXK;pamU>GeVv6HN-hv_2w$Af zl=BzDe3Z2aJDDX(7IG{QN}QJz0qIpUniSGRikvJ7ne(C)!vw`C{7Nw}2jms% zfO~9}8L9{D74-lvVOAtA$RElO@QHqamf$7)1$&2;P(|_b+#gVaCYEI*r%Tg}*>tu@9b!nSwtM4R!ng2zZ%tq_bG?G$zGK4!*?GqIr4BNMR>cs|pTe7nK1d|W*(DlR7X z;eC*NToC4Xs9erxkJIM>u;XiethuV9jr%Mquq`qvskqpiNU|+5IjNO6eLh!g^a(!6 zrx<+fy}`uMp_jzFiBbkKt$63igqcJXTjR4Pl-M2{hkIw^>6yJi`!?^4N`6lHcz88N zK!zvcGm=|^-Ltc#CfcL{tG$dPE8;Oy^x#MPv{=wGUj!I|n3xzKJHipq*Il~D=j1nu zBUUK4i*$({Z`tcbU$&K6Lw+~;3X3w;GnGRA$YMA{eUaP%ANSlTDqMA~(30R^k8Xxs#M`A6r75T2gU9sX|M z_ntEd?k`6WM}a+ntyRp7?nZ{WGdskl3wuO&&PAP`QeiTqvrsM=nXvrj3O!XJ#Z;R& zf=YrvwxcMcqlf_>6QeYLEcrOdofLa$Gr9V(B8+5aiYt>GRAY140tpwIz-E>wIuPM=!BQWJd~`rBq8MSN}MwuXC#+wVi75 zC0b8$Dm6WP8Ec$gf+I}ZEwS8Z8^LikdC0wH5k)nv3~gay5-PA#+8M%WhS$G1$m~|C z9&2s~u*QCI6zK4Q387N^i+MxzXaj{Yub=(35v4=_sFre&MN0t+5XazcHQ!+%v_mak z^Ju@kma81Tt%%+LPY2)EMDoVOjViHXg0_g9*v2_E^$dpO25xjt6y~n)P0`oUV-g2t z=2Y$Z{NjXEy%fDv`6?WL+KuE1DV&m@$IA}zGFoMAOKI5sblbmKxnIRz*TqMLB+-Xt zr4PIhy2m@ouKNLZ1^K1xh%A*;ZmMXJ@i72BuJ)g-{I5BpVlk>ZWY6?VPb>uS_{X*d z#Vo25`+qbs)AAnb?^ebQl3Q~Zf|kuQViNAheH()Gg*lTH%-LQ^+C%Lr(vv3pFtbVg zdD?^R$+VIx$CeL94{#fD_2p{FR+I6@l*VEYC|%jsZZQF_bnOgTBm1obz2_zBX|8D8ZV3C`Vi+d&u z?6Sp-nws2U`vme!512D~yAiD`5mLqn5)pyV3X+^=P-1?6c@}l2h zFT08EnDv~=s}jsUQIa?w3+N0D?c`=?V2#t zxU!EHJ#H>b1kWOzK^aOZnqo8qDUtTWkn=%pUQy4bsdPj&6aU_MwTH~rh-+(%DC)d_ z#R5OHhU7iPd!m*Uwgv0+0fC;2A;uB{k%3aPg{12wM&dI`wQ>F;i)8s@RuY0K1n~fW zX*kkRVAf7QQv}C3!@9j|;aZco%FY1fy`LZ0mcjjzr{|PS{?^LI0)J&iePK_HW>rO= zjs{QBudb@5q3g-X>AN#1d2{v?c3X`cRg&~ue2Vs1QMF#WlT)OBl_)ni!e}_~ z0_=>^6QmB|Wp~@Wg6LGdz+yGms04u9YpZKihFZ>qOl%FUYHIqqExLZc7(y_e{PFB% z&`k?e;VK4x`oN{C_E91!FR-{odFQR&Pi zg_$h^1P3y#<&VlyYfX++Fn!IxF)VRIr)y>*U7aq*Hg#@}N@gJf4Aoi-iN1h}nu1?~ zfDV@loE&nMT`9Zo}8={{L}1U;s&qd#clKj zP6oG&ikZ#P2@h@@DF_5Y9Xb~6;(q1`{|&qScf=Rj!Bt`h96oaD#8-xC*dR}Z>E#O? z=0s17baCw_4^0y3gQT4&{}2LVI>%7`fWQDJr-XAWn)qSWDbTbAiZoV@E+ddhPT1Jb z`TTp$wP3xpwmaAU#)Wu3YB#YCx-q}Ebod%$d~Hrzc44IcwBk-GYEEHcd?0S43;hn# zEA(3-8eJyN(G$eyz?tzc<%kt1NcDY3{q z+odLsD+Tsie;dMW5co?}fzk*uD^Jgx<1BjOv7ib-vnzYcpJS7U#23K_ZN$)@De6EaW1u4Q$4>JImO(<{y}{g*L*@ zI0gzu;Co|f6h&sgxH~%P0mJYaP(`AX3o3<`Ww8D<)9dI*7!(SI(I)xvhBoccWp*S|7uA4w z!^8vz)!*`}(zpH06iJ9|L2*g_%n`h1^hD6SIA`KAt8hdaCM_7dVA>IQ26CBxj28wh z8#|MfSoMK)dl66-$_l|b$_Q9+unx)$^p$Y7p}eKFCT{@TCAthlnkC4Juwa*gI?C85 zxH`rDgmV(l4Y;DgF-f6g&oHvYtGp($r`v7z3{*Igqh|;WLvN(9t?C3P%;W&&Sy)FL zeB(=E(2O&C%NF>j0ezqbjE-(FZMg_WHK;4QjK%*W>ztxH3AiLaNhZm}wr$(CZQC~f z$;7rbv2EM7ZQI&>yL0 zO<@3trw3u|xK=1#8Nl5=M-M>-S?dce{afoy5&*R!(%~to6+*@ZgQ7i;Q-q?yL&mqL z*#^apjq9aFKWj-YOWWqJhfWqwGfN}3^kR;yvAwm8lEqPe{U`WhU=RgI8Hi^>haTjj z8*US2>Su-(fN36QmQIlm+QS*U(6rG zsd+K-fH4oeQ#UA~nwnIuhz2Q9smr$Nz3OP^!fusPpH}r-A)`~%rvqoD5A&geww~j9 zvk|fPXQN}gTGz}fz{QE0|NI0tuV&vw&P64hM-t2-6IK=BJkYF@Q59>t)q}6J#;XO8 zi@ZS9Opj1^r7(}LiDyVlXug_-;q?7L;BQj&>7+IaUVv5yoakClMYQSDh_yM-eoZhL}zZy;|(TjLT#qx zBq3TMEFV_(g2%Z_kaMy}u~(nMVs`$+lmDi#EX+g4m3V;_qFYYtXGkUO5Ri;Br);Yc zB$3r_oMdQN#;96s6`5{}*|Psu3*Dl82`zjNy-4j3g%$rGcyIgnUqKfVP2&N?3eyxx zkU_Cm`;v@2g(4zBi`o*kV&cnA@l`Di73p-8(ueLNVd<99gB0IuVLzTW!T_xt)m0Vz zV%_G&GqZ z769^GDKM-#aH%^R=_I@&DZ#umX2Wuc>>FI%Y6CswNEiI>o~~~`>KuUH%(?7BzC*;m zlvJ-Rq|-w5MBmYXat+CvQqdubrPSo#xZnuAwyyf)B{o`G9Zd6@a+D6~N;M2`n(_3t zWpKyOV!fHIhK|8%C98d7@c6(2cPr6!ldb8P%`Yg)s|>vBo0WYfZi-TlQO#beg~*Lo z!RR03Ju(I{*5nfw5>}I{Bgk;tuWznR)-l zQ!I-R2t3O`Iuo5xo&FFn=br>UEDtqlV^kLNEKS*QtH#@Ck6R#*U({MkAOQ%`%v%Qz zb})~4xOB|XXh&!}IGH-ipT#P^$Wx+QyLtjnuM2F_-8(X*q*3S|J-{D&(6%3sT;gAQQPk!35hkO=@VSxYfiEjts%N zQPPGp^6R1?QO3wm ze?U2Qs()P<)I5M%UNccOl`;YCJvBXw1!MarAf&khn5w4B3aZZBzuM}u?P_E6_M_po5E=K3|Po;u7YB4n6^r1nX|`Dbek|*nLt`a_q^>yVXKh};*}ZZ%PPMvYAPn> zRMP}jg`QDXE*3dK{bl8u&>$dkx%ragSTQHxWES}8BCQ@m6=b3r|?bV#15M+rA+#T z8s4d(q$n#K&`BxW+s(t7aGF`@`UWY{aSe4&mMevSwt}MSs@OT9A2F2D@z=&JG+SmM6ayeN_*5zPMMwkrCodMR}_0 zP(xZly~IsP(-4j@Me@U%=cX$bFqcs0kp>%5Kev<%7iU)o2fNlX=^MkIh)xr?Q@d!g zkmkAO-{(Dq+L*HD$L8iuVAaV)I#E^mLjaTF>o~(cl}rY#p_HK$+?1B)-q{p zq{`zfMLiV*%jtzBH4Tw`bh8-=DK?bru4@%8#mCdy-DaDoMH6cu>AkBWqEQn0@_=Gy z8q6|n4;{c&$|sW?l}x&d(%iYzS(Id<0$+LE)Mj0o$5eV#AT7q;s*sKEV=dKK7;Phx-X$1O z(*$nTRPmSYqPe-3Kve_#aj*r_1>o0OKG(171=O8i9eD*bJph$B1 zKd11LS!;jz#SSFbBbVlV%r=_JD!x9rl8qaeQ`D6md1uY__q2qQDjU`7lo$q{Lf>3pt)E1P z9kAh~{;X4rgjAgIRRG%rUf-S?Rn8b@dHUbuS~y|Wt78DftB<jY3^>}k}4aI7X7Gyu_AP>&BWp2{Y= z(5;eV=?-0!`8n4l7{-z=RHZzjSugbD(AyKsSvOkioFTMF|3;`)sgY?^v&HYT{9Y+! zQQNf!2B?g+6IwOQ)l80h<-7QJ06v$|9*viYp3UZ5vUh+a*056@`)1^;)%nsTZ+G2W zNBgw4&-&em(Z}DxS3v!T1UAprc;!jCj9d*V`Tl%7wQPidU442PD|ds#WSHUV+)g-lYJC z8~#amJ-iE`0+)1}RrpIU3E)WIqoAG#a4XGIKETtcnA##v#lEr2!c7b=oLm&kPU7*i z1k4ZWOr6@j=f;>)U!_*Pvef%xpqEw7q$a#SF4~7lPNTvi2sM0|XJqmz1Y$6ILYfCT z-tglRbzf3S5OCXLvbn`!Y9knT9aFzN`8cKCZe{#pX7(au@hV&0y+C!4NYm=9E9-^X zm@$z6=hoCRzImHf&wY3mIChl2IXd-wur3J2=?>}j%R9%$bS?8yN4H)##lWGZmAxfM zTUmw8QPUuJMJrxaMb*5~^@R$YF^Gi5iT2MysY+{t9)Qh_)PpJ$2B#^rE^Pkpj>F^c zjwA~zsuquL)0f@ftdoel#e&$(pnQG0S^Ef~AdWDDDgc}Hdt`0n1bWeBp5%npq`72z zlvHX%=%88+#$fc&(@b}w&zq)6ylxQWVS|sGGj6IqocWR7LJ_iY3zT951O`g>2MLL$ zg@>cL5ujpotxn&_%d-uWwYsKJWj<_e2~kYxbD%0!ly*S1Wb}7!k>%iFfVBxHm1CxD z!nD6v2(x004R-IAjlXh~IFA{Xwp9$=Q(JW*8<>S>PWUXltupsDfeQi@FT zr3v2OOW#d5TLk9_7x|H}w!rE@gN8z;hytyb6**eO`uZ}&E3z-&y3gWEx5~n2qyR8X zFsF;{N*M-8QiuxGEw@iTF-9vHp(fjfeXbnIOiRm9*|SgUXbBTMYF8pxE)if9qFuyz z1~`#Q(AajF43j(g$Exd?I~1Eu|2k%@n@7YccnWsXomL~I(vkrW!^sqM86qm<5@>1s zTlDNRZ*3(oI`7NaKE8qNqW(JCqS&R%_$=y0r}$?*c90s@B#`^JS)HsEYn^^65&j7E zT2_YUcRwAhi>lcbh#hhtUce3yL4E@kH%4c^=UcHjn#mYk!5jh0@(-ws*)7abn9oYVuz% zrj1wFN{UYA{$_hi%Cdy|tSapCHWx254LPG+it^4%ntC^(46CusOp0r*gu8akFF@Z) z344D1{8^==S2+_>*%Z1>j%INWNRYA90tg4h#6jttqlltG+M7F@wM5}zI1WLW6CQzyiEq(ffZ?*zLT#T%K`GKDCR5p>VkBDRMdDTo$v ztTZFC77}7B!b(U{UvoOKh?tIIDu6VCQm7o?hHrO_n1pz&urtm%IQ}VXPW~MYivPOM zDt^TWI8&?OJDR4xyGahxdOmA_tiz-8^8a$AG+KVyp$( zM!m^%_ZB^`lviz>BAqExW!A|~VnygsH^Aqye22GE-N8g{ z_wSK+1ZtJ1GG;Y8xeVQ?wDYue&N}bBPK&vfn`}sG20YomM^3^ZLY_ zF~MxwzNExBidV1L5?~}`BdLyoG2jE;=i%RILHPu!Ag&jlx{F6`5+B^uWP6Zl2yM|! zZ9qd~E55W@kTA)VD0?0@aPC)LSRY6bDR<@tiN~zR$MpixQecKt+5;4LLc^z@2t*aO zp;UtN>Mty$mb1jCQtBz4(lE50qms+aqlbD##8uie=_n949e`ZTZhGoXK{exSlCdHs zGvsTqHUQXKnH%SLI)D0Q99u*6alWQMetZ}DrC}}oko;cmsj-1vMY50s#JVv%EAzEj z|56ttYaG*Er_QK8L5ms_@lKPG<*p$4#Du+rLXL;HzLc6qWllrjo9;jqE7KD*+w(M| zU|!8)H4%8K*G6J>s=+#=?QzHhimjXEJ-R@?Pw9E((v0T@*9YmqigQ}jFzl@d^h;Zno$$U-Y`l(jToZe|&edc7u3;^jTC2|bfaaEP_?^(s0g{ok)7JO)_xmzeyVo@O7(Y0C*v!b(3$r8-;Dys!M~m!uc;3knmY~+%keW9=J749* z2dO4D+X(KVC@ib~2IqN))*UB16H{ZGtmX6P#|=)Of!XED@N4V9fa%{F8?|4GyEC_-*OCj*V-4Eo^f8T_Z@?2%;xv6vI{_sJU~1jr+n)>f8DNKGXWMUKbLy6@26O8oJWX9ys+R zs`=$)G|QOzyE=y&YPJOE$g@C*v4CGNF>0V@SWJ^x5Rq7n!!H`POVOX?AYWVA&s{uV z0pAI1Ojt58q;3YR2S3UL%2Gh;B!s^U8W2%~03KFekj@;3b3phC{0ebc=&HC4D6qX7 z=)mb{ci%qx9q{QvteT$nVd8rL+eD_AF+ByEHwYQ4Y8k~Ed%H%NFF0Vok%WJW)uRK4 z6oekATz0@-=u@r+2jy)&fUWk??MjCKZl%p{1|beWuSRY~C&KCm#C*!?=GLb!_ZpW- zP5!z#LzyF^qg@CG{*n$JZ5Vj7<&k-OV%1{u#|tZ4fq#X4RXPwPSb*iO^rkV7XT_{& zAQX#WGel!M{?!yv=Htt?V)TYXyg{3Udu%W1N5kbUIId*c(+djqE7{-sm_)$;bWZBh zzvv@OdP^$wPir)qWnRe2$(6eOE8NUyQlmj0)R ztEdk(N_lw!aA6?#@hJQ3T%b5_Bd5s}igho1F7=U%BVsJr;YMmZfT?GeOwcy2??mOCpJ8Z5Q{Y(_h1ksu zDuE_oLN{33R5T;vnAo+>e410WAlLzMDxpqVd@?8$M~r0M zR(Khx&*x*`pg&erYZ5zp{w}L%6sh*%QMOTa(#<6=E64ZBOA5lO1J&)-C@b3_{JhvF z+sYmV34Rl+nIsRWBR@uv$AR7ja~tlw}XX+uWf2E$1`Y0=%6&338x|NAPe2+~Kvb9;1n4#e zfW)jtN>am|f#v=Osu(%%p<55`QX{PU@u+&G=cDu93z#e2@_l?!5xbvfyI*5FtajUU zx;Cb6e8B2r{9@W3sHrpLzI)E~?VG(LCxG4s`mW-UE#>K1LA)$R<*jt8=#3pynmcyOu>$cC)( z4ocQ$J9UA&`^i`V$sH=jjH0E@(BZBF?{qM1`B zWXYM-C5hfmE!Ni|9^FaG%oI!+-mD#i;8{y&|7w-<->|#E6-5pFus{O>Qql;pOFC5w z%dAc*49|Fsbh6at;!9-5+}y^*ptT~X0JCvjB9*uc$^J}w?_%ThxwP5_-05NAd4AMh zN(pE*1+dd9pW4vT$ z+Nsv%asRVTzN{pCcUXcQPZkzFqL=22eq z z(kDPC#3`*X{C)uMUGoKhXiXhIwq#4v-W|d|qS({E6cxNYB4Z3Jl!h%G9hlan&KLX$ zrH?7Cki`{WkcY$~3S5g)+fXO5kWSYWSGWu%AvHml-0p~=+7SH2@TLw}(q zowp!&!Xd!}{AQ}s2eG<&S7b=@?0(}_)VhqRq;^4O79&Odd{!BzyYSrKy!asElgM@t zKQ^d#dtQO92k6V;##};5Z;XG%kUj@AuaV{S1>-q^B8A{+fYg7&PhhKjrkH-|$VPVy zgiGfq#{h{H_Q|l?F}jN1aDi^0RA3Wx09g|<=| zuS6MB-O`E^3+gW@Y-aDI z3$bMo(1*LhV(dw`@LjjyHhL~Kst5l@()XfCD8Ncg2fqlB$LT=;1fVwJyC$eZ6ZLMv zDk2ZCqdMUKN=|4~lk7 zK+O^?1@F&7E*52uln?dNl(O983URZGU>i2WBK!Ic8Z{O6|ED@;hX0xBm}bE6Q+5wP z8Bzjgz;J-sSeo-^z(|2os?L`#6=gv>a z*dUVF8TwP7n_m*I>V$!@p*JfgZa*IQuIx=v8CQRj2-Bcn{=Wk>@<|<#%5mP~R1;Rx z*V3*^+$6Y!N}7<@F}X-NWfzw)$u3`Fn2IW!95|1Ryso^UWG;sBz82q~x}2u2y*Heu zJ+3D=YvVQv#6!pdq4JAp5U>HP6=rc27g%^d^ZE)LJ~kHm7Q(E_y~?loaW2;@oS7fE z{;vb7U3vJ+27k{I7@~g>1z;8m?2JufDV0v*G+ZHXik|1-@QvJ$AovJy5DRSIkRH()D=BGS=flVCB3mRAK-qKEb9&Eh5yHw&T2 zN@HF3v*)wZ?J*M{k6ad4va;#NvzRLflwrDqkS&EP2Lv)nmK6^|dntiw1oSfA$~wUA zZp7R()S0fOLNFC5dc+@moGQnEF~k)BUWRDj-rXnxr|oudbK9R!>^{erj&Dor=O7hZhBE81(Alf*gxY5?%lYzZ2?AK#{kxdg1@tnbW^$&Y=a}l@=F(O> z8*GvR6U4`|)3UhsR?ObjvWvD^oEw>xqZ;p(S2@HT55r;YC#S-AS+W~48is$nV%hm~ z ziTa6V5&A>v3$D&E6hrof&SJx6+8Ig(reRsIe*&QHZ;SFBdYAVPWdd^iD!>P_a?NW1 zq-#~yGCh%gE^eJN%xgt1o=Z!y4jmh|)>GAKRF?>KX*REpv!WKY%@Yd+t$iBH2zgN+ z+~zY>P&y_a-J#o^@X9C+M;;3zzUSCJoXY35+YOWxFjS=(I!2 z^I*kB9_ug7edq{gReZhO(4th|w%-1`{x8sC#o0E^Iv!BGhO!pI{XnSOvp#y{`t;|y1 z2iq?Eu?yUN*g2i{cW#jkTLqDvAr7Yj2y-v#NndAa4bGMSyTVqeH=Q)$rGHip7OWpq zhduZiIR0Oj6*xHqoTD9?2jy9S6F7ALZ%^F6!@KM7A!@s{Ct~9aEpUc6Jnj&EHBkFJ zf5djt&#om0Jz!7iFM`Oqf%QVJ^NK)Syay}IyTOeHc5JmT9Ue0H*T35hE`qh)IbUN6 z_TQeXJIPTaFb-HY?g=(#Z8yfvW~?Usy&?}s#P;kT59b}x<7eO8mQq`f!}Fb_M0a z%;u6;&B<55U$4KXbkLBLjLE9DKp>c-AAv%F7mbTE0kqS-@i!@ek=g_LCcbN!1S1PyU+D z^57ep=+sfgzD0%H1JoPT%E*VOEV~U&$wfN{2IBec^it>b$w}GvVQZ6T4vi$47$xad zq}B4qqMv$y*&4>Ck{R?;FFPNO>@{L;2hyI1IWQ zx&P%(-nildSsaZB!=(6jW?AsdIqps7s-+;QO05R?&GP&50eEvj;_15mD151N^qzt~ zI;0#?wR{j#`u$-RI_&Lo>UHUdY?z#CMeZP8^K#svfJUR(Ruy8xsZHlZwiLuV4~SlC z^n=R_sM+0=u#pE0&7e4mMAXcre1Uj3)?V-^4$rZAm{Uy{$UsO9KGbC2IKNp~Hq*%( zG#!J|?Zj`20RPC%A&T-okGVm0Ba|8FL80u-ptglXTKzf#EuoO?b1(tZ06ApGH`pnq_#_2QC^pldNF$_Sifer zxd_kJmv>je66J8`zTN6IW`e z;J5s)`K4_yV@9*OJgp0!=Hw3L?h5OBr8;z?!yP|v*bx~yHnQbMcBgE&)2M%)+NaoQ z_9Q6v?8+hMPPvH!%QGwQkb}2|4S?d7f`CSt<^V*2LM=4|z|0-Dp@CrLqu_~V*oaQl zm;Pq?0a68qkzwxldzPu_A|HoiYNXDNJ6Dh4hJk&*OnV(Kh`#<1IfBp&*owpVCic!9 z#0C_O!$z|?b|?(XV6%U$Q7OkS`@>xx zgfW9mV`GRzp(6O%?F9iaauNBtLOCTeh50sW$dC4JP^skTkL&3PDf{^HYG(8B*v z3CFBt4Bu0%E2F@GpP;Vw+`qMnWVN2&9tV7P``%O7&6>@0`p)}a%UA|f_2*5aRp>LE zq#X?kYo3zR*UrwgumT%dNE~xjW@^&cTwn|zEA>!?8y4cg1QMooV8XN1_)u`kE$5?{GTUwaq#P#N^;i3_(&RO+6EIZU4=5ySd%@ z{Ji-r8rW09@q)&H|Pvy-oesg17ZShA&858xZA)4Bgv zr23e3gxaGXcyGEDwu901i@@a^XL-Y7(G9LWNX4-XvQtRGJX|*nGE_mCUp5!`j4_?? zIv3s-P6nz8A0{b)`QTn$>@D?3UlR zH#mV~{9QhDVw59-GQ3lJ2m3zBMwN4d!e1z9Te>6X6MGZjUl4JBOOr_1NZCeOvCPa> ziZrsaiD{mTj?$5g)+69lRPCNfr6vbgOHU>J_aLlYqe+45Usqg`C;>F{HWCe7P|{TC zh!e1zEmO&R6-`B6Qe{ritI3hZoZL+Px+FQR+cLi2+j_uM&@z+Tq}56~BY!6TB*3s? zSP&FR&j69Z?GqEHj;3C@GT?#^$9L$m3Y?6gf1mc#yuFp}5SZps-@M;!|70ad`O>)@ zk{xSPmK%>Q%&zO?Z~@OD5drTjQlnvPU$Yx-s7znES>wzL395=TBQX5H(aM(o{!x&;mf;v+I?Ox=VD0Q;C00 zrxv+Xl*Um$E4r>5`dWU%0t&kzNbk6+b)k9QVUj!L0wdwK!hjU}FvTG!BJ1Q9K$g)U zhgRGB1vmogtd9ivMY#z1u;@A3T{%~YkWiOJE zT@#yhH1D9UQ4aVvf&2o>9xM46g#VnplC0zzxMZC;zY%{ZT4K6_k@mnpPWE3l@QM81 z1S%w_KCN9d6y4nc$qRu^@2=5R++WkD3AsJ^UXn-T<^V548&%msf^ML3Iv31|*GRgB z8P$}MA+_q-&W8$!L3^Wg>RYw#@g&sU!jX-&ux(WB2UZvnYu zs@DBKL~_G_RKow9CO>Qhn2ZfbUS9p-qth=)pxQ9f!DYO1;9Y3m*FnHN%f=QHvjzxPrhT8;@kj{K3}{B7gtUi6*}_za&!N!?b9p>yvM}J*?zeAE<@73p(-SQmi{n zcUIck;#?FIezDODl$~hmikn(^Vtx`VB+?9$cbl9^tK-4oSS2#*1^vQS!zppZv)2Lp zF;kYJu~_uekU{{(H_*#goeMZM0RM-dY+jJI^%3>?K{ z7K_kYpH`JNluMdCsZ#5f%`kn*nxxZ8WdCCtsHWpyS~__)4{VfKMB#uf?o5(QI>~Zr zd7o#CFGwz%*!s7{HrxJw%UBuORiG@XqXT$v%BftYyhNoxyh{BOEZG=7%|zm1qm3ML z{4Mkq&6tnwNz-jv6GQfF~8cy zz{WnGa=qoeQ(WD6{IXo-hM<(s(H6%ubLF2Rbh( zVY=-q*y~#zT+O^k^|9Ki`heeH7ox)b+e#Hsmt)Y%8)o7h(SE~hinX8T@fKS?=Q zF6RsLet*5JcbomVgs)S*9IvQz53?h?JvqgMnfsk}Fr?Jf5v>TdHcy40tmR>o0V>#= zF8j4ly9(jlIf|)OcGcLTB0$aP$G5UHg6KkF2|1i~=#a_~lm2m0|DJ=YLRO4L!r7kN zr(+}~K2=_a$r=0{4ZChs&@dRO=FmCA2}nRhaxw?a*+20*@wY`IcSq$77Y8!fL-{=n zA7b=p7!H$wrl+)LU8j>k#L=>jPy;U~Ij&qQd0AO6#RSaJu~o1d6|k<;X0n*{s?pbb zFBi5Sj%=Z-zHhFpU{$F@5;}N|y~4MU4;O=nX@Mwe!R77h;;3sPI+R>@hob|dX_uR= zF*|2!6!g+iE}=!VxcYE7h1pIy6C0cLOD_cew_?wv&d*km!>!R+1?-J0SkV8iNBjn0 zOPxyme>*`aoBurir{a(KznkGWQmD?r@KV&7eiW1M8JKX&7!w$3^XeIx22cts%g;-b zi=VY1D(jDo;<^Mw_-~^}irpoc4g%U;`}X~N);7oU#`bllligg(<|UY$CMP#5Na~DI zl=N%@hA;&dhasu%98gh?MUb_@W7)^Yc@@*8ratAj|3LjX=|O2Vt$WmMR#bq_@N*QZ zB@$tJt`W>`DvIumtk3cZuV6z)%r%#55bN&4{NIq~vMaDm2*4k;ZIO|cA8i1KmJl(D zV;ssYn@v0&&llf3`m7AK-Kr<+8rL1$9d|wApx$hWDTHKkL~;2QGFU5>G#=`|Eu;LC zEk02{nOPCa6{_Jum#f|QldclyI)@kz9rdxq>bkFPe*u#eoqE`0dZlu5`3DCAXP@$4 zA&2sS^OdA(GGN+S*k^eqxmKoFhoA10=+l@*Lue`few5RZpfyxmvI_q{Vd86iR5XEC zOGg+DxhfK@5tjo`N08RI4PjeStWlbS=L1zotX7;oce2(9Ysh7WPuiDeg(?%4=UDI` zk_f_1=K-%HpGWKm&_f8pTTJY5PcZ5N9_YBKBbz!N;1}P~;~$SiYkvSD1}RWol6634 zE^ig+sBK*qx4>UxaN~Q5x&&^SY=7>n;0a!`^;8q>@8j%~Z|+qgU3|Lul#%fRlvIrB z_~nuF1DHF~S2asr_F1U&U79{)R^whcnh-3v4lzj;~h0-b_xw;*__ zQK_@hC!6v6Qkj;fnaBvg%CL+5GG~uv5B7{v0qW2iA6rEGv#%$K=)SUFlw!dm&psTk zcv*-%sD}aY0?D9D!>6^M&})XS>RPBysPnh16}EUMA^L9Y&~c_8l2Zd}2N6hzbbXIR z2Ud45uL8Wd1lxVScRU=BZ!!8Dz-w&w+?#J&<8lXNcTBHA*a6UAlA?06vNZMS3XEVJ zfM9UwF@@~NEb6R=I?S?vl4XB+X#QH3B%hV~W0peTsx5Sv&SsU=e&|e&s*;^EJMzUb zhl}?6S`<1k`>*M5OXrO)$YwDIWmiP-DeU`U`WGpxwdhoAFn$SZadC@k^mo#4OQ#E| z_0G!hEI=Jj-i3vtdT9t`2lI}-W$F^o-m`NTg9VJg{_S8@4Ct+|% zG<})6e?L`#gj*Z{*V^`eJb5@BH@x~()|)mlPp57%SN=c=KNH*5($C5yYYp!T0C#nB z@nM4^LAr9Ts-o#sD&n=nB3cuq0kY*`^5uz;+4;ofswL<4;ubjZgfb=7)23}R5W!PQ z&^YlR(k{jC;;>6qNM*A3$h}UZcHANl$a3zA_4%2JW@a3gpdk;QQ9FO;IB0yytE&;# zu;)UxZR5wonKc;v_M8Qw#B8+P08v7DIMJG=wkFT|Hexcas5na&{{UOo%qw<}>*3F>e=PhrNI^a~8KD*0A#we*OO)#&TK&U!4Gz%Y%G?M2o`P)&Eu@B~ z@g1dlken4n5ygU@2`hI!rS9JNUD>GU{KKAa>#HQTqiG1DP~;jx><1fpL)84>68AO# zw)gLEV#z^{Wo3n>Xu^z-SLHg&7T&%Hpo&8 zebXQ-5C@Kab%_^~05Gck0}c`l-#e!#x%b~pjk$d4Azg^}*tu_(4GotIu|+{trOK*C&9gEL)I(XaL7Xm&RWtyc zTZ*g7@)4Z`$2cVx#2;=Nh=KzmK?b5`E)wZTj z6Y2Jc7A79db0*O1R}5z>Oh)uf36vuUMB+M}A0bSGgpIAM;?r4zRL|3p+jtb_K$cr0m=GMK)e0>A*Vq)|3SiP-M%r*42q~ih=4%Om(#6b7GZ5QcAajv2zwc=Qh6y@XDI9yPsXf9_YC|uN$;F-`H z*Ou5Skq;3_6cnz--ncte2?2Ztq8sWU6xH_`6^I2g53C*5_cf@yi0ERT{3lIvG%>^P8k*!09hm+mEdW>SjWt*o>Fl61~ zeQ^d<VA`-#i%yP;DDnS|f%tA|{6aL8*?EFGf>==u%Nq+L{?E zBqJ>xw(yq->zO@H95ULbh#EGdvW&hy=%T)rlU1o?IAJd&-J6O$NL|<%kca|H00>w# zXdodjBB18G3i=$MR6@{}t6I5`5Vxu8Rn0PniaVXeGU}cZDiCKq)Lc{heCZIUd%*%{ zK#S3Y!TGexnB~py1vqDyFiaxurIP-(@Zwj_7TBXi6x~1}vJGeWJNl$&9hzNXMf-4hc3jf-(ohW@gz%M zD$!FwP#oF98LO)Q#r`N7GlC7SUdAq{s?0ObVzy01E=XG!F^gC%e&{t(1Jpo;crfnK z`ddw}Ln#d)YAD7hSYdsoaQeHq^xcXd^K{^=59J$CkdL_?4QeL5qSKcqc$BlSCZiS< z78m-XK_%u<5VkVg3F^k{))JBusb$j7$7ZHvC+Ad5yUt;}sx+ORn|fvLecTm%Ttt?b zkY29j7ply@u2NN}u5dCi07T5@D3LiMvN@fK56L1$EG9LRAYsF`>gG^7s?49tipJ`- z$iy(1Br&;jnf>*M--wdRYR_$2S*%Ia0%32Ld$29>x#-)t#7W%7_e(uWJv_By+llxe zvWv}GDM&TbJ2w@fzYWKS`!#V3R`a^%iY78qsN^bF@E>y+8}W;X0Jc&RVaryy3^DT8 zTL?Aooi+c}$F+dPl=kt(rd4F6p=~yMqSC!{dC#0ViK5chbTQS4B&IPj-G*s~3OkBE zgvg|Hb4ePuu}L>kvK58OR;sT=Y^`gdq}=U^^;oCx`OY)XGw=DI-~aOde*gD9&zzZO zW`xbzd0(z`9m9om7LRGx~h6cl~_~fA%eKQ39lEOwaLqnnAgQ=Oty1w)GGasi^sSb_h zuDDX+Jh1cUX5`73Jw;G${jG&f%`T#Sxs3X0w_Phryh0YOu$NmNQR~ff*DH_lFgJPT zaopf0s@yU4_AN6LY_w&rDmu9|fw{gx{u(-rw@vZDF0|#49ak&jD z$X9>ubdy;B!zW||OSwxkEFVXyag;6g={qV_cXDuZ8@WzrP+ayMop?v3YMnkhDD+aYXQ+gM}_r)wR-AR8tS?_wGG+YokHjtkdZQp~Z)Zid%Ehip;+7 zpQ^H+pV0|^@9Alo$2o9kV%OxJGDl|rWoCd9dFV8-$G2X5-A#Y)O7oCBmEVnhf~E(v zB0Z+?O)?AQS-~x>EkcFwJn7=6IYy-Ot5Qu#PGmP3U8mqR1*B>V_jozmbPM|OjRhGk zUKfV0$a2>&5AbcNh`P|N!cQuKqWIg-!_wWq@rEjipL_e6PZG1PeD5H$`L)?=+)*2~ z2@?IiyZj?ol}h#xcDpsE6}lSD z`OdGv<9JhQQD~4qdwoId#kzy`#);{bk8dsgcKEcGZgTp0{~P;s!?;JikJkOrwJajG zkPEWii|~W1lWNmLT=sdy)$yQby!X7SN^SKx4Xi^0lM*C#cZ zj^XZU-13LT(_Kjjwb4-}XHk#ef>rJ&! zU^bVA$P!~q*!lgPuagRnWwmc2IVnl$kFL7ku&arkqW_{yY0)u7%*~aW{ncS1PI6JY zrsqc+Zx9MuA!x}V9D&flaB9p7DNsD^Z3(7b|H-8uKU86ch-s7Jfbh^aZ}LuXP*0G? z*d#hxYFk_Cw6!+foH@X(BW=7li{Qc5#Ve;R0%sPSOf5O5r)mZR$&1E3p7{RAgpCem zL^#!rC*=LNYqfWHXQa+;s@}-4Pkg#xbxr=q*{lTt0yA9P)9&VSzu9xz-Q;P9EL%-N z*6CQ4c*kEY;Z0d#Uf{ea=Rd3P#@)4FD%9kcD_prO(uq{&3bZ`Ut_ zw&jOz9w}MFaE%0dbDgKR-kCqa`1@*7dt15Bv(l|TXWBwH$nKMz=|h(Fzda(izpMG} z!#7)6o2pZaT*gB`ilm`J$i&$fpfKVH@gjth*%6RCKU~HSHBH&2q0W>eR+tq6`I{_| zi9%OFDC~p+#NQO+%J>p#G_=TZp@TSFDvXqxYFN*gN!N%akcl5ZTnKUaVWRMe5RoZl z?I02Ir6U)7#gbK@&qd;JN4}Iw?r4L;C<2o(4kI{slmiV0Zk(Y`E_r27Jbq}PZ5lB9g) z|0V*%Ns>+khEsCV2%~04!1Q7W7-!QHQ(|CrxhPNai*L$*wbYs4Vw6Cil)^E9fPaPr zRpF;03jqZ3Nk57Of<6}lP+wlq=1jmO`X$ZhE<)Kj9S3l16b>gw<8TuUq9+Dazp`lEN!c)%)*+OQglTL6 z6eCB)LotBT1O=!ZAS`;-7|N!r3@{X>O9C(qCTR2nKrLB%F%%G?7Y#6qFN*0A{y(o< zfCChz2gEU|5xRuFp?2t+Uh7YIlp5DJ9+c^dx*V)zdRS`N*drx78g X?i!I2VUPw@8r4G@Mn+Da3pM@*p_BXR